repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
kucherenko/jscpd
fixtures/vhdl/file1.vhd
12226531
0
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.ip_user_files/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_auto_pc_0/zqynq_lab_1_design_auto_pc_0_sim_netlist.vhdl
1
531562
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Wed Sep 20 21:12:07 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top zqynq_lab_1_design_auto_pc_0 -prefix -- zqynq_lab_1_design_auto_pc_0_ zqynq_lab_1_design_auto_pc_2_sim_netlist.vhdl -- Design : zqynq_lab_1_design_auto_pc_2 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; \axlen_cnt_reg[4]_0\ : out STD_LOGIC; \m_axi_awaddr[1]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr[4]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5_n_0\ : STD_LOGIC; signal \^axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_4_n_0\ : STD_LOGIC; signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 7 downto 2 ); signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair113"; begin Q(3 downto 0) <= \^q\(3 downto 0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"559AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAAA559AAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000559AAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(4), I5 => \m_payload_i_reg[51]\(5), O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"000000000000559A" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(0) ); \axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(3), O => \axaddr_incr[4]_i_2_n_0\ ); \axaddr_incr[4]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(2), O => \axaddr_incr[4]_i_3_n_0\ ); \axaddr_incr[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(1), O => \axaddr_incr[4]_i_4_n_0\ ); \axaddr_incr[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(0), O => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr[8]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(7), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(7), O => \axaddr_incr[8]_i_2_n_0\ ); \axaddr_incr[8]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(6), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(6), O => \axaddr_incr[8]_i_3_n_0\ ); \axaddr_incr[8]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(5), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(5), O => \axaddr_incr[8]_i_4_n_0\ ); \axaddr_incr[8]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(4), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(4), O => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_5\, Q => \^axaddr_incr_reg\(6), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_4\, Q => \^axaddr_incr_reg\(7), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_7\, Q => \^axaddr_incr_reg\(0), R => '0' ); \axaddr_incr_reg[4]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1_n_4\, O(2) => \axaddr_incr_reg[4]_i_1_n_5\, O(1) => \axaddr_incr_reg[4]_i_1_n_6\, O(0) => \axaddr_incr_reg[4]_i_1_n_7\, S(3) => \axaddr_incr[4]_i_2_n_0\, S(2) => \axaddr_incr[4]_i_3_n_0\, S(1) => \axaddr_incr[4]_i_4_n_0\, S(0) => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_6\, Q => \^axaddr_incr_reg\(1), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_5\, Q => \^axaddr_incr_reg\(2), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_4\, Q => \^axaddr_incr_reg\(3), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_7\, Q => \^axaddr_incr_reg\(4), R => '0' ); \axaddr_incr_reg[8]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1_n_4\, O(2) => \axaddr_incr_reg[8]_i_1_n_5\, O(1) => \axaddr_incr_reg[8]_i_1_n_6\, O(0) => \axaddr_incr_reg[8]_i_1_n_7\, S(3) => \axaddr_incr[8]_i_2_n_0\, S(2) => \axaddr_incr[8]_i_3_n_0\, S(1) => \axaddr_incr[8]_i_4_n_0\, S(0) => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_6\, Q => \^axaddr_incr_reg\(5), R => '0' ); \axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => p_1_in(2) ); \axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1_n_0\ ); \axlen_cnt[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt_reg[4]_0\ ); \axlen_cnt[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA900A900A900" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \^axlen_cnt_reg[7]_0\, I2 => \^q\(3), I3 => \state_reg[0]\, I4 => E(0), I5 => \m_payload_i_reg[51]\(8), O => p_1_in(6) ); \axlen_cnt[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA900A900A900" ) port map ( I0 => \axlen_cnt_reg_n_0_[7]\, I1 => \^axlen_cnt_reg[7]_0\, I2 => \axlen_cnt[7]_i_4_n_0\, I3 => \state_reg[0]\, I4 => E(0), I5 => \m_payload_i_reg[51]\(9), O => p_1_in(7) ); \axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^q\(2), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(0), I3 => \^q\(1), I4 => \axlen_cnt_reg_n_0_[3]\, O => \^axlen_cnt_reg[7]_0\ ); \axlen_cnt[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \^q\(3), O => \axlen_cnt[7]_i_4_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(2), Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(2), Q => \^q\(2), R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(3), Q => \^q\(3), R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(6), Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(7), Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_awaddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_0\, I1 => \^axaddr_incr_reg[3]_0\(1), I2 => \m_payload_i_reg[51]\(6), I3 => \m_payload_i_reg[51]\(1), O => \m_axi_awaddr[1]\ ); next_pending_r_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[7]\, I2 => \^q\(2), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \^q\(1), I5 => \axlen_cnt[7]_i_4_n_0\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_0\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_incr_reg[11]_1\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); next_pending_r_reg_1 : out STD_LOGIC; \m_axi_araddr[5]\ : out STD_LOGIC; \m_axi_araddr[2]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_13_b2s_incr_cmd"; end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5__0_n_0\ : STD_LOGIC; signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 5 to 5 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal \^axaddr_incr_reg[11]_1\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \axlen_cnt[5]_i_2\ : label is "soft_lutpair4"; begin Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_incr_reg[11]_0\(6 downto 0) <= \^axaddr_incr_reg[11]_0\(6 downto 0); \axaddr_incr_reg[11]_1\ <= \^axaddr_incr_reg[11]_1\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"AA6AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"2A262A2A2A2A2A2A" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"0A060A0A0A0A0A0A" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \m_payload_i_reg[51]\(5), I2 => \m_payload_i_reg[51]\(6), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"0201020202020202" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(0) ); \axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(2), O => \axaddr_incr[4]_i_2__0_n_0\ ); \axaddr_incr[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(1), O => \axaddr_incr[4]_i_3__0_n_0\ ); \axaddr_incr[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => axaddr_incr_reg(5), O => \axaddr_incr[4]_i_4__0_n_0\ ); \axaddr_incr[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(0), O => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr[8]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(6), O => \axaddr_incr[8]_i_2__0_n_0\ ); \axaddr_incr[8]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(5), O => \axaddr_incr[8]_i_3__0_n_0\ ); \axaddr_incr[8]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(4), O => \axaddr_incr[8]_i_4__0_n_0\ ); \axaddr_incr[8]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(3), O => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(5), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(6), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(0), R => '0' ); \axaddr_incr_reg[4]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[4]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[4]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[4]_i_1__0_n_7\, S(3) => \axaddr_incr[4]_i_2__0_n_0\, S(2) => \axaddr_incr[4]_i_3__0_n_0\, S(1) => \axaddr_incr[4]_i_4__0_n_0\, S(0) => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_6\, Q => axaddr_incr_reg(5), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(1), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(2), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(3), R => '0' ); \axaddr_incr_reg[8]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[8]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[8]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[8]_i_1__0_n_7\, S(3) => \axaddr_incr[8]_i_2__0_n_0\, S(2) => \axaddr_incr[8]_i_3__0_n_0\, S(1) => \axaddr_incr[8]_i_4__0_n_0\, S(0) => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_6\, Q => \^axaddr_incr_reg[11]_0\(4), R => '0' ); \axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => \axlen_cnt[2]_i_1__1_n_0\ ); \axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1__1_n_0\ ); \axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt[4]_i_2__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(9), O => \axlen_cnt[4]_i_1__0_n_0\ ); \axlen_cnt[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[4]_i_2__0_n_0\ ); \axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt[5]_i_2_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(10), O => \axlen_cnt[5]_i_1__0_n_0\ ); \axlen_cnt[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(0), I3 => \^q\(1), I4 => \axlen_cnt_reg_n_0_[3]\, O => \axlen_cnt[5]_i_2_n_0\ ); \axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \axlen_cnt[7]_i_3__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(11), O => \axlen_cnt[6]_i_1__0_n_0\ ); \axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(12), I2 => \axlen_cnt_reg_n_0_[7]\, I3 => \axlen_cnt[7]_i_3__0_n_0\, I4 => \axlen_cnt_reg_n_0_[6]\, I5 => \state_reg[0]\, O => \axlen_cnt[7]_i_2__0_n_0\ ); \axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \^q\(1), I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[4]\, O => \axlen_cnt[7]_i_3__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[4]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[5]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[5]\, R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[6]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2__0_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => \^axaddr_incr_reg[3]_0\(2), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(2), O => \m_axi_araddr[2]\ ); \m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => axaddr_incr_reg(5), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(4), O => \m_axi_araddr[5]\ ); \next_pending_r_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[5]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \next_pending_r_i_4__0_n_0\, O => next_pending_r_reg_1 ); \next_pending_r_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(1), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[6]\, I3 => \axlen_cnt_reg_n_0_[7]\, O => \next_pending_r_i_4__0_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_1\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is port ( \axlen_cnt_reg[1]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); wrap_second_len : out STD_LOGIC_VECTOR ( 0 to 0 ); r_push_r_reg : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; \axlen_cnt_reg[1]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \axlen_cnt_reg[4]\ : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; \cnt_read_reg[2]_rep__0\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[1]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_second_len_r_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_next_pending : in STD_LOGIC; \m_payload_i_reg[51]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axlen_cnt_reg[1]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first_i\ : STD_LOGIC; signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair0"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair2"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axlen_cnt_reg[1]\ <= \^axlen_cnt_reg[1]\; incr_next_pending <= \^incr_next_pending\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first_i <= \^sel_first_i\; wrap_second_len(0) <= \^wrap_second_len\(0); \axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AAEA" ) port map ( I0 => sel_first_reg_2, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]_0\(0), I1 => \m_payload_i_reg[47]\(3), I2 => \^m_payload_i_reg[0]_0\, I3 => si_rs_arvalid, I4 => \^m_payload_i_reg[0]\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset_r_reg[3]\(0) ); \axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_arvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[47]\(1), I4 => \axlen_cnt_reg[1]_1\(0), I5 => \^axlen_cnt_reg[1]\, O => \axlen_cnt_reg[1]_0\(0) ); \axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[47]\(2), I2 => \axlen_cnt_reg[1]_1\(1), I3 => \axlen_cnt_reg[1]_1\(0), I4 => \^axlen_cnt_reg[1]\, O => \axlen_cnt_reg[1]_0\(1) ); \axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00CA" ) port map ( I0 => si_rs_arvalid, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_wrap_reg[11]\(0) ); \axlen_cnt[7]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_arvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[4]\, O => \^axlen_cnt_reg[1]\ ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => \^m_payload_i_reg[0]\, O => m_axi_arvalid ); \m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"D5" ) port map ( I0 => si_rs_arvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^m_payload_i_reg[0]_0\, O => \m_payload_i_reg[0]_1\(0) ); \next_pending_r_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[51]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[4]\, I3 => \^r_push_r_reg\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); r_push_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \^m_payload_i_reg[0]_0\, I2 => m_axi_arready, O => \^r_push_r_reg\ ); \s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); \s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); \sel_first_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FCFFFFFFCCCECCCE" ) port map ( I0 => si_rs_arvalid, I1 => areset_d1, I2 => \^m_payload_i_reg[0]\, I3 => \^m_payload_i_reg[0]_0\, I4 => m_axi_arready, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_3, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"003030303E3E3E3E" ) port map ( I0 => si_rs_arvalid, I1 => \^q\(1), I2 => \^q\(0), I3 => m_axi_arready, I4 => s_axburst_eq1_reg_0, I5 => \cnt_read_reg[2]_rep__0\, O => next_state(0) ); \state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00AAB000" ) port map ( I0 => \cnt_read_reg[2]_rep__0\, I1 => s_axburst_eq1_reg_0, I2 => m_axi_arready, I3 => \^m_payload_i_reg[0]_0\, I4 => \^m_payload_i_reg[0]\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^m_payload_i_reg[0]_0\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^m_payload_i_reg[0]\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_arvalid, I2 => \^m_payload_i_reg[0]_0\, O => \^e\(0) ); \wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wrap_second_len\(0), I1 => \m_payload_i_reg[44]\, O => D(0) ); \wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0000FCAAAAAAAA" ) port map ( I0 => \wrap_second_len_r_reg[1]\(0), I1 => axaddr_offset(2), I2 => \^axaddr_offset_r_reg[3]\(0), I3 => axaddr_offset(0), I4 => axaddr_offset(1), I5 => \^e\(0), O => \^wrap_second_len\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo is port ( \cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__1_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[0]_0\ : out STD_LOGIC; sel : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bvalid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); b_push : in STD_LOGIC; shandshake_r : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; \bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); mhandshake_r : in STD_LOGIC; bvalid_i_reg_0 : in STD_LOGIC; si_rs_bready : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo is signal bvalid_i_i_2_n_0 : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \^cnt_read_reg[1]_rep__1_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_5_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_6_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][4]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][5]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][6]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][7]_srl4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair116"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 "; attribute SOFT_HLUTNM of \memory_reg[3][0]_srl4_i_1__0\ : label is "soft_lutpair117"; attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 "; attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 "; attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 "; attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 "; attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 "; attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 "; attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 "; attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 "; attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 "; attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 "; attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 "; attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 "; attribute srl_bus_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][4]_srl4 "; attribute srl_bus_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][5]_srl4 "; attribute srl_bus_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][6]_srl4 "; attribute srl_bus_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][7]_srl4 "; attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 "; attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 "; begin \cnt_read_reg[0]_0\ <= \^cnt_read_reg[0]_0\; \cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\; \cnt_read_reg[1]_rep__1_0\ <= \^cnt_read_reg[1]_rep__1_0\; \bresp_cnt[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => areset_d1, I1 => \^cnt_read_reg[0]_0\, O => SR(0) ); bvalid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"002A" ) port map ( I0 => bvalid_i_i_2_n_0, I1 => bvalid_i_reg_0, I2 => si_rs_bready, I3 => areset_d1, O => bvalid_i_reg ); bvalid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00070707" ) port map ( I0 => \^cnt_read_reg[1]_rep__1_0\, I1 => \^cnt_read_reg[0]_rep__0_0\, I2 => shandshake_r, I3 => Q(1), I4 => Q(0), I5 => bvalid_i_reg_0, O => bvalid_i_i_2_n_0 ); \cnt_read[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \^cnt_read_reg[0]_0\, I1 => shandshake_r, I2 => Q(0), O => D(0) ); \cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^cnt_read_reg[0]_rep__0_0\, I1 => b_push, I2 => shandshake_r, O => \cnt_read[0]_i_1__2_n_0\ ); \cnt_read[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E718" ) port map ( I0 => \^cnt_read_reg[0]_rep__0_0\, I1 => b_push, I2 => shandshake_r, I3 => \^cnt_read_reg[1]_rep__1_0\, O => \cnt_read[1]_i_1_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => \^cnt_read_reg[0]_rep__0_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \^cnt_read_reg[1]_rep__1_0\, S => areset_d1 ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(0), Q => \memory_reg[3][0]_srl4_n_0\ ); \memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^cnt_read_reg[0]_0\, O => sel ); \memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFEFFFFFFFFFFFE" ) port map ( I0 => \memory_reg[3][0]_srl4_i_3_n_0\, I1 => \memory_reg[3][0]_srl4_i_4_n_0\, I2 => \memory_reg[3][0]_srl4_i_5_n_0\, I3 => \memory_reg[3][0]_srl4_i_6_n_0\, I4 => \bresp_cnt_reg[7]\(3), I5 => \memory_reg[3][3]_srl4_n_0\, O => \^cnt_read_reg[0]_0\ ); \memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"22F2FFFFFFFF22F2" ) port map ( I0 => \memory_reg[3][0]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(0), I2 => \memory_reg[3][2]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(2), I4 => \memory_reg[3][1]_srl4_n_0\, I5 => \bresp_cnt_reg[7]\(1), O => \memory_reg[3][0]_srl4_i_3_n_0\ ); \memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"F222FFFFFFFFF222" ) port map ( I0 => \bresp_cnt_reg[7]\(5), I1 => \memory_reg[3][5]_srl4_n_0\, I2 => \^cnt_read_reg[1]_rep__1_0\, I3 => \^cnt_read_reg[0]_rep__0_0\, I4 => \bresp_cnt_reg[7]\(7), I5 => \memory_reg[3][7]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_4_n_0\ ); \memory_reg[3][0]_srl4_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"2FF22FF2FFFF2FF2" ) port map ( I0 => \bresp_cnt_reg[7]\(2), I1 => \memory_reg[3][2]_srl4_n_0\, I2 => \memory_reg[3][4]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(4), I4 => \bresp_cnt_reg[7]\(0), I5 => \memory_reg[3][0]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_5_n_0\ ); \memory_reg[3][0]_srl4_i_6\: unisim.vcomponents.LUT5 generic map( INIT => X"6F6FFF6F" ) port map ( I0 => \memory_reg[3][6]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(6), I2 => mhandshake_r, I3 => \memory_reg[3][5]_srl4_n_0\, I4 => \bresp_cnt_reg[7]\(5), O => \memory_reg[3][0]_srl4_i_6_n_0\ ); \memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(10), Q => \out\(2) ); \memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(11), Q => \out\(3) ); \memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(12), Q => \out\(4) ); \memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(13), Q => \out\(5) ); \memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(14), Q => \out\(6) ); \memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(15), Q => \out\(7) ); \memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(16), Q => \out\(8) ); \memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(17), Q => \out\(9) ); \memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(18), Q => \out\(10) ); \memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(19), Q => \out\(11) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(1), Q => \memory_reg[3][1]_srl4_n_0\ ); \memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(2), Q => \memory_reg[3][2]_srl4_n_0\ ); \memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(3), Q => \memory_reg[3][3]_srl4_n_0\ ); \memory_reg[3][4]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(4), Q => \memory_reg[3][4]_srl4_n_0\ ); \memory_reg[3][5]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(5), Q => \memory_reg[3][5]_srl4_n_0\ ); \memory_reg[3][6]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(6), Q => \memory_reg[3][6]_srl4_n_0\ ); \memory_reg[3][7]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(7), Q => \memory_reg[3][7]_srl4_n_0\ ); \memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(8), Q => \out\(0) ); \memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(9), Q => \out\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is port ( mhandshake : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC; \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; mhandshake_r : in STD_LOGIC; shandshake_r : in STD_LOGIC; \bresp_cnt_reg[3]\ : in STD_LOGIC; sel : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair118"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair118"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 "; begin Q(1 downto 0) <= \^q\(1 downto 0); \cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \^q\(1), I1 => shandshake_r, I2 => \^q\(0), I3 => \bresp_cnt_reg[3]\, O => \cnt_read[1]_i_1__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => D(0), Q => \^q\(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__0_n_0\, Q => \^q\(1), S => areset_d1 ); m_axi_bready_INST_0: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => mhandshake_r, O => m_axi_bready ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => sel, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[1]\(0) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => sel, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[1]\(1) ); mhandshake_r_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => m_axi_bvalid, I1 => mhandshake_r, I2 => \^q\(0), I3 => \^q\(1), O => mhandshake ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is port ( \cnt_read_reg[3]_rep__2_0\ : out STD_LOGIC; wr_en0 : out STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); s_ready_i_reg : in STD_LOGIC; s_ready_i_reg_0 : in STD_LOGIC; si_rs_rready : in STD_LOGIC; \cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[3]_rep__2_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^wr_en0\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[4]_i_5\ : label is "soft_lutpair9"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]"; attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair7"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 "; attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 "; attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 "; attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 "; attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 "; attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 "; attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 "; attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 "; attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 "; attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 "; attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 "; attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 "; attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 "; attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 "; attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 "; attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 "; attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 "; attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 "; attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 "; attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair7"; begin \cnt_read_reg[3]_rep__2_0\ <= \^cnt_read_reg[3]_rep__2_0\; \cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\; \cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\; wr_en0 <= \^wr_en0\; \cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => s_ready_i_reg, I2 => \^wr_en0\, O => \cnt_read[0]_i_1__0_n_0\ ); \cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"A96A" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, I1 => \cnt_read_reg[0]_rep__2_n_0\, I2 => \^wr_en0\, I3 => s_ready_i_reg, O => \cnt_read[1]_i_1__2_n_0\ ); \cnt_read[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"A6AAAA9A" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => s_ready_i_reg, I3 => \^wr_en0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => \cnt_read[2]_i_1_n_0\ ); \cnt_read[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAA96AAAAAAA" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[0]_rep__2_n_0\, I4 => \^wr_en0\, I5 => s_ready_i_reg, O => \cnt_read[3]_i_1_n_0\ ); \cnt_read[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA55AAA6A6AAA6AA" ) port map ( I0 => \^cnt_read_reg[4]_rep__2_0\, I1 => \cnt_read[4]_i_2_n_0\, I2 => \cnt_read[4]_i_3_n_0\, I3 => s_ready_i_reg_0, I4 => \^cnt_read_reg[4]_rep__2_1\, I5 => \^cnt_read_reg[3]_rep__2_0\, O => \cnt_read[4]_i_1_n_0\ ); \cnt_read[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[4]_i_2_n_0\ ); \cnt_read[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => si_rs_rready, I2 => \cnt_read_reg[4]_rep__0_0\, I3 => \^wr_en0\, O => \cnt_read[4]_i_3_n_0\ ); \cnt_read[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => \cnt_read_reg[2]_rep__2_n_0\, O => \^cnt_read_reg[4]_rep__2_1\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \^cnt_read_reg[3]_rep__2_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \^cnt_read_reg[4]_rep__2_0\, S => areset_d1 ); m_axi_rready_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"F77F777F" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \^cnt_read_reg[4]_rep__2_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[2]_rep__2_n_0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => m_axi_rready ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(0), Q => \out\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA2A2AAA2A2A2AAA" ) port map ( I0 => m_axi_rvalid, I1 => \^cnt_read_reg[3]_rep__2_0\, I2 => \^cnt_read_reg[4]_rep__2_0\, I3 => \cnt_read_reg[1]_rep__2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[0]_rep__2_n_0\, O => \^wr_en0\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(10), Q => \out\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(11), Q => \out\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(12), Q => \out\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(13), Q => \out\(13), Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(14), Q => \out\(14), Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(15), Q => \out\(15), Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(16), Q => \out\(16), Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(17), Q => \out\(17), Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(18), Q => \out\(18), Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(19), Q => \out\(19), Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(1), Q => \out\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(20), Q => \out\(20), Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(21), Q => \out\(21), Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(22), Q => \out\(22), Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(23), Q => \out\(23), Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(24), Q => \out\(24), Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(25), Q => \out\(25), Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(26), Q => \out\(26), Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(27), Q => \out\(27), Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(28), Q => \out\(28), Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(29), Q => \out\(29), Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(2), Q => \out\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(30), Q => \out\(30), Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(31), Q => \out\(31), Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(32), Q => \out\(32), Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(33), Q => \out\(33), Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(3), Q => \out\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(4), Q => \out\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(5), Q => \out\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(6), Q => \out\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(7), Q => \out\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(8), Q => \out\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(9), Q => \out\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"7C000000" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \^cnt_read_reg[4]_rep__2_0\, I4 => \^cnt_read_reg[3]_rep__2_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is port ( \state_reg[1]_rep\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); r_push_r : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; \cnt_read_reg[0]_rep__2\ : in STD_LOGIC; si_rs_rready : in STD_LOGIC; wr_en0 : in STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : in STD_LOGIC; \cnt_read_reg[3]_rep__2\ : in STD_LOGIC; \cnt_read_reg[0]_rep__2_0\ : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_4__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_5__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^m_valid_i_reg\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[4]_i_4__0\ : label is "soft_lutpair11"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 "; begin m_valid_i_reg <= \^m_valid_i_reg\; \cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__0_n_0\, I1 => s_ready_i_reg, I2 => r_push_r, O => \cnt_read[0]_i_1__1_n_0\ ); \cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \cnt_read_reg[1]_rep__0_n_0\, I1 => \cnt_read_reg[0]_rep__0_n_0\, I2 => s_ready_i_reg, I3 => r_push_r, O => \cnt_read[1]_i_1__1_n_0\ ); \cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AA6AA9AA" ) port map ( I0 => \cnt_read_reg[2]_rep__0_n_0\, I1 => \cnt_read_reg[1]_rep__0_n_0\, I2 => r_push_r, I3 => s_ready_i_reg, I4 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[2]_i_1__0_n_0\ ); \cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA6AAAAAA9AAAA" ) port map ( I0 => \cnt_read_reg[3]_rep__0_n_0\, I1 => \cnt_read_reg[2]_rep__0_n_0\, I2 => \cnt_read_reg[1]_rep__0_n_0\, I3 => r_push_r, I4 => s_ready_i_reg, I5 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[3]_i_1__0_n_0\ ); \cnt_read[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6A666A6AAA99AAAA" ) port map ( I0 => \cnt_read_reg[4]_rep__0_n_0\, I1 => \cnt_read[4]_i_2__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read[4]_i_4__0_n_0\, I4 => \cnt_read[4]_i_5__0_n_0\, I5 => \cnt_read_reg[3]_rep__0_n_0\, O => \cnt_read[4]_i_1__0_n_0\ ); \cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"8A" ) port map ( I0 => r_push_r, I1 => \^m_valid_i_reg\, I2 => si_rs_rready, O => \cnt_read[4]_i_2__0_n_0\ ); \cnt_read[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[2]_rep__0_n_0\, I1 => \cnt_read_reg[1]_rep__0_n_0\, I2 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[4]_i_3__0_n_0\ ); \cnt_read[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, I2 => wr_en0, O => \cnt_read_reg[4]_rep__2\ ); \cnt_read[4]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__0_n_0\, I1 => si_rs_rready, I2 => \^m_valid_i_reg\, I3 => r_push_r, O => \cnt_read[4]_i_4__0_n_0\ ); \cnt_read[4]_i_5__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[1]_rep__0_n_0\, I1 => \cnt_read_reg[2]_rep__0_n_0\, O => \cnt_read[4]_i_5__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => \cnt_read_reg[4]_rep__0_n_0\, I1 => \cnt_read_reg[3]_rep__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read_reg[4]_rep__2_0\, I4 => \cnt_read_reg[3]_rep__2\, I5 => \cnt_read_reg[0]_rep__2_0\, O => \^m_valid_i_reg\ ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[46]\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(10), Q => \skid_buffer_reg[46]\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(11), Q => \skid_buffer_reg[46]\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(12), Q => \skid_buffer_reg[46]\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[46]\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(2), Q => \skid_buffer_reg[46]\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(3), Q => \skid_buffer_reg[46]\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(4), Q => \skid_buffer_reg[46]\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(5), Q => \skid_buffer_reg[46]\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(6), Q => \skid_buffer_reg[46]\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(7), Q => \skid_buffer_reg[46]\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(8), Q => \skid_buffer_reg[46]\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(9), Q => \skid_buffer_reg[46]\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"BEFEAAAAAAAAAAAA" ) port map ( I0 => \cnt_read_reg[0]_rep__2\, I1 => \cnt_read_reg[2]_rep__0_n_0\, I2 => \cnt_read_reg[1]_rep__0_n_0\, I3 => \cnt_read_reg[0]_rep__0_n_0\, I4 => \cnt_read_reg[3]_rep__0_n_0\, I5 => \cnt_read_reg[4]_rep__0_n_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is port ( \axlen_cnt_reg[4]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[1]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : out STD_LOGIC; \state_reg[1]_rep_1\ : out STD_LOGIC; \axlen_cnt_reg[5]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \next\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \axaddr_wrap_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \axlen_cnt_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; \m_payload_i_reg[49]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \axlen_cnt_reg[5]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[3]_0\ : in STD_LOGIC; \axlen_cnt_reg[4]_0\ : in STD_LOGIC; \wrap_second_len_r_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \axlen_cnt_reg[2]\ : in STD_LOGIC; next_pending_r_reg_0 : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; \sel_first__0\ : in STD_LOGIC; aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axlen_cnt_reg[4]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^next\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sel_first_i\ : STD_LOGIC; signal \state[0]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_1__0_n_0\ : STD_LOGIC; signal \^state_reg[1]_rep_0\ : STD_LOGIC; signal \^state_reg[1]_rep_1\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \^wrap_second_len_r_reg[1]\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_5\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair112"; attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair111"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair112"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axlen_cnt_reg[4]\ <= \^axlen_cnt_reg[4]\; incr_next_pending <= \^incr_next_pending\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \next\ <= \^next\; sel_first_i <= \^sel_first_i\; \state_reg[1]_rep_0\ <= \^state_reg[1]_rep_0\; \state_reg[1]_rep_1\ <= \^state_reg[1]_rep_1\; wrap_next_pending <= \^wrap_next_pending\; \wrap_second_len_r_reg[1]\(0) <= \^wrap_second_len_r_reg[1]\(0); \axaddr_incr[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EEFE" ) port map ( I0 => sel_first_reg_2, I1 => \^m_payload_i_reg[0]\, I2 => \^state_reg[1]_rep_0\, I3 => \^state_reg[1]_rep_1\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]_0\(0), I1 => \m_payload_i_reg[49]\(3), I2 => \^state_reg[1]_rep_1\, I3 => si_rs_awvalid, I4 => \^state_reg[1]_rep_0\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset_r_reg[3]\(0) ); \axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[49]\(1), I4 => \axlen_cnt_reg[5]_0\(0), I5 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(0) ); \axlen_cnt[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(2), I2 => \axlen_cnt_reg[5]_0\(1), I3 => \axlen_cnt_reg[5]_0\(0), I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(1) ); \axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(4), I2 => \axlen_cnt_reg[5]_0\(2), I3 => \axlen_cnt_reg[3]_0\, I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(2) ); \axlen_cnt[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(5), I2 => \axlen_cnt_reg[5]_0\(3), I3 => \axlen_cnt_reg[4]_0\, I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(3) ); \axlen_cnt[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCFE" ) port map ( I0 => si_rs_awvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^state_reg[1]_rep_0\, I3 => \^state_reg[1]_rep_1\, O => \axaddr_wrap_reg[0]\(0) ); \axlen_cnt[7]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_awvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[3]\, O => \^axlen_cnt_reg[4]\ ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^state_reg[1]_rep_1\, I1 => \^state_reg[1]_rep_0\, O => m_axi_awvalid ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_awvalid, O => \m_payload_i_reg[0]_0\(0) ); \memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"88008888A800A8A8" ) port map ( I0 => \^state_reg[1]_rep_1\, I1 => \^state_reg[1]_rep_0\, I2 => m_axi_awready, I3 => \cnt_read_reg[0]_rep__0\, I4 => \cnt_read_reg[1]_rep__1\, I5 => s_axburst_eq1_reg_0, O => \^m_payload_i_reg[0]\ ); next_pending_r_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[48]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[3]\, I3 => \^next\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); \next_pending_r_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[46]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[2]\, I3 => \^next\, I4 => next_pending_r_reg_0, O => \^wrap_next_pending\ ); next_pending_r_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"F3F35100FFFF0000" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => \cnt_read_reg[1]_rep__1\, I2 => \cnt_read_reg[0]_rep__0\, I3 => m_axi_awready, I4 => \^state_reg[1]_rep_0\, I5 => \^state_reg[1]_rep_1\, O => \^next\ ); s_axburst_eq0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[49]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); s_axburst_eq1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[49]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); sel_first_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"CCCEFCFFCCCECCCE" ) port map ( I0 => si_rs_awvalid, I1 => areset_d1, I2 => \^state_reg[1]_rep_1\, I3 => \^state_reg[1]_rep_0\, I4 => \^m_payload_i_reg[0]\, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44440F04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44440F04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \sel_first__0\, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"2F" ) port map ( I0 => si_rs_awvalid, I1 => \^q\(0), I2 => \state[0]_i_2_n_0\, O => next_state(0) ); \state[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FA08FAFA0F0F0F0F" ) port map ( I0 => m_axi_awready, I1 => s_axburst_eq1_reg_0, I2 => \^state_reg[1]_rep_0\, I3 => \cnt_read_reg[0]_rep__0\, I4 => \cnt_read_reg[1]_rep__1\, I5 => \^state_reg[1]_rep_1\, O => \state[0]_i_2_n_0\ ); \state[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0C0CAE0000000000" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => \cnt_read_reg[1]_rep__1\, I2 => \cnt_read_reg[0]_rep__0\, I3 => m_axi_awready, I4 => \^state_reg[1]_rep_0\, I5 => \^state_reg[1]_rep_1\, O => \state[1]_i_1__0_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^state_reg[1]_rep_1\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \state[1]_i_1__0_n_0\, Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \state[1]_i_1__0_n_0\, Q => \^state_reg[1]_rep_0\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^state_reg[1]_rep_0\, I1 => si_rs_awvalid, I2 => \^state_reg[1]_rep_1\, O => \^e\(0) ); \wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wrap_second_len_r_reg[1]\(0), I1 => \m_payload_i_reg[44]\, O => D(0) ); \wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0000FCAAAAAAAA" ) port map ( I0 => \wrap_second_len_r_reg[1]_0\(0), I1 => \m_payload_i_reg[35]\(2), I2 => \^axaddr_offset_r_reg[3]\(0), I3 => \m_payload_i_reg[35]\(0), I4 => \m_payload_i_reg[35]\(1), I5 => \^e\(0), O => \^wrap_second_len_r_reg[1]\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); wrap_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \next\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_wrap[11]_i_2\ : label is "soft_lutpair114"; attribute SOFT_HLUTNM of \next_pending_r_i_3__0\ : label is "soft_lutpair114"; begin sel_first_reg_0 <= \^sel_first_reg_0\; \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(0), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(0), I3 => \next\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1_n_0\ ); \axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(10), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(10), I3 => \next\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1_n_0\ ); \axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(11), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(11), I3 => \next\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1_n_0\ ); \axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4_n_0\, I1 => wrap_cnt_r(3), I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2_n_0\ ); \axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => wrap_cnt_r(0), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[2]\, I3 => wrap_cnt_r(2), I4 => \axlen_cnt_reg_n_0_[1]\, I5 => wrap_cnt_r(1), O => \axaddr_wrap[11]_i_4_n_0\ ); \axaddr_wrap[11]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(11), O => \axaddr_wrap[11]_i_5_n_0\ ); \axaddr_wrap[11]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(10), O => \axaddr_wrap[11]_i_6_n_0\ ); \axaddr_wrap[11]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(9), O => \axaddr_wrap[11]_i_7_n_0\ ); \axaddr_wrap[11]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(8), O => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(1), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(1), I3 => \next\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1_n_0\ ); \axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(2), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(2), I3 => \next\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1_n_0\ ); \axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(3), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(3), I3 => \next\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => axaddr_wrap(3), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(2), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(1), I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => axaddr_wrap(0), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(4), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(4), I3 => \next\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1_n_0\ ); \axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(5), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(5), I3 => \next\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1_n_0\ ); \axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(6), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(6), I3 => \next\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1_n_0\ ); \axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(7), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(7), I3 => \next\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1_n_0\ ); \axaddr_wrap[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(7), O => \axaddr_wrap[7]_i_3_n_0\ ); \axaddr_wrap[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(6), O => \axaddr_wrap[7]_i_4_n_0\ ); \axaddr_wrap[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(5), O => \axaddr_wrap[7]_i_5_n_0\ ); \axaddr_wrap[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(4), O => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(8), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(8), I3 => \next\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1_n_0\ ); \axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(9), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(9), I3 => \next\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1_n_0\, Q => axaddr_wrap(0), R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1_n_0\, Q => axaddr_wrap(10), R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1_n_0\, Q => axaddr_wrap(11), R => '0' ); \axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(11 downto 8), S(3) => \axaddr_wrap[11]_i_5_n_0\, S(2) => \axaddr_wrap[11]_i_6_n_0\, S(1) => \axaddr_wrap[11]_i_7_n_0\, S(0) => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1_n_0\, Q => axaddr_wrap(1), R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1_n_0\, Q => axaddr_wrap(2), R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1_n_0\, Q => axaddr_wrap(3), R => '0' ); \axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => axaddr_wrap(3 downto 0), O(3 downto 0) => axaddr_wrap0(3 downto 0), S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1_n_0\, Q => axaddr_wrap(4), R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1_n_0\, Q => axaddr_wrap(5), R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1_n_0\, Q => axaddr_wrap(6), R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1_n_0\, Q => axaddr_wrap(7), R => '0' ); \axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(7 downto 4), S(3) => \axaddr_wrap[7]_i_3_n_0\, S(2) => \axaddr_wrap[7]_i_4_n_0\, S(1) => \axaddr_wrap[7]_i_5_n_0\, S(0) => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1_n_0\, Q => axaddr_wrap(8), R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1_n_0\, Q => axaddr_wrap(9), R => '0' ); \axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[0]_i_1__0_n_0\ ); \axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__0_n_0\ ); \axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__0_n_0\ ); \axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(0), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_awaddr(0) ); \m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(10), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_awaddr(10) ); \m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(11), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(7), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_awaddr(11) ); \m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(1), I1 => \^sel_first_reg_0\, I2 => axaddr_wrap(1), I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_awaddr(1) ); \m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(2), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(2), O => m_axi_awaddr(2) ); \m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(3), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_awaddr(3) ); \m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(4), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_awaddr(4) ); \m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(5), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(5), O => m_axi_awaddr(5) ); \m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(6), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(6), O => m_axi_awaddr(6) ); \m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(7), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_awaddr(7) ); \m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(8), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_awaddr(8) ); \m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(9), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_awaddr(9) ); \next_pending_r_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => wrap_boundary_axaddr_r(0), R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => wrap_boundary_axaddr_r(10), R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => wrap_boundary_axaddr_r(11), R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => wrap_boundary_axaddr_r(1), R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => wrap_boundary_axaddr_r(2), R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => wrap_boundary_axaddr_r(3), R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => wrap_boundary_axaddr_r(4), R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => wrap_boundary_axaddr_r(5), R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => wrap_boundary_axaddr_r(6), R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => wrap_boundary_axaddr_r(7), R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => wrap_boundary_axaddr_r(8), R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => wrap_boundary_axaddr_r(9), R => '0' ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => wrap_cnt_r(0), R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => wrap_cnt_r(1), R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => wrap_cnt_r(2), R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(3), Q => wrap_cnt_r(3), R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is port ( wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_13_b2s_wrap_cmd"; end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \next_pending_r_i_3__2_n_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin sel_first_reg_0 <= \^sel_first_reg_0\; wrap_next_pending <= \^wrap_next_pending\; \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1__0_n_0\ ); \axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4__0_n_0\, I1 => \wrap_cnt_r_reg_n_0_[3]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2__0_n_0\ ); \axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => \wrap_cnt_r_reg_n_0_[0]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \wrap_cnt_r_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \wrap_cnt_r_reg_n_0_[2]\, O => \axaddr_wrap[11]_i_4__0_n_0\ ); \axaddr_wrap[11]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[11]\, O => \axaddr_wrap[11]_i_5__0_n_0\ ); \axaddr_wrap[11]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[10]\, O => \axaddr_wrap[11]_i_6__0_n_0\ ); \axaddr_wrap[11]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[9]\, O => \axaddr_wrap[11]_i_7__0_n_0\ ); \axaddr_wrap[11]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[8]\, O => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1__0_n_0\ ); \axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[3]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[2]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[1]\, I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \axaddr_wrap_reg_n_0_[0]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1__0_n_0\ ); \axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1__0_n_0\ ); \axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_3__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[7]\, O => \axaddr_wrap[7]_i_3__0_n_0\ ); \axaddr_wrap[7]_i_4__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[6]\, O => \axaddr_wrap[7]_i_4__0_n_0\ ); \axaddr_wrap[7]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[5]\, O => \axaddr_wrap[7]_i_5__0_n_0\ ); \axaddr_wrap[7]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[4]\, O => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1__0_n_0\ ); \axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1__0_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[0]\, R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[10]\, R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[11]\, R => '0' ); \axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\, O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\, O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\, O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\, S(3) => \axaddr_wrap[11]_i_5__0_n_0\, S(2) => \axaddr_wrap[11]_i_6__0_n_0\, S(1) => \axaddr_wrap[11]_i_7__0_n_0\, S(0) => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[1]\, R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[2]\, R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[3]\, R => '0' ); \axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_wrap_reg_n_0_[3]\, DI(2) => \axaddr_wrap_reg_n_0_[2]\, DI(1) => \axaddr_wrap_reg_n_0_[1]\, DI(0) => \axaddr_wrap_reg_n_0_[0]\, O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\, S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[4]\, R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[5]\, R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[6]\, R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[7]\, R => '0' ); \axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\, S(3) => \axaddr_wrap[7]_i_3__0_n_0\, S(2) => \axaddr_wrap[7]_i_4__0_n_0\, S(1) => \axaddr_wrap[7]_i_5__0_n_0\, S(0) => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[8]\, R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[9]\, R => '0' ); \axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \axlen_cnt[0]_i_1__2_n_0\ ); \axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__2_n_0\ ); \axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__2_n_0\ ); \axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__2_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[0]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_araddr(0) ); \m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[10]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_araddr(10) ); \m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[11]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_araddr(11) ); \m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[1]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(1), O => m_axi_araddr(1) ); \m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(2), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[2]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_3, O => m_axi_araddr(2) ); \m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[3]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_araddr(3) ); \m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[4]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_araddr(4) ); \m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(5), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[5]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_araddr(5) ); \m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[6]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(6), O => m_axi_araddr(6) ); \m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[7]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_araddr(7) ); \m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[8]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_araddr(8) ); \m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[9]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_araddr(9) ); \next_pending_r_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FD55FC0C" ) port map ( I0 => \m_payload_i_reg[46]\, I1 => next_pending_r_reg_n_0, I2 => \state_reg[1]_rep_0\, I3 => \next_pending_r_i_3__2_n_0\, I4 => E(0), O => \^wrap_next_pending\ ); \next_pending_r_i_3__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) port map ( I0 => \state_reg[0]_rep\, I1 => si_rs_arvalid, I2 => \state_reg[1]_rep\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \next_pending_r_i_3__2_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \^wrap_next_pending\, Q => next_pending_r_reg_n_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\, R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\, R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\, R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\, R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\, R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\, R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\, R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\, R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\, R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\, R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\, R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\, R => '0' ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => \wrap_cnt_r_reg_n_0_[0]\, R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => \wrap_cnt_r_reg_n_0_[1]\, R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => \wrap_cnt_r_reg_n_0_[2]\, R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(3), Q => \wrap_cnt_r_reg_n_0_[3]\, R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice is port ( s_axi_arready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]_0\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_araddr[10]\ : out STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_second_len_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first_2 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice is signal \^q\ : STD_LOGIC_VECTOR ( 58 downto 0 ); signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[48]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[49]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[62]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[63]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[64]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_3__0_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[3]_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_3__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_4__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_2__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[62]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[63]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[64]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1__0\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1__0\ : label is "soft_lutpair14"; begin Q(58 downto 0) <= \^q\(58 downto 0); \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_arready <= \^s_axi_arready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \wrap_cnt_r_reg[3]_0\ <= \^wrap_cnt_r_reg[3]_0\; \wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0); \aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]_0\, Q => \^m_valid_i_reg_0\, R => '0' ); \axaddr_incr[0]_i_10__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(0), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_7\, O => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr[0]_i_12__0\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12__0_n_0\ ); \axaddr_incr[0]_i_13__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13__0_n_0\ ); \axaddr_incr[0]_i_14__0\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14__0_n_0\ ); \axaddr_incr[0]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_3__0_n_0\ ); \axaddr_incr[0]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_4__0_n_0\ ); \axaddr_incr[0]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first_2, O => \axaddr_incr[0]_i_5__0_n_0\ ); \axaddr_incr[0]_i_6__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_6__0_n_0\ ); \axaddr_incr[0]_i_7__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(3), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_4\, O => \axaddr_incr[0]_i_7__0_n_0\ ); \axaddr_incr[0]_i_8__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(2), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_5\, O => \axaddr_incr[0]_i_8__0_n_0\ ); \axaddr_incr[0]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => \axaddr_incr_reg[3]_0\(1), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_6\, O => \axaddr_incr[0]_i_9__0_n_0\ ); \axaddr_incr[4]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr[4]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7__0_n_0\ ); \axaddr_incr[4]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8__0_n_0\ ); \axaddr_incr[4]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9__0_n_0\ ); \axaddr_incr[8]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_incr[8]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7__0_n_0\ ); \axaddr_incr[8]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8__0_n_0\ ); \axaddr_incr[8]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9__0_n_0\ ); \axaddr_incr_reg[0]_i_11__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11__0_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12__0_n_0\, DI(1) => \axaddr_incr[0]_i_13__0_n_0\, DI(0) => \axaddr_incr[0]_i_14__0_n_0\, O(3) => \axaddr_incr_reg[0]_i_11__0_n_4\, O(2) => \axaddr_incr_reg[0]_i_11__0_n_5\, O(1) => \axaddr_incr_reg[0]_i_11__0_n_6\, O(0) => \axaddr_incr_reg[0]_i_11__0_n_7\, S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0) ); \axaddr_incr_reg[0]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[7]_0\(0), CO(2) => \axaddr_incr_reg[0]_i_2__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3__0_n_0\, DI(2) => \axaddr_incr[0]_i_4__0_n_0\, DI(1) => \axaddr_incr[0]_i_5__0_n_0\, DI(0) => \axaddr_incr[0]_i_6__0_n_0\, O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), S(3) => \axaddr_incr[0]_i_7__0_n_0\, S(2) => \axaddr_incr[0]_i_8__0_n_0\, S(1) => \axaddr_incr[0]_i_9__0_n_0\, S(0) => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr_reg[4]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7__0_n_0\, S(2) => \axaddr_incr[4]_i_8__0_n_0\, S(1) => \axaddr_incr[4]_i_9__0_n_0\, S(0) => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr_reg[8]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[8]_i_7__0_n_0\, S(2) => \axaddr_incr[8]_i_8__0_n_0\, S(1) => \axaddr_incr[8]_i_9__0_n_0\, S(0) => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0F0F088F0F0" ) port map ( I0 => \axaddr_offset_r[0]_i_2__0_n_0\, I1 => \^q\(39), I2 => \axaddr_offset_r_reg[3]_1\(0), I3 => \state_reg[1]\(1), I4 => \^s_ready_i_reg_0\, I5 => \state_reg[1]\(0), O => \^axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r[0]_i_2__0_n_0\ ); \axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_3__0_n_0\, I1 => \axaddr_offset_r[1]_i_2__0_n_0\, I2 => \^q\(35), I3 => \^q\(40), I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[3]_1\(1), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \axaddr_offset_r[2]_i_3__0_n_0\, I2 => \^q\(35), I3 => \^q\(41), I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[3]_1\(2), O => \^axaddr_offset_r_reg[2]\ ); \axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_3__0_n_0\ ); \axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]_rep_0\, O => \^axlen_cnt_reg[3]\ ); \m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first_2, O => \m_axi_araddr[10]\ ); \m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__0_n_0\ ); \m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__0_n_0\ ); \m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__0_n_0\ ); \m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(12), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__0_n_0\ ); \m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(13), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__1_n_0\ ); \m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(14), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__0_n_0\ ); \m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(15), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__0_n_0\ ); \m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(16), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__0_n_0\ ); \m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(17), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__0_n_0\ ); \m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(18), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__0_n_0\ ); \m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(19), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__0_n_0\ ); \m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__0_n_0\ ); \m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(20), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__0_n_0\ ); \m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(21), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__0_n_0\ ); \m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(22), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__0_n_0\ ); \m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(23), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__0_n_0\ ); \m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(24), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__0_n_0\ ); \m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(25), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__0_n_0\ ); \m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(26), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__0_n_0\ ); \m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(27), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__0_n_0\ ); \m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(28), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__0_n_0\ ); \m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(29), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__0_n_0\ ); \m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__0_n_0\ ); \m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(30), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__0_n_0\ ); \m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(31), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_2__0_n_0\ ); \m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__0_n_0\ ); \m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__0_n_0\ ); \m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__0_n_0\ ); \m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__0_n_0\ ); \m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__0_n_0\ ); \m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__0_n_0\ ); \m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__0_n_0\ ); \m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__0_n_0\ ); \m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__0_n_0\ ); \m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__0_n_0\ ); \m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_1__1_n_0\ ); \m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[47]\, O => \m_payload_i[47]_i_1__0_n_0\ ); \m_payload_i[48]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[48]\, O => \m_payload_i[48]_i_1__0_n_0\ ); \m_payload_i[49]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[49]\, O => \m_payload_i[49]_i_1__0_n_0\ ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__0_n_0\ ); \m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[50]\, O => \m_payload_i[50]_i_1__0_n_0\ ); \m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[51]\, O => \m_payload_i[51]_i_1__0_n_0\ ); \m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[53]\, O => \m_payload_i[53]_i_1__0_n_0\ ); \m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[54]\, O => \m_payload_i[54]_i_1__0_n_0\ ); \m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[55]\, O => \m_payload_i[55]_i_1__0_n_0\ ); \m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[56]\, O => \m_payload_i[56]_i_1__0_n_0\ ); \m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[57]\, O => \m_payload_i[57]_i_1__0_n_0\ ); \m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[58]\, O => \m_payload_i[58]_i_1__0_n_0\ ); \m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[59]\, O => \m_payload_i[59]_i_1__0_n_0\ ); \m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__0_n_0\ ); \m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[60]\, O => \m_payload_i[60]_i_1__0_n_0\ ); \m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[61]\, O => \m_payload_i[61]_i_1__0_n_0\ ); \m_payload_i[62]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[62]\, O => \m_payload_i[62]_i_1__0_n_0\ ); \m_payload_i[63]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[63]\, O => \m_payload_i[63]_i_1__0_n_0\ ); \m_payload_i[64]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[64]\, O => \m_payload_i[64]_i_1__0_n_0\ ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__0_n_0\ ); \m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__0_n_0\ ); \m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__0_n_0\ ); \m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__0_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[0]_i_1__0_n_0\, Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[10]_i_1__0_n_0\, Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[11]_i_1__0_n_0\, Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[12]_i_1__0_n_0\, Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[13]_i_1__1_n_0\, Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[14]_i_1__0_n_0\, Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[15]_i_1__0_n_0\, Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[16]_i_1__0_n_0\, Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[17]_i_1__0_n_0\, Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[18]_i_1__0_n_0\, Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[19]_i_1__0_n_0\, Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[1]_i_1__0_n_0\, Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[20]_i_1__0_n_0\, Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[21]_i_1__0_n_0\, Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[22]_i_1__0_n_0\, Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[23]_i_1__0_n_0\, Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[24]_i_1__0_n_0\, Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[25]_i_1__0_n_0\, Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[26]_i_1__0_n_0\, Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[27]_i_1__0_n_0\, Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[28]_i_1__0_n_0\, Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[29]_i_1__0_n_0\, Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[2]_i_1__0_n_0\, Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[30]_i_1__0_n_0\, Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[31]_i_2__0_n_0\, Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[32]_i_1__0_n_0\, Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[33]_i_1__0_n_0\, Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[34]_i_1__0_n_0\, Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[35]_i_1__0_n_0\, Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[36]_i_1__0_n_0\, Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[38]_i_1__0_n_0\, Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[39]_i_1__0_n_0\, Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[3]_i_1__0_n_0\, Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[44]_i_1__0_n_0\, Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[45]_i_1__0_n_0\, Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[46]_i_1__1_n_0\, Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[47]_i_1__0_n_0\, Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[48]_i_1__0_n_0\, Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[49]_i_1__0_n_0\, Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[4]_i_1__0_n_0\, Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[50]_i_1__0_n_0\, Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[51]_i_1__0_n_0\, Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[53]_i_1__0_n_0\, Q => \^q\(47), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[54]_i_1__0_n_0\, Q => \^q\(48), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[55]_i_1__0_n_0\, Q => \^q\(49), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[56]_i_1__0_n_0\, Q => \^q\(50), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[57]_i_1__0_n_0\, Q => \^q\(51), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[58]_i_1__0_n_0\, Q => \^q\(52), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[59]_i_1__0_n_0\, Q => \^q\(53), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[5]_i_1__0_n_0\, Q => \^q\(5), R => '0' ); \m_payload_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[60]_i_1__0_n_0\, Q => \^q\(54), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[61]_i_1__0_n_0\, Q => \^q\(55), R => '0' ); \m_payload_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[62]_i_1__0_n_0\, Q => \^q\(56), R => '0' ); \m_payload_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[63]_i_1__0_n_0\, Q => \^q\(57), R => '0' ); \m_payload_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[64]_i_1__0_n_0\, Q => \^q\(58), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[6]_i_1__0_n_0\, Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[7]_i_1__0_n_0\, Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[8]_i_1__0_n_0\, Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[9]_i_1__0_n_0\, Q => \^q\(9), R => '0' ); \m_valid_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"BFFFBBBB" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_ready_i_reg_0\, R => \^m_valid_i_reg_0\ ); \next_pending_r_i_2__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFD" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(46), I2 => \^q\(44), I3 => \^q\(45), I4 => \^q\(43), O => next_pending_r_reg ); \next_pending_r_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F444FFFF" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_arready\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); \skid_buffer_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); \skid_buffer_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); \skid_buffer_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); \skid_buffer_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); \skid_buffer_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); \skid_buffer_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); \skid_buffer_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(9), Q => \skid_buffer_reg_n_0_[62]\, R => '0' ); \skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(10), Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); \skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(11), Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0202AAAAA202A" ) port map ( I0 => \^q\(2), I1 => \^q\(40), I2 => \^q\(35), I3 => \^q\(41), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"002A882A222AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(40), I5 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBABBCCCCC0CC" ) port map ( I0 => \wrap_second_len_r[0]_i_2__0_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^s_ready_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3__0_n_0\, O => \wrap_cnt_r_reg[3]\(0) ); \wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(1), I1 => \^wrap_cnt_r_reg[3]_0\, I2 => wrap_second_len_1(0), O => \wrap_cnt_r_reg[3]\(1) ); \wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => wrap_second_len_1(0), I2 => \^wrap_cnt_r_reg[3]_0\, I3 => \^wrap_second_len_r_reg[3]\(1), O => \wrap_cnt_r_reg[3]\(2) ); \wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAAB" ) port map ( I0 => \wrap_cnt_r[3]_i_3__0_n_0\, I1 => \^axaddr_offset_r_reg[1]\, I2 => \^axaddr_offset_r_reg[0]\, I3 => \axaddr_offset_r_reg[3]_0\(0), I4 => \^axaddr_offset_r_reg[2]\, O => \^wrap_cnt_r_reg[3]_0\ ); \wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0F0F0F0F880F0F" ) port map ( I0 => \axaddr_offset_r[0]_i_2__0_n_0\, I1 => \^q\(39), I2 => \wrap_second_len_r_reg[3]_0\(0), I3 => \state_reg[1]\(1), I4 => \^s_ready_i_reg_0\, I5 => \state_reg[1]\(0), O => \wrap_cnt_r[3]_i_3__0_n_0\ ); \wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4444454444444044" ) port map ( I0 => \wrap_second_len_r[0]_i_2__0_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^s_ready_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3__0_n_0\, O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAA8080000A808" ) port map ( I0 => \wrap_second_len_r[0]_i_4__0_n_0\, I1 => \^q\(0), I2 => \^q\(36), I3 => \^q\(2), I4 => \^q\(35), I5 => \axaddr_offset_r[1]_i_2__0_n_0\, O => \wrap_second_len_r[0]_i_2__0_n_0\ ); \wrap_second_len_r[0]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFBA" ) port map ( I0 => \^axaddr_offset_r_reg[2]\, I1 => \state_reg[1]_rep\, I2 => \axaddr_offset_r_reg[3]_1\(3), I3 => \wrap_second_len_r[3]_i_2__0_n_0\, I4 => \^axaddr_offset_r_reg[0]\, I5 => \^axaddr_offset_r_reg[1]\, O => \wrap_second_len_r[0]_i_3__0_n_0\ ); \wrap_second_len_r[0]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \^q\(39), I1 => \state_reg[1]\(0), I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]\(1), O => \wrap_second_len_r[0]_i_4__0_n_0\ ); \wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"EE10FFFFEE100000" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, I1 => \^axaddr_offset_r_reg[0]\, I2 => \axaddr_offset_r_reg[3]_0\(0), I3 => \^axaddr_offset_r_reg[2]\, I4 => \state_reg[1]_rep\, I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFF444444444" ) port map ( I0 => \state_reg[1]_rep\, I1 => \wrap_second_len_r_reg[3]_0\(2), I2 => \^axaddr_offset_r_reg[0]\, I3 => \^axaddr_offset_r_reg[1]\, I4 => \^axaddr_offset_r_reg[2]\, I5 => \wrap_second_len_r[3]_i_2__0_n_0\, O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r[3]_i_2__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0 is port ( s_axi_awready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_awaddr[10]\ : out STD_LOGIC; \aresetn_d_reg[1]_inv\ : out STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[1]_inv_0\ : in STD_LOGIC; aresetn : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; b_push : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0 : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0 is signal C : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 58 downto 0 ); signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC; signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 64 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_3_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[3]\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_2_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_3_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_4_n_0\ : STD_LOGIC; signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_3\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axlen_cnt[3]_i_2\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[62]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[63]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[64]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \wrap_second_len_r[0]_i_4\ : label is "soft_lutpair46"; begin Q(58 downto 0) <= \^q\(58 downto 0); \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_awready <= \^s_axi_awready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \wrap_cnt_r_reg[3]\ <= \^wrap_cnt_r_reg[3]\; \wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0); \aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, I1 => aresetn, O => \aresetn_d_reg[1]_inv\ ); \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => \aresetn_d_reg_n_0_[0]\, R => '0' ); \axaddr_incr[0]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(0), I3 => sel_first, I4 => C(0), O => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr[0]_i_12\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12_n_0\ ); \axaddr_incr[0]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13_n_0\ ); \axaddr_incr[0]_i_14\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14_n_0\ ); \axaddr_incr[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_3_n_0\ ); \axaddr_incr[0]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_4_n_0\ ); \axaddr_incr[0]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first, O => \axaddr_incr[0]_i_5_n_0\ ); \axaddr_incr[0]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_6_n_0\ ); \axaddr_incr[0]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(3), I3 => sel_first, I4 => C(3), O => \axaddr_incr[0]_i_7_n_0\ ); \axaddr_incr[0]_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(2), I3 => sel_first, I4 => C(2), O => \axaddr_incr[0]_i_8_n_0\ ); \axaddr_incr[0]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => axaddr_incr_reg(1), I3 => sel_first, I4 => C(1), O => \axaddr_incr[0]_i_9_n_0\ ); \axaddr_incr[4]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr[4]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7_n_0\ ); \axaddr_incr[4]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8_n_0\ ); \axaddr_incr[4]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9_n_0\ ); \axaddr_incr[8]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_incr[8]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7_n_0\ ); \axaddr_incr[8]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8_n_0\ ); \axaddr_incr[8]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9_n_0\ ); \axaddr_incr_reg[0]_i_11\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12_n_0\, DI(1) => \axaddr_incr[0]_i_13_n_0\, DI(0) => \axaddr_incr[0]_i_14_n_0\, O(3 downto 0) => C(3 downto 0), S(3 downto 0) => S(3 downto 0) ); \axaddr_incr_reg[0]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => CO(0), CO(2) => \axaddr_incr_reg[0]_i_2_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3_n_0\, DI(2) => \axaddr_incr[0]_i_4_n_0\, DI(1) => \axaddr_incr[0]_i_5_n_0\, DI(0) => \axaddr_incr[0]_i_6_n_0\, O(3 downto 0) => O(3 downto 0), S(3) => \axaddr_incr[0]_i_7_n_0\, S(2) => \axaddr_incr[0]_i_8_n_0\, S(1) => \axaddr_incr[0]_i_9_n_0\, S(0) => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr_reg[4]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7_n_0\, S(2) => \axaddr_incr[4]_i_8_n_0\, S(1) => \axaddr_incr[4]_i_9_n_0\, S(0) => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr_reg[8]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(7 downto 4), S(3) => \axaddr_incr[8]_i_7_n_0\, S(2) => \axaddr_incr[8]_i_8_n_0\, S(1) => \axaddr_incr[8]_i_9_n_0\, S(0) => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0F0F088F0F0" ) port map ( I0 => \axaddr_offset_r[0]_i_2_n_0\, I1 => \^q\(39), I2 => \axaddr_offset_r_reg[3]_1\(0), I3 => \state_reg[1]\(1), I4 => \^m_valid_i_reg_0\, I5 => \state_reg[1]\(0), O => \^axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r[0]_i_2_n_0\ ); \axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_3_n_0\, I1 => \axaddr_offset_r[1]_i_2_n_0\, I2 => \^q\(35), I3 => \^q\(40), I4 => \state_reg[1]_rep_0\, I5 => \axaddr_offset_r_reg[3]_1\(1), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_2_n_0\ ); \axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \axaddr_offset_r[2]_i_3_n_0\, I2 => \^q\(35), I3 => \^q\(41), I4 => \state_reg[1]_rep_0\, I5 => \axaddr_offset_r_reg[3]_1\(2), O => \^axaddr_offset_r_reg[2]\ ); \axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_2_n_0\ ); \axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_3_n_0\ ); \axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_rep\, O => \^axlen_cnt_reg[3]\ ); \m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first, O => \m_axi_awaddr[10]\ ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(12), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(13), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(14), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(15), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(16), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(17), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(18), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(19), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(20), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(21), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(22), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(23), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(24), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(25), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(26), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(27), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(28), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(29), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(30), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(31), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[47]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[47]\, O => skid_buffer(47) ); \m_payload_i[48]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[48]\, O => skid_buffer(48) ); \m_payload_i[49]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[49]\, O => skid_buffer(49) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[50]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[50]\, O => skid_buffer(50) ); \m_payload_i[51]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[51]\, O => skid_buffer(51) ); \m_payload_i[53]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[53]\, O => skid_buffer(53) ); \m_payload_i[54]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[54]\, O => skid_buffer(54) ); \m_payload_i[55]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[55]\, O => skid_buffer(55) ); \m_payload_i[56]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[56]\, O => skid_buffer(56) ); \m_payload_i[57]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[57]\, O => skid_buffer(57) ); \m_payload_i[58]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[58]\, O => skid_buffer(58) ); \m_payload_i[59]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[59]\, O => skid_buffer(59) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[60]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[60]\, O => skid_buffer(60) ); \m_payload_i[61]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[61]\, O => skid_buffer(61) ); \m_payload_i[62]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[62]\, O => skid_buffer(62) ); \m_payload_i[63]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[63]\, O => skid_buffer(63) ); \m_payload_i[64]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[64]\, O => skid_buffer(64) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(0), Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(10), Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(11), Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(12), Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(13), Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(14), Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(15), Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(16), Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(17), Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(18), Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(19), Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(1), Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(20), Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(21), Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(22), Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(23), Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(24), Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(25), Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(26), Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(27), Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(28), Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(29), Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(2), Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(30), Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(31), Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(32), Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(33), Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(35), Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(36), Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(38), Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(39), Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(3), Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(44), Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(45), Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(46), Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(47), Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(48), Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(49), Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(4), Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(50), Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(51), Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(53), Q => \^q\(47), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(54), Q => \^q\(48), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(55), Q => \^q\(49), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(56), Q => \^q\(50), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(57), Q => \^q\(51), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(58), Q => \^q\(52), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(59), Q => \^q\(53), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(5), Q => \^q\(5), R => '0' ); \m_payload_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(60), Q => \^q\(54), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(61), Q => \^q\(55), R => '0' ); \m_payload_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(62), Q => \^q\(56), R => '0' ); \m_payload_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(63), Q => \^q\(57), R => '0' ); \m_payload_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(64), Q => \^q\(58), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(6), Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(7), Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(8), Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(9), Q => \^q\(9), R => '0' ); \m_valid_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]_inv_0\ ); next_pending_r_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(43), I2 => \^q\(44), I3 => \^q\(46), I4 => \^q\(45), O => next_pending_r_reg ); \next_pending_r_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, O => \^s_ready_i_reg_0\ ); s_ready_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"BFBB" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_awready\, R => \^s_ready_i_reg_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); \skid_buffer_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); \skid_buffer_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); \skid_buffer_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); \skid_buffer_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); \skid_buffer_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); \skid_buffer_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); \skid_buffer_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(9), Q => \skid_buffer_reg_n_0_[62]\, R => '0' ); \skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(10), Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); \skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(11), Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0202AAAAA202A" ) port map ( I0 => \^q\(2), I1 => \^q\(40), I2 => \^q\(35), I3 => \^q\(41), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"002A882A222AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(40), I5 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBABBCCCCC0CC" ) port map ( I0 => \wrap_second_len_r[0]_i_2_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^m_valid_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3_n_0\, O => D(0) ); \wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(1), I1 => \^wrap_cnt_r_reg[3]\, I2 => wrap_second_len(0), O => D(1) ); \wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => wrap_second_len(0), I2 => \^wrap_cnt_r_reg[3]\, I3 => \^wrap_second_len_r_reg[3]\(1), O => D(2) ); \wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAAB" ) port map ( I0 => \wrap_cnt_r[3]_i_3_n_0\, I1 => \^axaddr_offset_r_reg[1]\, I2 => \^axaddr_offset_r_reg[0]\, I3 => \axaddr_offset_r_reg[3]_0\(0), I4 => \^axaddr_offset_r_reg[2]\, O => \^wrap_cnt_r_reg[3]\ ); \wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0F0F0F0F880F0F" ) port map ( I0 => \axaddr_offset_r[0]_i_2_n_0\, I1 => \^q\(39), I2 => \wrap_second_len_r_reg[3]_0\(0), I3 => \state_reg[1]\(1), I4 => \^m_valid_i_reg_0\, I5 => \state_reg[1]\(0), O => \wrap_cnt_r[3]_i_3_n_0\ ); \wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4444454444444044" ) port map ( I0 => \wrap_second_len_r[0]_i_2_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^m_valid_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3_n_0\, O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAA8080000A808" ) port map ( I0 => \wrap_second_len_r[0]_i_4_n_0\, I1 => \^q\(0), I2 => \^q\(36), I3 => \^q\(2), I4 => \^q\(35), I5 => \axaddr_offset_r[1]_i_2_n_0\, O => \wrap_second_len_r[0]_i_2_n_0\ ); \wrap_second_len_r[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFBA" ) port map ( I0 => \^axaddr_offset_r_reg[2]\, I1 => \state_reg[1]_rep_0\, I2 => \axaddr_offset_r_reg[3]_1\(3), I3 => \wrap_second_len_r[3]_i_2_n_0\, I4 => \^axaddr_offset_r_reg[0]\, I5 => \^axaddr_offset_r_reg[1]\, O => \wrap_second_len_r[0]_i_3_n_0\ ); \wrap_second_len_r[0]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \^q\(39), I1 => \state_reg[0]_rep\, I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_rep\, O => \wrap_second_len_r[0]_i_4_n_0\ ); \wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"EE10FFFFEE100000" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, I1 => \^axaddr_offset_r_reg[0]\, I2 => \axaddr_offset_r_reg[3]_0\(0), I3 => \^axaddr_offset_r_reg[2]\, I4 => \state_reg[1]_rep_0\, I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFF444444444" ) port map ( I0 => \state_reg[1]_rep_0\, I1 => \wrap_second_len_r_reg[3]_0\(2), I2 => \^axaddr_offset_r_reg[0]\, I3 => \^axaddr_offset_r_reg[1]\, I4 => \^axaddr_offset_r_reg[2]\, I5 => \wrap_second_len_r[3]_i_2_n_0\, O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r[3]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair80"; begin s_axi_bvalid <= \^s_axi_bvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__1_n_0\ ); \m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__1_n_0\ ); \m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__1_n_0\ ); \m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__1_n_0\ ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, O => p_1_in ); \m_payload_i[13]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_2_n_0\ ); \m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__1_n_0\ ); \m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__1_n_0\ ); \m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__1_n_0\ ); \m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__1_n_0\ ); \m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__1_n_0\ ); \m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__1_n_0\ ); \m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__1_n_0\ ); \m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__1_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__1_n_0\, Q => \s_axi_bid[11]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__1_n_0\, Q => \s_axi_bid[11]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__1_n_0\, Q => \s_axi_bid[11]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__1_n_0\, Q => \s_axi_bid[11]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_2_n_0\, Q => \s_axi_bid[11]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__1_n_0\, Q => \s_axi_bid[11]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__1_n_0\, Q => \s_axi_bid[11]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__1_n_0\, Q => \s_axi_bid[11]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__1_n_0\, Q => \s_axi_bid[11]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__1_n_0\, Q => \s_axi_bid[11]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__1_n_0\, Q => \s_axi_bid[11]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__1_n_0\, Q => \s_axi_bid[11]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__1_n_0\, Q => \s_axi_bid[11]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__1_n_0\, Q => \s_axi_bid[11]\(9), R => '0' ); m_valid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => si_rs_bvalid, I3 => \^skid_buffer_reg[0]_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_axi_bvalid\, R => \aresetn_d_reg[1]_inv\ ); s_ready_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => si_rs_bvalid, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_bready, I3 => \^s_axi_bvalid\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \s_bresp_acc_reg[1]\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(8), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(9), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(10), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(11), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \s_bresp_acc_reg[1]\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(0), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(1), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(2), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(3), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(4), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(5), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(6), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(7), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is port ( s_axi_rvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \cnt_read_reg[3]_rep__0\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; \cnt_read_reg[4]_rep__0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 ); \cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC; signal \m_valid_i_i_1__1_n_0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair85"; begin s_axi_rvalid <= \^s_axi_rvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \cnt_read[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^skid_buffer_reg[0]_0\, I1 => \cnt_read_reg[4]_rep__0\, O => \cnt_read_reg[3]_rep__0\ ); \m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__2_n_0\ ); \m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__2_n_0\ ); \m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__2_n_0\ ); \m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__2_n_0\ ); \m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(13), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__2_n_0\ ); \m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(14), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__1_n_0\ ); \m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(15), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__1_n_0\ ); \m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(16), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__1_n_0\ ); \m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(17), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__1_n_0\ ); \m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(18), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__1_n_0\ ); \m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(19), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__1_n_0\ ); \m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__2_n_0\ ); \m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(20), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__1_n_0\ ); \m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(21), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__1_n_0\ ); \m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(22), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__1_n_0\ ); \m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(23), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__1_n_0\ ); \m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(24), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__1_n_0\ ); \m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(25), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__1_n_0\ ); \m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(26), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__1_n_0\ ); \m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(27), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__1_n_0\ ); \m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(28), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__1_n_0\ ); \m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(29), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__2_n_0\ ); \m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(30), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__1_n_0\ ); \m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(31), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_1__1_n_0\ ); \m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(32), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__1_n_0\ ); \m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(33), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__1_n_0\ ); \m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__1_n_0\ ); \m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__1_n_0\ ); \m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__1_n_0\ ); \m_payload_i[37]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[37]\, O => \m_payload_i[37]_i_1_n_0\ ); \m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__1_n_0\ ); \m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__1_n_0\ ); \m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__2_n_0\ ); \m_payload_i[40]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[40]\, O => \m_payload_i[40]_i_1_n_0\ ); \m_payload_i[41]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[41]\, O => \m_payload_i[41]_i_1_n_0\ ); \m_payload_i[42]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[42]\, O => \m_payload_i[42]_i_1_n_0\ ); \m_payload_i[43]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[43]\, O => \m_payload_i[43]_i_1_n_0\ ); \m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__1_n_0\ ); \m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__1_n_0\ ); \m_payload_i[46]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, O => p_1_in ); \m_payload_i[46]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_2_n_0\ ); \m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__2_n_0\ ); \m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__2_n_0\ ); \m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__2_n_0\ ); \m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__2_n_0\ ); \m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__2_n_0\ ); \m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__2_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__2_n_0\, Q => \s_axi_rid[11]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__2_n_0\, Q => \s_axi_rid[11]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__2_n_0\, Q => \s_axi_rid[11]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__2_n_0\, Q => \s_axi_rid[11]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_1__2_n_0\, Q => \s_axi_rid[11]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[14]_i_1__1_n_0\, Q => \s_axi_rid[11]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[15]_i_1__1_n_0\, Q => \s_axi_rid[11]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[16]_i_1__1_n_0\, Q => \s_axi_rid[11]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[17]_i_1__1_n_0\, Q => \s_axi_rid[11]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[18]_i_1__1_n_0\, Q => \s_axi_rid[11]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[19]_i_1__1_n_0\, Q => \s_axi_rid[11]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__2_n_0\, Q => \s_axi_rid[11]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[20]_i_1__1_n_0\, Q => \s_axi_rid[11]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[21]_i_1__1_n_0\, Q => \s_axi_rid[11]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[22]_i_1__1_n_0\, Q => \s_axi_rid[11]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[23]_i_1__1_n_0\, Q => \s_axi_rid[11]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[24]_i_1__1_n_0\, Q => \s_axi_rid[11]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[25]_i_1__1_n_0\, Q => \s_axi_rid[11]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[26]_i_1__1_n_0\, Q => \s_axi_rid[11]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[27]_i_1__1_n_0\, Q => \s_axi_rid[11]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[28]_i_1__1_n_0\, Q => \s_axi_rid[11]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[29]_i_1__1_n_0\, Q => \s_axi_rid[11]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__2_n_0\, Q => \s_axi_rid[11]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[30]_i_1__1_n_0\, Q => \s_axi_rid[11]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[31]_i_1__1_n_0\, Q => \s_axi_rid[11]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[32]_i_1__1_n_0\, Q => \s_axi_rid[11]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[33]_i_1__1_n_0\, Q => \s_axi_rid[11]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[34]_i_1__1_n_0\, Q => \s_axi_rid[11]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[35]_i_1__1_n_0\, Q => \s_axi_rid[11]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[36]_i_1__1_n_0\, Q => \s_axi_rid[11]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[37]_i_1_n_0\, Q => \s_axi_rid[11]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[38]_i_1__1_n_0\, Q => \s_axi_rid[11]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[39]_i_1__1_n_0\, Q => \s_axi_rid[11]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__2_n_0\, Q => \s_axi_rid[11]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[40]_i_1_n_0\, Q => \s_axi_rid[11]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[41]_i_1_n_0\, Q => \s_axi_rid[11]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[42]_i_1_n_0\, Q => \s_axi_rid[11]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[43]_i_1_n_0\, Q => \s_axi_rid[11]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[44]_i_1__1_n_0\, Q => \s_axi_rid[11]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[45]_i_1__1_n_0\, Q => \s_axi_rid[11]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[46]_i_2_n_0\, Q => \s_axi_rid[11]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__2_n_0\, Q => \s_axi_rid[11]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__2_n_0\, Q => \s_axi_rid[11]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__2_n_0\, Q => \s_axi_rid[11]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__2_n_0\, Q => \s_axi_rid[11]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__2_n_0\, Q => \s_axi_rid[11]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__2_n_0\, Q => \s_axi_rid[11]\(9), R => '0' ); \m_valid_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"4FFF" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => \cnt_read_reg[4]_rep__0\, I3 => \^skid_buffer_reg[0]_0\, O => \m_valid_i_i_1__1_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__1_n_0\, Q => \^s_axi_rvalid\, R => \aresetn_d_reg[1]_inv\ ); \s_ready_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F8FF" ) port map ( I0 => \cnt_read_reg[4]_rep__0\, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \s_ready_i_i_1__2_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__2_n_0\, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(32), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(33), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(1), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(2), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(3), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(4), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(5), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(6), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(7), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(8), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(9), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(10), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(11), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(12), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_b_channel is port ( si_rs_bvalid : out STD_LOGIC; \cnt_read_reg[0]_rep__0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__1\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; aclk : in STD_LOGIC; b_push : in STD_LOGIC; si_rs_bready : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_b_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_b_channel is signal bid_fifo_0_n_2 : STD_LOGIC; signal bid_fifo_0_n_3 : STD_LOGIC; signal bid_fifo_0_n_6 : STD_LOGIC; signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal bresp_push : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mhandshake : STD_LOGIC; signal mhandshake_r : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s_bresp_acc0 : STD_LOGIC; signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC; signal shandshake : STD_LOGIC; signal shandshake_r : STD_LOGIC; signal \^si_rs_bvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair120"; begin si_rs_bvalid <= \^si_rs_bvalid\; bid_fifo_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo port map ( D(0) => bid_fifo_0_n_2, Q(1 downto 0) => cnt_read(1 downto 0), SR(0) => s_bresp_acc0, aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0), bvalid_i_reg => bid_fifo_0_n_6, bvalid_i_reg_0 => \^si_rs_bvalid\, \cnt_read_reg[0]_0\ => bid_fifo_0_n_3, \cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1_0\ => \cnt_read_reg[1]_rep__1\, \in\(19 downto 0) => \in\(19 downto 0), mhandshake_r => mhandshake_r, \out\(11 downto 0) => \out\(11 downto 0), sel => bresp_push, shandshake_r => shandshake_r, si_rs_bready => si_rs_bready ); \bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \bresp_cnt_reg__0\(0), O => p_0_in(0) ); \bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(1), I1 => \bresp_cnt_reg__0\(0), O => p_0_in(1) ); \bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(2), I1 => \bresp_cnt_reg__0\(0), I2 => \bresp_cnt_reg__0\(1), O => p_0_in(2) ); \bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \bresp_cnt_reg__0\(3), I1 => \bresp_cnt_reg__0\(1), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(2), O => p_0_in(3) ); \bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(4), I1 => \bresp_cnt_reg__0\(2), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(1), I4 => \bresp_cnt_reg__0\(3), O => p_0_in(4) ); \bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => p_0_in(5) ); \bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(6), I1 => \bresp_cnt[7]_i_3_n_0\, O => p_0_in(6) ); \bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(7), I1 => \bresp_cnt[7]_i_3_n_0\, I2 => \bresp_cnt_reg__0\(6), O => p_0_in(7) ); \bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => \bresp_cnt[7]_i_3_n_0\ ); \bresp_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(0), Q => \bresp_cnt_reg__0\(0), R => s_bresp_acc0 ); \bresp_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(1), Q => \bresp_cnt_reg__0\(1), R => s_bresp_acc0 ); \bresp_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(2), Q => \bresp_cnt_reg__0\(2), R => s_bresp_acc0 ); \bresp_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(3), Q => \bresp_cnt_reg__0\(3), R => s_bresp_acc0 ); \bresp_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(4), Q => \bresp_cnt_reg__0\(4), R => s_bresp_acc0 ); \bresp_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(5), Q => \bresp_cnt_reg__0\(5), R => s_bresp_acc0 ); \bresp_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(6), Q => \bresp_cnt_reg__0\(6), R => s_bresp_acc0 ); \bresp_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(7), Q => \bresp_cnt_reg__0\(7), R => s_bresp_acc0 ); bresp_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ port map ( D(0) => bid_fifo_0_n_2, Q(1 downto 0) => cnt_read(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \bresp_cnt_reg[3]\ => bid_fifo_0_n_3, \in\(1) => \s_bresp_acc_reg_n_0_[1]\, \in\(0) => \s_bresp_acc_reg_n_0_[0]\, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, mhandshake => mhandshake, mhandshake_r => mhandshake_r, sel => bresp_push, shandshake_r => shandshake_r, \skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0) ); bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => bid_fifo_0_n_6, Q => \^si_rs_bvalid\, R => '0' ); mhandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => mhandshake, Q => mhandshake_r, R => areset_d1 ); \s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EACEAAAA" ) port map ( I0 => \s_bresp_acc_reg_n_0_[0]\, I1 => m_axi_bresp(0), I2 => m_axi_bresp(1), I3 => \s_bresp_acc_reg_n_0_[1]\, I4 => mhandshake, I5 => s_bresp_acc0, O => \s_bresp_acc[0]_i_1_n_0\ ); \s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00EC" ) port map ( I0 => m_axi_bresp(1), I1 => \s_bresp_acc_reg_n_0_[1]\, I2 => mhandshake, I3 => s_bresp_acc0, O => \s_bresp_acc[1]_i_1_n_0\ ); \s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[0]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[0]\, R => '0' ); \s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[1]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[1]\, R => '0' ); shandshake_r_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^si_rs_bvalid\, I1 => si_rs_bready, O => shandshake ); shandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => shandshake, Q => shandshake_r, R => areset_d1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator is port ( next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \sel_first__0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]\ : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \axlen_cnt_reg[4]\ : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; wrap_next_pending : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 21 downto 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \next\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_21 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd port map ( CO(0) => CO(0), D(3 downto 0) => D(3 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(3 downto 0) => Q(3 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axlen_cnt_reg[4]_0\ => \axlen_cnt_reg[4]\, \axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]\, incr_next_pending => incr_next_pending, \m_axi_awaddr[1]\ => incr_cmd_0_n_21, \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(9 downto 8) => \m_payload_i_reg[51]\(21 downto 20), \m_payload_i_reg[51]\(7) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(6 downto 4) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, next_pending_r_reg_1 => next_pending_r_reg_1, sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_2, \state_reg[0]\ => \state_reg[0]\, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); \memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[1]_rep\ ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); wrap_cmd_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd port map ( E(0) => E(0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(2 downto 1) => \^axaddr_incr_reg[3]\(3 downto 2), \axaddr_incr_reg[3]\(0) => \^axaddr_incr_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), \next\ => \next\, next_pending_r_reg_0 => next_pending_r_reg_0, next_pending_r_reg_1 => next_pending_r_reg_2, sel_first_reg_0 => \sel_first__0\, sel_first_reg_1 => sel_first_reg_3, sel_first_reg_2 => incr_cmd_0_n_21, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is port ( next_pending_r_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; sel_first_reg_1 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); next_pending_r_reg_0 : out STD_LOGIC; r_rlast : out STD_LOGIC; \state_reg[0]_rep\ : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_3 : in STD_LOGIC; sel_first_reg_4 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_13_b2s_cmd_translator"; end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_16 : STD_LOGIC; signal incr_cmd_0_n_17 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair5"; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 port map ( CO(0) => CO(0), D(1 downto 0) => D(1 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(1 downto 0) => Q(1 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]_0\(6 downto 1) => axaddr_incr_reg(11 downto 6), \axaddr_incr_reg[11]_0\(0) => axaddr_incr_reg(4), \axaddr_incr_reg[11]_1\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), incr_next_pending => incr_next_pending, \m_axi_araddr[2]\ => incr_cmd_0_n_17, \m_axi_araddr[5]\ => incr_cmd_0_n_16, m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(12 downto 9) => \m_payload_i_reg[51]\(23 downto 20), \m_payload_i_reg[51]\(8) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(7 downto 5) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(4) => \m_payload_i_reg[51]\(5), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, next_pending_r_reg_1 => next_pending_r_reg_0, sel_first_reg_0 => sel_first_reg_2, sel_first_reg_1 => sel_first_reg_3, \state_reg[0]\ => \state_reg[0]\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); r_rlast_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"1D" ) port map ( I0 => s_axburst_eq0, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq1, O => r_rlast ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[0]_rep\ ); wrap_cmd_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 port map ( E(0) => E(0), aclk => aclk, \axaddr_incr_reg[11]\(6 downto 1) => axaddr_incr_reg(11 downto 6), \axaddr_incr_reg[11]\(0) => axaddr_incr_reg(4), \axaddr_incr_reg[3]\(2) => \^axaddr_incr_reg[3]\(3), \axaddr_incr_reg[3]\(1 downto 0) => \^axaddr_incr_reg[3]\(1 downto 0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_4, sel_first_reg_2 => incr_cmd_0_n_16, sel_first_reg_3 => incr_cmd_0_n_17, si_rs_arvalid => si_rs_arvalid, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_r_channel is port ( \state_reg[1]_rep\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; aclk : in STD_LOGIC; r_rlast : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; si_rs_rready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_r_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_r_channel is signal \^m_valid_i_reg\ : STD_LOGIC; signal r_push_r : STD_LOGIC; signal rd_data_fifo_0_n_0 : STD_LOGIC; signal rd_data_fifo_0_n_2 : STD_LOGIC; signal rd_data_fifo_0_n_3 : STD_LOGIC; signal rd_data_fifo_0_n_5 : STD_LOGIC; signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 ); signal transaction_fifo_0_n_1 : STD_LOGIC; signal wr_en0 : STD_LOGIC; begin m_valid_i_reg <= \^m_valid_i_reg\; \r_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(0), Q => trans_in(1), R => '0' ); \r_arid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(10), Q => trans_in(11), R => '0' ); \r_arid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(11), Q => trans_in(12), R => '0' ); \r_arid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(1), Q => trans_in(2), R => '0' ); \r_arid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(2), Q => trans_in(3), R => '0' ); \r_arid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(3), Q => trans_in(4), R => '0' ); \r_arid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(4), Q => trans_in(5), R => '0' ); \r_arid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(5), Q => trans_in(6), R => '0' ); \r_arid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(6), Q => trans_in(7), R => '0' ); \r_arid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(7), Q => trans_in(8), R => '0' ); \r_arid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(8), Q => trans_in(9), R => '0' ); \r_arid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(9), Q => trans_in(10), R => '0' ); r_push_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \state_reg[1]_rep_0\, Q => r_push_r, R => '0' ); r_rlast_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => r_rlast, Q => trans_in(0), R => '0' ); rd_data_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[3]_rep__2_0\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_3, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\(33 downto 0) => \out\(33 downto 0), s_ready_i_reg => s_ready_i_reg, s_ready_i_reg_0 => transaction_fifo_0_n_1, si_rs_rready => si_rs_rready, \state_reg[1]_rep\ => rd_data_fifo_0_n_5, wr_en0 => wr_en0 ); transaction_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[0]_rep__2\ => rd_data_fifo_0_n_5, \cnt_read_reg[0]_rep__2_0\ => rd_data_fifo_0_n_3, \cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__2\ => transaction_fifo_0_n_1, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \in\(12 downto 0) => trans_in(12 downto 0), m_valid_i_reg => \^m_valid_i_reg\, r_push_r => r_push_r, s_ready_i_reg => s_ready_i_reg, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, wr_en0 => wr_en0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axi_register_slice is port ( s_axi_awready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; si_rs_awvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; si_rs_bready : out STD_LOGIC; si_rs_arvalid : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; si_rs_rready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]_1\ : out STD_LOGIC; axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \cnt_read_reg[3]_rep__0\ : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_awaddr[10]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); aclk : in STD_LOGIC; aresetn : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \cnt_read_reg[4]_rep__0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; b_push : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_second_len_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_1\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_4\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; \state_reg[1]_rep_2\ : in STD_LOGIC; sel_first : in STD_LOGIC; sel_first_2 : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \out\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 ); \cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axi_register_slice; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axi_register_slice is signal ar_pipe_n_2 : STD_LOGIC; signal aw_pipe_n_1 : STD_LOGIC; signal aw_pipe_n_97 : STD_LOGIC; begin ar_pipe: entity work.zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice port map ( Q(58 downto 0) => \s_arid_r_reg[11]\(58 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[0]_0\ => aw_pipe_n_97, \axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0), \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0), \axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), \axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0), \axaddr_offset_r_reg[0]\ => axaddr_offset_0(0), \axaddr_offset_r_reg[1]\ => axaddr_offset_0(1), \axaddr_offset_r_reg[2]\ => axaddr_offset_0(2), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, \axaddr_offset_r_reg[3]_0\(0) => \axaddr_offset_r_reg[3]_3\(0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_4\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\, \m_axi_araddr[10]\ => \m_axi_araddr[10]\, \m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), m_valid_i_reg_0 => ar_pipe_n_2, m_valid_i_reg_1(0) => m_valid_i_reg(0), next_pending_r_reg => next_pending_r_reg_1, next_pending_r_reg_0 => next_pending_r_reg_2, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_ready_i_reg_0 => si_rs_arvalid, sel_first_2 => sel_first_2, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep_1\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_2\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0), \wrap_cnt_r_reg[3]\(2 downto 0) => \wrap_cnt_r_reg[3]_0\(2 downto 0), \wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\, wrap_second_len_1(0) => wrap_second_len_1(0), \wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 0) => \wrap_second_len_r_reg[3]_2\(2 downto 0) ); aw_pipe: entity work.zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice_0 port map ( CO(0) => CO(0), D(2 downto 0) => D(2 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(58 downto 0) => Q(58 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]_inv\ => aw_pipe_n_97, \aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2, axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0), \axaddr_offset_r_reg[0]\ => axaddr_offset(0), \axaddr_offset_r_reg[1]\ => axaddr_offset(1), \axaddr_offset_r_reg[2]\ => axaddr_offset(2), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]\, \axaddr_offset_r_reg[3]_0\(0) => \axaddr_offset_r_reg[3]_1\(0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_2\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\, b_push => b_push, \m_axi_awaddr[10]\ => \m_axi_awaddr[10]\, m_valid_i_reg_0 => si_rs_awvalid, next_pending_r_reg => next_pending_r_reg, next_pending_r_reg_0 => next_pending_r_reg_0, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_ready_i_reg_0 => aw_pipe_n_1, sel_first => sel_first, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0), \wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\, wrap_second_len(0) => wrap_second_len(0), \wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0) ); b_pipe: entity work.\zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \out\(11 downto 0) => \out\(11 downto 0), \s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0), si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[0]_0\ => si_rs_bready ); r_pipe: entity work.\zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \cnt_read_reg[3]_rep__0\ => \cnt_read_reg[3]_rep__0\, \cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0), \cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\, r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0), \s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \skid_buffer_reg[0]_0\ => si_rs_rready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_ar_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; r_push_r_reg : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; r_rlast : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); m_axi_arready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[2]_rep__0\ : in STD_LOGIC; axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[51]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_ar_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_ar_channel is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal ar_cmd_fsm_0_n_0 : STD_LOGIC; signal ar_cmd_fsm_0_n_12 : STD_LOGIC; signal ar_cmd_fsm_0_n_15 : STD_LOGIC; signal ar_cmd_fsm_0_n_16 : STD_LOGIC; signal ar_cmd_fsm_0_n_17 : STD_LOGIC; signal ar_cmd_fsm_0_n_20 : STD_LOGIC; signal ar_cmd_fsm_0_n_21 : STD_LOGIC; signal ar_cmd_fsm_0_n_3 : STD_LOGIC; signal ar_cmd_fsm_0_n_8 : STD_LOGIC; signal ar_cmd_fsm_0_n_9 : STD_LOGIC; signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axaddr_offset_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_8 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_next_pending : STD_LOGIC; signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 ); begin Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axaddr_offset_r_reg[3]_0\(3 downto 0) <= \^axaddr_offset_r_reg[3]_0\(3 downto 0); \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; wrap_second_len(0) <= \^wrap_second_len\(0); ar_cmd_fsm_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm port map ( D(0) => ar_cmd_fsm_0_n_3, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_17, axaddr_offset(2 downto 0) => axaddr_offset(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(0) => \^axaddr_offset_r_reg[3]_0\(3), \axaddr_wrap_reg[11]\(0) => ar_cmd_fsm_0_n_16, \axlen_cnt_reg[1]\ => ar_cmd_fsm_0_n_0, \axlen_cnt_reg[1]_0\(1) => ar_cmd_fsm_0_n_8, \axlen_cnt_reg[1]_0\(0) => ar_cmd_fsm_0_n_9, \axlen_cnt_reg[1]_1\(1) => cmd_translator_0_n_9, \axlen_cnt_reg[1]_1\(0) => cmd_translator_0_n_10, \axlen_cnt_reg[4]\ => cmd_translator_0_n_11, \cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\, incr_next_pending => incr_next_pending, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \^m_payload_i_reg[0]_0\, \m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]\, \m_payload_i_reg[0]_1\(0) => E(0), \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, \m_payload_i_reg[47]\(3) => \m_payload_i_reg[64]\(19), \m_payload_i_reg[47]\(2 downto 0) => \m_payload_i_reg[64]\(17 downto 15), \m_payload_i_reg[51]\ => \m_payload_i_reg[51]\, \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, next_pending_r_reg => cmd_translator_0_n_0, r_push_r_reg => \^r_push_r_reg\, s_axburst_eq0_reg => ar_cmd_fsm_0_n_12, s_axburst_eq1_reg => ar_cmd_fsm_0_n_15, s_axburst_eq1_reg_0 => cmd_translator_0_n_13, sel_first_i => sel_first_i, sel_first_reg => ar_cmd_fsm_0_n_20, sel_first_reg_0 => ar_cmd_fsm_0_n_21, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, sel_first_reg_3 => cmd_translator_0_n_8, si_rs_arvalid => si_rs_arvalid, wrap_next_pending => wrap_next_pending, wrap_second_len(0) => \^wrap_second_len\(0), \wrap_second_len_r_reg[1]\(0) => \wrap_cmd_0/wrap_second_len_r\(1) ); cmd_translator_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 port map ( CO(0) => CO(0), D(1) => ar_cmd_fsm_0_n_8, D(0) => ar_cmd_fsm_0_n_9, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(1) => cmd_translator_0_n_9, Q(0) => cmd_translator_0_n_10, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3 downto 0) => \^axaddr_offset_r_reg[3]_0\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(2 downto 0) => axaddr_offset(2 downto 0), incr_next_pending => incr_next_pending, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_12, \m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_15, \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(23 downto 0) => \m_payload_i_reg[64]\(23 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), m_valid_i_reg(0) => ar_cmd_fsm_0_n_16, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_11, r_rlast => r_rlast, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => cmd_translator_0_n_8, sel_first_reg_2 => ar_cmd_fsm_0_n_17, sel_first_reg_3 => ar_cmd_fsm_0_n_20, sel_first_reg_4 => ar_cmd_fsm_0_n_21, si_rs_arvalid => si_rs_arvalid, \state_reg[0]\ => ar_cmd_fsm_0_n_0, \state_reg[0]_rep\ => cmd_translator_0_n_13, \state_reg[0]_rep_0\ => \^m_payload_i_reg[0]\, \state_reg[1]\(1 downto 0) => \^q\(1 downto 0), \state_reg[1]_rep\ => \^m_payload_i_reg[0]_0\, \state_reg[1]_rep_0\ => \^r_push_r_reg\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 2) => \wrap_second_len_r_reg[3]\(2 downto 1), \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len_r\(1), \wrap_second_len_r_reg[3]\(0) => \wrap_second_len_r_reg[3]\(0), \wrap_second_len_r_reg[3]_0\(3 downto 2) => D(2 downto 1), \wrap_second_len_r_reg[3]_0\(1) => \^wrap_second_len\(0), \wrap_second_len_r_reg[3]_0\(0) => D(0), \wrap_second_len_r_reg[3]_1\(3 downto 2) => \wrap_second_len_r_reg[3]_0\(2 downto 1), \wrap_second_len_r_reg[3]_1\(1) => ar_cmd_fsm_0_n_3, \wrap_second_len_r_reg[3]_1\(0) => \wrap_second_len_r_reg[3]_0\(0) ); \s_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(24), Q => \r_arid_r_reg[11]\(0), R => '0' ); \s_arid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(34), Q => \r_arid_r_reg[11]\(10), R => '0' ); \s_arid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(35), Q => \r_arid_r_reg[11]\(11), R => '0' ); \s_arid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(25), Q => \r_arid_r_reg[11]\(1), R => '0' ); \s_arid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(26), Q => \r_arid_r_reg[11]\(2), R => '0' ); \s_arid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(27), Q => \r_arid_r_reg[11]\(3), R => '0' ); \s_arid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(28), Q => \r_arid_r_reg[11]\(4), R => '0' ); \s_arid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(29), Q => \r_arid_r_reg[11]\(5), R => '0' ); \s_arid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(30), Q => \r_arid_r_reg[11]\(6), R => '0' ); \s_arid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(31), Q => \r_arid_r_reg[11]\(7), R => '0' ); \s_arid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(32), Q => \r_arid_r_reg[11]\(8), R => '0' ); \s_arid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(33), Q => \r_arid_r_reg[11]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_aw_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep\ : out STD_LOGIC; \state_reg[1]_rep_0\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); b_push : out STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \in\ : out STD_LOGIC_VECTOR ( 19 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); \m_payload_i_reg[44]\ : in STD_LOGIC; \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_aw_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_aw_channel is signal \^d\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal aw_cmd_fsm_0_n_0 : STD_LOGIC; signal aw_cmd_fsm_0_n_13 : STD_LOGIC; signal aw_cmd_fsm_0_n_17 : STD_LOGIC; signal aw_cmd_fsm_0_n_20 : STD_LOGIC; signal aw_cmd_fsm_0_n_21 : STD_LOGIC; signal aw_cmd_fsm_0_n_24 : STD_LOGIC; signal aw_cmd_fsm_0_n_25 : STD_LOGIC; signal aw_cmd_fsm_0_n_3 : STD_LOGIC; signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axaddr_offset_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^b_push\ : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_1 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_14 : STD_LOGIC; signal cmd_translator_0_n_15 : STD_LOGIC; signal cmd_translator_0_n_16 : STD_LOGIC; signal cmd_translator_0_n_17 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \next\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \^sel_first\ : STD_LOGIC; signal \sel_first__0\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_next_pending : STD_LOGIC; begin D(0) <= \^d\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axaddr_offset_r_reg[3]_0\(3 downto 0) <= \^axaddr_offset_r_reg[3]_0\(3 downto 0); b_push <= \^b_push\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; aw_cmd_fsm_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm port map ( D(0) => aw_cmd_fsm_0_n_3, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => aw_cmd_fsm_0_n_21, \axaddr_offset_r_reg[3]\(0) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(0) => \^axaddr_offset_r_reg[3]_0\(3), \axaddr_wrap_reg[0]\(0) => aw_cmd_fsm_0_n_20, \axlen_cnt_reg[2]\ => cmd_translator_0_n_16, \axlen_cnt_reg[3]\ => cmd_translator_0_n_15, \axlen_cnt_reg[3]_0\ => cmd_translator_0_n_17, \axlen_cnt_reg[4]\ => aw_cmd_fsm_0_n_0, \axlen_cnt_reg[4]_0\ => cmd_translator_0_n_13, \axlen_cnt_reg[5]\(3 downto 2) => p_1_in(5 downto 4), \axlen_cnt_reg[5]\(1 downto 0) => p_1_in(1 downto 0), \axlen_cnt_reg[5]_0\(3) => cmd_translator_0_n_9, \axlen_cnt_reg[5]_0\(2) => cmd_translator_0_n_10, \axlen_cnt_reg[5]_0\(1) => cmd_translator_0_n_11, \axlen_cnt_reg[5]_0\(0) => cmd_translator_0_n_12, \cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1\ => \cnt_read_reg[1]_rep__1\, incr_next_pending => incr_next_pending, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[0]\ => \^b_push\, \m_payload_i_reg[0]_0\(0) => E(0), \m_payload_i_reg[35]\(2 downto 0) => \m_payload_i_reg[35]\(2 downto 0), \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[49]\(5 downto 3) => \m_payload_i_reg[64]\(21 downto 19), \m_payload_i_reg[49]\(2 downto 0) => \m_payload_i_reg[64]\(17 downto 15), \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, s_axburst_eq0_reg => aw_cmd_fsm_0_n_13, s_axburst_eq1_reg => aw_cmd_fsm_0_n_17, s_axburst_eq1_reg_0 => cmd_translator_0_n_14, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg => aw_cmd_fsm_0_n_24, sel_first_reg_0 => aw_cmd_fsm_0_n_25, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, si_rs_awvalid => si_rs_awvalid, \state_reg[1]_rep_0\ => \state_reg[1]_rep\, \state_reg[1]_rep_1\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[1]\(0) => \^d\(0), \wrap_second_len_r_reg[1]_0\(0) => \wrap_cmd_0/wrap_second_len_r\(1) ); cmd_translator_0: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_cmd_translator port map ( CO(0) => CO(0), D(3 downto 2) => p_1_in(5 downto 4), D(1 downto 0) => p_1_in(1 downto 0), E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(3) => cmd_translator_0_n_9, Q(2) => cmd_translator_0_n_10, Q(1) => cmd_translator_0_n_11, Q(0) => cmd_translator_0_n_12, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3 downto 0) => \^axaddr_offset_r_reg[3]_0\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(2 downto 0) => \m_payload_i_reg[35]\(2 downto 0), \axlen_cnt_reg[4]\ => cmd_translator_0_n_17, \axlen_cnt_reg[7]\ => cmd_translator_0_n_13, incr_next_pending => incr_next_pending, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_13, \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_17, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(21 downto 20) => \m_payload_i_reg[64]\(23 downto 22), \m_payload_i_reg[51]\(19 downto 0) => \m_payload_i_reg[64]\(19 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), m_valid_i_reg(0) => aw_cmd_fsm_0_n_20, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, next_pending_r_reg_1 => cmd_translator_0_n_15, next_pending_r_reg_2 => cmd_translator_0_n_16, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => aw_cmd_fsm_0_n_21, sel_first_reg_2 => aw_cmd_fsm_0_n_24, sel_first_reg_3 => aw_cmd_fsm_0_n_25, \state_reg[0]\ => aw_cmd_fsm_0_n_0, \state_reg[0]_rep\ => \^b_push\, \state_reg[1]\(1 downto 0) => \^q\(1 downto 0), \state_reg[1]_rep\ => cmd_translator_0_n_14, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 2) => \wrap_second_len_r_reg[3]\(2 downto 1), \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len_r\(1), \wrap_second_len_r_reg[3]\(0) => \wrap_second_len_r_reg[3]\(0), \wrap_second_len_r_reg[3]_0\(3 downto 2) => \wrap_second_len_r_reg[3]_0\(2 downto 1), \wrap_second_len_r_reg[3]_0\(1) => \^d\(0), \wrap_second_len_r_reg[3]_0\(0) => \wrap_second_len_r_reg[3]_0\(0), \wrap_second_len_r_reg[3]_1\(3 downto 2) => \wrap_second_len_r_reg[3]_1\(2 downto 1), \wrap_second_len_r_reg[3]_1\(1) => aw_cmd_fsm_0_n_3, \wrap_second_len_r_reg[3]_1\(0) => \wrap_second_len_r_reg[3]_1\(0) ); \s_awid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(24), Q => \in\(8), R => '0' ); \s_awid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(34), Q => \in\(18), R => '0' ); \s_awid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(35), Q => \in\(19), R => '0' ); \s_awid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(25), Q => \in\(9), R => '0' ); \s_awid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(26), Q => \in\(10), R => '0' ); \s_awid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(27), Q => \in\(11), R => '0' ); \s_awid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(28), Q => \in\(12), R => '0' ); \s_awid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(29), Q => \in\(13), R => '0' ); \s_awid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(30), Q => \in\(14), R => '0' ); \s_awid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(31), Q => \in\(15), R => '0' ); \s_awid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(32), Q => \in\(16), R => '0' ); \s_awid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(33), Q => \in\(17), R => '0' ); \s_awlen_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(16), Q => \in\(0), R => '0' ); \s_awlen_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(17), Q => \in\(1), R => '0' ); \s_awlen_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(18), Q => \in\(2), R => '0' ); \s_awlen_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(19), Q => \in\(3), R => '0' ); \s_awlen_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(20), Q => \in\(4), R => '0' ); \s_awlen_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(21), Q => \in\(5), R => '0' ); \s_awlen_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(22), Q => \in\(6), R => '0' ); \s_awlen_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(23), Q => \in\(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s is port ( s_axi_rvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_arready : out STD_LOGIC; \m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_bvalid : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awready : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; aclk : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; aresetn : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s is signal C : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \RD.ar_channel_0_n_10\ : STD_LOGIC; signal \RD.ar_channel_0_n_11\ : STD_LOGIC; signal \RD.ar_channel_0_n_47\ : STD_LOGIC; signal \RD.ar_channel_0_n_48\ : STD_LOGIC; signal \RD.ar_channel_0_n_49\ : STD_LOGIC; signal \RD.ar_channel_0_n_50\ : STD_LOGIC; signal \RD.ar_channel_0_n_8\ : STD_LOGIC; signal \RD.ar_channel_0_n_9\ : STD_LOGIC; signal \RD.r_channel_0_n_0\ : STD_LOGIC; signal \RD.r_channel_0_n_2\ : STD_LOGIC; signal SI_REG_n_134 : STD_LOGIC; signal SI_REG_n_135 : STD_LOGIC; signal SI_REG_n_136 : STD_LOGIC; signal SI_REG_n_137 : STD_LOGIC; signal SI_REG_n_138 : STD_LOGIC; signal SI_REG_n_139 : STD_LOGIC; signal SI_REG_n_140 : STD_LOGIC; signal SI_REG_n_141 : STD_LOGIC; signal SI_REG_n_142 : STD_LOGIC; signal SI_REG_n_143 : STD_LOGIC; signal SI_REG_n_144 : STD_LOGIC; signal SI_REG_n_145 : STD_LOGIC; signal SI_REG_n_146 : STD_LOGIC; signal SI_REG_n_147 : STD_LOGIC; signal SI_REG_n_148 : STD_LOGIC; signal SI_REG_n_149 : STD_LOGIC; signal SI_REG_n_150 : STD_LOGIC; signal SI_REG_n_151 : STD_LOGIC; signal SI_REG_n_158 : STD_LOGIC; signal SI_REG_n_162 : STD_LOGIC; signal SI_REG_n_163 : STD_LOGIC; signal SI_REG_n_164 : STD_LOGIC; signal SI_REG_n_165 : STD_LOGIC; signal SI_REG_n_166 : STD_LOGIC; signal SI_REG_n_167 : STD_LOGIC; signal SI_REG_n_171 : STD_LOGIC; signal SI_REG_n_175 : STD_LOGIC; signal SI_REG_n_176 : STD_LOGIC; signal SI_REG_n_177 : STD_LOGIC; signal SI_REG_n_178 : STD_LOGIC; signal SI_REG_n_179 : STD_LOGIC; signal SI_REG_n_180 : STD_LOGIC; signal SI_REG_n_181 : STD_LOGIC; signal SI_REG_n_182 : STD_LOGIC; signal SI_REG_n_183 : STD_LOGIC; signal SI_REG_n_184 : STD_LOGIC; signal SI_REG_n_185 : STD_LOGIC; signal SI_REG_n_186 : STD_LOGIC; signal SI_REG_n_187 : STD_LOGIC; signal SI_REG_n_188 : STD_LOGIC; signal SI_REG_n_189 : STD_LOGIC; signal SI_REG_n_190 : STD_LOGIC; signal SI_REG_n_191 : STD_LOGIC; signal SI_REG_n_192 : STD_LOGIC; signal SI_REG_n_193 : STD_LOGIC; signal SI_REG_n_194 : STD_LOGIC; signal SI_REG_n_195 : STD_LOGIC; signal SI_REG_n_196 : STD_LOGIC; signal SI_REG_n_20 : STD_LOGIC; signal SI_REG_n_21 : STD_LOGIC; signal SI_REG_n_22 : STD_LOGIC; signal SI_REG_n_23 : STD_LOGIC; signal SI_REG_n_29 : STD_LOGIC; signal SI_REG_n_79 : STD_LOGIC; signal SI_REG_n_80 : STD_LOGIC; signal SI_REG_n_81 : STD_LOGIC; signal SI_REG_n_82 : STD_LOGIC; signal SI_REG_n_88 : STD_LOGIC; signal \WR.aw_channel_0_n_10\ : STD_LOGIC; signal \WR.aw_channel_0_n_54\ : STD_LOGIC; signal \WR.aw_channel_0_n_55\ : STD_LOGIC; signal \WR.aw_channel_0_n_56\ : STD_LOGIC; signal \WR.aw_channel_0_n_57\ : STD_LOGIC; signal \WR.aw_channel_0_n_7\ : STD_LOGIC; signal \WR.aw_channel_0_n_9\ : STD_LOGIC; signal \WR.b_channel_0_n_1\ : STD_LOGIC; signal \WR.b_channel_0_n_2\ : STD_LOGIC; signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \ar_pipe/p_1_in\ : STD_LOGIC; signal areset_d1 : STD_LOGIC; signal areset_d1_i_1_n_0 : STD_LOGIC; signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \aw_pipe/p_1_in\ : STD_LOGIC; signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal b_awlen : STD_LOGIC_VECTOR ( 7 downto 0 ); signal b_push : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/sel_first_4\ : STD_LOGIC; signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal r_rlast : STD_LOGIC; signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_arvalid : STD_LOGIC; signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_awvalid : STD_LOGIC; signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_bready : STD_LOGIC; signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_bvalid : STD_LOGIC; signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 ); signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_rlast : STD_LOGIC; signal si_rs_rready : STD_LOGIC; signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 ); begin \RD.ar_channel_0\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_ar_channel port map ( CO(0) => SI_REG_n_147, D(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 2), D(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(0), E(0) => \ar_pipe/p_1_in\, O(3) => SI_REG_n_148, O(2) => SI_REG_n_149, O(1) => SI_REG_n_150, O(0) => SI_REG_n_151, Q(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), S(3) => \RD.ar_channel_0_n_47\, S(2) => \RD.ar_channel_0_n_48\, S(1) => \RD.ar_channel_0_n_49\, S(0) => \RD.ar_channel_0_n_50\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_0\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_9\, \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_10\, \m_payload_i_reg[11]\(3) => SI_REG_n_143, \m_payload_i_reg[11]\(2) => SI_REG_n_144, \m_payload_i_reg[11]\(1) => SI_REG_n_145, \m_payload_i_reg[11]\(0) => SI_REG_n_146, \m_payload_i_reg[38]\ => SI_REG_n_196, \m_payload_i_reg[3]\(3) => SI_REG_n_139, \m_payload_i_reg[3]\(2) => SI_REG_n_140, \m_payload_i_reg[3]\(1) => SI_REG_n_141, \m_payload_i_reg[3]\(0) => SI_REG_n_142, \m_payload_i_reg[44]\ => SI_REG_n_171, \m_payload_i_reg[46]\ => SI_REG_n_177, \m_payload_i_reg[47]\ => SI_REG_n_175, \m_payload_i_reg[51]\ => SI_REG_n_176, \m_payload_i_reg[64]\(35 downto 24) => s_arid(11 downto 0), \m_payload_i_reg[64]\(23) => SI_REG_n_79, \m_payload_i_reg[64]\(22) => SI_REG_n_80, \m_payload_i_reg[64]\(21) => SI_REG_n_81, \m_payload_i_reg[64]\(20) => SI_REG_n_82, \m_payload_i_reg[64]\(19 downto 16) => si_rs_arlen(3 downto 0), \m_payload_i_reg[64]\(15) => si_rs_arburst(1), \m_payload_i_reg[64]\(14) => SI_REG_n_88, \m_payload_i_reg[64]\(13 downto 12) => si_rs_arsize(1 downto 0), \m_payload_i_reg[64]\(11 downto 0) => si_rs_araddr(11 downto 0), \m_payload_i_reg[6]\ => SI_REG_n_187, \m_payload_i_reg[6]_0\(6) => SI_REG_n_188, \m_payload_i_reg[6]_0\(5) => SI_REG_n_189, \m_payload_i_reg[6]_0\(4) => SI_REG_n_190, \m_payload_i_reg[6]_0\(3) => SI_REG_n_191, \m_payload_i_reg[6]_0\(2) => SI_REG_n_192, \m_payload_i_reg[6]_0\(1) => SI_REG_n_193, \m_payload_i_reg[6]_0\(0) => SI_REG_n_194, \r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0), r_push_r_reg => \RD.ar_channel_0_n_11\, r_rlast => r_rlast, sel_first => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, \wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_8\, wrap_second_len(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(1), \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(0), \wrap_second_len_r_reg[3]_0\(2) => SI_REG_n_165, \wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_166, \wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_167 ); \RD.r_channel_0\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_r_channel port map ( D(11 downto 0) => s_arid_r(11 downto 0), aclk => aclk, areset_d1 => areset_d1, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, m_valid_i_reg => \RD.r_channel_0_n_2\, \out\(33 downto 32) => si_rs_rresp(1 downto 0), \out\(31 downto 0) => si_rs_rdata(31 downto 0), r_rlast => r_rlast, s_ready_i_reg => SI_REG_n_178, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0), \skid_buffer_reg[46]\(0) => si_rs_rlast, \state_reg[1]_rep\ => \RD.r_channel_0_n_0\, \state_reg[1]_rep_0\ => \RD.ar_channel_0_n_11\ ); SI_REG: entity work.zqynq_lab_1_design_auto_pc_0_axi_register_slice_v2_1_13_axi_register_slice port map ( CO(0) => SI_REG_n_134, D(2 downto 1) => wrap_cnt(3 downto 2), D(0) => wrap_cnt(0), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_135, O(2) => SI_REG_n_136, O(1) => SI_REG_n_137, O(0) => SI_REG_n_138, Q(58 downto 47) => s_awid(11 downto 0), Q(46) => SI_REG_n_20, Q(45) => SI_REG_n_21, Q(44) => SI_REG_n_22, Q(43) => SI_REG_n_23, Q(42 downto 39) => si_rs_awlen(3 downto 0), Q(38) => si_rs_awburst(1), Q(37) => SI_REG_n_29, Q(36 downto 35) => si_rs_awsize(1 downto 0), Q(34 downto 12) => Q(22 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), S(3) => \WR.aw_channel_0_n_54\, S(2) => \WR.aw_channel_0_n_55\, S(1) => \WR.aw_channel_0_n_56\, S(0) => \WR.aw_channel_0_n_57\, aclk => aclk, aresetn => aresetn, axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4), \axaddr_incr_reg[11]_0\(3) => SI_REG_n_143, \axaddr_incr_reg[11]_0\(2) => SI_REG_n_144, \axaddr_incr_reg[11]_0\(1) => SI_REG_n_145, \axaddr_incr_reg[11]_0\(0) => SI_REG_n_146, \axaddr_incr_reg[3]\(3) => SI_REG_n_148, \axaddr_incr_reg[3]\(2) => SI_REG_n_149, \axaddr_incr_reg[3]\(1) => SI_REG_n_150, \axaddr_incr_reg[3]\(0) => SI_REG_n_151, \axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), \axaddr_incr_reg[7]\(3) => SI_REG_n_139, \axaddr_incr_reg[7]\(2) => SI_REG_n_140, \axaddr_incr_reg[7]\(1) => SI_REG_n_141, \axaddr_incr_reg[7]\(0) => SI_REG_n_142, \axaddr_incr_reg[7]_0\(0) => SI_REG_n_147, axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 0), axaddr_offset_0(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 0), \axaddr_offset_r_reg[3]\ => SI_REG_n_179, \axaddr_offset_r_reg[3]_0\ => SI_REG_n_187, \axaddr_offset_r_reg[3]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3), \axaddr_offset_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3 downto 0), \axaddr_offset_r_reg[3]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_4\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \axlen_cnt_reg[3]\ => SI_REG_n_162, \axlen_cnt_reg[3]_0\ => SI_REG_n_175, b_push => b_push, \cnt_read_reg[3]_rep__0\ => SI_REG_n_178, \cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0), \cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0), \cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_2\, \m_axi_araddr[10]\ => SI_REG_n_196, \m_axi_awaddr[10]\ => SI_REG_n_195, \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_47\, \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_48\, \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_49\, \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_50\, m_valid_i_reg(0) => \ar_pipe/p_1_in\, next_pending_r_reg => SI_REG_n_163, next_pending_r_reg_0 => SI_REG_n_164, next_pending_r_reg_1 => SI_REG_n_176, next_pending_r_reg_2 => SI_REG_n_177, \out\(11 downto 0) => si_rs_bid(11 downto 0), r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0), r_push_r_reg(0) => si_rs_rlast, \s_arid_r_reg[11]\(58 downto 47) => s_arid(11 downto 0), \s_arid_r_reg[11]\(46) => SI_REG_n_79, \s_arid_r_reg[11]\(45) => SI_REG_n_80, \s_arid_r_reg[11]\(44) => SI_REG_n_81, \s_arid_r_reg[11]\(43) => SI_REG_n_82, \s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0), \s_arid_r_reg[11]\(38) => si_rs_arburst(1), \s_arid_r_reg[11]\(37) => SI_REG_n_88, \s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0), \s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0), \s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, \s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0), sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\, sel_first_2 => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, si_rs_awvalid => si_rs_awvalid, si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, si_rs_rready => si_rs_rready, \state_reg[0]_rep\ => \WR.aw_channel_0_n_10\, \state_reg[0]_rep_0\ => \RD.ar_channel_0_n_9\, \state_reg[1]\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_0\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_rep\ => \WR.aw_channel_0_n_9\, \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_7\, \state_reg[1]_rep_1\ => \RD.ar_channel_0_n_8\, \state_reg[1]_rep_2\ => \RD.ar_channel_0_n_10\, \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_180, \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_181, \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_182, \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_183, \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_184, \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_185, \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_186, \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_188, \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_189, \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_190, \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_191, \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_192, \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_193, \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_194, \wrap_cnt_r_reg[3]\ => SI_REG_n_158, \wrap_cnt_r_reg[3]_0\(2) => SI_REG_n_165, \wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_166, \wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_167, \wrap_cnt_r_reg[3]_1\ => SI_REG_n_171, wrap_second_len(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(1), wrap_second_len_1(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(1), \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(0), \wrap_second_len_r_reg[3]_1\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 2), \wrap_second_len_r_reg[3]_1\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(0), \wrap_second_len_r_reg[3]_2\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 2), \wrap_second_len_r_reg[3]_2\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(0) ); \WR.aw_channel_0\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_aw_channel port map ( CO(0) => SI_REG_n_134, D(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(1), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_135, O(2) => SI_REG_n_136, O(1) => SI_REG_n_137, O(0) => SI_REG_n_138, Q(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), S(3) => \WR.aw_channel_0_n_54\, S(2) => \WR.aw_channel_0_n_55\, S(1) => \WR.aw_channel_0_n_56\, S(0) => \WR.aw_channel_0_n_57\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0), \axaddr_offset_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3 downto 0), b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, \in\(19 downto 8) => b_awid(11 downto 0), \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4), \m_payload_i_reg[35]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 0), \m_payload_i_reg[38]\ => SI_REG_n_195, \m_payload_i_reg[44]\ => SI_REG_n_158, \m_payload_i_reg[46]\ => SI_REG_n_164, \m_payload_i_reg[47]\ => SI_REG_n_162, \m_payload_i_reg[48]\ => SI_REG_n_163, \m_payload_i_reg[64]\(35 downto 24) => s_awid(11 downto 0), \m_payload_i_reg[64]\(23) => SI_REG_n_20, \m_payload_i_reg[64]\(22) => SI_REG_n_21, \m_payload_i_reg[64]\(21) => SI_REG_n_22, \m_payload_i_reg[64]\(20) => SI_REG_n_23, \m_payload_i_reg[64]\(19 downto 16) => si_rs_awlen(3 downto 0), \m_payload_i_reg[64]\(15) => si_rs_awburst(1), \m_payload_i_reg[64]\(14) => SI_REG_n_29, \m_payload_i_reg[64]\(13 downto 12) => si_rs_awsize(1 downto 0), \m_payload_i_reg[64]\(11 downto 0) => si_rs_awaddr(11 downto 0), \m_payload_i_reg[6]\ => SI_REG_n_179, \m_payload_i_reg[6]_0\(6) => SI_REG_n_180, \m_payload_i_reg[6]_0\(5) => SI_REG_n_181, \m_payload_i_reg[6]_0\(4) => SI_REG_n_182, \m_payload_i_reg[6]_0\(3) => SI_REG_n_183, \m_payload_i_reg[6]_0\(2) => SI_REG_n_184, \m_payload_i_reg[6]_0\(1) => SI_REG_n_185, \m_payload_i_reg[6]_0\(0) => SI_REG_n_186, sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\, si_rs_awvalid => si_rs_awvalid, \state_reg[1]_rep\ => \WR.aw_channel_0_n_9\, \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_10\, \wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_7\, \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(0), \wrap_second_len_r_reg[3]_1\(2 downto 1) => wrap_cnt(3 downto 2), \wrap_second_len_r_reg[3]_1\(0) => wrap_cnt(0) ); \WR.b_channel_0\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s_b_channel port map ( aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, \in\(19 downto 8) => b_awid(11 downto 0), \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, \out\(11 downto 0) => si_rs_bid(11 downto 0), si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0) ); areset_d1_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn, O => areset_d1_i_1_n_0 ); areset_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => areset_d1_i_1_n_0, Q => areset_d1, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_wready\ <= m_axi_wready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const1>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(11) <= \<const0>\; m_axi_arid(10) <= \<const0>\; m_axi_arid(9) <= \<const0>\; m_axi_arid(8) <= \<const0>\; m_axi_arid(7) <= \<const0>\; m_axi_arid(6) <= \<const0>\; m_axi_arid(5) <= \<const0>\; m_axi_arid(4) <= \<const0>\; m_axi_arid(3) <= \<const0>\; m_axi_arid(2) <= \<const0>\; m_axi_arid(1) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const1>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const1>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(11) <= \<const0>\; m_axi_awid(10) <= \<const0>\; m_axi_awid(9) <= \<const0>\; m_axi_awid(8) <= \<const0>\; m_axi_awid(7) <= \<const0>\; m_axi_awid(6) <= \<const0>\; m_axi_awid(5) <= \<const0>\; m_axi_awid(4) <= \<const0>\; m_axi_awid(3) <= \<const0>\; m_axi_awid(2) <= \<const0>\; m_axi_awid(1) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const1>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const1>\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \^s_axi_wvalid\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_b2s port map ( Q(22 downto 20) => m_axi_awprot(2 downto 0), Q(19 downto 0) => m_axi_awaddr(31 downto 12), aclk => aclk, aresetn => aresetn, \in\(33 downto 32) => m_axi_rresp(1 downto 0), \in\(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0), \m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, \s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0), \s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0), \s_axi_rid[11]\(34) => s_axi_rlast, \s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0), \s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_auto_pc_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_auto_pc_0 : entity is "zqynq_lab_1_design_auto_pc_2,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zqynq_lab_1_design_auto_pc_0 : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end zqynq_lab_1_design_auto_pc_0; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_0 is signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.zqynq_lab_1_design_auto_pc_0_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0), m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0), m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(11 downto 0) => B"000000000000", m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => B"000000000000", m_axi_rlast => '1', m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project.xpr/project_1/project_1.ipdefs/ip_0/RecComp_cnn_lab_convolve_kernel_0_5/hdl/vhdl/convolve_kernel.vhd
4
40699
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.3 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity convolve_kernel is generic ( C_S_AXI_CONTROL_ADDR_WIDTH : INTEGER := 4; C_S_AXI_CONTROL_DATA_WIDTH : INTEGER := 32 ); port ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; bufw_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufw_EN_A : OUT STD_LOGIC; bufw_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufw_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufw_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufw_Clk_A : OUT STD_LOGIC; bufw_Rst_A : OUT STD_LOGIC; bufi_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufi_EN_A : OUT STD_LOGIC; bufi_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufi_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufi_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufi_Clk_A : OUT STD_LOGIC; bufi_Rst_A : OUT STD_LOGIC; bufo_Addr_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufo_EN_A : OUT STD_LOGIC; bufo_WEN_A : OUT STD_LOGIC_VECTOR (3 downto 0); bufo_Din_A : OUT STD_LOGIC_VECTOR (31 downto 0); bufo_Dout_A : IN STD_LOGIC_VECTOR (31 downto 0); bufo_Clk_A : OUT STD_LOGIC; bufo_Rst_A : OUT STD_LOGIC; s_axi_control_AWVALID : IN STD_LOGIC; s_axi_control_AWREADY : OUT STD_LOGIC; s_axi_control_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_ADDR_WIDTH-1 downto 0); s_axi_control_WVALID : IN STD_LOGIC; s_axi_control_WREADY : OUT STD_LOGIC; s_axi_control_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH-1 downto 0); s_axi_control_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH/8-1 downto 0); s_axi_control_ARVALID : IN STD_LOGIC; s_axi_control_ARREADY : OUT STD_LOGIC; s_axi_control_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_CONTROL_ADDR_WIDTH-1 downto 0); s_axi_control_RVALID : OUT STD_LOGIC; s_axi_control_RREADY : IN STD_LOGIC; s_axi_control_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_CONTROL_DATA_WIDTH-1 downto 0); s_axi_control_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); s_axi_control_BVALID : OUT STD_LOGIC; s_axi_control_BREADY : IN STD_LOGIC; s_axi_control_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); interrupt : OUT STD_LOGIC ); end; architecture behav of convolve_kernel is attribute CORE_GENERATION_INFO : STRING; attribute CORE_GENERATION_INFO of behav : architecture is "convolve_kernel,hls_ip_2017_3,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z020clg484-1,HLS_INPUT_CLOCK=5.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=4.353000,HLS_SYN_LAT=37942,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=5,HLS_SYN_FF=860,HLS_SYN_LUT=1412}"; constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000001000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000010000000"; constant ap_ST_fsm_state9 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000100000000"; constant ap_ST_fsm_state10 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000001000000000"; constant ap_ST_fsm_state11 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000010000000000"; constant ap_ST_fsm_state12 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000100000000000"; constant ap_ST_fsm_state13 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000001000000000000"; constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000010000000000000"; constant ap_ST_fsm_state15 : STD_LOGIC_VECTOR (25 downto 0) := "00000000000100000000000000"; constant ap_ST_fsm_state16 : STD_LOGIC_VECTOR (25 downto 0) := "00000000001000000000000000"; constant ap_ST_fsm_state17 : STD_LOGIC_VECTOR (25 downto 0) := "00000000010000000000000000"; constant ap_ST_fsm_state18 : STD_LOGIC_VECTOR (25 downto 0) := "00000000100000000000000000"; constant ap_ST_fsm_state19 : STD_LOGIC_VECTOR (25 downto 0) := "00000001000000000000000000"; constant ap_ST_fsm_state20 : STD_LOGIC_VECTOR (25 downto 0) := "00000010000000000000000000"; constant ap_ST_fsm_state21 : STD_LOGIC_VECTOR (25 downto 0) := "00000100000000000000000000"; constant ap_ST_fsm_state22 : STD_LOGIC_VECTOR (25 downto 0) := "00001000000000000000000000"; constant ap_ST_fsm_state23 : STD_LOGIC_VECTOR (25 downto 0) := "00010000000000000000000000"; constant ap_ST_fsm_state24 : STD_LOGIC_VECTOR (25 downto 0) := "00100000000000000000000000"; constant ap_ST_fsm_state25 : STD_LOGIC_VECTOR (25 downto 0) := "01000000000000000000000000"; constant ap_ST_fsm_state26 : STD_LOGIC_VECTOR (25 downto 0) := "10000000000000000000000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001"; constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010"; constant ap_const_lv32_F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001111"; constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000"; constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000"; constant ap_const_lv32_19 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011001"; constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110"; constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000"; constant ap_const_lv4_F : STD_LOGIC_VECTOR (3 downto 0) := "1111"; constant ap_const_lv32_10 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010000"; constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011"; constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11"; constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01"; constant ap_const_lv6_2 : STD_LOGIC_VECTOR (5 downto 0) := "000010"; constant ap_const_lv3_5 : STD_LOGIC_VECTOR (2 downto 0) := "101"; constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_rst_n_inv : STD_LOGIC; signal ap_start : STD_LOGIC; signal ap_done : STD_LOGIC; signal ap_idle : STD_LOGIC; signal ap_CS_fsm : STD_LOGIC_VECTOR (25 downto 0) := "00000000000000000000000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_ready : STD_LOGIC; signal row_b_cast6_cast_fu_164_p1 : STD_LOGIC_VECTOR (5 downto 0); signal row_b_cast6_cast_reg_454 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal row_b_cast_fu_168_p1 : STD_LOGIC_VECTOR (2 downto 0); signal row_b_cast_reg_459 : STD_LOGIC_VECTOR (2 downto 0); signal row_b_1_fu_178_p2 : STD_LOGIC_VECTOR (1 downto 0); signal row_b_1_reg_467 : STD_LOGIC_VECTOR (1 downto 0); signal col_b_cast5_cast_fu_184_p1 : STD_LOGIC_VECTOR (5 downto 0); signal col_b_cast5_cast_reg_472 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state3 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none"; signal col_b_cast_fu_188_p1 : STD_LOGIC_VECTOR (2 downto 0); signal col_b_cast_reg_477 : STD_LOGIC_VECTOR (2 downto 0); signal col_b_1_fu_198_p2 : STD_LOGIC_VECTOR (1 downto 0); signal col_b_1_reg_485 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_10_cast_fu_226_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_10_cast_reg_490 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state4 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none"; signal tmp_11_fu_230_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_11_reg_495 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_3_fu_235_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_3_reg_501 : STD_LOGIC_VECTOR (0 downto 0); signal to_b_1_fu_241_p2 : STD_LOGIC_VECTOR (1 downto 0); signal to_b_1_reg_505 : STD_LOGIC_VECTOR (1 downto 0); signal bufo_addr_reg_510 : STD_LOGIC_VECTOR (4 downto 0); signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal tmp_17_fu_292_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_17_reg_515 : STD_LOGIC_VECTOR (63 downto 0); signal ap_CS_fsm_state6 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none"; signal tmp_19_cast_fu_316_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_19_cast_reg_520 : STD_LOGIC_VECTOR (6 downto 0); signal ti_b_1_fu_326_p2 : STD_LOGIC_VECTOR (1 downto 0); signal ti_b_1_reg_528 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_22_fu_357_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_22_reg_533 : STD_LOGIC_VECTOR (8 downto 0); signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal i_1_fu_369_p2 : STD_LOGIC_VECTOR (2 downto 0); signal i_1_reg_541 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_9_fu_375_p2 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_9_reg_546 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_7_fu_363_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_25_fu_404_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_25_reg_551 : STD_LOGIC_VECTOR (8 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal bufw_addr_reg_556 : STD_LOGIC_VECTOR (7 downto 0); signal ap_CS_fsm_state9 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state9 : signal is "none"; signal j_1_fu_430_p2 : STD_LOGIC_VECTOR (2 downto 0); signal j_1_reg_564 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_27_fu_445_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_27_reg_569 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_s_fu_424_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state10 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state10 : signal is "none"; signal bufw_load_reg_579 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state11 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state11 : signal is "none"; signal bufi_load_reg_584 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_160_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_4_reg_589 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state16 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state16 : signal is "none"; signal bufo_load_reg_594 : STD_LOGIC_VECTOR (31 downto 0); signal grp_fu_156_p2 : STD_LOGIC_VECTOR (31 downto 0); signal tmp_6_reg_599 : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state25 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state25 : signal is "none"; signal row_b_reg_90 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_1_fu_192_p2 : STD_LOGIC_VECTOR (0 downto 0); signal col_b_reg_101 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_fu_172_p2 : STD_LOGIC_VECTOR (0 downto 0); signal to_b_reg_112 : STD_LOGIC_VECTOR (1 downto 0); signal tmp_5_fu_320_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ti_b_reg_123 : STD_LOGIC_VECTOR (1 downto 0); signal i_reg_134 : STD_LOGIC_VECTOR (2 downto 0); signal j_reg_145 : STD_LOGIC_VECTOR (2 downto 0); signal ap_CS_fsm_state26 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state26 : signal is "none"; signal tmp_14_cast_fu_262_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_26_cast_fu_419_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_27_cast_fu_450_p1 : STD_LOGIC_VECTOR (63 downto 0); signal bufw_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal bufi_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state15 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state15 : signal is "none"; signal bufo_Addr_A_orig : STD_LOGIC_VECTOR (31 downto 0); signal ap_CS_fsm_state17 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state17 : signal is "none"; signal ap_CS_fsm_state12 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state12 : signal is "none"; signal tmp_8_fu_208_p3 : STD_LOGIC_VECTOR (3 downto 0); signal p_shl1_cast_fu_216_p1 : STD_LOGIC_VECTOR (4 downto 0); signal to_b_cast4_cast_fu_204_p1 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_10_fu_220_p2 : STD_LOGIC_VECTOR (4 downto 0); signal tmp_12_fu_247_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_13_fu_252_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_14_fu_257_p2 : STD_LOGIC_VECTOR (5 downto 0); signal ti_b_cast3_cast_fu_267_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_15_fu_271_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_16_fu_280_p3 : STD_LOGIC_VECTOR (7 downto 0); signal tmp_15_cast_fu_276_p1 : STD_LOGIC_VECTOR (63 downto 0); signal p_shl3_fu_288_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_18_fu_298_p3 : STD_LOGIC_VECTOR (4 downto 0); signal p_shl2_cast_fu_306_p1 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_19_fu_310_p2 : STD_LOGIC_VECTOR (5 downto 0); signal i_cast2_fu_332_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_20_fu_336_p2 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_24_fu_345_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_21_fu_341_p1 : STD_LOGIC_VECTOR (8 downto 0); signal p_shl4_cast_fu_349_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_9_cast_cast_fu_380_p1 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_23_fu_383_p2 : STD_LOGIC_VECTOR (6 downto 0); signal tmp_28_fu_392_p1 : STD_LOGIC_VECTOR (5 downto 0); signal p_shl5_cast_fu_396_p3 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_23_cast_fu_388_p1 : STD_LOGIC_VECTOR (8 downto 0); signal j_cast1_cast_fu_410_p1 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_26_fu_414_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_2_fu_436_p2 : STD_LOGIC_VECTOR (2 downto 0); signal tmp_2_cast_cast_fu_441_p1 : STD_LOGIC_VECTOR (8 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (25 downto 0); component convolve_kernel_fbkb IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component convolve_kernel_fcud IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (31 downto 0); din1 : IN STD_LOGIC_VECTOR (31 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (31 downto 0) ); end component; component convolve_kernel_control_s_axi IS generic ( C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER ); port ( AWVALID : IN STD_LOGIC; AWREADY : OUT STD_LOGIC; AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); WVALID : IN STD_LOGIC; WREADY : OUT STD_LOGIC; WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0); ARVALID : IN STD_LOGIC; ARREADY : OUT STD_LOGIC; ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0); RVALID : OUT STD_LOGIC; RREADY : IN STD_LOGIC; RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0); RRESP : OUT STD_LOGIC_VECTOR (1 downto 0); BVALID : OUT STD_LOGIC; BREADY : IN STD_LOGIC; BRESP : OUT STD_LOGIC_VECTOR (1 downto 0); ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; ACLK_EN : IN STD_LOGIC; ap_start : OUT STD_LOGIC; interrupt : OUT STD_LOGIC; ap_ready : IN STD_LOGIC; ap_done : IN STD_LOGIC; ap_idle : IN STD_LOGIC ); end component; begin convolve_kernel_control_s_axi_U : component convolve_kernel_control_s_axi generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_CONTROL_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_CONTROL_DATA_WIDTH) port map ( AWVALID => s_axi_control_AWVALID, AWREADY => s_axi_control_AWREADY, AWADDR => s_axi_control_AWADDR, WVALID => s_axi_control_WVALID, WREADY => s_axi_control_WREADY, WDATA => s_axi_control_WDATA, WSTRB => s_axi_control_WSTRB, ARVALID => s_axi_control_ARVALID, ARREADY => s_axi_control_ARREADY, ARADDR => s_axi_control_ARADDR, RVALID => s_axi_control_RVALID, RREADY => s_axi_control_RREADY, RDATA => s_axi_control_RDATA, RRESP => s_axi_control_RRESP, BVALID => s_axi_control_BVALID, BREADY => s_axi_control_BREADY, BRESP => s_axi_control_BRESP, ACLK => ap_clk, ARESET => ap_rst_n_inv, ACLK_EN => ap_const_logic_1, ap_start => ap_start, interrupt => interrupt, ap_ready => ap_ready, ap_done => ap_done, ap_idle => ap_idle); convolve_kernel_fbkb_U1 : component convolve_kernel_fbkb generic map ( ID => 1, NUM_STAGE => 9, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => bufo_load_reg_594, din1 => tmp_4_reg_589, ce => ap_const_logic_1, dout => grp_fu_156_p2); convolve_kernel_fcud_U2 : component convolve_kernel_fcud generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 32, din1_WIDTH => 32, dout_WIDTH => 32) port map ( clk => ap_clk, reset => ap_rst_n_inv, din0 => bufw_load_reg_579, din1 => bufi_load_reg_584, ce => ap_const_logic_1, dout => grp_fu_160_p2); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst_n_inv = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; col_b_reg_101_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state2) and (ap_const_lv1_0 = tmp_fu_172_p2))) then col_b_reg_101 <= ap_const_lv2_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state5) and (tmp_3_reg_501 = ap_const_lv1_1))) then col_b_reg_101 <= col_b_1_reg_485; end if; end if; end process; i_reg_134_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state6) and (ap_const_lv1_0 = tmp_5_fu_320_p2))) then i_reg_134 <= ap_const_lv3_0; elsif (((tmp_s_fu_424_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state9))) then i_reg_134 <= i_1_reg_541; end if; end if; end process; j_reg_145_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then j_reg_145 <= ap_const_lv3_0; elsif ((ap_const_logic_1 = ap_CS_fsm_state26)) then j_reg_145 <= j_1_reg_564; end if; end if; end process; row_b_reg_90_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((tmp_1_fu_192_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then row_b_reg_90 <= row_b_1_reg_467; elsif (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then row_b_reg_90 <= ap_const_lv2_0; end if; end if; end process; ti_b_reg_123_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state5) and (tmp_3_reg_501 = ap_const_lv1_0))) then ti_b_reg_123 <= ap_const_lv2_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_7_fu_363_p2 = ap_const_lv1_1))) then ti_b_reg_123 <= ti_b_1_reg_528; end if; end if; end process; to_b_reg_112_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state3) and (ap_const_lv1_0 = tmp_1_fu_192_p2))) then to_b_reg_112 <= ap_const_lv2_0; elsif (((ap_const_lv1_1 = tmp_5_fu_320_p2) and (ap_const_logic_1 = ap_CS_fsm_state6))) then to_b_reg_112 <= to_b_1_reg_505; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state11)) then bufi_load_reg_584 <= bufi_Dout_A; bufw_load_reg_579 <= bufw_Dout_A; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state5)) then bufo_addr_reg_510 <= tmp_14_cast_fu_262_p1(5 - 1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state16)) then bufo_load_reg_594 <= bufo_Dout_A; tmp_4_reg_589 <= grp_fu_160_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state9)) then bufw_addr_reg_556 <= tmp_26_cast_fu_419_p1(8 - 1 downto 0); j_1_reg_564 <= j_1_fu_430_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state3)) then col_b_1_reg_485 <= col_b_1_fu_198_p2; col_b_cast5_cast_reg_472(1 downto 0) <= col_b_cast5_cast_fu_184_p1(1 downto 0); col_b_cast_reg_477(1 downto 0) <= col_b_cast_fu_188_p1(1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state7)) then i_1_reg_541 <= i_1_fu_369_p2; tmp_22_reg_533 <= tmp_22_fu_357_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state2)) then row_b_1_reg_467 <= row_b_1_fu_178_p2; row_b_cast6_cast_reg_454(1 downto 0) <= row_b_cast6_cast_fu_164_p1(1 downto 0); row_b_cast_reg_459(1 downto 0) <= row_b_cast_fu_168_p1(1 downto 0); end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state6)) then ti_b_1_reg_528 <= ti_b_1_fu_326_p2; tmp_17_reg_515 <= tmp_17_fu_292_p2; tmp_19_cast_reg_520 <= tmp_19_cast_fu_316_p1; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state4)) then tmp_10_cast_reg_490 <= tmp_10_cast_fu_226_p1; tmp_11_reg_495 <= tmp_11_fu_230_p2; tmp_3_reg_501 <= tmp_3_fu_235_p2; to_b_1_reg_505 <= to_b_1_fu_241_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state8)) then tmp_25_reg_551 <= tmp_25_fu_404_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state9) and (ap_const_lv1_0 = tmp_s_fu_424_p2))) then tmp_27_reg_569 <= tmp_27_fu_445_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state25)) then tmp_6_reg_599 <= grp_fu_156_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_7_fu_363_p2 = ap_const_lv1_0))) then tmp_9_reg_546 <= tmp_9_fu_375_p2; end if; end if; end process; row_b_cast6_cast_reg_454(5 downto 2) <= "0000"; row_b_cast_reg_459(2) <= '0'; col_b_cast5_cast_reg_472(5 downto 2) <= "0000"; col_b_cast_reg_477(2) <= '0'; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, ap_CS_fsm_state3, tmp_3_reg_501, ap_CS_fsm_state5, ap_CS_fsm_state6, ap_CS_fsm_state7, tmp_7_fu_363_p2, ap_CS_fsm_state9, tmp_s_fu_424_p2, tmp_1_fu_192_p2, tmp_fu_172_p2, tmp_5_fu_320_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_start = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_lv1_1 = tmp_fu_172_p2) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_state3; end if; when ap_ST_fsm_state3 => if (((tmp_1_fu_192_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state4; end if; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => if (((ap_const_logic_1 = ap_CS_fsm_state5) and (tmp_3_reg_501 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state6; end if; when ap_ST_fsm_state6 => if (((ap_const_lv1_1 = tmp_5_fu_320_p2) and (ap_const_logic_1 = ap_CS_fsm_state6))) then ap_NS_fsm <= ap_ST_fsm_state4; else ap_NS_fsm <= ap_ST_fsm_state7; end if; when ap_ST_fsm_state7 => if (((ap_const_logic_1 = ap_CS_fsm_state7) and (tmp_7_fu_363_p2 = ap_const_lv1_1))) then ap_NS_fsm <= ap_ST_fsm_state6; else ap_NS_fsm <= ap_ST_fsm_state8; end if; when ap_ST_fsm_state8 => ap_NS_fsm <= ap_ST_fsm_state9; when ap_ST_fsm_state9 => if (((tmp_s_fu_424_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state9))) then ap_NS_fsm <= ap_ST_fsm_state7; else ap_NS_fsm <= ap_ST_fsm_state10; end if; when ap_ST_fsm_state10 => ap_NS_fsm <= ap_ST_fsm_state11; when ap_ST_fsm_state11 => ap_NS_fsm <= ap_ST_fsm_state12; when ap_ST_fsm_state12 => ap_NS_fsm <= ap_ST_fsm_state13; when ap_ST_fsm_state13 => ap_NS_fsm <= ap_ST_fsm_state14; when ap_ST_fsm_state14 => ap_NS_fsm <= ap_ST_fsm_state15; when ap_ST_fsm_state15 => ap_NS_fsm <= ap_ST_fsm_state16; when ap_ST_fsm_state16 => ap_NS_fsm <= ap_ST_fsm_state17; when ap_ST_fsm_state17 => ap_NS_fsm <= ap_ST_fsm_state18; when ap_ST_fsm_state18 => ap_NS_fsm <= ap_ST_fsm_state19; when ap_ST_fsm_state19 => ap_NS_fsm <= ap_ST_fsm_state20; when ap_ST_fsm_state20 => ap_NS_fsm <= ap_ST_fsm_state21; when ap_ST_fsm_state21 => ap_NS_fsm <= ap_ST_fsm_state22; when ap_ST_fsm_state22 => ap_NS_fsm <= ap_ST_fsm_state23; when ap_ST_fsm_state23 => ap_NS_fsm <= ap_ST_fsm_state24; when ap_ST_fsm_state24 => ap_NS_fsm <= ap_ST_fsm_state25; when ap_ST_fsm_state25 => ap_NS_fsm <= ap_ST_fsm_state26; when ap_ST_fsm_state26 => ap_NS_fsm <= ap_ST_fsm_state9; when others => ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state10 <= ap_CS_fsm(9); ap_CS_fsm_state11 <= ap_CS_fsm(10); ap_CS_fsm_state12 <= ap_CS_fsm(11); ap_CS_fsm_state15 <= ap_CS_fsm(14); ap_CS_fsm_state16 <= ap_CS_fsm(15); ap_CS_fsm_state17 <= ap_CS_fsm(16); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state25 <= ap_CS_fsm(24); ap_CS_fsm_state26 <= ap_CS_fsm(25); ap_CS_fsm_state3 <= ap_CS_fsm(2); ap_CS_fsm_state4 <= ap_CS_fsm(3); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_CS_fsm_state6 <= ap_CS_fsm(5); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_CS_fsm_state9 <= ap_CS_fsm(8); ap_done_assign_proc : process(ap_CS_fsm_state2, tmp_fu_172_p2) begin if (((ap_const_lv1_1 = tmp_fu_172_p2) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state2, tmp_fu_172_p2) begin if (((ap_const_lv1_1 = tmp_fu_172_p2) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_rst_n_inv_assign_proc : process(ap_rst_n) begin ap_rst_n_inv <= not(ap_rst_n); end process; bufi_Addr_A <= std_logic_vector(shift_left(unsigned(bufi_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufi_Addr_A_orig <= tmp_27_cast_fu_450_p1(32 - 1 downto 0); bufi_Clk_A <= ap_clk; bufi_Din_A <= ap_const_lv32_0; bufi_EN_A_assign_proc : process(ap_CS_fsm_state10) begin if ((ap_const_logic_1 = ap_CS_fsm_state10)) then bufi_EN_A <= ap_const_logic_1; else bufi_EN_A <= ap_const_logic_0; end if; end process; bufi_Rst_A <= ap_rst_n_inv; bufi_WEN_A <= ap_const_lv4_0; bufo_Addr_A <= std_logic_vector(shift_left(unsigned(bufo_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufo_Addr_A_orig <= std_logic_vector(IEEE.numeric_std.resize(unsigned(bufo_addr_reg_510),32)); bufo_Clk_A <= ap_clk; bufo_Din_A <= tmp_6_reg_599; bufo_EN_A_assign_proc : process(ap_CS_fsm_state26, ap_CS_fsm_state15) begin if (((ap_const_logic_1 = ap_CS_fsm_state15) or (ap_const_logic_1 = ap_CS_fsm_state26))) then bufo_EN_A <= ap_const_logic_1; else bufo_EN_A <= ap_const_logic_0; end if; end process; bufo_Rst_A <= ap_rst_n_inv; bufo_WEN_A_assign_proc : process(ap_CS_fsm_state26) begin if ((ap_const_logic_1 = ap_CS_fsm_state26)) then bufo_WEN_A <= ap_const_lv4_F; else bufo_WEN_A <= ap_const_lv4_0; end if; end process; bufw_Addr_A <= std_logic_vector(shift_left(unsigned(bufw_Addr_A_orig),to_integer(unsigned('0' & ap_const_lv32_2(31-1 downto 0))))); bufw_Addr_A_orig <= std_logic_vector(IEEE.numeric_std.resize(unsigned(bufw_addr_reg_556),32)); bufw_Clk_A <= ap_clk; bufw_Din_A <= ap_const_lv32_0; bufw_EN_A_assign_proc : process(ap_CS_fsm_state10) begin if ((ap_const_logic_1 = ap_CS_fsm_state10)) then bufw_EN_A <= ap_const_logic_1; else bufw_EN_A <= ap_const_logic_0; end if; end process; bufw_Rst_A <= ap_rst_n_inv; bufw_WEN_A <= ap_const_lv4_0; col_b_1_fu_198_p2 <= std_logic_vector(unsigned(col_b_reg_101) + unsigned(ap_const_lv2_1)); col_b_cast5_cast_fu_184_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(col_b_reg_101),6)); col_b_cast_fu_188_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(col_b_reg_101),3)); i_1_fu_369_p2 <= std_logic_vector(unsigned(ap_const_lv3_1) + unsigned(i_reg_134)); i_cast2_fu_332_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_134),64)); j_1_fu_430_p2 <= std_logic_vector(unsigned(j_reg_145) + unsigned(ap_const_lv3_1)); j_cast1_cast_fu_410_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(j_reg_145),9)); p_shl1_cast_fu_216_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_8_fu_208_p3),5)); p_shl2_cast_fu_306_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_18_fu_298_p3),6)); p_shl3_fu_288_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_16_fu_280_p3),64)); p_shl4_cast_fu_349_p3 <= (tmp_24_fu_345_p1 & ap_const_lv2_0); p_shl5_cast_fu_396_p3 <= (tmp_28_fu_392_p1 & ap_const_lv3_0); row_b_1_fu_178_p2 <= std_logic_vector(unsigned(row_b_reg_90) + unsigned(ap_const_lv2_1)); row_b_cast6_cast_fu_164_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(row_b_reg_90),6)); row_b_cast_fu_168_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(row_b_reg_90),3)); ti_b_1_fu_326_p2 <= std_logic_vector(unsigned(ti_b_reg_123) + unsigned(ap_const_lv2_1)); ti_b_cast3_cast_fu_267_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(ti_b_reg_123),6)); tmp_10_cast_fu_226_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_10_fu_220_p2),6)); tmp_10_fu_220_p2 <= std_logic_vector(unsigned(p_shl1_cast_fu_216_p1) - unsigned(to_b_cast4_cast_fu_204_p1)); tmp_11_fu_230_p2 <= std_logic_vector(unsigned(row_b_cast6_cast_reg_454) + unsigned(tmp_10_cast_fu_226_p1)); tmp_12_fu_247_p2 <= std_logic_vector(shift_left(unsigned(tmp_11_reg_495),to_integer(unsigned('0' & ap_const_lv6_2(6-1 downto 0))))); tmp_13_fu_252_p2 <= std_logic_vector(unsigned(tmp_12_fu_247_p2) - unsigned(tmp_11_reg_495)); tmp_14_cast_fu_262_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_14_fu_257_p2),64)); tmp_14_fu_257_p2 <= std_logic_vector(unsigned(col_b_cast5_cast_reg_472) + unsigned(tmp_13_fu_252_p2)); tmp_15_cast_fu_276_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_15_fu_271_p2),64)); tmp_15_fu_271_p2 <= std_logic_vector(signed(tmp_10_cast_reg_490) + signed(ti_b_cast3_cast_fu_267_p1)); tmp_16_fu_280_p3 <= (tmp_15_fu_271_p2 & ap_const_lv2_0); tmp_17_fu_292_p2 <= std_logic_vector(signed(tmp_15_cast_fu_276_p1) + signed(p_shl3_fu_288_p1)); tmp_18_fu_298_p3 <= (ti_b_reg_123 & ap_const_lv3_0); tmp_19_cast_fu_316_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_19_fu_310_p2),7)); tmp_19_fu_310_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_306_p1) - unsigned(ti_b_cast3_cast_fu_267_p1)); tmp_1_fu_192_p2 <= "1" when (col_b_reg_101 = ap_const_lv2_3) else "0"; tmp_20_fu_336_p2 <= std_logic_vector(unsigned(tmp_17_reg_515) + unsigned(i_cast2_fu_332_p1)); tmp_21_fu_341_p1 <= tmp_20_fu_336_p2(9 - 1 downto 0); tmp_22_fu_357_p2 <= std_logic_vector(unsigned(tmp_21_fu_341_p1) + unsigned(p_shl4_cast_fu_349_p3)); tmp_23_cast_fu_388_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(tmp_23_fu_383_p2),9)); tmp_23_fu_383_p2 <= std_logic_vector(unsigned(tmp_9_cast_cast_fu_380_p1) + unsigned(tmp_19_cast_reg_520)); tmp_24_fu_345_p1 <= tmp_20_fu_336_p2(7 - 1 downto 0); tmp_25_fu_404_p2 <= std_logic_vector(unsigned(p_shl5_cast_fu_396_p3) - unsigned(tmp_23_cast_fu_388_p1)); tmp_26_cast_fu_419_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_26_fu_414_p2),64)); tmp_26_fu_414_p2 <= std_logic_vector(unsigned(tmp_22_reg_533) + unsigned(j_cast1_cast_fu_410_p1)); tmp_27_cast_fu_450_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_27_reg_569),64)); tmp_27_fu_445_p2 <= std_logic_vector(unsigned(tmp_25_reg_551) + unsigned(tmp_2_cast_cast_fu_441_p1)); tmp_28_fu_392_p1 <= tmp_23_fu_383_p2(6 - 1 downto 0); tmp_2_cast_cast_fu_441_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_2_fu_436_p2),9)); tmp_2_fu_436_p2 <= std_logic_vector(unsigned(col_b_cast_reg_477) + unsigned(j_reg_145)); tmp_3_fu_235_p2 <= "1" when (to_b_reg_112 = ap_const_lv2_3) else "0"; tmp_5_fu_320_p2 <= "1" when (ti_b_reg_123 = ap_const_lv2_3) else "0"; tmp_7_fu_363_p2 <= "1" when (i_reg_134 = ap_const_lv3_5) else "0"; tmp_8_fu_208_p3 <= (to_b_reg_112 & ap_const_lv2_0); tmp_9_cast_cast_fu_380_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_9_reg_546),7)); tmp_9_fu_375_p2 <= std_logic_vector(unsigned(i_reg_134) + unsigned(row_b_cast_reg_459)); tmp_fu_172_p2 <= "1" when (row_b_reg_90 = ap_const_lv2_3) else "0"; tmp_s_fu_424_p2 <= "1" when (j_reg_145 = ap_const_lv3_5) else "0"; to_b_1_fu_241_p2 <= std_logic_vector(unsigned(ap_const_lv2_1) + unsigned(to_b_reg_112)); to_b_cast4_cast_fu_204_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(to_b_reg_112),5)); end behav;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_gpio_1_1/sim/zqynq_lab_1_design_axi_gpio_1_1.vhd
1
9004
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 15 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_15; USE axi_gpio_v2_0_15.axi_gpio; ENTITY zqynq_lab_1_design_axi_gpio_1_1 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(4 DOWNTO 0) ); END zqynq_lab_1_design_axi_gpio_1_1; ARCHITECTURE zqynq_lab_1_design_axi_gpio_1_1_arch OF zqynq_lab_1_design_axi_gpio_1_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_axi_gpio_1_1_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(4 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF ip2intc_irpt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 IP2INTC_IRQ INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 5, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 1, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 0, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 1, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, ip2intc_irpt => ip2intc_irpt, gpio_io_i => gpio_io_i, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END zqynq_lab_1_design_axi_gpio_1_1_arch;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.ip_user_files/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_auto_pc_1/zqynq_lab_1_design_auto_pc_1_sim_netlist.vhdl
1
531562
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Wed Sep 20 21:12:07 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top zqynq_lab_1_design_auto_pc_1 -prefix -- zqynq_lab_1_design_auto_pc_1_ zqynq_lab_1_design_auto_pc_2_sim_netlist.vhdl -- Design : zqynq_lab_1_design_auto_pc_2 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; \axlen_cnt_reg[4]_0\ : out STD_LOGIC; \m_axi_awaddr[1]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr[4]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5_n_0\ : STD_LOGIC; signal \^axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_4_n_0\ : STD_LOGIC; signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 7 downto 2 ); signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair113"; begin Q(3 downto 0) <= \^q\(3 downto 0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"559AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAAA559AAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000559AAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(4), I5 => \m_payload_i_reg[51]\(5), O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"000000000000559A" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(0) ); \axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(3), O => \axaddr_incr[4]_i_2_n_0\ ); \axaddr_incr[4]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(2), O => \axaddr_incr[4]_i_3_n_0\ ); \axaddr_incr[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(1), O => \axaddr_incr[4]_i_4_n_0\ ); \axaddr_incr[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(0), O => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr[8]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(7), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(7), O => \axaddr_incr[8]_i_2_n_0\ ); \axaddr_incr[8]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(6), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(6), O => \axaddr_incr[8]_i_3_n_0\ ); \axaddr_incr[8]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(5), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(5), O => \axaddr_incr[8]_i_4_n_0\ ); \axaddr_incr[8]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(4), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(4), O => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_5\, Q => \^axaddr_incr_reg\(6), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_4\, Q => \^axaddr_incr_reg\(7), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_7\, Q => \^axaddr_incr_reg\(0), R => '0' ); \axaddr_incr_reg[4]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1_n_4\, O(2) => \axaddr_incr_reg[4]_i_1_n_5\, O(1) => \axaddr_incr_reg[4]_i_1_n_6\, O(0) => \axaddr_incr_reg[4]_i_1_n_7\, S(3) => \axaddr_incr[4]_i_2_n_0\, S(2) => \axaddr_incr[4]_i_3_n_0\, S(1) => \axaddr_incr[4]_i_4_n_0\, S(0) => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_6\, Q => \^axaddr_incr_reg\(1), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_5\, Q => \^axaddr_incr_reg\(2), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_4\, Q => \^axaddr_incr_reg\(3), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_7\, Q => \^axaddr_incr_reg\(4), R => '0' ); \axaddr_incr_reg[8]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1_n_4\, O(2) => \axaddr_incr_reg[8]_i_1_n_5\, O(1) => \axaddr_incr_reg[8]_i_1_n_6\, O(0) => \axaddr_incr_reg[8]_i_1_n_7\, S(3) => \axaddr_incr[8]_i_2_n_0\, S(2) => \axaddr_incr[8]_i_3_n_0\, S(1) => \axaddr_incr[8]_i_4_n_0\, S(0) => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_6\, Q => \^axaddr_incr_reg\(5), R => '0' ); \axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => p_1_in(2) ); \axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1_n_0\ ); \axlen_cnt[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt_reg[4]_0\ ); \axlen_cnt[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA900A900A900" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \^axlen_cnt_reg[7]_0\, I2 => \^q\(3), I3 => \state_reg[0]\, I4 => E(0), I5 => \m_payload_i_reg[51]\(8), O => p_1_in(6) ); \axlen_cnt[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA900A900A900" ) port map ( I0 => \axlen_cnt_reg_n_0_[7]\, I1 => \^axlen_cnt_reg[7]_0\, I2 => \axlen_cnt[7]_i_4_n_0\, I3 => \state_reg[0]\, I4 => E(0), I5 => \m_payload_i_reg[51]\(9), O => p_1_in(7) ); \axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^q\(2), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(0), I3 => \^q\(1), I4 => \axlen_cnt_reg_n_0_[3]\, O => \^axlen_cnt_reg[7]_0\ ); \axlen_cnt[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \^q\(3), O => \axlen_cnt[7]_i_4_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(2), Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(2), Q => \^q\(2), R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(3), Q => \^q\(3), R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(6), Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(7), Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_awaddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_0\, I1 => \^axaddr_incr_reg[3]_0\(1), I2 => \m_payload_i_reg[51]\(6), I3 => \m_payload_i_reg[51]\(1), O => \m_axi_awaddr[1]\ ); next_pending_r_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[7]\, I2 => \^q\(2), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \^q\(1), I5 => \axlen_cnt[7]_i_4_n_0\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_0\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_incr_reg[11]_1\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); next_pending_r_reg_1 : out STD_LOGIC; \m_axi_araddr[5]\ : out STD_LOGIC; \m_axi_araddr[2]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_13_b2s_incr_cmd"; end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5__0_n_0\ : STD_LOGIC; signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 5 to 5 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal \^axaddr_incr_reg[11]_1\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \axlen_cnt[5]_i_2\ : label is "soft_lutpair4"; begin Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_incr_reg[11]_0\(6 downto 0) <= \^axaddr_incr_reg[11]_0\(6 downto 0); \axaddr_incr_reg[11]_1\ <= \^axaddr_incr_reg[11]_1\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"AA6AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"2A262A2A2A2A2A2A" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"0A060A0A0A0A0A0A" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \m_payload_i_reg[51]\(5), I2 => \m_payload_i_reg[51]\(6), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"0201020202020202" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(0) ); \axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(2), O => \axaddr_incr[4]_i_2__0_n_0\ ); \axaddr_incr[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(1), O => \axaddr_incr[4]_i_3__0_n_0\ ); \axaddr_incr[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => axaddr_incr_reg(5), O => \axaddr_incr[4]_i_4__0_n_0\ ); \axaddr_incr[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(0), O => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr[8]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(6), O => \axaddr_incr[8]_i_2__0_n_0\ ); \axaddr_incr[8]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(5), O => \axaddr_incr[8]_i_3__0_n_0\ ); \axaddr_incr[8]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(4), O => \axaddr_incr[8]_i_4__0_n_0\ ); \axaddr_incr[8]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(3), O => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(5), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(6), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(0), R => '0' ); \axaddr_incr_reg[4]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[4]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[4]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[4]_i_1__0_n_7\, S(3) => \axaddr_incr[4]_i_2__0_n_0\, S(2) => \axaddr_incr[4]_i_3__0_n_0\, S(1) => \axaddr_incr[4]_i_4__0_n_0\, S(0) => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_6\, Q => axaddr_incr_reg(5), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(1), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(2), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(3), R => '0' ); \axaddr_incr_reg[8]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[8]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[8]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[8]_i_1__0_n_7\, S(3) => \axaddr_incr[8]_i_2__0_n_0\, S(2) => \axaddr_incr[8]_i_3__0_n_0\, S(1) => \axaddr_incr[8]_i_4__0_n_0\, S(0) => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_6\, Q => \^axaddr_incr_reg[11]_0\(4), R => '0' ); \axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => \axlen_cnt[2]_i_1__1_n_0\ ); \axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1__1_n_0\ ); \axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt[4]_i_2__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(9), O => \axlen_cnt[4]_i_1__0_n_0\ ); \axlen_cnt[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[4]_i_2__0_n_0\ ); \axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt[5]_i_2_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(10), O => \axlen_cnt[5]_i_1__0_n_0\ ); \axlen_cnt[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(0), I3 => \^q\(1), I4 => \axlen_cnt_reg_n_0_[3]\, O => \axlen_cnt[5]_i_2_n_0\ ); \axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \axlen_cnt[7]_i_3__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(11), O => \axlen_cnt[6]_i_1__0_n_0\ ); \axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(12), I2 => \axlen_cnt_reg_n_0_[7]\, I3 => \axlen_cnt[7]_i_3__0_n_0\, I4 => \axlen_cnt_reg_n_0_[6]\, I5 => \state_reg[0]\, O => \axlen_cnt[7]_i_2__0_n_0\ ); \axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \^q\(1), I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[4]\, O => \axlen_cnt[7]_i_3__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[4]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[5]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[5]\, R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[6]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2__0_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => \^axaddr_incr_reg[3]_0\(2), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(2), O => \m_axi_araddr[2]\ ); \m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => axaddr_incr_reg(5), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(4), O => \m_axi_araddr[5]\ ); \next_pending_r_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[5]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \next_pending_r_i_4__0_n_0\, O => next_pending_r_reg_1 ); \next_pending_r_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(1), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[6]\, I3 => \axlen_cnt_reg_n_0_[7]\, O => \next_pending_r_i_4__0_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_1\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is port ( \axlen_cnt_reg[1]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); wrap_second_len : out STD_LOGIC_VECTOR ( 0 to 0 ); r_push_r_reg : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; \axlen_cnt_reg[1]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \axlen_cnt_reg[4]\ : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; \cnt_read_reg[2]_rep__0\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[1]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_second_len_r_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_next_pending : in STD_LOGIC; \m_payload_i_reg[51]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axlen_cnt_reg[1]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first_i\ : STD_LOGIC; signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair0"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair2"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axlen_cnt_reg[1]\ <= \^axlen_cnt_reg[1]\; incr_next_pending <= \^incr_next_pending\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first_i <= \^sel_first_i\; wrap_second_len(0) <= \^wrap_second_len\(0); \axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AAEA" ) port map ( I0 => sel_first_reg_2, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]_0\(0), I1 => \m_payload_i_reg[47]\(3), I2 => \^m_payload_i_reg[0]_0\, I3 => si_rs_arvalid, I4 => \^m_payload_i_reg[0]\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset_r_reg[3]\(0) ); \axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_arvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[47]\(1), I4 => \axlen_cnt_reg[1]_1\(0), I5 => \^axlen_cnt_reg[1]\, O => \axlen_cnt_reg[1]_0\(0) ); \axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[47]\(2), I2 => \axlen_cnt_reg[1]_1\(1), I3 => \axlen_cnt_reg[1]_1\(0), I4 => \^axlen_cnt_reg[1]\, O => \axlen_cnt_reg[1]_0\(1) ); \axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00CA" ) port map ( I0 => si_rs_arvalid, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_wrap_reg[11]\(0) ); \axlen_cnt[7]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_arvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[4]\, O => \^axlen_cnt_reg[1]\ ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => \^m_payload_i_reg[0]\, O => m_axi_arvalid ); \m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"D5" ) port map ( I0 => si_rs_arvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^m_payload_i_reg[0]_0\, O => \m_payload_i_reg[0]_1\(0) ); \next_pending_r_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[51]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[4]\, I3 => \^r_push_r_reg\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); r_push_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \^m_payload_i_reg[0]_0\, I2 => m_axi_arready, O => \^r_push_r_reg\ ); \s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); \s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); \sel_first_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FCFFFFFFCCCECCCE" ) port map ( I0 => si_rs_arvalid, I1 => areset_d1, I2 => \^m_payload_i_reg[0]\, I3 => \^m_payload_i_reg[0]_0\, I4 => m_axi_arready, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_3, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"003030303E3E3E3E" ) port map ( I0 => si_rs_arvalid, I1 => \^q\(1), I2 => \^q\(0), I3 => m_axi_arready, I4 => s_axburst_eq1_reg_0, I5 => \cnt_read_reg[2]_rep__0\, O => next_state(0) ); \state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00AAB000" ) port map ( I0 => \cnt_read_reg[2]_rep__0\, I1 => s_axburst_eq1_reg_0, I2 => m_axi_arready, I3 => \^m_payload_i_reg[0]_0\, I4 => \^m_payload_i_reg[0]\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^m_payload_i_reg[0]_0\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^m_payload_i_reg[0]\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_arvalid, I2 => \^m_payload_i_reg[0]_0\, O => \^e\(0) ); \wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wrap_second_len\(0), I1 => \m_payload_i_reg[44]\, O => D(0) ); \wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0000FCAAAAAAAA" ) port map ( I0 => \wrap_second_len_r_reg[1]\(0), I1 => axaddr_offset(2), I2 => \^axaddr_offset_r_reg[3]\(0), I3 => axaddr_offset(0), I4 => axaddr_offset(1), I5 => \^e\(0), O => \^wrap_second_len\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo is port ( \cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__1_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[0]_0\ : out STD_LOGIC; sel : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bvalid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); b_push : in STD_LOGIC; shandshake_r : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; \bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); mhandshake_r : in STD_LOGIC; bvalid_i_reg_0 : in STD_LOGIC; si_rs_bready : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo is signal bvalid_i_i_2_n_0 : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \^cnt_read_reg[1]_rep__1_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_5_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_6_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][4]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][5]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][6]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][7]_srl4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair116"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 "; attribute SOFT_HLUTNM of \memory_reg[3][0]_srl4_i_1__0\ : label is "soft_lutpair117"; attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 "; attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 "; attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 "; attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 "; attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 "; attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 "; attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 "; attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 "; attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 "; attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 "; attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 "; attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 "; attribute srl_bus_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][4]_srl4 "; attribute srl_bus_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][5]_srl4 "; attribute srl_bus_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][6]_srl4 "; attribute srl_bus_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][7]_srl4 "; attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 "; attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 "; begin \cnt_read_reg[0]_0\ <= \^cnt_read_reg[0]_0\; \cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\; \cnt_read_reg[1]_rep__1_0\ <= \^cnt_read_reg[1]_rep__1_0\; \bresp_cnt[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => areset_d1, I1 => \^cnt_read_reg[0]_0\, O => SR(0) ); bvalid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"002A" ) port map ( I0 => bvalid_i_i_2_n_0, I1 => bvalid_i_reg_0, I2 => si_rs_bready, I3 => areset_d1, O => bvalid_i_reg ); bvalid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00070707" ) port map ( I0 => \^cnt_read_reg[1]_rep__1_0\, I1 => \^cnt_read_reg[0]_rep__0_0\, I2 => shandshake_r, I3 => Q(1), I4 => Q(0), I5 => bvalid_i_reg_0, O => bvalid_i_i_2_n_0 ); \cnt_read[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \^cnt_read_reg[0]_0\, I1 => shandshake_r, I2 => Q(0), O => D(0) ); \cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^cnt_read_reg[0]_rep__0_0\, I1 => b_push, I2 => shandshake_r, O => \cnt_read[0]_i_1__2_n_0\ ); \cnt_read[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E718" ) port map ( I0 => \^cnt_read_reg[0]_rep__0_0\, I1 => b_push, I2 => shandshake_r, I3 => \^cnt_read_reg[1]_rep__1_0\, O => \cnt_read[1]_i_1_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => \^cnt_read_reg[0]_rep__0_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \^cnt_read_reg[1]_rep__1_0\, S => areset_d1 ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(0), Q => \memory_reg[3][0]_srl4_n_0\ ); \memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^cnt_read_reg[0]_0\, O => sel ); \memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFEFFFFFFFFFFFE" ) port map ( I0 => \memory_reg[3][0]_srl4_i_3_n_0\, I1 => \memory_reg[3][0]_srl4_i_4_n_0\, I2 => \memory_reg[3][0]_srl4_i_5_n_0\, I3 => \memory_reg[3][0]_srl4_i_6_n_0\, I4 => \bresp_cnt_reg[7]\(3), I5 => \memory_reg[3][3]_srl4_n_0\, O => \^cnt_read_reg[0]_0\ ); \memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"22F2FFFFFFFF22F2" ) port map ( I0 => \memory_reg[3][0]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(0), I2 => \memory_reg[3][2]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(2), I4 => \memory_reg[3][1]_srl4_n_0\, I5 => \bresp_cnt_reg[7]\(1), O => \memory_reg[3][0]_srl4_i_3_n_0\ ); \memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"F222FFFFFFFFF222" ) port map ( I0 => \bresp_cnt_reg[7]\(5), I1 => \memory_reg[3][5]_srl4_n_0\, I2 => \^cnt_read_reg[1]_rep__1_0\, I3 => \^cnt_read_reg[0]_rep__0_0\, I4 => \bresp_cnt_reg[7]\(7), I5 => \memory_reg[3][7]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_4_n_0\ ); \memory_reg[3][0]_srl4_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"2FF22FF2FFFF2FF2" ) port map ( I0 => \bresp_cnt_reg[7]\(2), I1 => \memory_reg[3][2]_srl4_n_0\, I2 => \memory_reg[3][4]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(4), I4 => \bresp_cnt_reg[7]\(0), I5 => \memory_reg[3][0]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_5_n_0\ ); \memory_reg[3][0]_srl4_i_6\: unisim.vcomponents.LUT5 generic map( INIT => X"6F6FFF6F" ) port map ( I0 => \memory_reg[3][6]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(6), I2 => mhandshake_r, I3 => \memory_reg[3][5]_srl4_n_0\, I4 => \bresp_cnt_reg[7]\(5), O => \memory_reg[3][0]_srl4_i_6_n_0\ ); \memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(10), Q => \out\(2) ); \memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(11), Q => \out\(3) ); \memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(12), Q => \out\(4) ); \memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(13), Q => \out\(5) ); \memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(14), Q => \out\(6) ); \memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(15), Q => \out\(7) ); \memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(16), Q => \out\(8) ); \memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(17), Q => \out\(9) ); \memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(18), Q => \out\(10) ); \memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(19), Q => \out\(11) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(1), Q => \memory_reg[3][1]_srl4_n_0\ ); \memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(2), Q => \memory_reg[3][2]_srl4_n_0\ ); \memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(3), Q => \memory_reg[3][3]_srl4_n_0\ ); \memory_reg[3][4]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(4), Q => \memory_reg[3][4]_srl4_n_0\ ); \memory_reg[3][5]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(5), Q => \memory_reg[3][5]_srl4_n_0\ ); \memory_reg[3][6]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(6), Q => \memory_reg[3][6]_srl4_n_0\ ); \memory_reg[3][7]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(7), Q => \memory_reg[3][7]_srl4_n_0\ ); \memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(8), Q => \out\(0) ); \memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(9), Q => \out\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is port ( mhandshake : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC; \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; mhandshake_r : in STD_LOGIC; shandshake_r : in STD_LOGIC; \bresp_cnt_reg[3]\ : in STD_LOGIC; sel : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair118"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair118"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 "; begin Q(1 downto 0) <= \^q\(1 downto 0); \cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \^q\(1), I1 => shandshake_r, I2 => \^q\(0), I3 => \bresp_cnt_reg[3]\, O => \cnt_read[1]_i_1__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => D(0), Q => \^q\(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__0_n_0\, Q => \^q\(1), S => areset_d1 ); m_axi_bready_INST_0: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => mhandshake_r, O => m_axi_bready ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => sel, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[1]\(0) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => sel, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[1]\(1) ); mhandshake_r_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => m_axi_bvalid, I1 => mhandshake_r, I2 => \^q\(0), I3 => \^q\(1), O => mhandshake ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is port ( \cnt_read_reg[3]_rep__2_0\ : out STD_LOGIC; wr_en0 : out STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); s_ready_i_reg : in STD_LOGIC; s_ready_i_reg_0 : in STD_LOGIC; si_rs_rready : in STD_LOGIC; \cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[3]_rep__2_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^wr_en0\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[4]_i_5\ : label is "soft_lutpair9"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]"; attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair7"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 "; attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 "; attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 "; attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 "; attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 "; attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 "; attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 "; attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 "; attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 "; attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 "; attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 "; attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 "; attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 "; attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 "; attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 "; attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 "; attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 "; attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 "; attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 "; attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair7"; begin \cnt_read_reg[3]_rep__2_0\ <= \^cnt_read_reg[3]_rep__2_0\; \cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\; \cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\; wr_en0 <= \^wr_en0\; \cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => s_ready_i_reg, I2 => \^wr_en0\, O => \cnt_read[0]_i_1__0_n_0\ ); \cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"A96A" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, I1 => \cnt_read_reg[0]_rep__2_n_0\, I2 => \^wr_en0\, I3 => s_ready_i_reg, O => \cnt_read[1]_i_1__2_n_0\ ); \cnt_read[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"A6AAAA9A" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => s_ready_i_reg, I3 => \^wr_en0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => \cnt_read[2]_i_1_n_0\ ); \cnt_read[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAA96AAAAAAA" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[0]_rep__2_n_0\, I4 => \^wr_en0\, I5 => s_ready_i_reg, O => \cnt_read[3]_i_1_n_0\ ); \cnt_read[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA55AAA6A6AAA6AA" ) port map ( I0 => \^cnt_read_reg[4]_rep__2_0\, I1 => \cnt_read[4]_i_2_n_0\, I2 => \cnt_read[4]_i_3_n_0\, I3 => s_ready_i_reg_0, I4 => \^cnt_read_reg[4]_rep__2_1\, I5 => \^cnt_read_reg[3]_rep__2_0\, O => \cnt_read[4]_i_1_n_0\ ); \cnt_read[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[4]_i_2_n_0\ ); \cnt_read[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => si_rs_rready, I2 => \cnt_read_reg[4]_rep__0_0\, I3 => \^wr_en0\, O => \cnt_read[4]_i_3_n_0\ ); \cnt_read[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => \cnt_read_reg[2]_rep__2_n_0\, O => \^cnt_read_reg[4]_rep__2_1\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \^cnt_read_reg[3]_rep__2_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \^cnt_read_reg[4]_rep__2_0\, S => areset_d1 ); m_axi_rready_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"F77F777F" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \^cnt_read_reg[4]_rep__2_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[2]_rep__2_n_0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => m_axi_rready ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(0), Q => \out\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA2A2AAA2A2A2AAA" ) port map ( I0 => m_axi_rvalid, I1 => \^cnt_read_reg[3]_rep__2_0\, I2 => \^cnt_read_reg[4]_rep__2_0\, I3 => \cnt_read_reg[1]_rep__2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[0]_rep__2_n_0\, O => \^wr_en0\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(10), Q => \out\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(11), Q => \out\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(12), Q => \out\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(13), Q => \out\(13), Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(14), Q => \out\(14), Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(15), Q => \out\(15), Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(16), Q => \out\(16), Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(17), Q => \out\(17), Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(18), Q => \out\(18), Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(19), Q => \out\(19), Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(1), Q => \out\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(20), Q => \out\(20), Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(21), Q => \out\(21), Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(22), Q => \out\(22), Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(23), Q => \out\(23), Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(24), Q => \out\(24), Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(25), Q => \out\(25), Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(26), Q => \out\(26), Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(27), Q => \out\(27), Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(28), Q => \out\(28), Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(29), Q => \out\(29), Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(2), Q => \out\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(30), Q => \out\(30), Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(31), Q => \out\(31), Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(32), Q => \out\(32), Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(33), Q => \out\(33), Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(3), Q => \out\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(4), Q => \out\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(5), Q => \out\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(6), Q => \out\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(7), Q => \out\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(8), Q => \out\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(9), Q => \out\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"7C000000" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \^cnt_read_reg[4]_rep__2_0\, I4 => \^cnt_read_reg[3]_rep__2_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is port ( \state_reg[1]_rep\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); r_push_r : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; \cnt_read_reg[0]_rep__2\ : in STD_LOGIC; si_rs_rready : in STD_LOGIC; wr_en0 : in STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : in STD_LOGIC; \cnt_read_reg[3]_rep__2\ : in STD_LOGIC; \cnt_read_reg[0]_rep__2_0\ : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_4__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_5__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^m_valid_i_reg\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[4]_i_4__0\ : label is "soft_lutpair11"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 "; begin m_valid_i_reg <= \^m_valid_i_reg\; \cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__0_n_0\, I1 => s_ready_i_reg, I2 => r_push_r, O => \cnt_read[0]_i_1__1_n_0\ ); \cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \cnt_read_reg[1]_rep__0_n_0\, I1 => \cnt_read_reg[0]_rep__0_n_0\, I2 => s_ready_i_reg, I3 => r_push_r, O => \cnt_read[1]_i_1__1_n_0\ ); \cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AA6AA9AA" ) port map ( I0 => \cnt_read_reg[2]_rep__0_n_0\, I1 => \cnt_read_reg[1]_rep__0_n_0\, I2 => r_push_r, I3 => s_ready_i_reg, I4 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[2]_i_1__0_n_0\ ); \cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA6AAAAAA9AAAA" ) port map ( I0 => \cnt_read_reg[3]_rep__0_n_0\, I1 => \cnt_read_reg[2]_rep__0_n_0\, I2 => \cnt_read_reg[1]_rep__0_n_0\, I3 => r_push_r, I4 => s_ready_i_reg, I5 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[3]_i_1__0_n_0\ ); \cnt_read[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6A666A6AAA99AAAA" ) port map ( I0 => \cnt_read_reg[4]_rep__0_n_0\, I1 => \cnt_read[4]_i_2__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read[4]_i_4__0_n_0\, I4 => \cnt_read[4]_i_5__0_n_0\, I5 => \cnt_read_reg[3]_rep__0_n_0\, O => \cnt_read[4]_i_1__0_n_0\ ); \cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"8A" ) port map ( I0 => r_push_r, I1 => \^m_valid_i_reg\, I2 => si_rs_rready, O => \cnt_read[4]_i_2__0_n_0\ ); \cnt_read[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[2]_rep__0_n_0\, I1 => \cnt_read_reg[1]_rep__0_n_0\, I2 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[4]_i_3__0_n_0\ ); \cnt_read[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, I2 => wr_en0, O => \cnt_read_reg[4]_rep__2\ ); \cnt_read[4]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__0_n_0\, I1 => si_rs_rready, I2 => \^m_valid_i_reg\, I3 => r_push_r, O => \cnt_read[4]_i_4__0_n_0\ ); \cnt_read[4]_i_5__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[1]_rep__0_n_0\, I1 => \cnt_read_reg[2]_rep__0_n_0\, O => \cnt_read[4]_i_5__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => \cnt_read_reg[4]_rep__0_n_0\, I1 => \cnt_read_reg[3]_rep__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read_reg[4]_rep__2_0\, I4 => \cnt_read_reg[3]_rep__2\, I5 => \cnt_read_reg[0]_rep__2_0\, O => \^m_valid_i_reg\ ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[46]\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(10), Q => \skid_buffer_reg[46]\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(11), Q => \skid_buffer_reg[46]\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(12), Q => \skid_buffer_reg[46]\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[46]\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(2), Q => \skid_buffer_reg[46]\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(3), Q => \skid_buffer_reg[46]\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(4), Q => \skid_buffer_reg[46]\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(5), Q => \skid_buffer_reg[46]\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(6), Q => \skid_buffer_reg[46]\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(7), Q => \skid_buffer_reg[46]\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(8), Q => \skid_buffer_reg[46]\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(9), Q => \skid_buffer_reg[46]\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"BEFEAAAAAAAAAAAA" ) port map ( I0 => \cnt_read_reg[0]_rep__2\, I1 => \cnt_read_reg[2]_rep__0_n_0\, I2 => \cnt_read_reg[1]_rep__0_n_0\, I3 => \cnt_read_reg[0]_rep__0_n_0\, I4 => \cnt_read_reg[3]_rep__0_n_0\, I5 => \cnt_read_reg[4]_rep__0_n_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is port ( \axlen_cnt_reg[4]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[1]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : out STD_LOGIC; \state_reg[1]_rep_1\ : out STD_LOGIC; \axlen_cnt_reg[5]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \next\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \axaddr_wrap_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \axlen_cnt_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; \m_payload_i_reg[49]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \axlen_cnt_reg[5]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[3]_0\ : in STD_LOGIC; \axlen_cnt_reg[4]_0\ : in STD_LOGIC; \wrap_second_len_r_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \axlen_cnt_reg[2]\ : in STD_LOGIC; next_pending_r_reg_0 : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; \sel_first__0\ : in STD_LOGIC; aclk : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axlen_cnt_reg[4]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^next\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sel_first_i\ : STD_LOGIC; signal \state[0]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_1__0_n_0\ : STD_LOGIC; signal \^state_reg[1]_rep_0\ : STD_LOGIC; signal \^state_reg[1]_rep_1\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \^wrap_second_len_r_reg[1]\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_5\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair112"; attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair111"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair112"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axlen_cnt_reg[4]\ <= \^axlen_cnt_reg[4]\; incr_next_pending <= \^incr_next_pending\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \next\ <= \^next\; sel_first_i <= \^sel_first_i\; \state_reg[1]_rep_0\ <= \^state_reg[1]_rep_0\; \state_reg[1]_rep_1\ <= \^state_reg[1]_rep_1\; wrap_next_pending <= \^wrap_next_pending\; \wrap_second_len_r_reg[1]\(0) <= \^wrap_second_len_r_reg[1]\(0); \axaddr_incr[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EEFE" ) port map ( I0 => sel_first_reg_2, I1 => \^m_payload_i_reg[0]\, I2 => \^state_reg[1]_rep_0\, I3 => \^state_reg[1]_rep_1\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]_0\(0), I1 => \m_payload_i_reg[49]\(3), I2 => \^state_reg[1]_rep_1\, I3 => si_rs_awvalid, I4 => \^state_reg[1]_rep_0\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset_r_reg[3]\(0) ); \axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[49]\(1), I4 => \axlen_cnt_reg[5]_0\(0), I5 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(0) ); \axlen_cnt[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(2), I2 => \axlen_cnt_reg[5]_0\(1), I3 => \axlen_cnt_reg[5]_0\(0), I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(1) ); \axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(4), I2 => \axlen_cnt_reg[5]_0\(2), I3 => \axlen_cnt_reg[3]_0\, I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(2) ); \axlen_cnt[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(5), I2 => \axlen_cnt_reg[5]_0\(3), I3 => \axlen_cnt_reg[4]_0\, I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(3) ); \axlen_cnt[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCFE" ) port map ( I0 => si_rs_awvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^state_reg[1]_rep_0\, I3 => \^state_reg[1]_rep_1\, O => \axaddr_wrap_reg[0]\(0) ); \axlen_cnt[7]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_awvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[3]\, O => \^axlen_cnt_reg[4]\ ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^state_reg[1]_rep_1\, I1 => \^state_reg[1]_rep_0\, O => m_axi_awvalid ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_awvalid, O => \m_payload_i_reg[0]_0\(0) ); \memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"88008888A800A8A8" ) port map ( I0 => \^state_reg[1]_rep_1\, I1 => \^state_reg[1]_rep_0\, I2 => m_axi_awready, I3 => \cnt_read_reg[0]_rep__0\, I4 => \cnt_read_reg[1]_rep__1\, I5 => s_axburst_eq1_reg_0, O => \^m_payload_i_reg[0]\ ); next_pending_r_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[48]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[3]\, I3 => \^next\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); \next_pending_r_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[46]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[2]\, I3 => \^next\, I4 => next_pending_r_reg_0, O => \^wrap_next_pending\ ); next_pending_r_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"F3F35100FFFF0000" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => \cnt_read_reg[1]_rep__1\, I2 => \cnt_read_reg[0]_rep__0\, I3 => m_axi_awready, I4 => \^state_reg[1]_rep_0\, I5 => \^state_reg[1]_rep_1\, O => \^next\ ); s_axburst_eq0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[49]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); s_axburst_eq1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[49]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); sel_first_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"CCCEFCFFCCCECCCE" ) port map ( I0 => si_rs_awvalid, I1 => areset_d1, I2 => \^state_reg[1]_rep_1\, I3 => \^state_reg[1]_rep_0\, I4 => \^m_payload_i_reg[0]\, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44440F04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44440F04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \sel_first__0\, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"2F" ) port map ( I0 => si_rs_awvalid, I1 => \^q\(0), I2 => \state[0]_i_2_n_0\, O => next_state(0) ); \state[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FA08FAFA0F0F0F0F" ) port map ( I0 => m_axi_awready, I1 => s_axburst_eq1_reg_0, I2 => \^state_reg[1]_rep_0\, I3 => \cnt_read_reg[0]_rep__0\, I4 => \cnt_read_reg[1]_rep__1\, I5 => \^state_reg[1]_rep_1\, O => \state[0]_i_2_n_0\ ); \state[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0C0CAE0000000000" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => \cnt_read_reg[1]_rep__1\, I2 => \cnt_read_reg[0]_rep__0\, I3 => m_axi_awready, I4 => \^state_reg[1]_rep_0\, I5 => \^state_reg[1]_rep_1\, O => \state[1]_i_1__0_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^state_reg[1]_rep_1\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \state[1]_i_1__0_n_0\, Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \state[1]_i_1__0_n_0\, Q => \^state_reg[1]_rep_0\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^state_reg[1]_rep_0\, I1 => si_rs_awvalid, I2 => \^state_reg[1]_rep_1\, O => \^e\(0) ); \wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wrap_second_len_r_reg[1]\(0), I1 => \m_payload_i_reg[44]\, O => D(0) ); \wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0000FCAAAAAAAA" ) port map ( I0 => \wrap_second_len_r_reg[1]_0\(0), I1 => \m_payload_i_reg[35]\(2), I2 => \^axaddr_offset_r_reg[3]\(0), I3 => \m_payload_i_reg[35]\(0), I4 => \m_payload_i_reg[35]\(1), I5 => \^e\(0), O => \^wrap_second_len_r_reg[1]\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); wrap_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \next\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_wrap[11]_i_2\ : label is "soft_lutpair114"; attribute SOFT_HLUTNM of \next_pending_r_i_3__0\ : label is "soft_lutpair114"; begin sel_first_reg_0 <= \^sel_first_reg_0\; \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(0), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(0), I3 => \next\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1_n_0\ ); \axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(10), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(10), I3 => \next\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1_n_0\ ); \axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(11), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(11), I3 => \next\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1_n_0\ ); \axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4_n_0\, I1 => wrap_cnt_r(3), I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2_n_0\ ); \axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => wrap_cnt_r(0), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[2]\, I3 => wrap_cnt_r(2), I4 => \axlen_cnt_reg_n_0_[1]\, I5 => wrap_cnt_r(1), O => \axaddr_wrap[11]_i_4_n_0\ ); \axaddr_wrap[11]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(11), O => \axaddr_wrap[11]_i_5_n_0\ ); \axaddr_wrap[11]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(10), O => \axaddr_wrap[11]_i_6_n_0\ ); \axaddr_wrap[11]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(9), O => \axaddr_wrap[11]_i_7_n_0\ ); \axaddr_wrap[11]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(8), O => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(1), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(1), I3 => \next\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1_n_0\ ); \axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(2), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(2), I3 => \next\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1_n_0\ ); \axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(3), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(3), I3 => \next\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => axaddr_wrap(3), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(2), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(1), I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => axaddr_wrap(0), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(4), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(4), I3 => \next\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1_n_0\ ); \axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(5), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(5), I3 => \next\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1_n_0\ ); \axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(6), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(6), I3 => \next\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1_n_0\ ); \axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(7), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(7), I3 => \next\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1_n_0\ ); \axaddr_wrap[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(7), O => \axaddr_wrap[7]_i_3_n_0\ ); \axaddr_wrap[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(6), O => \axaddr_wrap[7]_i_4_n_0\ ); \axaddr_wrap[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(5), O => \axaddr_wrap[7]_i_5_n_0\ ); \axaddr_wrap[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(4), O => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(8), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(8), I3 => \next\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1_n_0\ ); \axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(9), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(9), I3 => \next\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1_n_0\, Q => axaddr_wrap(0), R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1_n_0\, Q => axaddr_wrap(10), R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1_n_0\, Q => axaddr_wrap(11), R => '0' ); \axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(11 downto 8), S(3) => \axaddr_wrap[11]_i_5_n_0\, S(2) => \axaddr_wrap[11]_i_6_n_0\, S(1) => \axaddr_wrap[11]_i_7_n_0\, S(0) => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1_n_0\, Q => axaddr_wrap(1), R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1_n_0\, Q => axaddr_wrap(2), R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1_n_0\, Q => axaddr_wrap(3), R => '0' ); \axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => axaddr_wrap(3 downto 0), O(3 downto 0) => axaddr_wrap0(3 downto 0), S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1_n_0\, Q => axaddr_wrap(4), R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1_n_0\, Q => axaddr_wrap(5), R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1_n_0\, Q => axaddr_wrap(6), R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1_n_0\, Q => axaddr_wrap(7), R => '0' ); \axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(7 downto 4), S(3) => \axaddr_wrap[7]_i_3_n_0\, S(2) => \axaddr_wrap[7]_i_4_n_0\, S(1) => \axaddr_wrap[7]_i_5_n_0\, S(0) => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1_n_0\, Q => axaddr_wrap(8), R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1_n_0\, Q => axaddr_wrap(9), R => '0' ); \axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[0]_i_1__0_n_0\ ); \axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__0_n_0\ ); \axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__0_n_0\ ); \axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(0), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_awaddr(0) ); \m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(10), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_awaddr(10) ); \m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(11), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(7), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_awaddr(11) ); \m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(1), I1 => \^sel_first_reg_0\, I2 => axaddr_wrap(1), I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_awaddr(1) ); \m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(2), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(2), O => m_axi_awaddr(2) ); \m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(3), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_awaddr(3) ); \m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(4), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_awaddr(4) ); \m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(5), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(5), O => m_axi_awaddr(5) ); \m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(6), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(6), O => m_axi_awaddr(6) ); \m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(7), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_awaddr(7) ); \m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(8), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_awaddr(8) ); \m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(9), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_awaddr(9) ); \next_pending_r_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => wrap_boundary_axaddr_r(0), R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => wrap_boundary_axaddr_r(10), R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => wrap_boundary_axaddr_r(11), R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => wrap_boundary_axaddr_r(1), R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => wrap_boundary_axaddr_r(2), R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => wrap_boundary_axaddr_r(3), R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => wrap_boundary_axaddr_r(4), R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => wrap_boundary_axaddr_r(5), R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => wrap_boundary_axaddr_r(6), R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => wrap_boundary_axaddr_r(7), R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => wrap_boundary_axaddr_r(8), R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => wrap_boundary_axaddr_r(9), R => '0' ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => wrap_cnt_r(0), R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => wrap_cnt_r(1), R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => wrap_cnt_r(2), R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(3), Q => wrap_cnt_r(3), R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is port ( wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_13_b2s_wrap_cmd"; end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \next_pending_r_i_3__2_n_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin sel_first_reg_0 <= \^sel_first_reg_0\; wrap_next_pending <= \^wrap_next_pending\; \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1__0_n_0\ ); \axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4__0_n_0\, I1 => \wrap_cnt_r_reg_n_0_[3]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2__0_n_0\ ); \axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => \wrap_cnt_r_reg_n_0_[0]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \wrap_cnt_r_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \wrap_cnt_r_reg_n_0_[2]\, O => \axaddr_wrap[11]_i_4__0_n_0\ ); \axaddr_wrap[11]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[11]\, O => \axaddr_wrap[11]_i_5__0_n_0\ ); \axaddr_wrap[11]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[10]\, O => \axaddr_wrap[11]_i_6__0_n_0\ ); \axaddr_wrap[11]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[9]\, O => \axaddr_wrap[11]_i_7__0_n_0\ ); \axaddr_wrap[11]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[8]\, O => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1__0_n_0\ ); \axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[3]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[2]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[1]\, I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \axaddr_wrap_reg_n_0_[0]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1__0_n_0\ ); \axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1__0_n_0\ ); \axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_3__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[7]\, O => \axaddr_wrap[7]_i_3__0_n_0\ ); \axaddr_wrap[7]_i_4__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[6]\, O => \axaddr_wrap[7]_i_4__0_n_0\ ); \axaddr_wrap[7]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[5]\, O => \axaddr_wrap[7]_i_5__0_n_0\ ); \axaddr_wrap[7]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[4]\, O => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1__0_n_0\ ); \axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1__0_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[0]\, R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[10]\, R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[11]\, R => '0' ); \axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\, O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\, O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\, O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\, S(3) => \axaddr_wrap[11]_i_5__0_n_0\, S(2) => \axaddr_wrap[11]_i_6__0_n_0\, S(1) => \axaddr_wrap[11]_i_7__0_n_0\, S(0) => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[1]\, R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[2]\, R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[3]\, R => '0' ); \axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_wrap_reg_n_0_[3]\, DI(2) => \axaddr_wrap_reg_n_0_[2]\, DI(1) => \axaddr_wrap_reg_n_0_[1]\, DI(0) => \axaddr_wrap_reg_n_0_[0]\, O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\, S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[4]\, R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[5]\, R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[6]\, R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[7]\, R => '0' ); \axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\, S(3) => \axaddr_wrap[7]_i_3__0_n_0\, S(2) => \axaddr_wrap[7]_i_4__0_n_0\, S(1) => \axaddr_wrap[7]_i_5__0_n_0\, S(0) => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[8]\, R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[9]\, R => '0' ); \axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \axlen_cnt[0]_i_1__2_n_0\ ); \axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__2_n_0\ ); \axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__2_n_0\ ); \axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__2_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[0]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_araddr(0) ); \m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[10]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_araddr(10) ); \m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[11]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_araddr(11) ); \m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[1]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(1), O => m_axi_araddr(1) ); \m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(2), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[2]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_3, O => m_axi_araddr(2) ); \m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[3]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_araddr(3) ); \m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[4]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_araddr(4) ); \m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(5), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[5]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_araddr(5) ); \m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[6]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(6), O => m_axi_araddr(6) ); \m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[7]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_araddr(7) ); \m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[8]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_araddr(8) ); \m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[9]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_araddr(9) ); \next_pending_r_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FD55FC0C" ) port map ( I0 => \m_payload_i_reg[46]\, I1 => next_pending_r_reg_n_0, I2 => \state_reg[1]_rep_0\, I3 => \next_pending_r_i_3__2_n_0\, I4 => E(0), O => \^wrap_next_pending\ ); \next_pending_r_i_3__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) port map ( I0 => \state_reg[0]_rep\, I1 => si_rs_arvalid, I2 => \state_reg[1]_rep\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \next_pending_r_i_3__2_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \^wrap_next_pending\, Q => next_pending_r_reg_n_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\, R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\, R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\, R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\, R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\, R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\, R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\, R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\, R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\, R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\, R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\, R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\, R => '0' ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => \wrap_cnt_r_reg_n_0_[0]\, R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => \wrap_cnt_r_reg_n_0_[1]\, R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => \wrap_cnt_r_reg_n_0_[2]\, R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(3), Q => \wrap_cnt_r_reg_n_0_[3]\, R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice is port ( s_axi_arready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]_0\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_araddr[10]\ : out STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_second_len_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first_2 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice is signal \^q\ : STD_LOGIC_VECTOR ( 58 downto 0 ); signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[48]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[49]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[62]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[63]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[64]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_3__0_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[3]_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_3__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_4__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_2__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[62]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[63]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[64]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1__0\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1__0\ : label is "soft_lutpair14"; begin Q(58 downto 0) <= \^q\(58 downto 0); \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_arready <= \^s_axi_arready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \wrap_cnt_r_reg[3]_0\ <= \^wrap_cnt_r_reg[3]_0\; \wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0); \aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]_0\, Q => \^m_valid_i_reg_0\, R => '0' ); \axaddr_incr[0]_i_10__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(0), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_7\, O => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr[0]_i_12__0\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12__0_n_0\ ); \axaddr_incr[0]_i_13__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13__0_n_0\ ); \axaddr_incr[0]_i_14__0\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14__0_n_0\ ); \axaddr_incr[0]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_3__0_n_0\ ); \axaddr_incr[0]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_4__0_n_0\ ); \axaddr_incr[0]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first_2, O => \axaddr_incr[0]_i_5__0_n_0\ ); \axaddr_incr[0]_i_6__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_6__0_n_0\ ); \axaddr_incr[0]_i_7__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(3), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_4\, O => \axaddr_incr[0]_i_7__0_n_0\ ); \axaddr_incr[0]_i_8__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(2), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_5\, O => \axaddr_incr[0]_i_8__0_n_0\ ); \axaddr_incr[0]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => \axaddr_incr_reg[3]_0\(1), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_6\, O => \axaddr_incr[0]_i_9__0_n_0\ ); \axaddr_incr[4]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr[4]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7__0_n_0\ ); \axaddr_incr[4]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8__0_n_0\ ); \axaddr_incr[4]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9__0_n_0\ ); \axaddr_incr[8]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_incr[8]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7__0_n_0\ ); \axaddr_incr[8]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8__0_n_0\ ); \axaddr_incr[8]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9__0_n_0\ ); \axaddr_incr_reg[0]_i_11__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11__0_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12__0_n_0\, DI(1) => \axaddr_incr[0]_i_13__0_n_0\, DI(0) => \axaddr_incr[0]_i_14__0_n_0\, O(3) => \axaddr_incr_reg[0]_i_11__0_n_4\, O(2) => \axaddr_incr_reg[0]_i_11__0_n_5\, O(1) => \axaddr_incr_reg[0]_i_11__0_n_6\, O(0) => \axaddr_incr_reg[0]_i_11__0_n_7\, S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0) ); \axaddr_incr_reg[0]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[7]_0\(0), CO(2) => \axaddr_incr_reg[0]_i_2__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3__0_n_0\, DI(2) => \axaddr_incr[0]_i_4__0_n_0\, DI(1) => \axaddr_incr[0]_i_5__0_n_0\, DI(0) => \axaddr_incr[0]_i_6__0_n_0\, O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), S(3) => \axaddr_incr[0]_i_7__0_n_0\, S(2) => \axaddr_incr[0]_i_8__0_n_0\, S(1) => \axaddr_incr[0]_i_9__0_n_0\, S(0) => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr_reg[4]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7__0_n_0\, S(2) => \axaddr_incr[4]_i_8__0_n_0\, S(1) => \axaddr_incr[4]_i_9__0_n_0\, S(0) => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr_reg[8]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[8]_i_7__0_n_0\, S(2) => \axaddr_incr[8]_i_8__0_n_0\, S(1) => \axaddr_incr[8]_i_9__0_n_0\, S(0) => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0F0F088F0F0" ) port map ( I0 => \axaddr_offset_r[0]_i_2__0_n_0\, I1 => \^q\(39), I2 => \axaddr_offset_r_reg[3]_1\(0), I3 => \state_reg[1]\(1), I4 => \^s_ready_i_reg_0\, I5 => \state_reg[1]\(0), O => \^axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r[0]_i_2__0_n_0\ ); \axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_3__0_n_0\, I1 => \axaddr_offset_r[1]_i_2__0_n_0\, I2 => \^q\(35), I3 => \^q\(40), I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[3]_1\(1), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \axaddr_offset_r[2]_i_3__0_n_0\, I2 => \^q\(35), I3 => \^q\(41), I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[3]_1\(2), O => \^axaddr_offset_r_reg[2]\ ); \axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_3__0_n_0\ ); \axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]_rep_0\, O => \^axlen_cnt_reg[3]\ ); \m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first_2, O => \m_axi_araddr[10]\ ); \m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__0_n_0\ ); \m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__0_n_0\ ); \m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__0_n_0\ ); \m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(12), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__0_n_0\ ); \m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(13), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__1_n_0\ ); \m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(14), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__0_n_0\ ); \m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(15), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__0_n_0\ ); \m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(16), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__0_n_0\ ); \m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(17), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__0_n_0\ ); \m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(18), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__0_n_0\ ); \m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(19), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__0_n_0\ ); \m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__0_n_0\ ); \m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(20), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__0_n_0\ ); \m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(21), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__0_n_0\ ); \m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(22), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__0_n_0\ ); \m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(23), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__0_n_0\ ); \m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(24), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__0_n_0\ ); \m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(25), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__0_n_0\ ); \m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(26), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__0_n_0\ ); \m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(27), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__0_n_0\ ); \m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(28), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__0_n_0\ ); \m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(29), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__0_n_0\ ); \m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__0_n_0\ ); \m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(30), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__0_n_0\ ); \m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(31), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_2__0_n_0\ ); \m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__0_n_0\ ); \m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__0_n_0\ ); \m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__0_n_0\ ); \m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__0_n_0\ ); \m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__0_n_0\ ); \m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__0_n_0\ ); \m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__0_n_0\ ); \m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__0_n_0\ ); \m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__0_n_0\ ); \m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__0_n_0\ ); \m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_1__1_n_0\ ); \m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[47]\, O => \m_payload_i[47]_i_1__0_n_0\ ); \m_payload_i[48]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[48]\, O => \m_payload_i[48]_i_1__0_n_0\ ); \m_payload_i[49]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[49]\, O => \m_payload_i[49]_i_1__0_n_0\ ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__0_n_0\ ); \m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[50]\, O => \m_payload_i[50]_i_1__0_n_0\ ); \m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[51]\, O => \m_payload_i[51]_i_1__0_n_0\ ); \m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[53]\, O => \m_payload_i[53]_i_1__0_n_0\ ); \m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[54]\, O => \m_payload_i[54]_i_1__0_n_0\ ); \m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[55]\, O => \m_payload_i[55]_i_1__0_n_0\ ); \m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[56]\, O => \m_payload_i[56]_i_1__0_n_0\ ); \m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[57]\, O => \m_payload_i[57]_i_1__0_n_0\ ); \m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[58]\, O => \m_payload_i[58]_i_1__0_n_0\ ); \m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[59]\, O => \m_payload_i[59]_i_1__0_n_0\ ); \m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__0_n_0\ ); \m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[60]\, O => \m_payload_i[60]_i_1__0_n_0\ ); \m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[61]\, O => \m_payload_i[61]_i_1__0_n_0\ ); \m_payload_i[62]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[62]\, O => \m_payload_i[62]_i_1__0_n_0\ ); \m_payload_i[63]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[63]\, O => \m_payload_i[63]_i_1__0_n_0\ ); \m_payload_i[64]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[64]\, O => \m_payload_i[64]_i_1__0_n_0\ ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__0_n_0\ ); \m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__0_n_0\ ); \m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__0_n_0\ ); \m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__0_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[0]_i_1__0_n_0\, Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[10]_i_1__0_n_0\, Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[11]_i_1__0_n_0\, Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[12]_i_1__0_n_0\, Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[13]_i_1__1_n_0\, Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[14]_i_1__0_n_0\, Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[15]_i_1__0_n_0\, Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[16]_i_1__0_n_0\, Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[17]_i_1__0_n_0\, Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[18]_i_1__0_n_0\, Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[19]_i_1__0_n_0\, Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[1]_i_1__0_n_0\, Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[20]_i_1__0_n_0\, Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[21]_i_1__0_n_0\, Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[22]_i_1__0_n_0\, Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[23]_i_1__0_n_0\, Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[24]_i_1__0_n_0\, Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[25]_i_1__0_n_0\, Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[26]_i_1__0_n_0\, Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[27]_i_1__0_n_0\, Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[28]_i_1__0_n_0\, Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[29]_i_1__0_n_0\, Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[2]_i_1__0_n_0\, Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[30]_i_1__0_n_0\, Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[31]_i_2__0_n_0\, Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[32]_i_1__0_n_0\, Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[33]_i_1__0_n_0\, Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[34]_i_1__0_n_0\, Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[35]_i_1__0_n_0\, Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[36]_i_1__0_n_0\, Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[38]_i_1__0_n_0\, Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[39]_i_1__0_n_0\, Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[3]_i_1__0_n_0\, Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[44]_i_1__0_n_0\, Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[45]_i_1__0_n_0\, Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[46]_i_1__1_n_0\, Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[47]_i_1__0_n_0\, Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[48]_i_1__0_n_0\, Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[49]_i_1__0_n_0\, Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[4]_i_1__0_n_0\, Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[50]_i_1__0_n_0\, Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[51]_i_1__0_n_0\, Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[53]_i_1__0_n_0\, Q => \^q\(47), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[54]_i_1__0_n_0\, Q => \^q\(48), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[55]_i_1__0_n_0\, Q => \^q\(49), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[56]_i_1__0_n_0\, Q => \^q\(50), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[57]_i_1__0_n_0\, Q => \^q\(51), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[58]_i_1__0_n_0\, Q => \^q\(52), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[59]_i_1__0_n_0\, Q => \^q\(53), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[5]_i_1__0_n_0\, Q => \^q\(5), R => '0' ); \m_payload_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[60]_i_1__0_n_0\, Q => \^q\(54), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[61]_i_1__0_n_0\, Q => \^q\(55), R => '0' ); \m_payload_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[62]_i_1__0_n_0\, Q => \^q\(56), R => '0' ); \m_payload_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[63]_i_1__0_n_0\, Q => \^q\(57), R => '0' ); \m_payload_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[64]_i_1__0_n_0\, Q => \^q\(58), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[6]_i_1__0_n_0\, Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[7]_i_1__0_n_0\, Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[8]_i_1__0_n_0\, Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[9]_i_1__0_n_0\, Q => \^q\(9), R => '0' ); \m_valid_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"BFFFBBBB" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_ready_i_reg_0\, R => \^m_valid_i_reg_0\ ); \next_pending_r_i_2__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFD" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(46), I2 => \^q\(44), I3 => \^q\(45), I4 => \^q\(43), O => next_pending_r_reg ); \next_pending_r_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F444FFFF" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_arready\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); \skid_buffer_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); \skid_buffer_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); \skid_buffer_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); \skid_buffer_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); \skid_buffer_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); \skid_buffer_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); \skid_buffer_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(9), Q => \skid_buffer_reg_n_0_[62]\, R => '0' ); \skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(10), Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); \skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(11), Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0202AAAAA202A" ) port map ( I0 => \^q\(2), I1 => \^q\(40), I2 => \^q\(35), I3 => \^q\(41), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"002A882A222AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(40), I5 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBABBCCCCC0CC" ) port map ( I0 => \wrap_second_len_r[0]_i_2__0_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^s_ready_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3__0_n_0\, O => \wrap_cnt_r_reg[3]\(0) ); \wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(1), I1 => \^wrap_cnt_r_reg[3]_0\, I2 => wrap_second_len_1(0), O => \wrap_cnt_r_reg[3]\(1) ); \wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => wrap_second_len_1(0), I2 => \^wrap_cnt_r_reg[3]_0\, I3 => \^wrap_second_len_r_reg[3]\(1), O => \wrap_cnt_r_reg[3]\(2) ); \wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAAB" ) port map ( I0 => \wrap_cnt_r[3]_i_3__0_n_0\, I1 => \^axaddr_offset_r_reg[1]\, I2 => \^axaddr_offset_r_reg[0]\, I3 => \axaddr_offset_r_reg[3]_0\(0), I4 => \^axaddr_offset_r_reg[2]\, O => \^wrap_cnt_r_reg[3]_0\ ); \wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0F0F0F0F880F0F" ) port map ( I0 => \axaddr_offset_r[0]_i_2__0_n_0\, I1 => \^q\(39), I2 => \wrap_second_len_r_reg[3]_0\(0), I3 => \state_reg[1]\(1), I4 => \^s_ready_i_reg_0\, I5 => \state_reg[1]\(0), O => \wrap_cnt_r[3]_i_3__0_n_0\ ); \wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4444454444444044" ) port map ( I0 => \wrap_second_len_r[0]_i_2__0_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^s_ready_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3__0_n_0\, O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAA8080000A808" ) port map ( I0 => \wrap_second_len_r[0]_i_4__0_n_0\, I1 => \^q\(0), I2 => \^q\(36), I3 => \^q\(2), I4 => \^q\(35), I5 => \axaddr_offset_r[1]_i_2__0_n_0\, O => \wrap_second_len_r[0]_i_2__0_n_0\ ); \wrap_second_len_r[0]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFBA" ) port map ( I0 => \^axaddr_offset_r_reg[2]\, I1 => \state_reg[1]_rep\, I2 => \axaddr_offset_r_reg[3]_1\(3), I3 => \wrap_second_len_r[3]_i_2__0_n_0\, I4 => \^axaddr_offset_r_reg[0]\, I5 => \^axaddr_offset_r_reg[1]\, O => \wrap_second_len_r[0]_i_3__0_n_0\ ); \wrap_second_len_r[0]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \^q\(39), I1 => \state_reg[1]\(0), I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]\(1), O => \wrap_second_len_r[0]_i_4__0_n_0\ ); \wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"EE10FFFFEE100000" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, I1 => \^axaddr_offset_r_reg[0]\, I2 => \axaddr_offset_r_reg[3]_0\(0), I3 => \^axaddr_offset_r_reg[2]\, I4 => \state_reg[1]_rep\, I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFF444444444" ) port map ( I0 => \state_reg[1]_rep\, I1 => \wrap_second_len_r_reg[3]_0\(2), I2 => \^axaddr_offset_r_reg[0]\, I3 => \^axaddr_offset_r_reg[1]\, I4 => \^axaddr_offset_r_reg[2]\, I5 => \wrap_second_len_r[3]_i_2__0_n_0\, O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r[3]_i_2__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0 is port ( s_axi_awready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_awaddr[10]\ : out STD_LOGIC; \aresetn_d_reg[1]_inv\ : out STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[1]_inv_0\ : in STD_LOGIC; aresetn : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; b_push : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0 : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0 is signal C : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 58 downto 0 ); signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC; signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 64 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_3_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[3]\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_2_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_3_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_4_n_0\ : STD_LOGIC; signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_3\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axlen_cnt[3]_i_2\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[62]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[63]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[64]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \wrap_second_len_r[0]_i_4\ : label is "soft_lutpair46"; begin Q(58 downto 0) <= \^q\(58 downto 0); \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_awready <= \^s_axi_awready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \wrap_cnt_r_reg[3]\ <= \^wrap_cnt_r_reg[3]\; \wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0); \aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, I1 => aresetn, O => \aresetn_d_reg[1]_inv\ ); \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => \aresetn_d_reg_n_0_[0]\, R => '0' ); \axaddr_incr[0]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(0), I3 => sel_first, I4 => C(0), O => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr[0]_i_12\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12_n_0\ ); \axaddr_incr[0]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13_n_0\ ); \axaddr_incr[0]_i_14\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14_n_0\ ); \axaddr_incr[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_3_n_0\ ); \axaddr_incr[0]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_4_n_0\ ); \axaddr_incr[0]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first, O => \axaddr_incr[0]_i_5_n_0\ ); \axaddr_incr[0]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_6_n_0\ ); \axaddr_incr[0]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(3), I3 => sel_first, I4 => C(3), O => \axaddr_incr[0]_i_7_n_0\ ); \axaddr_incr[0]_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(2), I3 => sel_first, I4 => C(2), O => \axaddr_incr[0]_i_8_n_0\ ); \axaddr_incr[0]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => axaddr_incr_reg(1), I3 => sel_first, I4 => C(1), O => \axaddr_incr[0]_i_9_n_0\ ); \axaddr_incr[4]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr[4]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7_n_0\ ); \axaddr_incr[4]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8_n_0\ ); \axaddr_incr[4]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9_n_0\ ); \axaddr_incr[8]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_incr[8]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7_n_0\ ); \axaddr_incr[8]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8_n_0\ ); \axaddr_incr[8]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9_n_0\ ); \axaddr_incr_reg[0]_i_11\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12_n_0\, DI(1) => \axaddr_incr[0]_i_13_n_0\, DI(0) => \axaddr_incr[0]_i_14_n_0\, O(3 downto 0) => C(3 downto 0), S(3 downto 0) => S(3 downto 0) ); \axaddr_incr_reg[0]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => CO(0), CO(2) => \axaddr_incr_reg[0]_i_2_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3_n_0\, DI(2) => \axaddr_incr[0]_i_4_n_0\, DI(1) => \axaddr_incr[0]_i_5_n_0\, DI(0) => \axaddr_incr[0]_i_6_n_0\, O(3 downto 0) => O(3 downto 0), S(3) => \axaddr_incr[0]_i_7_n_0\, S(2) => \axaddr_incr[0]_i_8_n_0\, S(1) => \axaddr_incr[0]_i_9_n_0\, S(0) => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr_reg[4]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7_n_0\, S(2) => \axaddr_incr[4]_i_8_n_0\, S(1) => \axaddr_incr[4]_i_9_n_0\, S(0) => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr_reg[8]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(7 downto 4), S(3) => \axaddr_incr[8]_i_7_n_0\, S(2) => \axaddr_incr[8]_i_8_n_0\, S(1) => \axaddr_incr[8]_i_9_n_0\, S(0) => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0F0F088F0F0" ) port map ( I0 => \axaddr_offset_r[0]_i_2_n_0\, I1 => \^q\(39), I2 => \axaddr_offset_r_reg[3]_1\(0), I3 => \state_reg[1]\(1), I4 => \^m_valid_i_reg_0\, I5 => \state_reg[1]\(0), O => \^axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r[0]_i_2_n_0\ ); \axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_3_n_0\, I1 => \axaddr_offset_r[1]_i_2_n_0\, I2 => \^q\(35), I3 => \^q\(40), I4 => \state_reg[1]_rep_0\, I5 => \axaddr_offset_r_reg[3]_1\(1), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_2_n_0\ ); \axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \axaddr_offset_r[2]_i_3_n_0\, I2 => \^q\(35), I3 => \^q\(41), I4 => \state_reg[1]_rep_0\, I5 => \axaddr_offset_r_reg[3]_1\(2), O => \^axaddr_offset_r_reg[2]\ ); \axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_2_n_0\ ); \axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_3_n_0\ ); \axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_rep\, O => \^axlen_cnt_reg[3]\ ); \m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first, O => \m_axi_awaddr[10]\ ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(12), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(13), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(14), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(15), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(16), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(17), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(18), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(19), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(20), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(21), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(22), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(23), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(24), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(25), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(26), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(27), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(28), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(29), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(30), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(31), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[47]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[47]\, O => skid_buffer(47) ); \m_payload_i[48]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[48]\, O => skid_buffer(48) ); \m_payload_i[49]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[49]\, O => skid_buffer(49) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[50]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[50]\, O => skid_buffer(50) ); \m_payload_i[51]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[51]\, O => skid_buffer(51) ); \m_payload_i[53]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[53]\, O => skid_buffer(53) ); \m_payload_i[54]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[54]\, O => skid_buffer(54) ); \m_payload_i[55]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[55]\, O => skid_buffer(55) ); \m_payload_i[56]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[56]\, O => skid_buffer(56) ); \m_payload_i[57]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[57]\, O => skid_buffer(57) ); \m_payload_i[58]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[58]\, O => skid_buffer(58) ); \m_payload_i[59]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[59]\, O => skid_buffer(59) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[60]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[60]\, O => skid_buffer(60) ); \m_payload_i[61]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[61]\, O => skid_buffer(61) ); \m_payload_i[62]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[62]\, O => skid_buffer(62) ); \m_payload_i[63]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[63]\, O => skid_buffer(63) ); \m_payload_i[64]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[64]\, O => skid_buffer(64) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(0), Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(10), Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(11), Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(12), Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(13), Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(14), Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(15), Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(16), Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(17), Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(18), Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(19), Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(1), Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(20), Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(21), Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(22), Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(23), Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(24), Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(25), Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(26), Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(27), Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(28), Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(29), Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(2), Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(30), Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(31), Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(32), Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(33), Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(35), Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(36), Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(38), Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(39), Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(3), Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(44), Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(45), Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(46), Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(47), Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(48), Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(49), Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(4), Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(50), Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(51), Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(53), Q => \^q\(47), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(54), Q => \^q\(48), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(55), Q => \^q\(49), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(56), Q => \^q\(50), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(57), Q => \^q\(51), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(58), Q => \^q\(52), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(59), Q => \^q\(53), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(5), Q => \^q\(5), R => '0' ); \m_payload_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(60), Q => \^q\(54), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(61), Q => \^q\(55), R => '0' ); \m_payload_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(62), Q => \^q\(56), R => '0' ); \m_payload_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(63), Q => \^q\(57), R => '0' ); \m_payload_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(64), Q => \^q\(58), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(6), Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(7), Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(8), Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(9), Q => \^q\(9), R => '0' ); \m_valid_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]_inv_0\ ); next_pending_r_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(43), I2 => \^q\(44), I3 => \^q\(46), I4 => \^q\(45), O => next_pending_r_reg ); \next_pending_r_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, O => \^s_ready_i_reg_0\ ); s_ready_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"BFBB" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_awready\, R => \^s_ready_i_reg_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); \skid_buffer_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); \skid_buffer_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); \skid_buffer_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); \skid_buffer_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); \skid_buffer_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); \skid_buffer_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); \skid_buffer_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(9), Q => \skid_buffer_reg_n_0_[62]\, R => '0' ); \skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(10), Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); \skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(11), Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0202AAAAA202A" ) port map ( I0 => \^q\(2), I1 => \^q\(40), I2 => \^q\(35), I3 => \^q\(41), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"002A882A222AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(40), I5 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBABBCCCCC0CC" ) port map ( I0 => \wrap_second_len_r[0]_i_2_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^m_valid_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3_n_0\, O => D(0) ); \wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(1), I1 => \^wrap_cnt_r_reg[3]\, I2 => wrap_second_len(0), O => D(1) ); \wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => wrap_second_len(0), I2 => \^wrap_cnt_r_reg[3]\, I3 => \^wrap_second_len_r_reg[3]\(1), O => D(2) ); \wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAAB" ) port map ( I0 => \wrap_cnt_r[3]_i_3_n_0\, I1 => \^axaddr_offset_r_reg[1]\, I2 => \^axaddr_offset_r_reg[0]\, I3 => \axaddr_offset_r_reg[3]_0\(0), I4 => \^axaddr_offset_r_reg[2]\, O => \^wrap_cnt_r_reg[3]\ ); \wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0F0F0F0F880F0F" ) port map ( I0 => \axaddr_offset_r[0]_i_2_n_0\, I1 => \^q\(39), I2 => \wrap_second_len_r_reg[3]_0\(0), I3 => \state_reg[1]\(1), I4 => \^m_valid_i_reg_0\, I5 => \state_reg[1]\(0), O => \wrap_cnt_r[3]_i_3_n_0\ ); \wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4444454444444044" ) port map ( I0 => \wrap_second_len_r[0]_i_2_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^m_valid_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3_n_0\, O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAA8080000A808" ) port map ( I0 => \wrap_second_len_r[0]_i_4_n_0\, I1 => \^q\(0), I2 => \^q\(36), I3 => \^q\(2), I4 => \^q\(35), I5 => \axaddr_offset_r[1]_i_2_n_0\, O => \wrap_second_len_r[0]_i_2_n_0\ ); \wrap_second_len_r[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFBA" ) port map ( I0 => \^axaddr_offset_r_reg[2]\, I1 => \state_reg[1]_rep_0\, I2 => \axaddr_offset_r_reg[3]_1\(3), I3 => \wrap_second_len_r[3]_i_2_n_0\, I4 => \^axaddr_offset_r_reg[0]\, I5 => \^axaddr_offset_r_reg[1]\, O => \wrap_second_len_r[0]_i_3_n_0\ ); \wrap_second_len_r[0]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \^q\(39), I1 => \state_reg[0]_rep\, I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_rep\, O => \wrap_second_len_r[0]_i_4_n_0\ ); \wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"EE10FFFFEE100000" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, I1 => \^axaddr_offset_r_reg[0]\, I2 => \axaddr_offset_r_reg[3]_0\(0), I3 => \^axaddr_offset_r_reg[2]\, I4 => \state_reg[1]_rep_0\, I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFF444444444" ) port map ( I0 => \state_reg[1]_rep_0\, I1 => \wrap_second_len_r_reg[3]_0\(2), I2 => \^axaddr_offset_r_reg[0]\, I3 => \^axaddr_offset_r_reg[1]\, I4 => \^axaddr_offset_r_reg[2]\, I5 => \wrap_second_len_r[3]_i_2_n_0\, O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r[3]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair80"; begin s_axi_bvalid <= \^s_axi_bvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__1_n_0\ ); \m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__1_n_0\ ); \m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__1_n_0\ ); \m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__1_n_0\ ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, O => p_1_in ); \m_payload_i[13]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_2_n_0\ ); \m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__1_n_0\ ); \m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__1_n_0\ ); \m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__1_n_0\ ); \m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__1_n_0\ ); \m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__1_n_0\ ); \m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__1_n_0\ ); \m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__1_n_0\ ); \m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__1_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__1_n_0\, Q => \s_axi_bid[11]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__1_n_0\, Q => \s_axi_bid[11]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__1_n_0\, Q => \s_axi_bid[11]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__1_n_0\, Q => \s_axi_bid[11]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_2_n_0\, Q => \s_axi_bid[11]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__1_n_0\, Q => \s_axi_bid[11]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__1_n_0\, Q => \s_axi_bid[11]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__1_n_0\, Q => \s_axi_bid[11]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__1_n_0\, Q => \s_axi_bid[11]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__1_n_0\, Q => \s_axi_bid[11]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__1_n_0\, Q => \s_axi_bid[11]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__1_n_0\, Q => \s_axi_bid[11]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__1_n_0\, Q => \s_axi_bid[11]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__1_n_0\, Q => \s_axi_bid[11]\(9), R => '0' ); m_valid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => si_rs_bvalid, I3 => \^skid_buffer_reg[0]_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_axi_bvalid\, R => \aresetn_d_reg[1]_inv\ ); s_ready_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => si_rs_bvalid, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_bready, I3 => \^s_axi_bvalid\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \s_bresp_acc_reg[1]\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(8), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(9), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(10), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(11), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \s_bresp_acc_reg[1]\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(0), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(1), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(2), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(3), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(4), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(5), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(6), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(7), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is port ( s_axi_rvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \cnt_read_reg[3]_rep__0\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; \cnt_read_reg[4]_rep__0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 ); \cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\; architecture STRUCTURE of \zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC; signal \m_valid_i_i_1__1_n_0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair85"; begin s_axi_rvalid <= \^s_axi_rvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \cnt_read[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^skid_buffer_reg[0]_0\, I1 => \cnt_read_reg[4]_rep__0\, O => \cnt_read_reg[3]_rep__0\ ); \m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__2_n_0\ ); \m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__2_n_0\ ); \m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__2_n_0\ ); \m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__2_n_0\ ); \m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(13), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__2_n_0\ ); \m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(14), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__1_n_0\ ); \m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(15), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__1_n_0\ ); \m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(16), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__1_n_0\ ); \m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(17), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__1_n_0\ ); \m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(18), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__1_n_0\ ); \m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(19), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__1_n_0\ ); \m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__2_n_0\ ); \m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(20), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__1_n_0\ ); \m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(21), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__1_n_0\ ); \m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(22), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__1_n_0\ ); \m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(23), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__1_n_0\ ); \m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(24), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__1_n_0\ ); \m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(25), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__1_n_0\ ); \m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(26), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__1_n_0\ ); \m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(27), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__1_n_0\ ); \m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(28), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__1_n_0\ ); \m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(29), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__2_n_0\ ); \m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(30), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__1_n_0\ ); \m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(31), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_1__1_n_0\ ); \m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(32), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__1_n_0\ ); \m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(33), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__1_n_0\ ); \m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__1_n_0\ ); \m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__1_n_0\ ); \m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__1_n_0\ ); \m_payload_i[37]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[37]\, O => \m_payload_i[37]_i_1_n_0\ ); \m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__1_n_0\ ); \m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__1_n_0\ ); \m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__2_n_0\ ); \m_payload_i[40]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[40]\, O => \m_payload_i[40]_i_1_n_0\ ); \m_payload_i[41]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[41]\, O => \m_payload_i[41]_i_1_n_0\ ); \m_payload_i[42]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[42]\, O => \m_payload_i[42]_i_1_n_0\ ); \m_payload_i[43]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[43]\, O => \m_payload_i[43]_i_1_n_0\ ); \m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__1_n_0\ ); \m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__1_n_0\ ); \m_payload_i[46]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, O => p_1_in ); \m_payload_i[46]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_2_n_0\ ); \m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__2_n_0\ ); \m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__2_n_0\ ); \m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__2_n_0\ ); \m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__2_n_0\ ); \m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__2_n_0\ ); \m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__2_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__2_n_0\, Q => \s_axi_rid[11]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__2_n_0\, Q => \s_axi_rid[11]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__2_n_0\, Q => \s_axi_rid[11]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__2_n_0\, Q => \s_axi_rid[11]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_1__2_n_0\, Q => \s_axi_rid[11]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[14]_i_1__1_n_0\, Q => \s_axi_rid[11]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[15]_i_1__1_n_0\, Q => \s_axi_rid[11]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[16]_i_1__1_n_0\, Q => \s_axi_rid[11]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[17]_i_1__1_n_0\, Q => \s_axi_rid[11]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[18]_i_1__1_n_0\, Q => \s_axi_rid[11]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[19]_i_1__1_n_0\, Q => \s_axi_rid[11]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__2_n_0\, Q => \s_axi_rid[11]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[20]_i_1__1_n_0\, Q => \s_axi_rid[11]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[21]_i_1__1_n_0\, Q => \s_axi_rid[11]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[22]_i_1__1_n_0\, Q => \s_axi_rid[11]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[23]_i_1__1_n_0\, Q => \s_axi_rid[11]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[24]_i_1__1_n_0\, Q => \s_axi_rid[11]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[25]_i_1__1_n_0\, Q => \s_axi_rid[11]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[26]_i_1__1_n_0\, Q => \s_axi_rid[11]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[27]_i_1__1_n_0\, Q => \s_axi_rid[11]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[28]_i_1__1_n_0\, Q => \s_axi_rid[11]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[29]_i_1__1_n_0\, Q => \s_axi_rid[11]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__2_n_0\, Q => \s_axi_rid[11]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[30]_i_1__1_n_0\, Q => \s_axi_rid[11]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[31]_i_1__1_n_0\, Q => \s_axi_rid[11]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[32]_i_1__1_n_0\, Q => \s_axi_rid[11]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[33]_i_1__1_n_0\, Q => \s_axi_rid[11]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[34]_i_1__1_n_0\, Q => \s_axi_rid[11]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[35]_i_1__1_n_0\, Q => \s_axi_rid[11]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[36]_i_1__1_n_0\, Q => \s_axi_rid[11]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[37]_i_1_n_0\, Q => \s_axi_rid[11]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[38]_i_1__1_n_0\, Q => \s_axi_rid[11]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[39]_i_1__1_n_0\, Q => \s_axi_rid[11]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__2_n_0\, Q => \s_axi_rid[11]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[40]_i_1_n_0\, Q => \s_axi_rid[11]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[41]_i_1_n_0\, Q => \s_axi_rid[11]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[42]_i_1_n_0\, Q => \s_axi_rid[11]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[43]_i_1_n_0\, Q => \s_axi_rid[11]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[44]_i_1__1_n_0\, Q => \s_axi_rid[11]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[45]_i_1__1_n_0\, Q => \s_axi_rid[11]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[46]_i_2_n_0\, Q => \s_axi_rid[11]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__2_n_0\, Q => \s_axi_rid[11]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__2_n_0\, Q => \s_axi_rid[11]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__2_n_0\, Q => \s_axi_rid[11]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__2_n_0\, Q => \s_axi_rid[11]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__2_n_0\, Q => \s_axi_rid[11]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__2_n_0\, Q => \s_axi_rid[11]\(9), R => '0' ); \m_valid_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"4FFF" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => \cnt_read_reg[4]_rep__0\, I3 => \^skid_buffer_reg[0]_0\, O => \m_valid_i_i_1__1_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__1_n_0\, Q => \^s_axi_rvalid\, R => \aresetn_d_reg[1]_inv\ ); \s_ready_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F8FF" ) port map ( I0 => \cnt_read_reg[4]_rep__0\, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \s_ready_i_i_1__2_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__2_n_0\, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(32), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(33), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(1), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(2), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(3), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(4), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(5), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(6), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(7), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(8), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(9), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(10), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(11), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(12), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_b_channel is port ( si_rs_bvalid : out STD_LOGIC; \cnt_read_reg[0]_rep__0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__1\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; aclk : in STD_LOGIC; b_push : in STD_LOGIC; si_rs_bready : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_b_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_b_channel is signal bid_fifo_0_n_2 : STD_LOGIC; signal bid_fifo_0_n_3 : STD_LOGIC; signal bid_fifo_0_n_6 : STD_LOGIC; signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal bresp_push : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mhandshake : STD_LOGIC; signal mhandshake_r : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s_bresp_acc0 : STD_LOGIC; signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC; signal shandshake : STD_LOGIC; signal shandshake_r : STD_LOGIC; signal \^si_rs_bvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair120"; begin si_rs_bvalid <= \^si_rs_bvalid\; bid_fifo_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo port map ( D(0) => bid_fifo_0_n_2, Q(1 downto 0) => cnt_read(1 downto 0), SR(0) => s_bresp_acc0, aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0), bvalid_i_reg => bid_fifo_0_n_6, bvalid_i_reg_0 => \^si_rs_bvalid\, \cnt_read_reg[0]_0\ => bid_fifo_0_n_3, \cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1_0\ => \cnt_read_reg[1]_rep__1\, \in\(19 downto 0) => \in\(19 downto 0), mhandshake_r => mhandshake_r, \out\(11 downto 0) => \out\(11 downto 0), sel => bresp_push, shandshake_r => shandshake_r, si_rs_bready => si_rs_bready ); \bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \bresp_cnt_reg__0\(0), O => p_0_in(0) ); \bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(1), I1 => \bresp_cnt_reg__0\(0), O => p_0_in(1) ); \bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(2), I1 => \bresp_cnt_reg__0\(0), I2 => \bresp_cnt_reg__0\(1), O => p_0_in(2) ); \bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \bresp_cnt_reg__0\(3), I1 => \bresp_cnt_reg__0\(1), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(2), O => p_0_in(3) ); \bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(4), I1 => \bresp_cnt_reg__0\(2), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(1), I4 => \bresp_cnt_reg__0\(3), O => p_0_in(4) ); \bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => p_0_in(5) ); \bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(6), I1 => \bresp_cnt[7]_i_3_n_0\, O => p_0_in(6) ); \bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(7), I1 => \bresp_cnt[7]_i_3_n_0\, I2 => \bresp_cnt_reg__0\(6), O => p_0_in(7) ); \bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => \bresp_cnt[7]_i_3_n_0\ ); \bresp_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(0), Q => \bresp_cnt_reg__0\(0), R => s_bresp_acc0 ); \bresp_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(1), Q => \bresp_cnt_reg__0\(1), R => s_bresp_acc0 ); \bresp_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(2), Q => \bresp_cnt_reg__0\(2), R => s_bresp_acc0 ); \bresp_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(3), Q => \bresp_cnt_reg__0\(3), R => s_bresp_acc0 ); \bresp_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(4), Q => \bresp_cnt_reg__0\(4), R => s_bresp_acc0 ); \bresp_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(5), Q => \bresp_cnt_reg__0\(5), R => s_bresp_acc0 ); \bresp_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(6), Q => \bresp_cnt_reg__0\(6), R => s_bresp_acc0 ); \bresp_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(7), Q => \bresp_cnt_reg__0\(7), R => s_bresp_acc0 ); bresp_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ port map ( D(0) => bid_fifo_0_n_2, Q(1 downto 0) => cnt_read(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \bresp_cnt_reg[3]\ => bid_fifo_0_n_3, \in\(1) => \s_bresp_acc_reg_n_0_[1]\, \in\(0) => \s_bresp_acc_reg_n_0_[0]\, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, mhandshake => mhandshake, mhandshake_r => mhandshake_r, sel => bresp_push, shandshake_r => shandshake_r, \skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0) ); bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => bid_fifo_0_n_6, Q => \^si_rs_bvalid\, R => '0' ); mhandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => mhandshake, Q => mhandshake_r, R => areset_d1 ); \s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EACEAAAA" ) port map ( I0 => \s_bresp_acc_reg_n_0_[0]\, I1 => m_axi_bresp(0), I2 => m_axi_bresp(1), I3 => \s_bresp_acc_reg_n_0_[1]\, I4 => mhandshake, I5 => s_bresp_acc0, O => \s_bresp_acc[0]_i_1_n_0\ ); \s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00EC" ) port map ( I0 => m_axi_bresp(1), I1 => \s_bresp_acc_reg_n_0_[1]\, I2 => mhandshake, I3 => s_bresp_acc0, O => \s_bresp_acc[1]_i_1_n_0\ ); \s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[0]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[0]\, R => '0' ); \s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[1]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[1]\, R => '0' ); shandshake_r_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^si_rs_bvalid\, I1 => si_rs_bready, O => shandshake ); shandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => shandshake, Q => shandshake_r, R => areset_d1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator is port ( next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \sel_first__0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]\ : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \axlen_cnt_reg[4]\ : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; wrap_next_pending : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 21 downto 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \next\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_21 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd port map ( CO(0) => CO(0), D(3 downto 0) => D(3 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(3 downto 0) => Q(3 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axlen_cnt_reg[4]_0\ => \axlen_cnt_reg[4]\, \axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]\, incr_next_pending => incr_next_pending, \m_axi_awaddr[1]\ => incr_cmd_0_n_21, \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(9 downto 8) => \m_payload_i_reg[51]\(21 downto 20), \m_payload_i_reg[51]\(7) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(6 downto 4) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, next_pending_r_reg_1 => next_pending_r_reg_1, sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_2, \state_reg[0]\ => \state_reg[0]\, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); \memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[1]_rep\ ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); wrap_cmd_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd port map ( E(0) => E(0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(2 downto 1) => \^axaddr_incr_reg[3]\(3 downto 2), \axaddr_incr_reg[3]\(0) => \^axaddr_incr_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), \next\ => \next\, next_pending_r_reg_0 => next_pending_r_reg_0, next_pending_r_reg_1 => next_pending_r_reg_2, sel_first_reg_0 => \sel_first__0\, sel_first_reg_1 => sel_first_reg_3, sel_first_reg_2 => incr_cmd_0_n_21, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is port ( next_pending_r_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; sel_first_reg_1 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); next_pending_r_reg_0 : out STD_LOGIC; r_rlast : out STD_LOGIC; \state_reg[0]_rep\ : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_3 : in STD_LOGIC; sel_first_reg_4 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_13_b2s_cmd_translator"; end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_16 : STD_LOGIC; signal incr_cmd_0_n_17 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair5"; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 port map ( CO(0) => CO(0), D(1 downto 0) => D(1 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(1 downto 0) => Q(1 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]_0\(6 downto 1) => axaddr_incr_reg(11 downto 6), \axaddr_incr_reg[11]_0\(0) => axaddr_incr_reg(4), \axaddr_incr_reg[11]_1\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), incr_next_pending => incr_next_pending, \m_axi_araddr[2]\ => incr_cmd_0_n_17, \m_axi_araddr[5]\ => incr_cmd_0_n_16, m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(12 downto 9) => \m_payload_i_reg[51]\(23 downto 20), \m_payload_i_reg[51]\(8) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(7 downto 5) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(4) => \m_payload_i_reg[51]\(5), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, next_pending_r_reg_1 => next_pending_r_reg_0, sel_first_reg_0 => sel_first_reg_2, sel_first_reg_1 => sel_first_reg_3, \state_reg[0]\ => \state_reg[0]\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); r_rlast_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"1D" ) port map ( I0 => s_axburst_eq0, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq1, O => r_rlast ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[0]_rep\ ); wrap_cmd_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 port map ( E(0) => E(0), aclk => aclk, \axaddr_incr_reg[11]\(6 downto 1) => axaddr_incr_reg(11 downto 6), \axaddr_incr_reg[11]\(0) => axaddr_incr_reg(4), \axaddr_incr_reg[3]\(2) => \^axaddr_incr_reg[3]\(3), \axaddr_incr_reg[3]\(1 downto 0) => \^axaddr_incr_reg[3]\(1 downto 0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_4, sel_first_reg_2 => incr_cmd_0_n_16, sel_first_reg_3 => incr_cmd_0_n_17, si_rs_arvalid => si_rs_arvalid, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_r_channel is port ( \state_reg[1]_rep\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; aclk : in STD_LOGIC; r_rlast : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; si_rs_rready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_r_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_r_channel is signal \^m_valid_i_reg\ : STD_LOGIC; signal r_push_r : STD_LOGIC; signal rd_data_fifo_0_n_0 : STD_LOGIC; signal rd_data_fifo_0_n_2 : STD_LOGIC; signal rd_data_fifo_0_n_3 : STD_LOGIC; signal rd_data_fifo_0_n_5 : STD_LOGIC; signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 ); signal transaction_fifo_0_n_1 : STD_LOGIC; signal wr_en0 : STD_LOGIC; begin m_valid_i_reg <= \^m_valid_i_reg\; \r_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(0), Q => trans_in(1), R => '0' ); \r_arid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(10), Q => trans_in(11), R => '0' ); \r_arid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(11), Q => trans_in(12), R => '0' ); \r_arid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(1), Q => trans_in(2), R => '0' ); \r_arid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(2), Q => trans_in(3), R => '0' ); \r_arid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(3), Q => trans_in(4), R => '0' ); \r_arid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(4), Q => trans_in(5), R => '0' ); \r_arid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(5), Q => trans_in(6), R => '0' ); \r_arid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(6), Q => trans_in(7), R => '0' ); \r_arid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(7), Q => trans_in(8), R => '0' ); \r_arid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(8), Q => trans_in(9), R => '0' ); \r_arid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(9), Q => trans_in(10), R => '0' ); r_push_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \state_reg[1]_rep_0\, Q => r_push_r, R => '0' ); r_rlast_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => r_rlast, Q => trans_in(0), R => '0' ); rd_data_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[3]_rep__2_0\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_3, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\(33 downto 0) => \out\(33 downto 0), s_ready_i_reg => s_ready_i_reg, s_ready_i_reg_0 => transaction_fifo_0_n_1, si_rs_rready => si_rs_rready, \state_reg[1]_rep\ => rd_data_fifo_0_n_5, wr_en0 => wr_en0 ); transaction_fifo_0: entity work.\zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[0]_rep__2\ => rd_data_fifo_0_n_5, \cnt_read_reg[0]_rep__2_0\ => rd_data_fifo_0_n_3, \cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__2\ => transaction_fifo_0_n_1, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \in\(12 downto 0) => trans_in(12 downto 0), m_valid_i_reg => \^m_valid_i_reg\, r_push_r => r_push_r, s_ready_i_reg => s_ready_i_reg, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, wr_en0 => wr_en0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axi_register_slice is port ( s_axi_awready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; si_rs_awvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; si_rs_bready : out STD_LOGIC; si_rs_arvalid : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; si_rs_rready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]_1\ : out STD_LOGIC; axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \cnt_read_reg[3]_rep__0\ : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_awaddr[10]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); aclk : in STD_LOGIC; aresetn : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \cnt_read_reg[4]_rep__0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; b_push : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_second_len_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_1\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_4\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; \state_reg[1]_rep_2\ : in STD_LOGIC; sel_first : in STD_LOGIC; sel_first_2 : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \out\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 ); \cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axi_register_slice; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axi_register_slice is signal ar_pipe_n_2 : STD_LOGIC; signal aw_pipe_n_1 : STD_LOGIC; signal aw_pipe_n_97 : STD_LOGIC; begin ar_pipe: entity work.zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice port map ( Q(58 downto 0) => \s_arid_r_reg[11]\(58 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[0]_0\ => aw_pipe_n_97, \axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0), \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0), \axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), \axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0), \axaddr_offset_r_reg[0]\ => axaddr_offset_0(0), \axaddr_offset_r_reg[1]\ => axaddr_offset_0(1), \axaddr_offset_r_reg[2]\ => axaddr_offset_0(2), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, \axaddr_offset_r_reg[3]_0\(0) => \axaddr_offset_r_reg[3]_3\(0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_4\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\, \m_axi_araddr[10]\ => \m_axi_araddr[10]\, \m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), m_valid_i_reg_0 => ar_pipe_n_2, m_valid_i_reg_1(0) => m_valid_i_reg(0), next_pending_r_reg => next_pending_r_reg_1, next_pending_r_reg_0 => next_pending_r_reg_2, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_ready_i_reg_0 => si_rs_arvalid, sel_first_2 => sel_first_2, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep_1\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_2\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0), \wrap_cnt_r_reg[3]\(2 downto 0) => \wrap_cnt_r_reg[3]_0\(2 downto 0), \wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\, wrap_second_len_1(0) => wrap_second_len_1(0), \wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 0) => \wrap_second_len_r_reg[3]_2\(2 downto 0) ); aw_pipe: entity work.zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice_0 port map ( CO(0) => CO(0), D(2 downto 0) => D(2 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(58 downto 0) => Q(58 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]_inv\ => aw_pipe_n_97, \aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2, axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0), \axaddr_offset_r_reg[0]\ => axaddr_offset(0), \axaddr_offset_r_reg[1]\ => axaddr_offset(1), \axaddr_offset_r_reg[2]\ => axaddr_offset(2), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]\, \axaddr_offset_r_reg[3]_0\(0) => \axaddr_offset_r_reg[3]_1\(0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_2\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\, b_push => b_push, \m_axi_awaddr[10]\ => \m_axi_awaddr[10]\, m_valid_i_reg_0 => si_rs_awvalid, next_pending_r_reg => next_pending_r_reg, next_pending_r_reg_0 => next_pending_r_reg_0, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_ready_i_reg_0 => aw_pipe_n_1, sel_first => sel_first, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0), \wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\, wrap_second_len(0) => wrap_second_len(0), \wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0) ); b_pipe: entity work.\zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \out\(11 downto 0) => \out\(11 downto 0), \s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0), si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[0]_0\ => si_rs_bready ); r_pipe: entity work.\zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \cnt_read_reg[3]_rep__0\ => \cnt_read_reg[3]_rep__0\, \cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0), \cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\, r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0), \s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \skid_buffer_reg[0]_0\ => si_rs_rready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_ar_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; r_push_r_reg : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; r_rlast : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); m_axi_arready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[2]_rep__0\ : in STD_LOGIC; axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[51]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_ar_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_ar_channel is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal ar_cmd_fsm_0_n_0 : STD_LOGIC; signal ar_cmd_fsm_0_n_12 : STD_LOGIC; signal ar_cmd_fsm_0_n_15 : STD_LOGIC; signal ar_cmd_fsm_0_n_16 : STD_LOGIC; signal ar_cmd_fsm_0_n_17 : STD_LOGIC; signal ar_cmd_fsm_0_n_20 : STD_LOGIC; signal ar_cmd_fsm_0_n_21 : STD_LOGIC; signal ar_cmd_fsm_0_n_3 : STD_LOGIC; signal ar_cmd_fsm_0_n_8 : STD_LOGIC; signal ar_cmd_fsm_0_n_9 : STD_LOGIC; signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axaddr_offset_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_8 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_next_pending : STD_LOGIC; signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 ); begin Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axaddr_offset_r_reg[3]_0\(3 downto 0) <= \^axaddr_offset_r_reg[3]_0\(3 downto 0); \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; wrap_second_len(0) <= \^wrap_second_len\(0); ar_cmd_fsm_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm port map ( D(0) => ar_cmd_fsm_0_n_3, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_17, axaddr_offset(2 downto 0) => axaddr_offset(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(0) => \^axaddr_offset_r_reg[3]_0\(3), \axaddr_wrap_reg[11]\(0) => ar_cmd_fsm_0_n_16, \axlen_cnt_reg[1]\ => ar_cmd_fsm_0_n_0, \axlen_cnt_reg[1]_0\(1) => ar_cmd_fsm_0_n_8, \axlen_cnt_reg[1]_0\(0) => ar_cmd_fsm_0_n_9, \axlen_cnt_reg[1]_1\(1) => cmd_translator_0_n_9, \axlen_cnt_reg[1]_1\(0) => cmd_translator_0_n_10, \axlen_cnt_reg[4]\ => cmd_translator_0_n_11, \cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\, incr_next_pending => incr_next_pending, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \^m_payload_i_reg[0]_0\, \m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]\, \m_payload_i_reg[0]_1\(0) => E(0), \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, \m_payload_i_reg[47]\(3) => \m_payload_i_reg[64]\(19), \m_payload_i_reg[47]\(2 downto 0) => \m_payload_i_reg[64]\(17 downto 15), \m_payload_i_reg[51]\ => \m_payload_i_reg[51]\, \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, next_pending_r_reg => cmd_translator_0_n_0, r_push_r_reg => \^r_push_r_reg\, s_axburst_eq0_reg => ar_cmd_fsm_0_n_12, s_axburst_eq1_reg => ar_cmd_fsm_0_n_15, s_axburst_eq1_reg_0 => cmd_translator_0_n_13, sel_first_i => sel_first_i, sel_first_reg => ar_cmd_fsm_0_n_20, sel_first_reg_0 => ar_cmd_fsm_0_n_21, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, sel_first_reg_3 => cmd_translator_0_n_8, si_rs_arvalid => si_rs_arvalid, wrap_next_pending => wrap_next_pending, wrap_second_len(0) => \^wrap_second_len\(0), \wrap_second_len_r_reg[1]\(0) => \wrap_cmd_0/wrap_second_len_r\(1) ); cmd_translator_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 port map ( CO(0) => CO(0), D(1) => ar_cmd_fsm_0_n_8, D(0) => ar_cmd_fsm_0_n_9, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(1) => cmd_translator_0_n_9, Q(0) => cmd_translator_0_n_10, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3 downto 0) => \^axaddr_offset_r_reg[3]_0\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(2 downto 0) => axaddr_offset(2 downto 0), incr_next_pending => incr_next_pending, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_12, \m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_15, \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(23 downto 0) => \m_payload_i_reg[64]\(23 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), m_valid_i_reg(0) => ar_cmd_fsm_0_n_16, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_11, r_rlast => r_rlast, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => cmd_translator_0_n_8, sel_first_reg_2 => ar_cmd_fsm_0_n_17, sel_first_reg_3 => ar_cmd_fsm_0_n_20, sel_first_reg_4 => ar_cmd_fsm_0_n_21, si_rs_arvalid => si_rs_arvalid, \state_reg[0]\ => ar_cmd_fsm_0_n_0, \state_reg[0]_rep\ => cmd_translator_0_n_13, \state_reg[0]_rep_0\ => \^m_payload_i_reg[0]\, \state_reg[1]\(1 downto 0) => \^q\(1 downto 0), \state_reg[1]_rep\ => \^m_payload_i_reg[0]_0\, \state_reg[1]_rep_0\ => \^r_push_r_reg\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 2) => \wrap_second_len_r_reg[3]\(2 downto 1), \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len_r\(1), \wrap_second_len_r_reg[3]\(0) => \wrap_second_len_r_reg[3]\(0), \wrap_second_len_r_reg[3]_0\(3 downto 2) => D(2 downto 1), \wrap_second_len_r_reg[3]_0\(1) => \^wrap_second_len\(0), \wrap_second_len_r_reg[3]_0\(0) => D(0), \wrap_second_len_r_reg[3]_1\(3 downto 2) => \wrap_second_len_r_reg[3]_0\(2 downto 1), \wrap_second_len_r_reg[3]_1\(1) => ar_cmd_fsm_0_n_3, \wrap_second_len_r_reg[3]_1\(0) => \wrap_second_len_r_reg[3]_0\(0) ); \s_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(24), Q => \r_arid_r_reg[11]\(0), R => '0' ); \s_arid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(34), Q => \r_arid_r_reg[11]\(10), R => '0' ); \s_arid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(35), Q => \r_arid_r_reg[11]\(11), R => '0' ); \s_arid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(25), Q => \r_arid_r_reg[11]\(1), R => '0' ); \s_arid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(26), Q => \r_arid_r_reg[11]\(2), R => '0' ); \s_arid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(27), Q => \r_arid_r_reg[11]\(3), R => '0' ); \s_arid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(28), Q => \r_arid_r_reg[11]\(4), R => '0' ); \s_arid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(29), Q => \r_arid_r_reg[11]\(5), R => '0' ); \s_arid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(30), Q => \r_arid_r_reg[11]\(6), R => '0' ); \s_arid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(31), Q => \r_arid_r_reg[11]\(7), R => '0' ); \s_arid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(32), Q => \r_arid_r_reg[11]\(8), R => '0' ); \s_arid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(33), Q => \r_arid_r_reg[11]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_aw_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep\ : out STD_LOGIC; \state_reg[1]_rep_0\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); b_push : out STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \in\ : out STD_LOGIC_VECTOR ( 19 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); \m_payload_i_reg[44]\ : in STD_LOGIC; \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_aw_channel; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_aw_channel is signal \^d\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal aw_cmd_fsm_0_n_0 : STD_LOGIC; signal aw_cmd_fsm_0_n_13 : STD_LOGIC; signal aw_cmd_fsm_0_n_17 : STD_LOGIC; signal aw_cmd_fsm_0_n_20 : STD_LOGIC; signal aw_cmd_fsm_0_n_21 : STD_LOGIC; signal aw_cmd_fsm_0_n_24 : STD_LOGIC; signal aw_cmd_fsm_0_n_25 : STD_LOGIC; signal aw_cmd_fsm_0_n_3 : STD_LOGIC; signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axaddr_offset_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^b_push\ : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_1 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_14 : STD_LOGIC; signal cmd_translator_0_n_15 : STD_LOGIC; signal cmd_translator_0_n_16 : STD_LOGIC; signal cmd_translator_0_n_17 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \next\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \^sel_first\ : STD_LOGIC; signal \sel_first__0\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_next_pending : STD_LOGIC; begin D(0) <= \^d\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axaddr_offset_r_reg[3]_0\(3 downto 0) <= \^axaddr_offset_r_reg[3]_0\(3 downto 0); b_push <= \^b_push\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; aw_cmd_fsm_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm port map ( D(0) => aw_cmd_fsm_0_n_3, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => aw_cmd_fsm_0_n_21, \axaddr_offset_r_reg[3]\(0) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(0) => \^axaddr_offset_r_reg[3]_0\(3), \axaddr_wrap_reg[0]\(0) => aw_cmd_fsm_0_n_20, \axlen_cnt_reg[2]\ => cmd_translator_0_n_16, \axlen_cnt_reg[3]\ => cmd_translator_0_n_15, \axlen_cnt_reg[3]_0\ => cmd_translator_0_n_17, \axlen_cnt_reg[4]\ => aw_cmd_fsm_0_n_0, \axlen_cnt_reg[4]_0\ => cmd_translator_0_n_13, \axlen_cnt_reg[5]\(3 downto 2) => p_1_in(5 downto 4), \axlen_cnt_reg[5]\(1 downto 0) => p_1_in(1 downto 0), \axlen_cnt_reg[5]_0\(3) => cmd_translator_0_n_9, \axlen_cnt_reg[5]_0\(2) => cmd_translator_0_n_10, \axlen_cnt_reg[5]_0\(1) => cmd_translator_0_n_11, \axlen_cnt_reg[5]_0\(0) => cmd_translator_0_n_12, \cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1\ => \cnt_read_reg[1]_rep__1\, incr_next_pending => incr_next_pending, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[0]\ => \^b_push\, \m_payload_i_reg[0]_0\(0) => E(0), \m_payload_i_reg[35]\(2 downto 0) => \m_payload_i_reg[35]\(2 downto 0), \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[49]\(5 downto 3) => \m_payload_i_reg[64]\(21 downto 19), \m_payload_i_reg[49]\(2 downto 0) => \m_payload_i_reg[64]\(17 downto 15), \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, s_axburst_eq0_reg => aw_cmd_fsm_0_n_13, s_axburst_eq1_reg => aw_cmd_fsm_0_n_17, s_axburst_eq1_reg_0 => cmd_translator_0_n_14, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg => aw_cmd_fsm_0_n_24, sel_first_reg_0 => aw_cmd_fsm_0_n_25, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, si_rs_awvalid => si_rs_awvalid, \state_reg[1]_rep_0\ => \state_reg[1]_rep\, \state_reg[1]_rep_1\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[1]\(0) => \^d\(0), \wrap_second_len_r_reg[1]_0\(0) => \wrap_cmd_0/wrap_second_len_r\(1) ); cmd_translator_0: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_cmd_translator port map ( CO(0) => CO(0), D(3 downto 2) => p_1_in(5 downto 4), D(1 downto 0) => p_1_in(1 downto 0), E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(3) => cmd_translator_0_n_9, Q(2) => cmd_translator_0_n_10, Q(1) => cmd_translator_0_n_11, Q(0) => cmd_translator_0_n_12, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3 downto 0) => \^axaddr_offset_r_reg[3]_0\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(2 downto 0) => \m_payload_i_reg[35]\(2 downto 0), \axlen_cnt_reg[4]\ => cmd_translator_0_n_17, \axlen_cnt_reg[7]\ => cmd_translator_0_n_13, incr_next_pending => incr_next_pending, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_13, \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_17, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(21 downto 20) => \m_payload_i_reg[64]\(23 downto 22), \m_payload_i_reg[51]\(19 downto 0) => \m_payload_i_reg[64]\(19 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), m_valid_i_reg(0) => aw_cmd_fsm_0_n_20, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, next_pending_r_reg_1 => cmd_translator_0_n_15, next_pending_r_reg_2 => cmd_translator_0_n_16, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => aw_cmd_fsm_0_n_21, sel_first_reg_2 => aw_cmd_fsm_0_n_24, sel_first_reg_3 => aw_cmd_fsm_0_n_25, \state_reg[0]\ => aw_cmd_fsm_0_n_0, \state_reg[0]_rep\ => \^b_push\, \state_reg[1]\(1 downto 0) => \^q\(1 downto 0), \state_reg[1]_rep\ => cmd_translator_0_n_14, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 2) => \wrap_second_len_r_reg[3]\(2 downto 1), \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len_r\(1), \wrap_second_len_r_reg[3]\(0) => \wrap_second_len_r_reg[3]\(0), \wrap_second_len_r_reg[3]_0\(3 downto 2) => \wrap_second_len_r_reg[3]_0\(2 downto 1), \wrap_second_len_r_reg[3]_0\(1) => \^d\(0), \wrap_second_len_r_reg[3]_0\(0) => \wrap_second_len_r_reg[3]_0\(0), \wrap_second_len_r_reg[3]_1\(3 downto 2) => \wrap_second_len_r_reg[3]_1\(2 downto 1), \wrap_second_len_r_reg[3]_1\(1) => aw_cmd_fsm_0_n_3, \wrap_second_len_r_reg[3]_1\(0) => \wrap_second_len_r_reg[3]_1\(0) ); \s_awid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(24), Q => \in\(8), R => '0' ); \s_awid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(34), Q => \in\(18), R => '0' ); \s_awid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(35), Q => \in\(19), R => '0' ); \s_awid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(25), Q => \in\(9), R => '0' ); \s_awid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(26), Q => \in\(10), R => '0' ); \s_awid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(27), Q => \in\(11), R => '0' ); \s_awid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(28), Q => \in\(12), R => '0' ); \s_awid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(29), Q => \in\(13), R => '0' ); \s_awid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(30), Q => \in\(14), R => '0' ); \s_awid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(31), Q => \in\(15), R => '0' ); \s_awid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(32), Q => \in\(16), R => '0' ); \s_awid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(33), Q => \in\(17), R => '0' ); \s_awlen_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(16), Q => \in\(0), R => '0' ); \s_awlen_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(17), Q => \in\(1), R => '0' ); \s_awlen_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(18), Q => \in\(2), R => '0' ); \s_awlen_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(19), Q => \in\(3), R => '0' ); \s_awlen_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(20), Q => \in\(4), R => '0' ); \s_awlen_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(21), Q => \in\(5), R => '0' ); \s_awlen_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(22), Q => \in\(6), R => '0' ); \s_awlen_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(23), Q => \in\(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s is port ( s_axi_rvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_arready : out STD_LOGIC; \m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_bvalid : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awready : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; aclk : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; aresetn : in STD_LOGIC ); end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s is signal C : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \RD.ar_channel_0_n_10\ : STD_LOGIC; signal \RD.ar_channel_0_n_11\ : STD_LOGIC; signal \RD.ar_channel_0_n_47\ : STD_LOGIC; signal \RD.ar_channel_0_n_48\ : STD_LOGIC; signal \RD.ar_channel_0_n_49\ : STD_LOGIC; signal \RD.ar_channel_0_n_50\ : STD_LOGIC; signal \RD.ar_channel_0_n_8\ : STD_LOGIC; signal \RD.ar_channel_0_n_9\ : STD_LOGIC; signal \RD.r_channel_0_n_0\ : STD_LOGIC; signal \RD.r_channel_0_n_2\ : STD_LOGIC; signal SI_REG_n_134 : STD_LOGIC; signal SI_REG_n_135 : STD_LOGIC; signal SI_REG_n_136 : STD_LOGIC; signal SI_REG_n_137 : STD_LOGIC; signal SI_REG_n_138 : STD_LOGIC; signal SI_REG_n_139 : STD_LOGIC; signal SI_REG_n_140 : STD_LOGIC; signal SI_REG_n_141 : STD_LOGIC; signal SI_REG_n_142 : STD_LOGIC; signal SI_REG_n_143 : STD_LOGIC; signal SI_REG_n_144 : STD_LOGIC; signal SI_REG_n_145 : STD_LOGIC; signal SI_REG_n_146 : STD_LOGIC; signal SI_REG_n_147 : STD_LOGIC; signal SI_REG_n_148 : STD_LOGIC; signal SI_REG_n_149 : STD_LOGIC; signal SI_REG_n_150 : STD_LOGIC; signal SI_REG_n_151 : STD_LOGIC; signal SI_REG_n_158 : STD_LOGIC; signal SI_REG_n_162 : STD_LOGIC; signal SI_REG_n_163 : STD_LOGIC; signal SI_REG_n_164 : STD_LOGIC; signal SI_REG_n_165 : STD_LOGIC; signal SI_REG_n_166 : STD_LOGIC; signal SI_REG_n_167 : STD_LOGIC; signal SI_REG_n_171 : STD_LOGIC; signal SI_REG_n_175 : STD_LOGIC; signal SI_REG_n_176 : STD_LOGIC; signal SI_REG_n_177 : STD_LOGIC; signal SI_REG_n_178 : STD_LOGIC; signal SI_REG_n_179 : STD_LOGIC; signal SI_REG_n_180 : STD_LOGIC; signal SI_REG_n_181 : STD_LOGIC; signal SI_REG_n_182 : STD_LOGIC; signal SI_REG_n_183 : STD_LOGIC; signal SI_REG_n_184 : STD_LOGIC; signal SI_REG_n_185 : STD_LOGIC; signal SI_REG_n_186 : STD_LOGIC; signal SI_REG_n_187 : STD_LOGIC; signal SI_REG_n_188 : STD_LOGIC; signal SI_REG_n_189 : STD_LOGIC; signal SI_REG_n_190 : STD_LOGIC; signal SI_REG_n_191 : STD_LOGIC; signal SI_REG_n_192 : STD_LOGIC; signal SI_REG_n_193 : STD_LOGIC; signal SI_REG_n_194 : STD_LOGIC; signal SI_REG_n_195 : STD_LOGIC; signal SI_REG_n_196 : STD_LOGIC; signal SI_REG_n_20 : STD_LOGIC; signal SI_REG_n_21 : STD_LOGIC; signal SI_REG_n_22 : STD_LOGIC; signal SI_REG_n_23 : STD_LOGIC; signal SI_REG_n_29 : STD_LOGIC; signal SI_REG_n_79 : STD_LOGIC; signal SI_REG_n_80 : STD_LOGIC; signal SI_REG_n_81 : STD_LOGIC; signal SI_REG_n_82 : STD_LOGIC; signal SI_REG_n_88 : STD_LOGIC; signal \WR.aw_channel_0_n_10\ : STD_LOGIC; signal \WR.aw_channel_0_n_54\ : STD_LOGIC; signal \WR.aw_channel_0_n_55\ : STD_LOGIC; signal \WR.aw_channel_0_n_56\ : STD_LOGIC; signal \WR.aw_channel_0_n_57\ : STD_LOGIC; signal \WR.aw_channel_0_n_7\ : STD_LOGIC; signal \WR.aw_channel_0_n_9\ : STD_LOGIC; signal \WR.b_channel_0_n_1\ : STD_LOGIC; signal \WR.b_channel_0_n_2\ : STD_LOGIC; signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \ar_pipe/p_1_in\ : STD_LOGIC; signal areset_d1 : STD_LOGIC; signal areset_d1_i_1_n_0 : STD_LOGIC; signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \aw_pipe/p_1_in\ : STD_LOGIC; signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal b_awlen : STD_LOGIC_VECTOR ( 7 downto 0 ); signal b_push : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/sel_first_4\ : STD_LOGIC; signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal r_rlast : STD_LOGIC; signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_arvalid : STD_LOGIC; signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_awvalid : STD_LOGIC; signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_bready : STD_LOGIC; signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_bvalid : STD_LOGIC; signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 ); signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_rlast : STD_LOGIC; signal si_rs_rready : STD_LOGIC; signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 ); begin \RD.ar_channel_0\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_ar_channel port map ( CO(0) => SI_REG_n_147, D(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 2), D(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(0), E(0) => \ar_pipe/p_1_in\, O(3) => SI_REG_n_148, O(2) => SI_REG_n_149, O(1) => SI_REG_n_150, O(0) => SI_REG_n_151, Q(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), S(3) => \RD.ar_channel_0_n_47\, S(2) => \RD.ar_channel_0_n_48\, S(1) => \RD.ar_channel_0_n_49\, S(0) => \RD.ar_channel_0_n_50\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_0\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_9\, \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_10\, \m_payload_i_reg[11]\(3) => SI_REG_n_143, \m_payload_i_reg[11]\(2) => SI_REG_n_144, \m_payload_i_reg[11]\(1) => SI_REG_n_145, \m_payload_i_reg[11]\(0) => SI_REG_n_146, \m_payload_i_reg[38]\ => SI_REG_n_196, \m_payload_i_reg[3]\(3) => SI_REG_n_139, \m_payload_i_reg[3]\(2) => SI_REG_n_140, \m_payload_i_reg[3]\(1) => SI_REG_n_141, \m_payload_i_reg[3]\(0) => SI_REG_n_142, \m_payload_i_reg[44]\ => SI_REG_n_171, \m_payload_i_reg[46]\ => SI_REG_n_177, \m_payload_i_reg[47]\ => SI_REG_n_175, \m_payload_i_reg[51]\ => SI_REG_n_176, \m_payload_i_reg[64]\(35 downto 24) => s_arid(11 downto 0), \m_payload_i_reg[64]\(23) => SI_REG_n_79, \m_payload_i_reg[64]\(22) => SI_REG_n_80, \m_payload_i_reg[64]\(21) => SI_REG_n_81, \m_payload_i_reg[64]\(20) => SI_REG_n_82, \m_payload_i_reg[64]\(19 downto 16) => si_rs_arlen(3 downto 0), \m_payload_i_reg[64]\(15) => si_rs_arburst(1), \m_payload_i_reg[64]\(14) => SI_REG_n_88, \m_payload_i_reg[64]\(13 downto 12) => si_rs_arsize(1 downto 0), \m_payload_i_reg[64]\(11 downto 0) => si_rs_araddr(11 downto 0), \m_payload_i_reg[6]\ => SI_REG_n_187, \m_payload_i_reg[6]_0\(6) => SI_REG_n_188, \m_payload_i_reg[6]_0\(5) => SI_REG_n_189, \m_payload_i_reg[6]_0\(4) => SI_REG_n_190, \m_payload_i_reg[6]_0\(3) => SI_REG_n_191, \m_payload_i_reg[6]_0\(2) => SI_REG_n_192, \m_payload_i_reg[6]_0\(1) => SI_REG_n_193, \m_payload_i_reg[6]_0\(0) => SI_REG_n_194, \r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0), r_push_r_reg => \RD.ar_channel_0_n_11\, r_rlast => r_rlast, sel_first => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, \wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_8\, wrap_second_len(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(1), \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(0), \wrap_second_len_r_reg[3]_0\(2) => SI_REG_n_165, \wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_166, \wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_167 ); \RD.r_channel_0\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_r_channel port map ( D(11 downto 0) => s_arid_r(11 downto 0), aclk => aclk, areset_d1 => areset_d1, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, m_valid_i_reg => \RD.r_channel_0_n_2\, \out\(33 downto 32) => si_rs_rresp(1 downto 0), \out\(31 downto 0) => si_rs_rdata(31 downto 0), r_rlast => r_rlast, s_ready_i_reg => SI_REG_n_178, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0), \skid_buffer_reg[46]\(0) => si_rs_rlast, \state_reg[1]_rep\ => \RD.r_channel_0_n_0\, \state_reg[1]_rep_0\ => \RD.ar_channel_0_n_11\ ); SI_REG: entity work.zqynq_lab_1_design_auto_pc_1_axi_register_slice_v2_1_13_axi_register_slice port map ( CO(0) => SI_REG_n_134, D(2 downto 1) => wrap_cnt(3 downto 2), D(0) => wrap_cnt(0), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_135, O(2) => SI_REG_n_136, O(1) => SI_REG_n_137, O(0) => SI_REG_n_138, Q(58 downto 47) => s_awid(11 downto 0), Q(46) => SI_REG_n_20, Q(45) => SI_REG_n_21, Q(44) => SI_REG_n_22, Q(43) => SI_REG_n_23, Q(42 downto 39) => si_rs_awlen(3 downto 0), Q(38) => si_rs_awburst(1), Q(37) => SI_REG_n_29, Q(36 downto 35) => si_rs_awsize(1 downto 0), Q(34 downto 12) => Q(22 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), S(3) => \WR.aw_channel_0_n_54\, S(2) => \WR.aw_channel_0_n_55\, S(1) => \WR.aw_channel_0_n_56\, S(0) => \WR.aw_channel_0_n_57\, aclk => aclk, aresetn => aresetn, axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4), \axaddr_incr_reg[11]_0\(3) => SI_REG_n_143, \axaddr_incr_reg[11]_0\(2) => SI_REG_n_144, \axaddr_incr_reg[11]_0\(1) => SI_REG_n_145, \axaddr_incr_reg[11]_0\(0) => SI_REG_n_146, \axaddr_incr_reg[3]\(3) => SI_REG_n_148, \axaddr_incr_reg[3]\(2) => SI_REG_n_149, \axaddr_incr_reg[3]\(1) => SI_REG_n_150, \axaddr_incr_reg[3]\(0) => SI_REG_n_151, \axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), \axaddr_incr_reg[7]\(3) => SI_REG_n_139, \axaddr_incr_reg[7]\(2) => SI_REG_n_140, \axaddr_incr_reg[7]\(1) => SI_REG_n_141, \axaddr_incr_reg[7]\(0) => SI_REG_n_142, \axaddr_incr_reg[7]_0\(0) => SI_REG_n_147, axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 0), axaddr_offset_0(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 0), \axaddr_offset_r_reg[3]\ => SI_REG_n_179, \axaddr_offset_r_reg[3]_0\ => SI_REG_n_187, \axaddr_offset_r_reg[3]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3), \axaddr_offset_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3 downto 0), \axaddr_offset_r_reg[3]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_4\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \axlen_cnt_reg[3]\ => SI_REG_n_162, \axlen_cnt_reg[3]_0\ => SI_REG_n_175, b_push => b_push, \cnt_read_reg[3]_rep__0\ => SI_REG_n_178, \cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0), \cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0), \cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_2\, \m_axi_araddr[10]\ => SI_REG_n_196, \m_axi_awaddr[10]\ => SI_REG_n_195, \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_47\, \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_48\, \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_49\, \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_50\, m_valid_i_reg(0) => \ar_pipe/p_1_in\, next_pending_r_reg => SI_REG_n_163, next_pending_r_reg_0 => SI_REG_n_164, next_pending_r_reg_1 => SI_REG_n_176, next_pending_r_reg_2 => SI_REG_n_177, \out\(11 downto 0) => si_rs_bid(11 downto 0), r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0), r_push_r_reg(0) => si_rs_rlast, \s_arid_r_reg[11]\(58 downto 47) => s_arid(11 downto 0), \s_arid_r_reg[11]\(46) => SI_REG_n_79, \s_arid_r_reg[11]\(45) => SI_REG_n_80, \s_arid_r_reg[11]\(44) => SI_REG_n_81, \s_arid_r_reg[11]\(43) => SI_REG_n_82, \s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0), \s_arid_r_reg[11]\(38) => si_rs_arburst(1), \s_arid_r_reg[11]\(37) => SI_REG_n_88, \s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0), \s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0), \s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, \s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0), sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\, sel_first_2 => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, si_rs_awvalid => si_rs_awvalid, si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, si_rs_rready => si_rs_rready, \state_reg[0]_rep\ => \WR.aw_channel_0_n_10\, \state_reg[0]_rep_0\ => \RD.ar_channel_0_n_9\, \state_reg[1]\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_0\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_rep\ => \WR.aw_channel_0_n_9\, \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_7\, \state_reg[1]_rep_1\ => \RD.ar_channel_0_n_8\, \state_reg[1]_rep_2\ => \RD.ar_channel_0_n_10\, \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_180, \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_181, \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_182, \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_183, \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_184, \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_185, \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_186, \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_188, \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_189, \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_190, \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_191, \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_192, \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_193, \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_194, \wrap_cnt_r_reg[3]\ => SI_REG_n_158, \wrap_cnt_r_reg[3]_0\(2) => SI_REG_n_165, \wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_166, \wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_167, \wrap_cnt_r_reg[3]_1\ => SI_REG_n_171, wrap_second_len(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(1), wrap_second_len_1(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(1), \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(0), \wrap_second_len_r_reg[3]_1\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 2), \wrap_second_len_r_reg[3]_1\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(0), \wrap_second_len_r_reg[3]_2\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 2), \wrap_second_len_r_reg[3]_2\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(0) ); \WR.aw_channel_0\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_aw_channel port map ( CO(0) => SI_REG_n_134, D(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(1), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_135, O(2) => SI_REG_n_136, O(1) => SI_REG_n_137, O(0) => SI_REG_n_138, Q(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), S(3) => \WR.aw_channel_0_n_54\, S(2) => \WR.aw_channel_0_n_55\, S(1) => \WR.aw_channel_0_n_56\, S(0) => \WR.aw_channel_0_n_57\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0), \axaddr_offset_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3 downto 0), b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, \in\(19 downto 8) => b_awid(11 downto 0), \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4), \m_payload_i_reg[35]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 0), \m_payload_i_reg[38]\ => SI_REG_n_195, \m_payload_i_reg[44]\ => SI_REG_n_158, \m_payload_i_reg[46]\ => SI_REG_n_164, \m_payload_i_reg[47]\ => SI_REG_n_162, \m_payload_i_reg[48]\ => SI_REG_n_163, \m_payload_i_reg[64]\(35 downto 24) => s_awid(11 downto 0), \m_payload_i_reg[64]\(23) => SI_REG_n_20, \m_payload_i_reg[64]\(22) => SI_REG_n_21, \m_payload_i_reg[64]\(21) => SI_REG_n_22, \m_payload_i_reg[64]\(20) => SI_REG_n_23, \m_payload_i_reg[64]\(19 downto 16) => si_rs_awlen(3 downto 0), \m_payload_i_reg[64]\(15) => si_rs_awburst(1), \m_payload_i_reg[64]\(14) => SI_REG_n_29, \m_payload_i_reg[64]\(13 downto 12) => si_rs_awsize(1 downto 0), \m_payload_i_reg[64]\(11 downto 0) => si_rs_awaddr(11 downto 0), \m_payload_i_reg[6]\ => SI_REG_n_179, \m_payload_i_reg[6]_0\(6) => SI_REG_n_180, \m_payload_i_reg[6]_0\(5) => SI_REG_n_181, \m_payload_i_reg[6]_0\(4) => SI_REG_n_182, \m_payload_i_reg[6]_0\(3) => SI_REG_n_183, \m_payload_i_reg[6]_0\(2) => SI_REG_n_184, \m_payload_i_reg[6]_0\(1) => SI_REG_n_185, \m_payload_i_reg[6]_0\(0) => SI_REG_n_186, sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\, si_rs_awvalid => si_rs_awvalid, \state_reg[1]_rep\ => \WR.aw_channel_0_n_9\, \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_10\, \wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_7\, \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(0), \wrap_second_len_r_reg[3]_1\(2 downto 1) => wrap_cnt(3 downto 2), \wrap_second_len_r_reg[3]_1\(0) => wrap_cnt(0) ); \WR.b_channel_0\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s_b_channel port map ( aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, \in\(19 downto 8) => b_awid(11 downto 0), \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, \out\(11 downto 0) => si_rs_bid(11 downto 0), si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0) ); areset_d1_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn, O => areset_d1_i_1_n_0 ); areset_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => areset_d1_i_1_n_0, Q => areset_d1, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_wready\ <= m_axi_wready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const1>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(11) <= \<const0>\; m_axi_arid(10) <= \<const0>\; m_axi_arid(9) <= \<const0>\; m_axi_arid(8) <= \<const0>\; m_axi_arid(7) <= \<const0>\; m_axi_arid(6) <= \<const0>\; m_axi_arid(5) <= \<const0>\; m_axi_arid(4) <= \<const0>\; m_axi_arid(3) <= \<const0>\; m_axi_arid(2) <= \<const0>\; m_axi_arid(1) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const1>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const1>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(11) <= \<const0>\; m_axi_awid(10) <= \<const0>\; m_axi_awid(9) <= \<const0>\; m_axi_awid(8) <= \<const0>\; m_axi_awid(7) <= \<const0>\; m_axi_awid(6) <= \<const0>\; m_axi_awid(5) <= \<const0>\; m_axi_awid(4) <= \<const0>\; m_axi_awid(3) <= \<const0>\; m_axi_awid(2) <= \<const0>\; m_axi_awid(1) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const1>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const1>\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \^s_axi_wvalid\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_b2s port map ( Q(22 downto 20) => m_axi_awprot(2 downto 0), Q(19 downto 0) => m_axi_awaddr(31 downto 12), aclk => aclk, aresetn => aresetn, \in\(33 downto 32) => m_axi_rresp(1 downto 0), \in\(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0), \m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, \s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0), \s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0), \s_axi_rid[11]\(34) => s_axi_rlast, \s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0), \s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zqynq_lab_1_design_auto_pc_1 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zqynq_lab_1_design_auto_pc_1 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_auto_pc_1 : entity is "zqynq_lab_1_design_auto_pc_2,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of zqynq_lab_1_design_auto_pc_1 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of zqynq_lab_1_design_auto_pc_1 : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end zqynq_lab_1_design_auto_pc_1; architecture STRUCTURE of zqynq_lab_1_design_auto_pc_1 is signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.zqynq_lab_1_design_auto_pc_1_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0), m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0), m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(11 downto 0) => B"000000000000", m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => B"000000000000", m_axi_rlast => '1', m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2.1/841f5df448bf42bc/zqynq_lab_1_design_auto_pc_1_sim_netlist.vhdl
1
533334
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2.1 (win64) Build 1957588 Wed Aug 9 16:32:24 MDT 2017 -- Date : Fri Sep 22 14:40:47 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_auto_pc_1_sim_netlist.vhdl -- Design : zqynq_lab_1_design_auto_pc_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; \axlen_cnt_reg[4]_0\ : out STD_LOGIC; \m_axi_awaddr[1]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr[4]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5_n_0\ : STD_LOGIC; signal \^axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_4_n_0\ : STD_LOGIC; signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 7 downto 2 ); signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair113"; begin Q(3 downto 0) <= \^q\(3 downto 0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"559AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAAA559AAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000559AAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(4), I5 => \m_payload_i_reg[51]\(5), O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"000000000000559A" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(0) ); \axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(3), O => \axaddr_incr[4]_i_2_n_0\ ); \axaddr_incr[4]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(2), O => \axaddr_incr[4]_i_3_n_0\ ); \axaddr_incr[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(1), O => \axaddr_incr[4]_i_4_n_0\ ); \axaddr_incr[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(0), O => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr[8]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(7), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(7), O => \axaddr_incr[8]_i_2_n_0\ ); \axaddr_incr[8]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(6), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(6), O => \axaddr_incr[8]_i_3_n_0\ ); \axaddr_incr[8]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(5), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(5), O => \axaddr_incr[8]_i_4_n_0\ ); \axaddr_incr[8]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(4), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(4), O => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_5\, Q => \^axaddr_incr_reg\(6), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_4\, Q => \^axaddr_incr_reg\(7), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_7\, Q => \^axaddr_incr_reg\(0), R => '0' ); \axaddr_incr_reg[4]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1_n_4\, O(2) => \axaddr_incr_reg[4]_i_1_n_5\, O(1) => \axaddr_incr_reg[4]_i_1_n_6\, O(0) => \axaddr_incr_reg[4]_i_1_n_7\, S(3) => \axaddr_incr[4]_i_2_n_0\, S(2) => \axaddr_incr[4]_i_3_n_0\, S(1) => \axaddr_incr[4]_i_4_n_0\, S(0) => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_6\, Q => \^axaddr_incr_reg\(1), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_5\, Q => \^axaddr_incr_reg\(2), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_4\, Q => \^axaddr_incr_reg\(3), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_7\, Q => \^axaddr_incr_reg\(4), R => '0' ); \axaddr_incr_reg[8]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1_n_4\, O(2) => \axaddr_incr_reg[8]_i_1_n_5\, O(1) => \axaddr_incr_reg[8]_i_1_n_6\, O(0) => \axaddr_incr_reg[8]_i_1_n_7\, S(3) => \axaddr_incr[8]_i_2_n_0\, S(2) => \axaddr_incr[8]_i_3_n_0\, S(1) => \axaddr_incr[8]_i_4_n_0\, S(0) => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_6\, Q => \^axaddr_incr_reg\(5), R => '0' ); \axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => p_1_in(2) ); \axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1_n_0\ ); \axlen_cnt[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt_reg[4]_0\ ); \axlen_cnt[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA900A900A900" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \^axlen_cnt_reg[7]_0\, I2 => \^q\(3), I3 => \state_reg[0]\, I4 => E(0), I5 => \m_payload_i_reg[51]\(8), O => p_1_in(6) ); \axlen_cnt[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA900A900A900" ) port map ( I0 => \axlen_cnt_reg_n_0_[7]\, I1 => \^axlen_cnt_reg[7]_0\, I2 => \axlen_cnt[7]_i_4_n_0\, I3 => \state_reg[0]\, I4 => E(0), I5 => \m_payload_i_reg[51]\(9), O => p_1_in(7) ); \axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^q\(2), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(0), I3 => \^q\(1), I4 => \axlen_cnt_reg_n_0_[3]\, O => \^axlen_cnt_reg[7]_0\ ); \axlen_cnt[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \^q\(3), O => \axlen_cnt[7]_i_4_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(2), Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(2), Q => \^q\(2), R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(3), Q => \^q\(3), R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(6), Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(7), Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_awaddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_0\, I1 => \^axaddr_incr_reg[3]_0\(1), I2 => \m_payload_i_reg[51]\(6), I3 => \m_payload_i_reg[51]\(1), O => \m_axi_awaddr[1]\ ); next_pending_r_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[7]\, I2 => \^q\(2), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \^q\(1), I5 => \axlen_cnt[7]_i_4_n_0\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_0\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_incr_reg[11]_1\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); next_pending_r_reg_1 : out STD_LOGIC; \m_axi_araddr[5]\ : out STD_LOGIC; \m_axi_araddr[2]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_13_b2s_incr_cmd"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5__0_n_0\ : STD_LOGIC; signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 5 to 5 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal \^axaddr_incr_reg[11]_1\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \axlen_cnt[5]_i_2\ : label is "soft_lutpair4"; begin Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_incr_reg[11]_0\(6 downto 0) <= \^axaddr_incr_reg[11]_0\(6 downto 0); \axaddr_incr_reg[11]_1\ <= \^axaddr_incr_reg[11]_1\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"AA6AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"2A262A2A2A2A2A2A" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"0A060A0A0A0A0A0A" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \m_payload_i_reg[51]\(5), I2 => \m_payload_i_reg[51]\(6), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"0201020202020202" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(0) ); \axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(2), O => \axaddr_incr[4]_i_2__0_n_0\ ); \axaddr_incr[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(1), O => \axaddr_incr[4]_i_3__0_n_0\ ); \axaddr_incr[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => axaddr_incr_reg(5), O => \axaddr_incr[4]_i_4__0_n_0\ ); \axaddr_incr[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(0), O => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr[8]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(6), O => \axaddr_incr[8]_i_2__0_n_0\ ); \axaddr_incr[8]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(5), O => \axaddr_incr[8]_i_3__0_n_0\ ); \axaddr_incr[8]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(4), O => \axaddr_incr[8]_i_4__0_n_0\ ); \axaddr_incr[8]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(3), O => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(5), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(6), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(0), R => '0' ); \axaddr_incr_reg[4]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[4]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[4]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[4]_i_1__0_n_7\, S(3) => \axaddr_incr[4]_i_2__0_n_0\, S(2) => \axaddr_incr[4]_i_3__0_n_0\, S(1) => \axaddr_incr[4]_i_4__0_n_0\, S(0) => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_6\, Q => axaddr_incr_reg(5), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(1), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(2), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(3), R => '0' ); \axaddr_incr_reg[8]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[8]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[8]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[8]_i_1__0_n_7\, S(3) => \axaddr_incr[8]_i_2__0_n_0\, S(2) => \axaddr_incr[8]_i_3__0_n_0\, S(1) => \axaddr_incr[8]_i_4__0_n_0\, S(0) => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_6\, Q => \^axaddr_incr_reg[11]_0\(4), R => '0' ); \axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => \axlen_cnt[2]_i_1__1_n_0\ ); \axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1__1_n_0\ ); \axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt[4]_i_2__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(9), O => \axlen_cnt[4]_i_1__0_n_0\ ); \axlen_cnt[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[4]_i_2__0_n_0\ ); \axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt[5]_i_2_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(10), O => \axlen_cnt[5]_i_1__0_n_0\ ); \axlen_cnt[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(0), I3 => \^q\(1), I4 => \axlen_cnt_reg_n_0_[3]\, O => \axlen_cnt[5]_i_2_n_0\ ); \axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \axlen_cnt[7]_i_3__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(11), O => \axlen_cnt[6]_i_1__0_n_0\ ); \axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(12), I2 => \axlen_cnt_reg_n_0_[7]\, I3 => \axlen_cnt[7]_i_3__0_n_0\, I4 => \axlen_cnt_reg_n_0_[6]\, I5 => \state_reg[0]\, O => \axlen_cnt[7]_i_2__0_n_0\ ); \axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \^q\(1), I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[4]\, O => \axlen_cnt[7]_i_3__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[4]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[5]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[5]\, R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[6]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2__0_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => \^axaddr_incr_reg[3]_0\(2), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(2), O => \m_axi_araddr[2]\ ); \m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => axaddr_incr_reg(5), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(4), O => \m_axi_araddr[5]\ ); \next_pending_r_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[5]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \next_pending_r_i_4__0_n_0\, O => next_pending_r_reg_1 ); \next_pending_r_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(1), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[6]\, I3 => \axlen_cnt_reg_n_0_[7]\, O => \next_pending_r_i_4__0_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_1\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is port ( \axlen_cnt_reg[1]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); wrap_second_len : out STD_LOGIC_VECTOR ( 0 to 0 ); r_push_r_reg : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; \axlen_cnt_reg[1]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \axlen_cnt_reg[4]\ : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; \cnt_read_reg[2]_rep__0\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[1]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_second_len_r_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_next_pending : in STD_LOGIC; \m_payload_i_reg[51]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axlen_cnt_reg[1]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first_i\ : STD_LOGIC; signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair0"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair2"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axlen_cnt_reg[1]\ <= \^axlen_cnt_reg[1]\; incr_next_pending <= \^incr_next_pending\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first_i <= \^sel_first_i\; wrap_second_len(0) <= \^wrap_second_len\(0); \axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AAEA" ) port map ( I0 => sel_first_reg_2, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]_0\(0), I1 => \m_payload_i_reg[47]\(3), I2 => \^m_payload_i_reg[0]_0\, I3 => si_rs_arvalid, I4 => \^m_payload_i_reg[0]\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset_r_reg[3]\(0) ); \axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_arvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[47]\(1), I4 => \axlen_cnt_reg[1]_1\(0), I5 => \^axlen_cnt_reg[1]\, O => \axlen_cnt_reg[1]_0\(0) ); \axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[47]\(2), I2 => \axlen_cnt_reg[1]_1\(1), I3 => \axlen_cnt_reg[1]_1\(0), I4 => \^axlen_cnt_reg[1]\, O => \axlen_cnt_reg[1]_0\(1) ); \axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00CA" ) port map ( I0 => si_rs_arvalid, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_wrap_reg[11]\(0) ); \axlen_cnt[7]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_arvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[4]\, O => \^axlen_cnt_reg[1]\ ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => \^m_payload_i_reg[0]\, O => m_axi_arvalid ); \m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"D5" ) port map ( I0 => si_rs_arvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^m_payload_i_reg[0]_0\, O => \m_payload_i_reg[0]_1\(0) ); \next_pending_r_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[51]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[4]\, I3 => \^r_push_r_reg\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); r_push_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \^m_payload_i_reg[0]_0\, I2 => m_axi_arready, O => \^r_push_r_reg\ ); \s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); \s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); \sel_first_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FCFFFFFFCCCECCCE" ) port map ( I0 => si_rs_arvalid, I1 => areset_d1, I2 => \^m_payload_i_reg[0]\, I3 => \^m_payload_i_reg[0]_0\, I4 => m_axi_arready, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_3, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"003030303E3E3E3E" ) port map ( I0 => si_rs_arvalid, I1 => \^q\(1), I2 => \^q\(0), I3 => m_axi_arready, I4 => s_axburst_eq1_reg_0, I5 => \cnt_read_reg[2]_rep__0\, O => next_state(0) ); \state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00AAB000" ) port map ( I0 => \cnt_read_reg[2]_rep__0\, I1 => s_axburst_eq1_reg_0, I2 => m_axi_arready, I3 => \^m_payload_i_reg[0]_0\, I4 => \^m_payload_i_reg[0]\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^m_payload_i_reg[0]_0\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^m_payload_i_reg[0]\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_arvalid, I2 => \^m_payload_i_reg[0]_0\, O => \^e\(0) ); \wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wrap_second_len\(0), I1 => \m_payload_i_reg[44]\, O => D(0) ); \wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0000FCAAAAAAAA" ) port map ( I0 => \wrap_second_len_r_reg[1]\(0), I1 => axaddr_offset(2), I2 => \^axaddr_offset_r_reg[3]\(0), I3 => axaddr_offset(0), I4 => axaddr_offset(1), I5 => \^e\(0), O => \^wrap_second_len\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo is port ( \cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__1_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[0]_0\ : out STD_LOGIC; sel : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bvalid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); b_push : in STD_LOGIC; shandshake_r : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; \bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); mhandshake_r : in STD_LOGIC; bvalid_i_reg_0 : in STD_LOGIC; si_rs_bready : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo is signal bvalid_i_i_2_n_0 : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \^cnt_read_reg[1]_rep__1_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_5_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_6_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][4]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][5]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][6]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][7]_srl4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair116"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 "; attribute SOFT_HLUTNM of \memory_reg[3][0]_srl4_i_1__0\ : label is "soft_lutpair117"; attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 "; attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 "; attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 "; attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 "; attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 "; attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 "; attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 "; attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 "; attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 "; attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 "; attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 "; attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 "; attribute srl_bus_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][4]_srl4 "; attribute srl_bus_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][5]_srl4 "; attribute srl_bus_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][6]_srl4 "; attribute srl_bus_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][7]_srl4 "; attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 "; attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 "; begin \cnt_read_reg[0]_0\ <= \^cnt_read_reg[0]_0\; \cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\; \cnt_read_reg[1]_rep__1_0\ <= \^cnt_read_reg[1]_rep__1_0\; \bresp_cnt[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => areset_d1, I1 => \^cnt_read_reg[0]_0\, O => SR(0) ); bvalid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"002A" ) port map ( I0 => bvalid_i_i_2_n_0, I1 => bvalid_i_reg_0, I2 => si_rs_bready, I3 => areset_d1, O => bvalid_i_reg ); bvalid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00070707" ) port map ( I0 => \^cnt_read_reg[1]_rep__1_0\, I1 => \^cnt_read_reg[0]_rep__0_0\, I2 => shandshake_r, I3 => Q(1), I4 => Q(0), I5 => bvalid_i_reg_0, O => bvalid_i_i_2_n_0 ); \cnt_read[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \^cnt_read_reg[0]_0\, I1 => shandshake_r, I2 => Q(0), O => D(0) ); \cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^cnt_read_reg[0]_rep__0_0\, I1 => b_push, I2 => shandshake_r, O => \cnt_read[0]_i_1__2_n_0\ ); \cnt_read[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E718" ) port map ( I0 => \^cnt_read_reg[0]_rep__0_0\, I1 => b_push, I2 => shandshake_r, I3 => \^cnt_read_reg[1]_rep__1_0\, O => \cnt_read[1]_i_1_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => \^cnt_read_reg[0]_rep__0_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \^cnt_read_reg[1]_rep__1_0\, S => areset_d1 ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(0), Q => \memory_reg[3][0]_srl4_n_0\ ); \memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^cnt_read_reg[0]_0\, O => sel ); \memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFEFFFFFFFFFFFE" ) port map ( I0 => \memory_reg[3][0]_srl4_i_3_n_0\, I1 => \memory_reg[3][0]_srl4_i_4_n_0\, I2 => \memory_reg[3][0]_srl4_i_5_n_0\, I3 => \memory_reg[3][0]_srl4_i_6_n_0\, I4 => \bresp_cnt_reg[7]\(3), I5 => \memory_reg[3][3]_srl4_n_0\, O => \^cnt_read_reg[0]_0\ ); \memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"22F2FFFFFFFF22F2" ) port map ( I0 => \memory_reg[3][0]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(0), I2 => \memory_reg[3][2]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(2), I4 => \memory_reg[3][1]_srl4_n_0\, I5 => \bresp_cnt_reg[7]\(1), O => \memory_reg[3][0]_srl4_i_3_n_0\ ); \memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"F222FFFFFFFFF222" ) port map ( I0 => \bresp_cnt_reg[7]\(5), I1 => \memory_reg[3][5]_srl4_n_0\, I2 => \^cnt_read_reg[1]_rep__1_0\, I3 => \^cnt_read_reg[0]_rep__0_0\, I4 => \bresp_cnt_reg[7]\(7), I5 => \memory_reg[3][7]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_4_n_0\ ); \memory_reg[3][0]_srl4_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"2FF22FF2FFFF2FF2" ) port map ( I0 => \bresp_cnt_reg[7]\(2), I1 => \memory_reg[3][2]_srl4_n_0\, I2 => \memory_reg[3][4]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(4), I4 => \bresp_cnt_reg[7]\(0), I5 => \memory_reg[3][0]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_5_n_0\ ); \memory_reg[3][0]_srl4_i_6\: unisim.vcomponents.LUT5 generic map( INIT => X"6F6FFF6F" ) port map ( I0 => \memory_reg[3][6]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(6), I2 => mhandshake_r, I3 => \memory_reg[3][5]_srl4_n_0\, I4 => \bresp_cnt_reg[7]\(5), O => \memory_reg[3][0]_srl4_i_6_n_0\ ); \memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(10), Q => \out\(2) ); \memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(11), Q => \out\(3) ); \memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(12), Q => \out\(4) ); \memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(13), Q => \out\(5) ); \memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(14), Q => \out\(6) ); \memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(15), Q => \out\(7) ); \memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(16), Q => \out\(8) ); \memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(17), Q => \out\(9) ); \memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(18), Q => \out\(10) ); \memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(19), Q => \out\(11) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(1), Q => \memory_reg[3][1]_srl4_n_0\ ); \memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(2), Q => \memory_reg[3][2]_srl4_n_0\ ); \memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(3), Q => \memory_reg[3][3]_srl4_n_0\ ); \memory_reg[3][4]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(4), Q => \memory_reg[3][4]_srl4_n_0\ ); \memory_reg[3][5]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(5), Q => \memory_reg[3][5]_srl4_n_0\ ); \memory_reg[3][6]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(6), Q => \memory_reg[3][6]_srl4_n_0\ ); \memory_reg[3][7]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(7), Q => \memory_reg[3][7]_srl4_n_0\ ); \memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(8), Q => \out\(0) ); \memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(9), Q => \out\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is port ( mhandshake : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC; \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; mhandshake_r : in STD_LOGIC; shandshake_r : in STD_LOGIC; \bresp_cnt_reg[3]\ : in STD_LOGIC; sel : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair118"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair118"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 "; begin Q(1 downto 0) <= \^q\(1 downto 0); \cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \^q\(1), I1 => shandshake_r, I2 => \^q\(0), I3 => \bresp_cnt_reg[3]\, O => \cnt_read[1]_i_1__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => D(0), Q => \^q\(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__0_n_0\, Q => \^q\(1), S => areset_d1 ); m_axi_bready_INST_0: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => mhandshake_r, O => m_axi_bready ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => sel, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[1]\(0) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => sel, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[1]\(1) ); mhandshake_r_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => m_axi_bvalid, I1 => mhandshake_r, I2 => \^q\(0), I3 => \^q\(1), O => mhandshake ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is port ( \cnt_read_reg[3]_rep__2_0\ : out STD_LOGIC; wr_en0 : out STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); s_ready_i_reg : in STD_LOGIC; s_ready_i_reg_0 : in STD_LOGIC; si_rs_rready : in STD_LOGIC; \cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[3]_rep__2_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^wr_en0\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[4]_i_5\ : label is "soft_lutpair9"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]"; attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair7"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 "; attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 "; attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 "; attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 "; attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 "; attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 "; attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 "; attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 "; attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 "; attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 "; attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 "; attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 "; attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 "; attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 "; attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 "; attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 "; attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 "; attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 "; attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 "; attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair7"; begin \cnt_read_reg[3]_rep__2_0\ <= \^cnt_read_reg[3]_rep__2_0\; \cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\; \cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\; wr_en0 <= \^wr_en0\; \cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => s_ready_i_reg, I2 => \^wr_en0\, O => \cnt_read[0]_i_1__0_n_0\ ); \cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"A96A" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, I1 => \cnt_read_reg[0]_rep__2_n_0\, I2 => \^wr_en0\, I3 => s_ready_i_reg, O => \cnt_read[1]_i_1__2_n_0\ ); \cnt_read[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"A6AAAA9A" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => s_ready_i_reg, I3 => \^wr_en0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => \cnt_read[2]_i_1_n_0\ ); \cnt_read[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAA96AAAAAAA" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[0]_rep__2_n_0\, I4 => \^wr_en0\, I5 => s_ready_i_reg, O => \cnt_read[3]_i_1_n_0\ ); \cnt_read[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA55AAA6A6AAA6AA" ) port map ( I0 => \^cnt_read_reg[4]_rep__2_0\, I1 => \cnt_read[4]_i_2_n_0\, I2 => \cnt_read[4]_i_3_n_0\, I3 => s_ready_i_reg_0, I4 => \^cnt_read_reg[4]_rep__2_1\, I5 => \^cnt_read_reg[3]_rep__2_0\, O => \cnt_read[4]_i_1_n_0\ ); \cnt_read[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[4]_i_2_n_0\ ); \cnt_read[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => si_rs_rready, I2 => \cnt_read_reg[4]_rep__0_0\, I3 => \^wr_en0\, O => \cnt_read[4]_i_3_n_0\ ); \cnt_read[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => \cnt_read_reg[2]_rep__2_n_0\, O => \^cnt_read_reg[4]_rep__2_1\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \^cnt_read_reg[3]_rep__2_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \^cnt_read_reg[4]_rep__2_0\, S => areset_d1 ); m_axi_rready_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"F77F777F" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \^cnt_read_reg[4]_rep__2_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[2]_rep__2_n_0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => m_axi_rready ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(0), Q => \out\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA2A2AAA2A2A2AAA" ) port map ( I0 => m_axi_rvalid, I1 => \^cnt_read_reg[3]_rep__2_0\, I2 => \^cnt_read_reg[4]_rep__2_0\, I3 => \cnt_read_reg[1]_rep__2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[0]_rep__2_n_0\, O => \^wr_en0\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(10), Q => \out\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(11), Q => \out\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(12), Q => \out\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(13), Q => \out\(13), Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(14), Q => \out\(14), Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(15), Q => \out\(15), Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(16), Q => \out\(16), Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(17), Q => \out\(17), Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(18), Q => \out\(18), Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(19), Q => \out\(19), Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(1), Q => \out\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(20), Q => \out\(20), Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(21), Q => \out\(21), Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(22), Q => \out\(22), Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(23), Q => \out\(23), Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(24), Q => \out\(24), Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(25), Q => \out\(25), Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(26), Q => \out\(26), Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(27), Q => \out\(27), Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(28), Q => \out\(28), Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(29), Q => \out\(29), Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(2), Q => \out\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(30), Q => \out\(30), Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(31), Q => \out\(31), Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(32), Q => \out\(32), Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(33), Q => \out\(33), Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(3), Q => \out\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(4), Q => \out\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(5), Q => \out\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(6), Q => \out\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(7), Q => \out\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(8), Q => \out\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(9), Q => \out\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"7C000000" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \^cnt_read_reg[4]_rep__2_0\, I4 => \^cnt_read_reg[3]_rep__2_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is port ( \state_reg[1]_rep\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); r_push_r : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; \cnt_read_reg[0]_rep__2\ : in STD_LOGIC; si_rs_rready : in STD_LOGIC; wr_en0 : in STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : in STD_LOGIC; \cnt_read_reg[3]_rep__2\ : in STD_LOGIC; \cnt_read_reg[0]_rep__2_0\ : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_4__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_5__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^m_valid_i_reg\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[4]_i_4__0\ : label is "soft_lutpair11"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 "; begin m_valid_i_reg <= \^m_valid_i_reg\; \cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__0_n_0\, I1 => s_ready_i_reg, I2 => r_push_r, O => \cnt_read[0]_i_1__1_n_0\ ); \cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \cnt_read_reg[1]_rep__0_n_0\, I1 => \cnt_read_reg[0]_rep__0_n_0\, I2 => s_ready_i_reg, I3 => r_push_r, O => \cnt_read[1]_i_1__1_n_0\ ); \cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AA6AA9AA" ) port map ( I0 => \cnt_read_reg[2]_rep__0_n_0\, I1 => \cnt_read_reg[1]_rep__0_n_0\, I2 => r_push_r, I3 => s_ready_i_reg, I4 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[2]_i_1__0_n_0\ ); \cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA6AAAAAA9AAAA" ) port map ( I0 => \cnt_read_reg[3]_rep__0_n_0\, I1 => \cnt_read_reg[2]_rep__0_n_0\, I2 => \cnt_read_reg[1]_rep__0_n_0\, I3 => r_push_r, I4 => s_ready_i_reg, I5 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[3]_i_1__0_n_0\ ); \cnt_read[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6A666A6AAA99AAAA" ) port map ( I0 => \cnt_read_reg[4]_rep__0_n_0\, I1 => \cnt_read[4]_i_2__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read[4]_i_4__0_n_0\, I4 => \cnt_read[4]_i_5__0_n_0\, I5 => \cnt_read_reg[3]_rep__0_n_0\, O => \cnt_read[4]_i_1__0_n_0\ ); \cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"8A" ) port map ( I0 => r_push_r, I1 => \^m_valid_i_reg\, I2 => si_rs_rready, O => \cnt_read[4]_i_2__0_n_0\ ); \cnt_read[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[2]_rep__0_n_0\, I1 => \cnt_read_reg[1]_rep__0_n_0\, I2 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[4]_i_3__0_n_0\ ); \cnt_read[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, I2 => wr_en0, O => \cnt_read_reg[4]_rep__2\ ); \cnt_read[4]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__0_n_0\, I1 => si_rs_rready, I2 => \^m_valid_i_reg\, I3 => r_push_r, O => \cnt_read[4]_i_4__0_n_0\ ); \cnt_read[4]_i_5__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[1]_rep__0_n_0\, I1 => \cnt_read_reg[2]_rep__0_n_0\, O => \cnt_read[4]_i_5__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => \cnt_read_reg[4]_rep__0_n_0\, I1 => \cnt_read_reg[3]_rep__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read_reg[4]_rep__2_0\, I4 => \cnt_read_reg[3]_rep__2\, I5 => \cnt_read_reg[0]_rep__2_0\, O => \^m_valid_i_reg\ ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[46]\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(10), Q => \skid_buffer_reg[46]\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(11), Q => \skid_buffer_reg[46]\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(12), Q => \skid_buffer_reg[46]\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[46]\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(2), Q => \skid_buffer_reg[46]\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(3), Q => \skid_buffer_reg[46]\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(4), Q => \skid_buffer_reg[46]\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(5), Q => \skid_buffer_reg[46]\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(6), Q => \skid_buffer_reg[46]\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(7), Q => \skid_buffer_reg[46]\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(8), Q => \skid_buffer_reg[46]\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(9), Q => \skid_buffer_reg[46]\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"BEFEAAAAAAAAAAAA" ) port map ( I0 => \cnt_read_reg[0]_rep__2\, I1 => \cnt_read_reg[2]_rep__0_n_0\, I2 => \cnt_read_reg[1]_rep__0_n_0\, I3 => \cnt_read_reg[0]_rep__0_n_0\, I4 => \cnt_read_reg[3]_rep__0_n_0\, I5 => \cnt_read_reg[4]_rep__0_n_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is port ( \axlen_cnt_reg[4]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[1]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : out STD_LOGIC; \state_reg[1]_rep_1\ : out STD_LOGIC; \axlen_cnt_reg[5]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \next\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \axaddr_wrap_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \axlen_cnt_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; \m_payload_i_reg[49]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \axlen_cnt_reg[5]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[3]_0\ : in STD_LOGIC; \axlen_cnt_reg[4]_0\ : in STD_LOGIC; \wrap_second_len_r_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \axlen_cnt_reg[2]\ : in STD_LOGIC; next_pending_r_reg_0 : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; \sel_first__0\ : in STD_LOGIC; aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axlen_cnt_reg[4]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^next\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sel_first_i\ : STD_LOGIC; signal \state[0]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_1__0_n_0\ : STD_LOGIC; signal \^state_reg[1]_rep_0\ : STD_LOGIC; signal \^state_reg[1]_rep_1\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \^wrap_second_len_r_reg[1]\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_5\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair112"; attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair111"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair112"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axlen_cnt_reg[4]\ <= \^axlen_cnt_reg[4]\; incr_next_pending <= \^incr_next_pending\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \next\ <= \^next\; sel_first_i <= \^sel_first_i\; \state_reg[1]_rep_0\ <= \^state_reg[1]_rep_0\; \state_reg[1]_rep_1\ <= \^state_reg[1]_rep_1\; wrap_next_pending <= \^wrap_next_pending\; \wrap_second_len_r_reg[1]\(0) <= \^wrap_second_len_r_reg[1]\(0); \axaddr_incr[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EEFE" ) port map ( I0 => sel_first_reg_2, I1 => \^m_payload_i_reg[0]\, I2 => \^state_reg[1]_rep_0\, I3 => \^state_reg[1]_rep_1\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]_0\(0), I1 => \m_payload_i_reg[49]\(3), I2 => \^state_reg[1]_rep_1\, I3 => si_rs_awvalid, I4 => \^state_reg[1]_rep_0\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset_r_reg[3]\(0) ); \axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[49]\(1), I4 => \axlen_cnt_reg[5]_0\(0), I5 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(0) ); \axlen_cnt[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(2), I2 => \axlen_cnt_reg[5]_0\(1), I3 => \axlen_cnt_reg[5]_0\(0), I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(1) ); \axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(4), I2 => \axlen_cnt_reg[5]_0\(2), I3 => \axlen_cnt_reg[3]_0\, I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(2) ); \axlen_cnt[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(5), I2 => \axlen_cnt_reg[5]_0\(3), I3 => \axlen_cnt_reg[4]_0\, I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(3) ); \axlen_cnt[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCFE" ) port map ( I0 => si_rs_awvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^state_reg[1]_rep_0\, I3 => \^state_reg[1]_rep_1\, O => \axaddr_wrap_reg[0]\(0) ); \axlen_cnt[7]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_awvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[3]\, O => \^axlen_cnt_reg[4]\ ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^state_reg[1]_rep_1\, I1 => \^state_reg[1]_rep_0\, O => m_axi_awvalid ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_awvalid, O => \m_payload_i_reg[0]_0\(0) ); \memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"88008888A800A8A8" ) port map ( I0 => \^state_reg[1]_rep_1\, I1 => \^state_reg[1]_rep_0\, I2 => m_axi_awready, I3 => \cnt_read_reg[0]_rep__0\, I4 => \cnt_read_reg[1]_rep__1\, I5 => s_axburst_eq1_reg_0, O => \^m_payload_i_reg[0]\ ); next_pending_r_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[48]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[3]\, I3 => \^next\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); \next_pending_r_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[46]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[2]\, I3 => \^next\, I4 => next_pending_r_reg_0, O => \^wrap_next_pending\ ); next_pending_r_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"F3F35100FFFF0000" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => \cnt_read_reg[1]_rep__1\, I2 => \cnt_read_reg[0]_rep__0\, I3 => m_axi_awready, I4 => \^state_reg[1]_rep_0\, I5 => \^state_reg[1]_rep_1\, O => \^next\ ); s_axburst_eq0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[49]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); s_axburst_eq1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[49]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); sel_first_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"CCCEFCFFCCCECCCE" ) port map ( I0 => si_rs_awvalid, I1 => areset_d1, I2 => \^state_reg[1]_rep_1\, I3 => \^state_reg[1]_rep_0\, I4 => \^m_payload_i_reg[0]\, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44440F04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44440F04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \sel_first__0\, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"2F" ) port map ( I0 => si_rs_awvalid, I1 => \^q\(0), I2 => \state[0]_i_2_n_0\, O => next_state(0) ); \state[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FA08FAFA0F0F0F0F" ) port map ( I0 => m_axi_awready, I1 => s_axburst_eq1_reg_0, I2 => \^state_reg[1]_rep_0\, I3 => \cnt_read_reg[0]_rep__0\, I4 => \cnt_read_reg[1]_rep__1\, I5 => \^state_reg[1]_rep_1\, O => \state[0]_i_2_n_0\ ); \state[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0C0CAE0000000000" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => \cnt_read_reg[1]_rep__1\, I2 => \cnt_read_reg[0]_rep__0\, I3 => m_axi_awready, I4 => \^state_reg[1]_rep_0\, I5 => \^state_reg[1]_rep_1\, O => \state[1]_i_1__0_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^state_reg[1]_rep_1\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \state[1]_i_1__0_n_0\, Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \state[1]_i_1__0_n_0\, Q => \^state_reg[1]_rep_0\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^state_reg[1]_rep_0\, I1 => si_rs_awvalid, I2 => \^state_reg[1]_rep_1\, O => \^e\(0) ); \wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wrap_second_len_r_reg[1]\(0), I1 => \m_payload_i_reg[44]\, O => D(0) ); \wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0000FCAAAAAAAA" ) port map ( I0 => \wrap_second_len_r_reg[1]_0\(0), I1 => \m_payload_i_reg[35]\(2), I2 => \^axaddr_offset_r_reg[3]\(0), I3 => \m_payload_i_reg[35]\(0), I4 => \m_payload_i_reg[35]\(1), I5 => \^e\(0), O => \^wrap_second_len_r_reg[1]\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); wrap_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \next\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_wrap[11]_i_2\ : label is "soft_lutpair114"; attribute SOFT_HLUTNM of \next_pending_r_i_3__0\ : label is "soft_lutpair114"; begin sel_first_reg_0 <= \^sel_first_reg_0\; \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(0), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(0), I3 => \next\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1_n_0\ ); \axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(10), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(10), I3 => \next\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1_n_0\ ); \axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(11), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(11), I3 => \next\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1_n_0\ ); \axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4_n_0\, I1 => wrap_cnt_r(3), I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2_n_0\ ); \axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => wrap_cnt_r(0), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[2]\, I3 => wrap_cnt_r(2), I4 => \axlen_cnt_reg_n_0_[1]\, I5 => wrap_cnt_r(1), O => \axaddr_wrap[11]_i_4_n_0\ ); \axaddr_wrap[11]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(11), O => \axaddr_wrap[11]_i_5_n_0\ ); \axaddr_wrap[11]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(10), O => \axaddr_wrap[11]_i_6_n_0\ ); \axaddr_wrap[11]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(9), O => \axaddr_wrap[11]_i_7_n_0\ ); \axaddr_wrap[11]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(8), O => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(1), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(1), I3 => \next\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1_n_0\ ); \axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(2), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(2), I3 => \next\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1_n_0\ ); \axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(3), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(3), I3 => \next\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => axaddr_wrap(3), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(2), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(1), I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => axaddr_wrap(0), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(4), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(4), I3 => \next\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1_n_0\ ); \axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(5), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(5), I3 => \next\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1_n_0\ ); \axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(6), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(6), I3 => \next\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1_n_0\ ); \axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(7), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(7), I3 => \next\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1_n_0\ ); \axaddr_wrap[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(7), O => \axaddr_wrap[7]_i_3_n_0\ ); \axaddr_wrap[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(6), O => \axaddr_wrap[7]_i_4_n_0\ ); \axaddr_wrap[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(5), O => \axaddr_wrap[7]_i_5_n_0\ ); \axaddr_wrap[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(4), O => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(8), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(8), I3 => \next\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1_n_0\ ); \axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(9), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(9), I3 => \next\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1_n_0\, Q => axaddr_wrap(0), R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1_n_0\, Q => axaddr_wrap(10), R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1_n_0\, Q => axaddr_wrap(11), R => '0' ); \axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(11 downto 8), S(3) => \axaddr_wrap[11]_i_5_n_0\, S(2) => \axaddr_wrap[11]_i_6_n_0\, S(1) => \axaddr_wrap[11]_i_7_n_0\, S(0) => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1_n_0\, Q => axaddr_wrap(1), R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1_n_0\, Q => axaddr_wrap(2), R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1_n_0\, Q => axaddr_wrap(3), R => '0' ); \axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => axaddr_wrap(3 downto 0), O(3 downto 0) => axaddr_wrap0(3 downto 0), S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1_n_0\, Q => axaddr_wrap(4), R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1_n_0\, Q => axaddr_wrap(5), R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1_n_0\, Q => axaddr_wrap(6), R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1_n_0\, Q => axaddr_wrap(7), R => '0' ); \axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(7 downto 4), S(3) => \axaddr_wrap[7]_i_3_n_0\, S(2) => \axaddr_wrap[7]_i_4_n_0\, S(1) => \axaddr_wrap[7]_i_5_n_0\, S(0) => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1_n_0\, Q => axaddr_wrap(8), R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1_n_0\, Q => axaddr_wrap(9), R => '0' ); \axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[0]_i_1__0_n_0\ ); \axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__0_n_0\ ); \axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__0_n_0\ ); \axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(0), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_awaddr(0) ); \m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(10), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_awaddr(10) ); \m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(11), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(7), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_awaddr(11) ); \m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(1), I1 => \^sel_first_reg_0\, I2 => axaddr_wrap(1), I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_awaddr(1) ); \m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(2), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(2), O => m_axi_awaddr(2) ); \m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(3), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_awaddr(3) ); \m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(4), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_awaddr(4) ); \m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(5), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(5), O => m_axi_awaddr(5) ); \m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(6), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(6), O => m_axi_awaddr(6) ); \m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(7), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_awaddr(7) ); \m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(8), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_awaddr(8) ); \m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(9), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_awaddr(9) ); \next_pending_r_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => wrap_boundary_axaddr_r(0), R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => wrap_boundary_axaddr_r(10), R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => wrap_boundary_axaddr_r(11), R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => wrap_boundary_axaddr_r(1), R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => wrap_boundary_axaddr_r(2), R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => wrap_boundary_axaddr_r(3), R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => wrap_boundary_axaddr_r(4), R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => wrap_boundary_axaddr_r(5), R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => wrap_boundary_axaddr_r(6), R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => wrap_boundary_axaddr_r(7), R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => wrap_boundary_axaddr_r(8), R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => wrap_boundary_axaddr_r(9), R => '0' ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => wrap_cnt_r(0), R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => wrap_cnt_r(1), R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => wrap_cnt_r(2), R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(3), Q => wrap_cnt_r(3), R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is port ( wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_13_b2s_wrap_cmd"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \next_pending_r_i_3__2_n_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin sel_first_reg_0 <= \^sel_first_reg_0\; wrap_next_pending <= \^wrap_next_pending\; \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1__0_n_0\ ); \axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4__0_n_0\, I1 => \wrap_cnt_r_reg_n_0_[3]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2__0_n_0\ ); \axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => \wrap_cnt_r_reg_n_0_[0]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \wrap_cnt_r_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \wrap_cnt_r_reg_n_0_[2]\, O => \axaddr_wrap[11]_i_4__0_n_0\ ); \axaddr_wrap[11]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[11]\, O => \axaddr_wrap[11]_i_5__0_n_0\ ); \axaddr_wrap[11]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[10]\, O => \axaddr_wrap[11]_i_6__0_n_0\ ); \axaddr_wrap[11]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[9]\, O => \axaddr_wrap[11]_i_7__0_n_0\ ); \axaddr_wrap[11]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[8]\, O => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1__0_n_0\ ); \axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[3]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[2]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[1]\, I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \axaddr_wrap_reg_n_0_[0]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1__0_n_0\ ); \axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1__0_n_0\ ); \axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_3__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[7]\, O => \axaddr_wrap[7]_i_3__0_n_0\ ); \axaddr_wrap[7]_i_4__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[6]\, O => \axaddr_wrap[7]_i_4__0_n_0\ ); \axaddr_wrap[7]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[5]\, O => \axaddr_wrap[7]_i_5__0_n_0\ ); \axaddr_wrap[7]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[4]\, O => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1__0_n_0\ ); \axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1__0_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[0]\, R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[10]\, R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[11]\, R => '0' ); \axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\, O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\, O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\, O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\, S(3) => \axaddr_wrap[11]_i_5__0_n_0\, S(2) => \axaddr_wrap[11]_i_6__0_n_0\, S(1) => \axaddr_wrap[11]_i_7__0_n_0\, S(0) => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[1]\, R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[2]\, R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[3]\, R => '0' ); \axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_wrap_reg_n_0_[3]\, DI(2) => \axaddr_wrap_reg_n_0_[2]\, DI(1) => \axaddr_wrap_reg_n_0_[1]\, DI(0) => \axaddr_wrap_reg_n_0_[0]\, O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\, S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[4]\, R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[5]\, R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[6]\, R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[7]\, R => '0' ); \axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\, S(3) => \axaddr_wrap[7]_i_3__0_n_0\, S(2) => \axaddr_wrap[7]_i_4__0_n_0\, S(1) => \axaddr_wrap[7]_i_5__0_n_0\, S(0) => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[8]\, R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[9]\, R => '0' ); \axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \axlen_cnt[0]_i_1__2_n_0\ ); \axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__2_n_0\ ); \axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__2_n_0\ ); \axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__2_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[0]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_araddr(0) ); \m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[10]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_araddr(10) ); \m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[11]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_araddr(11) ); \m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[1]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(1), O => m_axi_araddr(1) ); \m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(2), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[2]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_3, O => m_axi_araddr(2) ); \m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[3]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_araddr(3) ); \m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[4]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_araddr(4) ); \m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(5), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[5]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_araddr(5) ); \m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[6]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(6), O => m_axi_araddr(6) ); \m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[7]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_araddr(7) ); \m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[8]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_araddr(8) ); \m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[9]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_araddr(9) ); \next_pending_r_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FD55FC0C" ) port map ( I0 => \m_payload_i_reg[46]\, I1 => next_pending_r_reg_n_0, I2 => \state_reg[1]_rep_0\, I3 => \next_pending_r_i_3__2_n_0\, I4 => E(0), O => \^wrap_next_pending\ ); \next_pending_r_i_3__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) port map ( I0 => \state_reg[0]_rep\, I1 => si_rs_arvalid, I2 => \state_reg[1]_rep\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \next_pending_r_i_3__2_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \^wrap_next_pending\, Q => next_pending_r_reg_n_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\, R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\, R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\, R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\, R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\, R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\, R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\, R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\, R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\, R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\, R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\, R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\, R => '0' ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => \wrap_cnt_r_reg_n_0_[0]\, R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => \wrap_cnt_r_reg_n_0_[1]\, R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => \wrap_cnt_r_reg_n_0_[2]\, R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(3), Q => \wrap_cnt_r_reg_n_0_[3]\, R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice is port ( s_axi_arready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]_0\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_araddr[10]\ : out STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_second_len_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first_2 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice is signal \^q\ : STD_LOGIC_VECTOR ( 58 downto 0 ); signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[48]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[49]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[62]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[63]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[64]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_3__0_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[3]_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_3__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_4__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_2__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[62]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[63]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[64]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1__0\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1__0\ : label is "soft_lutpair14"; begin Q(58 downto 0) <= \^q\(58 downto 0); \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_arready <= \^s_axi_arready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \wrap_cnt_r_reg[3]_0\ <= \^wrap_cnt_r_reg[3]_0\; \wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0); \aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]_0\, Q => \^m_valid_i_reg_0\, R => '0' ); \axaddr_incr[0]_i_10__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(0), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_7\, O => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr[0]_i_12__0\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12__0_n_0\ ); \axaddr_incr[0]_i_13__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13__0_n_0\ ); \axaddr_incr[0]_i_14__0\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14__0_n_0\ ); \axaddr_incr[0]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_3__0_n_0\ ); \axaddr_incr[0]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_4__0_n_0\ ); \axaddr_incr[0]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first_2, O => \axaddr_incr[0]_i_5__0_n_0\ ); \axaddr_incr[0]_i_6__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_6__0_n_0\ ); \axaddr_incr[0]_i_7__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(3), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_4\, O => \axaddr_incr[0]_i_7__0_n_0\ ); \axaddr_incr[0]_i_8__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(2), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_5\, O => \axaddr_incr[0]_i_8__0_n_0\ ); \axaddr_incr[0]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => \axaddr_incr_reg[3]_0\(1), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_6\, O => \axaddr_incr[0]_i_9__0_n_0\ ); \axaddr_incr[4]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr[4]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7__0_n_0\ ); \axaddr_incr[4]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8__0_n_0\ ); \axaddr_incr[4]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9__0_n_0\ ); \axaddr_incr[8]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_incr[8]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7__0_n_0\ ); \axaddr_incr[8]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8__0_n_0\ ); \axaddr_incr[8]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9__0_n_0\ ); \axaddr_incr_reg[0]_i_11__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11__0_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12__0_n_0\, DI(1) => \axaddr_incr[0]_i_13__0_n_0\, DI(0) => \axaddr_incr[0]_i_14__0_n_0\, O(3) => \axaddr_incr_reg[0]_i_11__0_n_4\, O(2) => \axaddr_incr_reg[0]_i_11__0_n_5\, O(1) => \axaddr_incr_reg[0]_i_11__0_n_6\, O(0) => \axaddr_incr_reg[0]_i_11__0_n_7\, S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0) ); \axaddr_incr_reg[0]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[7]_0\(0), CO(2) => \axaddr_incr_reg[0]_i_2__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3__0_n_0\, DI(2) => \axaddr_incr[0]_i_4__0_n_0\, DI(1) => \axaddr_incr[0]_i_5__0_n_0\, DI(0) => \axaddr_incr[0]_i_6__0_n_0\, O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), S(3) => \axaddr_incr[0]_i_7__0_n_0\, S(2) => \axaddr_incr[0]_i_8__0_n_0\, S(1) => \axaddr_incr[0]_i_9__0_n_0\, S(0) => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr_reg[4]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7__0_n_0\, S(2) => \axaddr_incr[4]_i_8__0_n_0\, S(1) => \axaddr_incr[4]_i_9__0_n_0\, S(0) => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr_reg[8]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[8]_i_7__0_n_0\, S(2) => \axaddr_incr[8]_i_8__0_n_0\, S(1) => \axaddr_incr[8]_i_9__0_n_0\, S(0) => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0F0F088F0F0" ) port map ( I0 => \axaddr_offset_r[0]_i_2__0_n_0\, I1 => \^q\(39), I2 => \axaddr_offset_r_reg[3]_1\(0), I3 => \state_reg[1]\(1), I4 => \^s_ready_i_reg_0\, I5 => \state_reg[1]\(0), O => \^axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r[0]_i_2__0_n_0\ ); \axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_3__0_n_0\, I1 => \axaddr_offset_r[1]_i_2__0_n_0\, I2 => \^q\(35), I3 => \^q\(40), I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[3]_1\(1), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \axaddr_offset_r[2]_i_3__0_n_0\, I2 => \^q\(35), I3 => \^q\(41), I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[3]_1\(2), O => \^axaddr_offset_r_reg[2]\ ); \axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_3__0_n_0\ ); \axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]_rep_0\, O => \^axlen_cnt_reg[3]\ ); \m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first_2, O => \m_axi_araddr[10]\ ); \m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__0_n_0\ ); \m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__0_n_0\ ); \m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__0_n_0\ ); \m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(12), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__0_n_0\ ); \m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(13), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__1_n_0\ ); \m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(14), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__0_n_0\ ); \m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(15), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__0_n_0\ ); \m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(16), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__0_n_0\ ); \m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(17), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__0_n_0\ ); \m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(18), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__0_n_0\ ); \m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(19), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__0_n_0\ ); \m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__0_n_0\ ); \m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(20), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__0_n_0\ ); \m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(21), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__0_n_0\ ); \m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(22), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__0_n_0\ ); \m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(23), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__0_n_0\ ); \m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(24), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__0_n_0\ ); \m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(25), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__0_n_0\ ); \m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(26), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__0_n_0\ ); \m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(27), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__0_n_0\ ); \m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(28), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__0_n_0\ ); \m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(29), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__0_n_0\ ); \m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__0_n_0\ ); \m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(30), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__0_n_0\ ); \m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(31), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_2__0_n_0\ ); \m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__0_n_0\ ); \m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__0_n_0\ ); \m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__0_n_0\ ); \m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__0_n_0\ ); \m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__0_n_0\ ); \m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__0_n_0\ ); \m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__0_n_0\ ); \m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__0_n_0\ ); \m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__0_n_0\ ); \m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__0_n_0\ ); \m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_1__1_n_0\ ); \m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[47]\, O => \m_payload_i[47]_i_1__0_n_0\ ); \m_payload_i[48]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[48]\, O => \m_payload_i[48]_i_1__0_n_0\ ); \m_payload_i[49]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[49]\, O => \m_payload_i[49]_i_1__0_n_0\ ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__0_n_0\ ); \m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[50]\, O => \m_payload_i[50]_i_1__0_n_0\ ); \m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[51]\, O => \m_payload_i[51]_i_1__0_n_0\ ); \m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[53]\, O => \m_payload_i[53]_i_1__0_n_0\ ); \m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[54]\, O => \m_payload_i[54]_i_1__0_n_0\ ); \m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[55]\, O => \m_payload_i[55]_i_1__0_n_0\ ); \m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[56]\, O => \m_payload_i[56]_i_1__0_n_0\ ); \m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[57]\, O => \m_payload_i[57]_i_1__0_n_0\ ); \m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[58]\, O => \m_payload_i[58]_i_1__0_n_0\ ); \m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[59]\, O => \m_payload_i[59]_i_1__0_n_0\ ); \m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__0_n_0\ ); \m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[60]\, O => \m_payload_i[60]_i_1__0_n_0\ ); \m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[61]\, O => \m_payload_i[61]_i_1__0_n_0\ ); \m_payload_i[62]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[62]\, O => \m_payload_i[62]_i_1__0_n_0\ ); \m_payload_i[63]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[63]\, O => \m_payload_i[63]_i_1__0_n_0\ ); \m_payload_i[64]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[64]\, O => \m_payload_i[64]_i_1__0_n_0\ ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__0_n_0\ ); \m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__0_n_0\ ); \m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__0_n_0\ ); \m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__0_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[0]_i_1__0_n_0\, Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[10]_i_1__0_n_0\, Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[11]_i_1__0_n_0\, Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[12]_i_1__0_n_0\, Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[13]_i_1__1_n_0\, Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[14]_i_1__0_n_0\, Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[15]_i_1__0_n_0\, Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[16]_i_1__0_n_0\, Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[17]_i_1__0_n_0\, Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[18]_i_1__0_n_0\, Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[19]_i_1__0_n_0\, Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[1]_i_1__0_n_0\, Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[20]_i_1__0_n_0\, Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[21]_i_1__0_n_0\, Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[22]_i_1__0_n_0\, Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[23]_i_1__0_n_0\, Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[24]_i_1__0_n_0\, Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[25]_i_1__0_n_0\, Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[26]_i_1__0_n_0\, Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[27]_i_1__0_n_0\, Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[28]_i_1__0_n_0\, Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[29]_i_1__0_n_0\, Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[2]_i_1__0_n_0\, Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[30]_i_1__0_n_0\, Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[31]_i_2__0_n_0\, Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[32]_i_1__0_n_0\, Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[33]_i_1__0_n_0\, Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[34]_i_1__0_n_0\, Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[35]_i_1__0_n_0\, Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[36]_i_1__0_n_0\, Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[38]_i_1__0_n_0\, Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[39]_i_1__0_n_0\, Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[3]_i_1__0_n_0\, Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[44]_i_1__0_n_0\, Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[45]_i_1__0_n_0\, Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[46]_i_1__1_n_0\, Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[47]_i_1__0_n_0\, Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[48]_i_1__0_n_0\, Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[49]_i_1__0_n_0\, Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[4]_i_1__0_n_0\, Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[50]_i_1__0_n_0\, Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[51]_i_1__0_n_0\, Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[53]_i_1__0_n_0\, Q => \^q\(47), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[54]_i_1__0_n_0\, Q => \^q\(48), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[55]_i_1__0_n_0\, Q => \^q\(49), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[56]_i_1__0_n_0\, Q => \^q\(50), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[57]_i_1__0_n_0\, Q => \^q\(51), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[58]_i_1__0_n_0\, Q => \^q\(52), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[59]_i_1__0_n_0\, Q => \^q\(53), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[5]_i_1__0_n_0\, Q => \^q\(5), R => '0' ); \m_payload_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[60]_i_1__0_n_0\, Q => \^q\(54), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[61]_i_1__0_n_0\, Q => \^q\(55), R => '0' ); \m_payload_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[62]_i_1__0_n_0\, Q => \^q\(56), R => '0' ); \m_payload_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[63]_i_1__0_n_0\, Q => \^q\(57), R => '0' ); \m_payload_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[64]_i_1__0_n_0\, Q => \^q\(58), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[6]_i_1__0_n_0\, Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[7]_i_1__0_n_0\, Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[8]_i_1__0_n_0\, Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[9]_i_1__0_n_0\, Q => \^q\(9), R => '0' ); \m_valid_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"BFFFBBBB" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_ready_i_reg_0\, R => \^m_valid_i_reg_0\ ); \next_pending_r_i_2__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFD" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(46), I2 => \^q\(44), I3 => \^q\(45), I4 => \^q\(43), O => next_pending_r_reg ); \next_pending_r_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F444FFFF" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_arready\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); \skid_buffer_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); \skid_buffer_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); \skid_buffer_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); \skid_buffer_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); \skid_buffer_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); \skid_buffer_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); \skid_buffer_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(9), Q => \skid_buffer_reg_n_0_[62]\, R => '0' ); \skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(10), Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); \skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(11), Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0202AAAAA202A" ) port map ( I0 => \^q\(2), I1 => \^q\(40), I2 => \^q\(35), I3 => \^q\(41), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"002A882A222AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(40), I5 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBABBCCCCC0CC" ) port map ( I0 => \wrap_second_len_r[0]_i_2__0_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^s_ready_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3__0_n_0\, O => \wrap_cnt_r_reg[3]\(0) ); \wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(1), I1 => \^wrap_cnt_r_reg[3]_0\, I2 => wrap_second_len_1(0), O => \wrap_cnt_r_reg[3]\(1) ); \wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => wrap_second_len_1(0), I2 => \^wrap_cnt_r_reg[3]_0\, I3 => \^wrap_second_len_r_reg[3]\(1), O => \wrap_cnt_r_reg[3]\(2) ); \wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAAB" ) port map ( I0 => \wrap_cnt_r[3]_i_3__0_n_0\, I1 => \^axaddr_offset_r_reg[1]\, I2 => \^axaddr_offset_r_reg[0]\, I3 => \axaddr_offset_r_reg[3]_0\(0), I4 => \^axaddr_offset_r_reg[2]\, O => \^wrap_cnt_r_reg[3]_0\ ); \wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0F0F0F0F880F0F" ) port map ( I0 => \axaddr_offset_r[0]_i_2__0_n_0\, I1 => \^q\(39), I2 => \wrap_second_len_r_reg[3]_0\(0), I3 => \state_reg[1]\(1), I4 => \^s_ready_i_reg_0\, I5 => \state_reg[1]\(0), O => \wrap_cnt_r[3]_i_3__0_n_0\ ); \wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4444454444444044" ) port map ( I0 => \wrap_second_len_r[0]_i_2__0_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^s_ready_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3__0_n_0\, O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAA8080000A808" ) port map ( I0 => \wrap_second_len_r[0]_i_4__0_n_0\, I1 => \^q\(0), I2 => \^q\(36), I3 => \^q\(2), I4 => \^q\(35), I5 => \axaddr_offset_r[1]_i_2__0_n_0\, O => \wrap_second_len_r[0]_i_2__0_n_0\ ); \wrap_second_len_r[0]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFBA" ) port map ( I0 => \^axaddr_offset_r_reg[2]\, I1 => \state_reg[1]_rep\, I2 => \axaddr_offset_r_reg[3]_1\(3), I3 => \wrap_second_len_r[3]_i_2__0_n_0\, I4 => \^axaddr_offset_r_reg[0]\, I5 => \^axaddr_offset_r_reg[1]\, O => \wrap_second_len_r[0]_i_3__0_n_0\ ); \wrap_second_len_r[0]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \^q\(39), I1 => \state_reg[1]\(0), I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]\(1), O => \wrap_second_len_r[0]_i_4__0_n_0\ ); \wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"EE10FFFFEE100000" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, I1 => \^axaddr_offset_r_reg[0]\, I2 => \axaddr_offset_r_reg[3]_0\(0), I3 => \^axaddr_offset_r_reg[2]\, I4 => \state_reg[1]_rep\, I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFF444444444" ) port map ( I0 => \state_reg[1]_rep\, I1 => \wrap_second_len_r_reg[3]_0\(2), I2 => \^axaddr_offset_r_reg[0]\, I3 => \^axaddr_offset_r_reg[1]\, I4 => \^axaddr_offset_r_reg[2]\, I5 => \wrap_second_len_r[3]_i_2__0_n_0\, O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r[3]_i_2__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0 is port ( s_axi_awready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_awaddr[10]\ : out STD_LOGIC; \aresetn_d_reg[1]_inv\ : out STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[1]_inv_0\ : in STD_LOGIC; aresetn : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; b_push : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0 : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0 is signal C : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 58 downto 0 ); signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC; signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 64 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_3_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[3]\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_2_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_3_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_4_n_0\ : STD_LOGIC; signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_3\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axlen_cnt[3]_i_2\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[62]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[63]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[64]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \wrap_second_len_r[0]_i_4\ : label is "soft_lutpair46"; begin Q(58 downto 0) <= \^q\(58 downto 0); \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_awready <= \^s_axi_awready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \wrap_cnt_r_reg[3]\ <= \^wrap_cnt_r_reg[3]\; \wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0); \aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, I1 => aresetn, O => \aresetn_d_reg[1]_inv\ ); \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => \aresetn_d_reg_n_0_[0]\, R => '0' ); \axaddr_incr[0]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(0), I3 => sel_first, I4 => C(0), O => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr[0]_i_12\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12_n_0\ ); \axaddr_incr[0]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13_n_0\ ); \axaddr_incr[0]_i_14\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14_n_0\ ); \axaddr_incr[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_3_n_0\ ); \axaddr_incr[0]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_4_n_0\ ); \axaddr_incr[0]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first, O => \axaddr_incr[0]_i_5_n_0\ ); \axaddr_incr[0]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_6_n_0\ ); \axaddr_incr[0]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(3), I3 => sel_first, I4 => C(3), O => \axaddr_incr[0]_i_7_n_0\ ); \axaddr_incr[0]_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(2), I3 => sel_first, I4 => C(2), O => \axaddr_incr[0]_i_8_n_0\ ); \axaddr_incr[0]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => axaddr_incr_reg(1), I3 => sel_first, I4 => C(1), O => \axaddr_incr[0]_i_9_n_0\ ); \axaddr_incr[4]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr[4]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7_n_0\ ); \axaddr_incr[4]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8_n_0\ ); \axaddr_incr[4]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9_n_0\ ); \axaddr_incr[8]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_incr[8]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7_n_0\ ); \axaddr_incr[8]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8_n_0\ ); \axaddr_incr[8]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9_n_0\ ); \axaddr_incr_reg[0]_i_11\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12_n_0\, DI(1) => \axaddr_incr[0]_i_13_n_0\, DI(0) => \axaddr_incr[0]_i_14_n_0\, O(3 downto 0) => C(3 downto 0), S(3 downto 0) => S(3 downto 0) ); \axaddr_incr_reg[0]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => CO(0), CO(2) => \axaddr_incr_reg[0]_i_2_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3_n_0\, DI(2) => \axaddr_incr[0]_i_4_n_0\, DI(1) => \axaddr_incr[0]_i_5_n_0\, DI(0) => \axaddr_incr[0]_i_6_n_0\, O(3 downto 0) => O(3 downto 0), S(3) => \axaddr_incr[0]_i_7_n_0\, S(2) => \axaddr_incr[0]_i_8_n_0\, S(1) => \axaddr_incr[0]_i_9_n_0\, S(0) => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr_reg[4]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7_n_0\, S(2) => \axaddr_incr[4]_i_8_n_0\, S(1) => \axaddr_incr[4]_i_9_n_0\, S(0) => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr_reg[8]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(7 downto 4), S(3) => \axaddr_incr[8]_i_7_n_0\, S(2) => \axaddr_incr[8]_i_8_n_0\, S(1) => \axaddr_incr[8]_i_9_n_0\, S(0) => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0F0F088F0F0" ) port map ( I0 => \axaddr_offset_r[0]_i_2_n_0\, I1 => \^q\(39), I2 => \axaddr_offset_r_reg[3]_1\(0), I3 => \state_reg[1]\(1), I4 => \^m_valid_i_reg_0\, I5 => \state_reg[1]\(0), O => \^axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r[0]_i_2_n_0\ ); \axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_3_n_0\, I1 => \axaddr_offset_r[1]_i_2_n_0\, I2 => \^q\(35), I3 => \^q\(40), I4 => \state_reg[1]_rep_0\, I5 => \axaddr_offset_r_reg[3]_1\(1), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_2_n_0\ ); \axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \axaddr_offset_r[2]_i_3_n_0\, I2 => \^q\(35), I3 => \^q\(41), I4 => \state_reg[1]_rep_0\, I5 => \axaddr_offset_r_reg[3]_1\(2), O => \^axaddr_offset_r_reg[2]\ ); \axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_2_n_0\ ); \axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_3_n_0\ ); \axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_rep\, O => \^axlen_cnt_reg[3]\ ); \m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first, O => \m_axi_awaddr[10]\ ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(12), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(13), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(14), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(15), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(16), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(17), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(18), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(19), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(20), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(21), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(22), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(23), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(24), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(25), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(26), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(27), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(28), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(29), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(30), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(31), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[47]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[47]\, O => skid_buffer(47) ); \m_payload_i[48]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[48]\, O => skid_buffer(48) ); \m_payload_i[49]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[49]\, O => skid_buffer(49) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[50]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[50]\, O => skid_buffer(50) ); \m_payload_i[51]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[51]\, O => skid_buffer(51) ); \m_payload_i[53]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[53]\, O => skid_buffer(53) ); \m_payload_i[54]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[54]\, O => skid_buffer(54) ); \m_payload_i[55]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[55]\, O => skid_buffer(55) ); \m_payload_i[56]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[56]\, O => skid_buffer(56) ); \m_payload_i[57]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[57]\, O => skid_buffer(57) ); \m_payload_i[58]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[58]\, O => skid_buffer(58) ); \m_payload_i[59]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[59]\, O => skid_buffer(59) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[60]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[60]\, O => skid_buffer(60) ); \m_payload_i[61]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[61]\, O => skid_buffer(61) ); \m_payload_i[62]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[62]\, O => skid_buffer(62) ); \m_payload_i[63]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[63]\, O => skid_buffer(63) ); \m_payload_i[64]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[64]\, O => skid_buffer(64) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(0), Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(10), Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(11), Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(12), Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(13), Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(14), Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(15), Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(16), Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(17), Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(18), Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(19), Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(1), Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(20), Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(21), Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(22), Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(23), Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(24), Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(25), Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(26), Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(27), Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(28), Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(29), Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(2), Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(30), Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(31), Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(32), Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(33), Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(35), Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(36), Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(38), Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(39), Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(3), Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(44), Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(45), Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(46), Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(47), Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(48), Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(49), Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(4), Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(50), Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(51), Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(53), Q => \^q\(47), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(54), Q => \^q\(48), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(55), Q => \^q\(49), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(56), Q => \^q\(50), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(57), Q => \^q\(51), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(58), Q => \^q\(52), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(59), Q => \^q\(53), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(5), Q => \^q\(5), R => '0' ); \m_payload_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(60), Q => \^q\(54), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(61), Q => \^q\(55), R => '0' ); \m_payload_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(62), Q => \^q\(56), R => '0' ); \m_payload_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(63), Q => \^q\(57), R => '0' ); \m_payload_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(64), Q => \^q\(58), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(6), Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(7), Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(8), Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(9), Q => \^q\(9), R => '0' ); \m_valid_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]_inv_0\ ); next_pending_r_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(43), I2 => \^q\(44), I3 => \^q\(46), I4 => \^q\(45), O => next_pending_r_reg ); \next_pending_r_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, O => \^s_ready_i_reg_0\ ); s_ready_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"BFBB" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_awready\, R => \^s_ready_i_reg_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); \skid_buffer_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); \skid_buffer_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); \skid_buffer_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); \skid_buffer_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); \skid_buffer_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); \skid_buffer_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); \skid_buffer_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(9), Q => \skid_buffer_reg_n_0_[62]\, R => '0' ); \skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(10), Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); \skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(11), Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0202AAAAA202A" ) port map ( I0 => \^q\(2), I1 => \^q\(40), I2 => \^q\(35), I3 => \^q\(41), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"002A882A222AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(40), I5 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBABBCCCCC0CC" ) port map ( I0 => \wrap_second_len_r[0]_i_2_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^m_valid_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3_n_0\, O => D(0) ); \wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(1), I1 => \^wrap_cnt_r_reg[3]\, I2 => wrap_second_len(0), O => D(1) ); \wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => wrap_second_len(0), I2 => \^wrap_cnt_r_reg[3]\, I3 => \^wrap_second_len_r_reg[3]\(1), O => D(2) ); \wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAAB" ) port map ( I0 => \wrap_cnt_r[3]_i_3_n_0\, I1 => \^axaddr_offset_r_reg[1]\, I2 => \^axaddr_offset_r_reg[0]\, I3 => \axaddr_offset_r_reg[3]_0\(0), I4 => \^axaddr_offset_r_reg[2]\, O => \^wrap_cnt_r_reg[3]\ ); \wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0F0F0F0F880F0F" ) port map ( I0 => \axaddr_offset_r[0]_i_2_n_0\, I1 => \^q\(39), I2 => \wrap_second_len_r_reg[3]_0\(0), I3 => \state_reg[1]\(1), I4 => \^m_valid_i_reg_0\, I5 => \state_reg[1]\(0), O => \wrap_cnt_r[3]_i_3_n_0\ ); \wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4444454444444044" ) port map ( I0 => \wrap_second_len_r[0]_i_2_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^m_valid_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3_n_0\, O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAA8080000A808" ) port map ( I0 => \wrap_second_len_r[0]_i_4_n_0\, I1 => \^q\(0), I2 => \^q\(36), I3 => \^q\(2), I4 => \^q\(35), I5 => \axaddr_offset_r[1]_i_2_n_0\, O => \wrap_second_len_r[0]_i_2_n_0\ ); \wrap_second_len_r[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFBA" ) port map ( I0 => \^axaddr_offset_r_reg[2]\, I1 => \state_reg[1]_rep_0\, I2 => \axaddr_offset_r_reg[3]_1\(3), I3 => \wrap_second_len_r[3]_i_2_n_0\, I4 => \^axaddr_offset_r_reg[0]\, I5 => \^axaddr_offset_r_reg[1]\, O => \wrap_second_len_r[0]_i_3_n_0\ ); \wrap_second_len_r[0]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \^q\(39), I1 => \state_reg[0]_rep\, I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_rep\, O => \wrap_second_len_r[0]_i_4_n_0\ ); \wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"EE10FFFFEE100000" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, I1 => \^axaddr_offset_r_reg[0]\, I2 => \axaddr_offset_r_reg[3]_0\(0), I3 => \^axaddr_offset_r_reg[2]\, I4 => \state_reg[1]_rep_0\, I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFF444444444" ) port map ( I0 => \state_reg[1]_rep_0\, I1 => \wrap_second_len_r_reg[3]_0\(2), I2 => \^axaddr_offset_r_reg[0]\, I3 => \^axaddr_offset_r_reg[1]\, I4 => \^axaddr_offset_r_reg[2]\, I5 => \wrap_second_len_r[3]_i_2_n_0\, O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r[3]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair80"; begin s_axi_bvalid <= \^s_axi_bvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__1_n_0\ ); \m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__1_n_0\ ); \m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__1_n_0\ ); \m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__1_n_0\ ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, O => p_1_in ); \m_payload_i[13]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_2_n_0\ ); \m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__1_n_0\ ); \m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__1_n_0\ ); \m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__1_n_0\ ); \m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__1_n_0\ ); \m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__1_n_0\ ); \m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__1_n_0\ ); \m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__1_n_0\ ); \m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__1_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__1_n_0\, Q => \s_axi_bid[11]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__1_n_0\, Q => \s_axi_bid[11]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__1_n_0\, Q => \s_axi_bid[11]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__1_n_0\, Q => \s_axi_bid[11]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_2_n_0\, Q => \s_axi_bid[11]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__1_n_0\, Q => \s_axi_bid[11]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__1_n_0\, Q => \s_axi_bid[11]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__1_n_0\, Q => \s_axi_bid[11]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__1_n_0\, Q => \s_axi_bid[11]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__1_n_0\, Q => \s_axi_bid[11]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__1_n_0\, Q => \s_axi_bid[11]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__1_n_0\, Q => \s_axi_bid[11]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__1_n_0\, Q => \s_axi_bid[11]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__1_n_0\, Q => \s_axi_bid[11]\(9), R => '0' ); m_valid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => si_rs_bvalid, I3 => \^skid_buffer_reg[0]_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_axi_bvalid\, R => \aresetn_d_reg[1]_inv\ ); s_ready_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => si_rs_bvalid, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_bready, I3 => \^s_axi_bvalid\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \s_bresp_acc_reg[1]\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(8), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(9), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(10), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(11), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \s_bresp_acc_reg[1]\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(0), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(1), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(2), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(3), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(4), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(5), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(6), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(7), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is port ( s_axi_rvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \cnt_read_reg[3]_rep__0\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; \cnt_read_reg[4]_rep__0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 ); \cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC; signal \m_valid_i_i_1__1_n_0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair85"; begin s_axi_rvalid <= \^s_axi_rvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \cnt_read[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^skid_buffer_reg[0]_0\, I1 => \cnt_read_reg[4]_rep__0\, O => \cnt_read_reg[3]_rep__0\ ); \m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__2_n_0\ ); \m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__2_n_0\ ); \m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__2_n_0\ ); \m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__2_n_0\ ); \m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(13), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__2_n_0\ ); \m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(14), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__1_n_0\ ); \m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(15), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__1_n_0\ ); \m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(16), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__1_n_0\ ); \m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(17), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__1_n_0\ ); \m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(18), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__1_n_0\ ); \m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(19), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__1_n_0\ ); \m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__2_n_0\ ); \m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(20), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__1_n_0\ ); \m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(21), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__1_n_0\ ); \m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(22), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__1_n_0\ ); \m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(23), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__1_n_0\ ); \m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(24), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__1_n_0\ ); \m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(25), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__1_n_0\ ); \m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(26), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__1_n_0\ ); \m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(27), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__1_n_0\ ); \m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(28), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__1_n_0\ ); \m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(29), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__2_n_0\ ); \m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(30), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__1_n_0\ ); \m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(31), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_1__1_n_0\ ); \m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(32), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__1_n_0\ ); \m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(33), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__1_n_0\ ); \m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__1_n_0\ ); \m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__1_n_0\ ); \m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__1_n_0\ ); \m_payload_i[37]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[37]\, O => \m_payload_i[37]_i_1_n_0\ ); \m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__1_n_0\ ); \m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__1_n_0\ ); \m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__2_n_0\ ); \m_payload_i[40]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[40]\, O => \m_payload_i[40]_i_1_n_0\ ); \m_payload_i[41]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[41]\, O => \m_payload_i[41]_i_1_n_0\ ); \m_payload_i[42]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[42]\, O => \m_payload_i[42]_i_1_n_0\ ); \m_payload_i[43]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[43]\, O => \m_payload_i[43]_i_1_n_0\ ); \m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__1_n_0\ ); \m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__1_n_0\ ); \m_payload_i[46]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, O => p_1_in ); \m_payload_i[46]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_2_n_0\ ); \m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__2_n_0\ ); \m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__2_n_0\ ); \m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__2_n_0\ ); \m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__2_n_0\ ); \m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__2_n_0\ ); \m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__2_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__2_n_0\, Q => \s_axi_rid[11]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__2_n_0\, Q => \s_axi_rid[11]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__2_n_0\, Q => \s_axi_rid[11]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__2_n_0\, Q => \s_axi_rid[11]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_1__2_n_0\, Q => \s_axi_rid[11]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[14]_i_1__1_n_0\, Q => \s_axi_rid[11]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[15]_i_1__1_n_0\, Q => \s_axi_rid[11]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[16]_i_1__1_n_0\, Q => \s_axi_rid[11]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[17]_i_1__1_n_0\, Q => \s_axi_rid[11]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[18]_i_1__1_n_0\, Q => \s_axi_rid[11]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[19]_i_1__1_n_0\, Q => \s_axi_rid[11]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__2_n_0\, Q => \s_axi_rid[11]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[20]_i_1__1_n_0\, Q => \s_axi_rid[11]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[21]_i_1__1_n_0\, Q => \s_axi_rid[11]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[22]_i_1__1_n_0\, Q => \s_axi_rid[11]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[23]_i_1__1_n_0\, Q => \s_axi_rid[11]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[24]_i_1__1_n_0\, Q => \s_axi_rid[11]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[25]_i_1__1_n_0\, Q => \s_axi_rid[11]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[26]_i_1__1_n_0\, Q => \s_axi_rid[11]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[27]_i_1__1_n_0\, Q => \s_axi_rid[11]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[28]_i_1__1_n_0\, Q => \s_axi_rid[11]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[29]_i_1__1_n_0\, Q => \s_axi_rid[11]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__2_n_0\, Q => \s_axi_rid[11]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[30]_i_1__1_n_0\, Q => \s_axi_rid[11]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[31]_i_1__1_n_0\, Q => \s_axi_rid[11]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[32]_i_1__1_n_0\, Q => \s_axi_rid[11]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[33]_i_1__1_n_0\, Q => \s_axi_rid[11]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[34]_i_1__1_n_0\, Q => \s_axi_rid[11]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[35]_i_1__1_n_0\, Q => \s_axi_rid[11]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[36]_i_1__1_n_0\, Q => \s_axi_rid[11]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[37]_i_1_n_0\, Q => \s_axi_rid[11]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[38]_i_1__1_n_0\, Q => \s_axi_rid[11]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[39]_i_1__1_n_0\, Q => \s_axi_rid[11]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__2_n_0\, Q => \s_axi_rid[11]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[40]_i_1_n_0\, Q => \s_axi_rid[11]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[41]_i_1_n_0\, Q => \s_axi_rid[11]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[42]_i_1_n_0\, Q => \s_axi_rid[11]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[43]_i_1_n_0\, Q => \s_axi_rid[11]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[44]_i_1__1_n_0\, Q => \s_axi_rid[11]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[45]_i_1__1_n_0\, Q => \s_axi_rid[11]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[46]_i_2_n_0\, Q => \s_axi_rid[11]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__2_n_0\, Q => \s_axi_rid[11]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__2_n_0\, Q => \s_axi_rid[11]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__2_n_0\, Q => \s_axi_rid[11]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__2_n_0\, Q => \s_axi_rid[11]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__2_n_0\, Q => \s_axi_rid[11]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__2_n_0\, Q => \s_axi_rid[11]\(9), R => '0' ); \m_valid_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"4FFF" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => \cnt_read_reg[4]_rep__0\, I3 => \^skid_buffer_reg[0]_0\, O => \m_valid_i_i_1__1_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__1_n_0\, Q => \^s_axi_rvalid\, R => \aresetn_d_reg[1]_inv\ ); \s_ready_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F8FF" ) port map ( I0 => \cnt_read_reg[4]_rep__0\, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \s_ready_i_i_1__2_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__2_n_0\, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(32), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(33), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(1), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(2), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(3), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(4), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(5), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(6), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(7), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(8), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(9), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(10), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(11), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(12), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_b_channel is port ( si_rs_bvalid : out STD_LOGIC; \cnt_read_reg[0]_rep__0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__1\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; aclk : in STD_LOGIC; b_push : in STD_LOGIC; si_rs_bready : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_b_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_b_channel is signal bid_fifo_0_n_2 : STD_LOGIC; signal bid_fifo_0_n_3 : STD_LOGIC; signal bid_fifo_0_n_6 : STD_LOGIC; signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal bresp_push : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mhandshake : STD_LOGIC; signal mhandshake_r : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s_bresp_acc0 : STD_LOGIC; signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC; signal shandshake : STD_LOGIC; signal shandshake_r : STD_LOGIC; signal \^si_rs_bvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair120"; begin si_rs_bvalid <= \^si_rs_bvalid\; bid_fifo_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo port map ( D(0) => bid_fifo_0_n_2, Q(1 downto 0) => cnt_read(1 downto 0), SR(0) => s_bresp_acc0, aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0), bvalid_i_reg => bid_fifo_0_n_6, bvalid_i_reg_0 => \^si_rs_bvalid\, \cnt_read_reg[0]_0\ => bid_fifo_0_n_3, \cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1_0\ => \cnt_read_reg[1]_rep__1\, \in\(19 downto 0) => \in\(19 downto 0), mhandshake_r => mhandshake_r, \out\(11 downto 0) => \out\(11 downto 0), sel => bresp_push, shandshake_r => shandshake_r, si_rs_bready => si_rs_bready ); \bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \bresp_cnt_reg__0\(0), O => p_0_in(0) ); \bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(1), I1 => \bresp_cnt_reg__0\(0), O => p_0_in(1) ); \bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(2), I1 => \bresp_cnt_reg__0\(0), I2 => \bresp_cnt_reg__0\(1), O => p_0_in(2) ); \bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \bresp_cnt_reg__0\(3), I1 => \bresp_cnt_reg__0\(1), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(2), O => p_0_in(3) ); \bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(4), I1 => \bresp_cnt_reg__0\(2), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(1), I4 => \bresp_cnt_reg__0\(3), O => p_0_in(4) ); \bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => p_0_in(5) ); \bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(6), I1 => \bresp_cnt[7]_i_3_n_0\, O => p_0_in(6) ); \bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(7), I1 => \bresp_cnt[7]_i_3_n_0\, I2 => \bresp_cnt_reg__0\(6), O => p_0_in(7) ); \bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => \bresp_cnt[7]_i_3_n_0\ ); \bresp_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(0), Q => \bresp_cnt_reg__0\(0), R => s_bresp_acc0 ); \bresp_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(1), Q => \bresp_cnt_reg__0\(1), R => s_bresp_acc0 ); \bresp_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(2), Q => \bresp_cnt_reg__0\(2), R => s_bresp_acc0 ); \bresp_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(3), Q => \bresp_cnt_reg__0\(3), R => s_bresp_acc0 ); \bresp_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(4), Q => \bresp_cnt_reg__0\(4), R => s_bresp_acc0 ); \bresp_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(5), Q => \bresp_cnt_reg__0\(5), R => s_bresp_acc0 ); \bresp_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(6), Q => \bresp_cnt_reg__0\(6), R => s_bresp_acc0 ); \bresp_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(7), Q => \bresp_cnt_reg__0\(7), R => s_bresp_acc0 ); bresp_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ port map ( D(0) => bid_fifo_0_n_2, Q(1 downto 0) => cnt_read(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \bresp_cnt_reg[3]\ => bid_fifo_0_n_3, \in\(1) => \s_bresp_acc_reg_n_0_[1]\, \in\(0) => \s_bresp_acc_reg_n_0_[0]\, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, mhandshake => mhandshake, mhandshake_r => mhandshake_r, sel => bresp_push, shandshake_r => shandshake_r, \skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0) ); bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => bid_fifo_0_n_6, Q => \^si_rs_bvalid\, R => '0' ); mhandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => mhandshake, Q => mhandshake_r, R => areset_d1 ); \s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EACEAAAA" ) port map ( I0 => \s_bresp_acc_reg_n_0_[0]\, I1 => m_axi_bresp(0), I2 => m_axi_bresp(1), I3 => \s_bresp_acc_reg_n_0_[1]\, I4 => mhandshake, I5 => s_bresp_acc0, O => \s_bresp_acc[0]_i_1_n_0\ ); \s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00EC" ) port map ( I0 => m_axi_bresp(1), I1 => \s_bresp_acc_reg_n_0_[1]\, I2 => mhandshake, I3 => s_bresp_acc0, O => \s_bresp_acc[1]_i_1_n_0\ ); \s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[0]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[0]\, R => '0' ); \s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[1]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[1]\, R => '0' ); shandshake_r_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^si_rs_bvalid\, I1 => si_rs_bready, O => shandshake ); shandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => shandshake, Q => shandshake_r, R => areset_d1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator is port ( next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \sel_first__0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]\ : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \axlen_cnt_reg[4]\ : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; wrap_next_pending : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 21 downto 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \next\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_21 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd port map ( CO(0) => CO(0), D(3 downto 0) => D(3 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(3 downto 0) => Q(3 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axlen_cnt_reg[4]_0\ => \axlen_cnt_reg[4]\, \axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]\, incr_next_pending => incr_next_pending, \m_axi_awaddr[1]\ => incr_cmd_0_n_21, \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(9 downto 8) => \m_payload_i_reg[51]\(21 downto 20), \m_payload_i_reg[51]\(7) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(6 downto 4) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, next_pending_r_reg_1 => next_pending_r_reg_1, sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_2, \state_reg[0]\ => \state_reg[0]\, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); \memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[1]_rep\ ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd port map ( E(0) => E(0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(2 downto 1) => \^axaddr_incr_reg[3]\(3 downto 2), \axaddr_incr_reg[3]\(0) => \^axaddr_incr_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), \next\ => \next\, next_pending_r_reg_0 => next_pending_r_reg_0, next_pending_r_reg_1 => next_pending_r_reg_2, sel_first_reg_0 => \sel_first__0\, sel_first_reg_1 => sel_first_reg_3, sel_first_reg_2 => incr_cmd_0_n_21, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is port ( next_pending_r_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; sel_first_reg_1 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); next_pending_r_reg_0 : out STD_LOGIC; r_rlast : out STD_LOGIC; \state_reg[0]_rep\ : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_3 : in STD_LOGIC; sel_first_reg_4 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_13_b2s_cmd_translator"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_16 : STD_LOGIC; signal incr_cmd_0_n_17 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair5"; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 port map ( CO(0) => CO(0), D(1 downto 0) => D(1 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(1 downto 0) => Q(1 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]_0\(6 downto 1) => axaddr_incr_reg(11 downto 6), \axaddr_incr_reg[11]_0\(0) => axaddr_incr_reg(4), \axaddr_incr_reg[11]_1\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), incr_next_pending => incr_next_pending, \m_axi_araddr[2]\ => incr_cmd_0_n_17, \m_axi_araddr[5]\ => incr_cmd_0_n_16, m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(12 downto 9) => \m_payload_i_reg[51]\(23 downto 20), \m_payload_i_reg[51]\(8) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(7 downto 5) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(4) => \m_payload_i_reg[51]\(5), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, next_pending_r_reg_1 => next_pending_r_reg_0, sel_first_reg_0 => sel_first_reg_2, sel_first_reg_1 => sel_first_reg_3, \state_reg[0]\ => \state_reg[0]\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); r_rlast_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"1D" ) port map ( I0 => s_axburst_eq0, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq1, O => r_rlast ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[0]_rep\ ); wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 port map ( E(0) => E(0), aclk => aclk, \axaddr_incr_reg[11]\(6 downto 1) => axaddr_incr_reg(11 downto 6), \axaddr_incr_reg[11]\(0) => axaddr_incr_reg(4), \axaddr_incr_reg[3]\(2) => \^axaddr_incr_reg[3]\(3), \axaddr_incr_reg[3]\(1 downto 0) => \^axaddr_incr_reg[3]\(1 downto 0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_4, sel_first_reg_2 => incr_cmd_0_n_16, sel_first_reg_3 => incr_cmd_0_n_17, si_rs_arvalid => si_rs_arvalid, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_r_channel is port ( \state_reg[1]_rep\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; aclk : in STD_LOGIC; r_rlast : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; si_rs_rready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_r_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_r_channel is signal \^m_valid_i_reg\ : STD_LOGIC; signal r_push_r : STD_LOGIC; signal rd_data_fifo_0_n_0 : STD_LOGIC; signal rd_data_fifo_0_n_2 : STD_LOGIC; signal rd_data_fifo_0_n_3 : STD_LOGIC; signal rd_data_fifo_0_n_5 : STD_LOGIC; signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 ); signal transaction_fifo_0_n_1 : STD_LOGIC; signal wr_en0 : STD_LOGIC; begin m_valid_i_reg <= \^m_valid_i_reg\; \r_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(0), Q => trans_in(1), R => '0' ); \r_arid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(10), Q => trans_in(11), R => '0' ); \r_arid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(11), Q => trans_in(12), R => '0' ); \r_arid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(1), Q => trans_in(2), R => '0' ); \r_arid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(2), Q => trans_in(3), R => '0' ); \r_arid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(3), Q => trans_in(4), R => '0' ); \r_arid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(4), Q => trans_in(5), R => '0' ); \r_arid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(5), Q => trans_in(6), R => '0' ); \r_arid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(6), Q => trans_in(7), R => '0' ); \r_arid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(7), Q => trans_in(8), R => '0' ); \r_arid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(8), Q => trans_in(9), R => '0' ); \r_arid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(9), Q => trans_in(10), R => '0' ); r_push_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \state_reg[1]_rep_0\, Q => r_push_r, R => '0' ); r_rlast_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => r_rlast, Q => trans_in(0), R => '0' ); rd_data_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[3]_rep__2_0\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_3, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\(33 downto 0) => \out\(33 downto 0), s_ready_i_reg => s_ready_i_reg, s_ready_i_reg_0 => transaction_fifo_0_n_1, si_rs_rready => si_rs_rready, \state_reg[1]_rep\ => rd_data_fifo_0_n_5, wr_en0 => wr_en0 ); transaction_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[0]_rep__2\ => rd_data_fifo_0_n_5, \cnt_read_reg[0]_rep__2_0\ => rd_data_fifo_0_n_3, \cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__2\ => transaction_fifo_0_n_1, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \in\(12 downto 0) => trans_in(12 downto 0), m_valid_i_reg => \^m_valid_i_reg\, r_push_r => r_push_r, s_ready_i_reg => s_ready_i_reg, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, wr_en0 => wr_en0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice is port ( s_axi_awready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; si_rs_awvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; si_rs_bready : out STD_LOGIC; si_rs_arvalid : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; si_rs_rready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]_1\ : out STD_LOGIC; axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \cnt_read_reg[3]_rep__0\ : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_awaddr[10]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); aclk : in STD_LOGIC; aresetn : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \cnt_read_reg[4]_rep__0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; b_push : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_second_len_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_1\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_4\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; \state_reg[1]_rep_2\ : in STD_LOGIC; sel_first : in STD_LOGIC; sel_first_2 : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \out\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 ); \cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice is signal ar_pipe_n_2 : STD_LOGIC; signal aw_pipe_n_1 : STD_LOGIC; signal aw_pipe_n_97 : STD_LOGIC; begin ar_pipe: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice port map ( Q(58 downto 0) => \s_arid_r_reg[11]\(58 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[0]_0\ => aw_pipe_n_97, \axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0), \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0), \axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), \axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0), \axaddr_offset_r_reg[0]\ => axaddr_offset_0(0), \axaddr_offset_r_reg[1]\ => axaddr_offset_0(1), \axaddr_offset_r_reg[2]\ => axaddr_offset_0(2), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, \axaddr_offset_r_reg[3]_0\(0) => \axaddr_offset_r_reg[3]_3\(0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_4\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\, \m_axi_araddr[10]\ => \m_axi_araddr[10]\, \m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), m_valid_i_reg_0 => ar_pipe_n_2, m_valid_i_reg_1(0) => m_valid_i_reg(0), next_pending_r_reg => next_pending_r_reg_1, next_pending_r_reg_0 => next_pending_r_reg_2, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_ready_i_reg_0 => si_rs_arvalid, sel_first_2 => sel_first_2, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep_1\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_2\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0), \wrap_cnt_r_reg[3]\(2 downto 0) => \wrap_cnt_r_reg[3]_0\(2 downto 0), \wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\, wrap_second_len_1(0) => wrap_second_len_1(0), \wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 0) => \wrap_second_len_r_reg[3]_2\(2 downto 0) ); aw_pipe: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0 port map ( CO(0) => CO(0), D(2 downto 0) => D(2 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(58 downto 0) => Q(58 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]_inv\ => aw_pipe_n_97, \aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2, axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0), \axaddr_offset_r_reg[0]\ => axaddr_offset(0), \axaddr_offset_r_reg[1]\ => axaddr_offset(1), \axaddr_offset_r_reg[2]\ => axaddr_offset(2), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]\, \axaddr_offset_r_reg[3]_0\(0) => \axaddr_offset_r_reg[3]_1\(0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_2\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\, b_push => b_push, \m_axi_awaddr[10]\ => \m_axi_awaddr[10]\, m_valid_i_reg_0 => si_rs_awvalid, next_pending_r_reg => next_pending_r_reg, next_pending_r_reg_0 => next_pending_r_reg_0, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_ready_i_reg_0 => aw_pipe_n_1, sel_first => sel_first, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0), \wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\, wrap_second_len(0) => wrap_second_len(0), \wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0) ); b_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \out\(11 downto 0) => \out\(11 downto 0), \s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0), si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[0]_0\ => si_rs_bready ); r_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \cnt_read_reg[3]_rep__0\ => \cnt_read_reg[3]_rep__0\, \cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0), \cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\, r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0), \s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \skid_buffer_reg[0]_0\ => si_rs_rready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_ar_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; r_push_r_reg : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; r_rlast : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); m_axi_arready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[2]_rep__0\ : in STD_LOGIC; axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[51]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_ar_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_ar_channel is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal ar_cmd_fsm_0_n_0 : STD_LOGIC; signal ar_cmd_fsm_0_n_12 : STD_LOGIC; signal ar_cmd_fsm_0_n_15 : STD_LOGIC; signal ar_cmd_fsm_0_n_16 : STD_LOGIC; signal ar_cmd_fsm_0_n_17 : STD_LOGIC; signal ar_cmd_fsm_0_n_20 : STD_LOGIC; signal ar_cmd_fsm_0_n_21 : STD_LOGIC; signal ar_cmd_fsm_0_n_3 : STD_LOGIC; signal ar_cmd_fsm_0_n_8 : STD_LOGIC; signal ar_cmd_fsm_0_n_9 : STD_LOGIC; signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axaddr_offset_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_8 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_next_pending : STD_LOGIC; signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 ); begin Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axaddr_offset_r_reg[3]_0\(3 downto 0) <= \^axaddr_offset_r_reg[3]_0\(3 downto 0); \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; wrap_second_len(0) <= \^wrap_second_len\(0); ar_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm port map ( D(0) => ar_cmd_fsm_0_n_3, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_17, axaddr_offset(2 downto 0) => axaddr_offset(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(0) => \^axaddr_offset_r_reg[3]_0\(3), \axaddr_wrap_reg[11]\(0) => ar_cmd_fsm_0_n_16, \axlen_cnt_reg[1]\ => ar_cmd_fsm_0_n_0, \axlen_cnt_reg[1]_0\(1) => ar_cmd_fsm_0_n_8, \axlen_cnt_reg[1]_0\(0) => ar_cmd_fsm_0_n_9, \axlen_cnt_reg[1]_1\(1) => cmd_translator_0_n_9, \axlen_cnt_reg[1]_1\(0) => cmd_translator_0_n_10, \axlen_cnt_reg[4]\ => cmd_translator_0_n_11, \cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\, incr_next_pending => incr_next_pending, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \^m_payload_i_reg[0]_0\, \m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]\, \m_payload_i_reg[0]_1\(0) => E(0), \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, \m_payload_i_reg[47]\(3) => \m_payload_i_reg[64]\(19), \m_payload_i_reg[47]\(2 downto 0) => \m_payload_i_reg[64]\(17 downto 15), \m_payload_i_reg[51]\ => \m_payload_i_reg[51]\, \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, next_pending_r_reg => cmd_translator_0_n_0, r_push_r_reg => \^r_push_r_reg\, s_axburst_eq0_reg => ar_cmd_fsm_0_n_12, s_axburst_eq1_reg => ar_cmd_fsm_0_n_15, s_axburst_eq1_reg_0 => cmd_translator_0_n_13, sel_first_i => sel_first_i, sel_first_reg => ar_cmd_fsm_0_n_20, sel_first_reg_0 => ar_cmd_fsm_0_n_21, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, sel_first_reg_3 => cmd_translator_0_n_8, si_rs_arvalid => si_rs_arvalid, wrap_next_pending => wrap_next_pending, wrap_second_len(0) => \^wrap_second_len\(0), \wrap_second_len_r_reg[1]\(0) => \wrap_cmd_0/wrap_second_len_r\(1) ); cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 port map ( CO(0) => CO(0), D(1) => ar_cmd_fsm_0_n_8, D(0) => ar_cmd_fsm_0_n_9, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(1) => cmd_translator_0_n_9, Q(0) => cmd_translator_0_n_10, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3 downto 0) => \^axaddr_offset_r_reg[3]_0\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(2 downto 0) => axaddr_offset(2 downto 0), incr_next_pending => incr_next_pending, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_12, \m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_15, \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(23 downto 0) => \m_payload_i_reg[64]\(23 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), m_valid_i_reg(0) => ar_cmd_fsm_0_n_16, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_11, r_rlast => r_rlast, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => cmd_translator_0_n_8, sel_first_reg_2 => ar_cmd_fsm_0_n_17, sel_first_reg_3 => ar_cmd_fsm_0_n_20, sel_first_reg_4 => ar_cmd_fsm_0_n_21, si_rs_arvalid => si_rs_arvalid, \state_reg[0]\ => ar_cmd_fsm_0_n_0, \state_reg[0]_rep\ => cmd_translator_0_n_13, \state_reg[0]_rep_0\ => \^m_payload_i_reg[0]\, \state_reg[1]\(1 downto 0) => \^q\(1 downto 0), \state_reg[1]_rep\ => \^m_payload_i_reg[0]_0\, \state_reg[1]_rep_0\ => \^r_push_r_reg\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 2) => \wrap_second_len_r_reg[3]\(2 downto 1), \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len_r\(1), \wrap_second_len_r_reg[3]\(0) => \wrap_second_len_r_reg[3]\(0), \wrap_second_len_r_reg[3]_0\(3 downto 2) => D(2 downto 1), \wrap_second_len_r_reg[3]_0\(1) => \^wrap_second_len\(0), \wrap_second_len_r_reg[3]_0\(0) => D(0), \wrap_second_len_r_reg[3]_1\(3 downto 2) => \wrap_second_len_r_reg[3]_0\(2 downto 1), \wrap_second_len_r_reg[3]_1\(1) => ar_cmd_fsm_0_n_3, \wrap_second_len_r_reg[3]_1\(0) => \wrap_second_len_r_reg[3]_0\(0) ); \s_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(24), Q => \r_arid_r_reg[11]\(0), R => '0' ); \s_arid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(34), Q => \r_arid_r_reg[11]\(10), R => '0' ); \s_arid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(35), Q => \r_arid_r_reg[11]\(11), R => '0' ); \s_arid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(25), Q => \r_arid_r_reg[11]\(1), R => '0' ); \s_arid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(26), Q => \r_arid_r_reg[11]\(2), R => '0' ); \s_arid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(27), Q => \r_arid_r_reg[11]\(3), R => '0' ); \s_arid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(28), Q => \r_arid_r_reg[11]\(4), R => '0' ); \s_arid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(29), Q => \r_arid_r_reg[11]\(5), R => '0' ); \s_arid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(30), Q => \r_arid_r_reg[11]\(6), R => '0' ); \s_arid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(31), Q => \r_arid_r_reg[11]\(7), R => '0' ); \s_arid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(32), Q => \r_arid_r_reg[11]\(8), R => '0' ); \s_arid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(33), Q => \r_arid_r_reg[11]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_aw_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep\ : out STD_LOGIC; \state_reg[1]_rep_0\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); b_push : out STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \in\ : out STD_LOGIC_VECTOR ( 19 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); \m_payload_i_reg[44]\ : in STD_LOGIC; \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_aw_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_aw_channel is signal \^d\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal aw_cmd_fsm_0_n_0 : STD_LOGIC; signal aw_cmd_fsm_0_n_13 : STD_LOGIC; signal aw_cmd_fsm_0_n_17 : STD_LOGIC; signal aw_cmd_fsm_0_n_20 : STD_LOGIC; signal aw_cmd_fsm_0_n_21 : STD_LOGIC; signal aw_cmd_fsm_0_n_24 : STD_LOGIC; signal aw_cmd_fsm_0_n_25 : STD_LOGIC; signal aw_cmd_fsm_0_n_3 : STD_LOGIC; signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axaddr_offset_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^b_push\ : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_1 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_14 : STD_LOGIC; signal cmd_translator_0_n_15 : STD_LOGIC; signal cmd_translator_0_n_16 : STD_LOGIC; signal cmd_translator_0_n_17 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \next\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \^sel_first\ : STD_LOGIC; signal \sel_first__0\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_next_pending : STD_LOGIC; begin D(0) <= \^d\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axaddr_offset_r_reg[3]_0\(3 downto 0) <= \^axaddr_offset_r_reg[3]_0\(3 downto 0); b_push <= \^b_push\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; aw_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm port map ( D(0) => aw_cmd_fsm_0_n_3, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => aw_cmd_fsm_0_n_21, \axaddr_offset_r_reg[3]\(0) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(0) => \^axaddr_offset_r_reg[3]_0\(3), \axaddr_wrap_reg[0]\(0) => aw_cmd_fsm_0_n_20, \axlen_cnt_reg[2]\ => cmd_translator_0_n_16, \axlen_cnt_reg[3]\ => cmd_translator_0_n_15, \axlen_cnt_reg[3]_0\ => cmd_translator_0_n_17, \axlen_cnt_reg[4]\ => aw_cmd_fsm_0_n_0, \axlen_cnt_reg[4]_0\ => cmd_translator_0_n_13, \axlen_cnt_reg[5]\(3 downto 2) => p_1_in(5 downto 4), \axlen_cnt_reg[5]\(1 downto 0) => p_1_in(1 downto 0), \axlen_cnt_reg[5]_0\(3) => cmd_translator_0_n_9, \axlen_cnt_reg[5]_0\(2) => cmd_translator_0_n_10, \axlen_cnt_reg[5]_0\(1) => cmd_translator_0_n_11, \axlen_cnt_reg[5]_0\(0) => cmd_translator_0_n_12, \cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1\ => \cnt_read_reg[1]_rep__1\, incr_next_pending => incr_next_pending, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[0]\ => \^b_push\, \m_payload_i_reg[0]_0\(0) => E(0), \m_payload_i_reg[35]\(2 downto 0) => \m_payload_i_reg[35]\(2 downto 0), \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[49]\(5 downto 3) => \m_payload_i_reg[64]\(21 downto 19), \m_payload_i_reg[49]\(2 downto 0) => \m_payload_i_reg[64]\(17 downto 15), \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, s_axburst_eq0_reg => aw_cmd_fsm_0_n_13, s_axburst_eq1_reg => aw_cmd_fsm_0_n_17, s_axburst_eq1_reg_0 => cmd_translator_0_n_14, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg => aw_cmd_fsm_0_n_24, sel_first_reg_0 => aw_cmd_fsm_0_n_25, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, si_rs_awvalid => si_rs_awvalid, \state_reg[1]_rep_0\ => \state_reg[1]_rep\, \state_reg[1]_rep_1\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[1]\(0) => \^d\(0), \wrap_second_len_r_reg[1]_0\(0) => \wrap_cmd_0/wrap_second_len_r\(1) ); cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator port map ( CO(0) => CO(0), D(3 downto 2) => p_1_in(5 downto 4), D(1 downto 0) => p_1_in(1 downto 0), E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(3) => cmd_translator_0_n_9, Q(2) => cmd_translator_0_n_10, Q(1) => cmd_translator_0_n_11, Q(0) => cmd_translator_0_n_12, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3 downto 0) => \^axaddr_offset_r_reg[3]_0\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(2 downto 0) => \m_payload_i_reg[35]\(2 downto 0), \axlen_cnt_reg[4]\ => cmd_translator_0_n_17, \axlen_cnt_reg[7]\ => cmd_translator_0_n_13, incr_next_pending => incr_next_pending, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_13, \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_17, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(21 downto 20) => \m_payload_i_reg[64]\(23 downto 22), \m_payload_i_reg[51]\(19 downto 0) => \m_payload_i_reg[64]\(19 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), m_valid_i_reg(0) => aw_cmd_fsm_0_n_20, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, next_pending_r_reg_1 => cmd_translator_0_n_15, next_pending_r_reg_2 => cmd_translator_0_n_16, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => aw_cmd_fsm_0_n_21, sel_first_reg_2 => aw_cmd_fsm_0_n_24, sel_first_reg_3 => aw_cmd_fsm_0_n_25, \state_reg[0]\ => aw_cmd_fsm_0_n_0, \state_reg[0]_rep\ => \^b_push\, \state_reg[1]\(1 downto 0) => \^q\(1 downto 0), \state_reg[1]_rep\ => cmd_translator_0_n_14, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 2) => \wrap_second_len_r_reg[3]\(2 downto 1), \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len_r\(1), \wrap_second_len_r_reg[3]\(0) => \wrap_second_len_r_reg[3]\(0), \wrap_second_len_r_reg[3]_0\(3 downto 2) => \wrap_second_len_r_reg[3]_0\(2 downto 1), \wrap_second_len_r_reg[3]_0\(1) => \^d\(0), \wrap_second_len_r_reg[3]_0\(0) => \wrap_second_len_r_reg[3]_0\(0), \wrap_second_len_r_reg[3]_1\(3 downto 2) => \wrap_second_len_r_reg[3]_1\(2 downto 1), \wrap_second_len_r_reg[3]_1\(1) => aw_cmd_fsm_0_n_3, \wrap_second_len_r_reg[3]_1\(0) => \wrap_second_len_r_reg[3]_1\(0) ); \s_awid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(24), Q => \in\(8), R => '0' ); \s_awid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(34), Q => \in\(18), R => '0' ); \s_awid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(35), Q => \in\(19), R => '0' ); \s_awid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(25), Q => \in\(9), R => '0' ); \s_awid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(26), Q => \in\(10), R => '0' ); \s_awid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(27), Q => \in\(11), R => '0' ); \s_awid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(28), Q => \in\(12), R => '0' ); \s_awid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(29), Q => \in\(13), R => '0' ); \s_awid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(30), Q => \in\(14), R => '0' ); \s_awid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(31), Q => \in\(15), R => '0' ); \s_awid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(32), Q => \in\(16), R => '0' ); \s_awid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(33), Q => \in\(17), R => '0' ); \s_awlen_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(16), Q => \in\(0), R => '0' ); \s_awlen_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(17), Q => \in\(1), R => '0' ); \s_awlen_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(18), Q => \in\(2), R => '0' ); \s_awlen_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(19), Q => \in\(3), R => '0' ); \s_awlen_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(20), Q => \in\(4), R => '0' ); \s_awlen_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(21), Q => \in\(5), R => '0' ); \s_awlen_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(22), Q => \in\(6), R => '0' ); \s_awlen_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(23), Q => \in\(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s is port ( s_axi_rvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_arready : out STD_LOGIC; \m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_bvalid : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awready : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; aclk : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; aresetn : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s is signal C : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \RD.ar_channel_0_n_10\ : STD_LOGIC; signal \RD.ar_channel_0_n_11\ : STD_LOGIC; signal \RD.ar_channel_0_n_47\ : STD_LOGIC; signal \RD.ar_channel_0_n_48\ : STD_LOGIC; signal \RD.ar_channel_0_n_49\ : STD_LOGIC; signal \RD.ar_channel_0_n_50\ : STD_LOGIC; signal \RD.ar_channel_0_n_8\ : STD_LOGIC; signal \RD.ar_channel_0_n_9\ : STD_LOGIC; signal \RD.r_channel_0_n_0\ : STD_LOGIC; signal \RD.r_channel_0_n_2\ : STD_LOGIC; signal SI_REG_n_134 : STD_LOGIC; signal SI_REG_n_135 : STD_LOGIC; signal SI_REG_n_136 : STD_LOGIC; signal SI_REG_n_137 : STD_LOGIC; signal SI_REG_n_138 : STD_LOGIC; signal SI_REG_n_139 : STD_LOGIC; signal SI_REG_n_140 : STD_LOGIC; signal SI_REG_n_141 : STD_LOGIC; signal SI_REG_n_142 : STD_LOGIC; signal SI_REG_n_143 : STD_LOGIC; signal SI_REG_n_144 : STD_LOGIC; signal SI_REG_n_145 : STD_LOGIC; signal SI_REG_n_146 : STD_LOGIC; signal SI_REG_n_147 : STD_LOGIC; signal SI_REG_n_148 : STD_LOGIC; signal SI_REG_n_149 : STD_LOGIC; signal SI_REG_n_150 : STD_LOGIC; signal SI_REG_n_151 : STD_LOGIC; signal SI_REG_n_158 : STD_LOGIC; signal SI_REG_n_162 : STD_LOGIC; signal SI_REG_n_163 : STD_LOGIC; signal SI_REG_n_164 : STD_LOGIC; signal SI_REG_n_165 : STD_LOGIC; signal SI_REG_n_166 : STD_LOGIC; signal SI_REG_n_167 : STD_LOGIC; signal SI_REG_n_171 : STD_LOGIC; signal SI_REG_n_175 : STD_LOGIC; signal SI_REG_n_176 : STD_LOGIC; signal SI_REG_n_177 : STD_LOGIC; signal SI_REG_n_178 : STD_LOGIC; signal SI_REG_n_179 : STD_LOGIC; signal SI_REG_n_180 : STD_LOGIC; signal SI_REG_n_181 : STD_LOGIC; signal SI_REG_n_182 : STD_LOGIC; signal SI_REG_n_183 : STD_LOGIC; signal SI_REG_n_184 : STD_LOGIC; signal SI_REG_n_185 : STD_LOGIC; signal SI_REG_n_186 : STD_LOGIC; signal SI_REG_n_187 : STD_LOGIC; signal SI_REG_n_188 : STD_LOGIC; signal SI_REG_n_189 : STD_LOGIC; signal SI_REG_n_190 : STD_LOGIC; signal SI_REG_n_191 : STD_LOGIC; signal SI_REG_n_192 : STD_LOGIC; signal SI_REG_n_193 : STD_LOGIC; signal SI_REG_n_194 : STD_LOGIC; signal SI_REG_n_195 : STD_LOGIC; signal SI_REG_n_196 : STD_LOGIC; signal SI_REG_n_20 : STD_LOGIC; signal SI_REG_n_21 : STD_LOGIC; signal SI_REG_n_22 : STD_LOGIC; signal SI_REG_n_23 : STD_LOGIC; signal SI_REG_n_29 : STD_LOGIC; signal SI_REG_n_79 : STD_LOGIC; signal SI_REG_n_80 : STD_LOGIC; signal SI_REG_n_81 : STD_LOGIC; signal SI_REG_n_82 : STD_LOGIC; signal SI_REG_n_88 : STD_LOGIC; signal \WR.aw_channel_0_n_10\ : STD_LOGIC; signal \WR.aw_channel_0_n_54\ : STD_LOGIC; signal \WR.aw_channel_0_n_55\ : STD_LOGIC; signal \WR.aw_channel_0_n_56\ : STD_LOGIC; signal \WR.aw_channel_0_n_57\ : STD_LOGIC; signal \WR.aw_channel_0_n_7\ : STD_LOGIC; signal \WR.aw_channel_0_n_9\ : STD_LOGIC; signal \WR.b_channel_0_n_1\ : STD_LOGIC; signal \WR.b_channel_0_n_2\ : STD_LOGIC; signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \ar_pipe/p_1_in\ : STD_LOGIC; signal areset_d1 : STD_LOGIC; signal areset_d1_i_1_n_0 : STD_LOGIC; signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \aw_pipe/p_1_in\ : STD_LOGIC; signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal b_awlen : STD_LOGIC_VECTOR ( 7 downto 0 ); signal b_push : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/sel_first_4\ : STD_LOGIC; signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal r_rlast : STD_LOGIC; signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_arvalid : STD_LOGIC; signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_awvalid : STD_LOGIC; signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_bready : STD_LOGIC; signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_bvalid : STD_LOGIC; signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 ); signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_rlast : STD_LOGIC; signal si_rs_rready : STD_LOGIC; signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 ); begin \RD.ar_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_ar_channel port map ( CO(0) => SI_REG_n_147, D(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 2), D(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(0), E(0) => \ar_pipe/p_1_in\, O(3) => SI_REG_n_148, O(2) => SI_REG_n_149, O(1) => SI_REG_n_150, O(0) => SI_REG_n_151, Q(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), S(3) => \RD.ar_channel_0_n_47\, S(2) => \RD.ar_channel_0_n_48\, S(1) => \RD.ar_channel_0_n_49\, S(0) => \RD.ar_channel_0_n_50\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_0\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_9\, \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_10\, \m_payload_i_reg[11]\(3) => SI_REG_n_143, \m_payload_i_reg[11]\(2) => SI_REG_n_144, \m_payload_i_reg[11]\(1) => SI_REG_n_145, \m_payload_i_reg[11]\(0) => SI_REG_n_146, \m_payload_i_reg[38]\ => SI_REG_n_196, \m_payload_i_reg[3]\(3) => SI_REG_n_139, \m_payload_i_reg[3]\(2) => SI_REG_n_140, \m_payload_i_reg[3]\(1) => SI_REG_n_141, \m_payload_i_reg[3]\(0) => SI_REG_n_142, \m_payload_i_reg[44]\ => SI_REG_n_171, \m_payload_i_reg[46]\ => SI_REG_n_177, \m_payload_i_reg[47]\ => SI_REG_n_175, \m_payload_i_reg[51]\ => SI_REG_n_176, \m_payload_i_reg[64]\(35 downto 24) => s_arid(11 downto 0), \m_payload_i_reg[64]\(23) => SI_REG_n_79, \m_payload_i_reg[64]\(22) => SI_REG_n_80, \m_payload_i_reg[64]\(21) => SI_REG_n_81, \m_payload_i_reg[64]\(20) => SI_REG_n_82, \m_payload_i_reg[64]\(19 downto 16) => si_rs_arlen(3 downto 0), \m_payload_i_reg[64]\(15) => si_rs_arburst(1), \m_payload_i_reg[64]\(14) => SI_REG_n_88, \m_payload_i_reg[64]\(13 downto 12) => si_rs_arsize(1 downto 0), \m_payload_i_reg[64]\(11 downto 0) => si_rs_araddr(11 downto 0), \m_payload_i_reg[6]\ => SI_REG_n_187, \m_payload_i_reg[6]_0\(6) => SI_REG_n_188, \m_payload_i_reg[6]_0\(5) => SI_REG_n_189, \m_payload_i_reg[6]_0\(4) => SI_REG_n_190, \m_payload_i_reg[6]_0\(3) => SI_REG_n_191, \m_payload_i_reg[6]_0\(2) => SI_REG_n_192, \m_payload_i_reg[6]_0\(1) => SI_REG_n_193, \m_payload_i_reg[6]_0\(0) => SI_REG_n_194, \r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0), r_push_r_reg => \RD.ar_channel_0_n_11\, r_rlast => r_rlast, sel_first => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, \wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_8\, wrap_second_len(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(1), \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(0), \wrap_second_len_r_reg[3]_0\(2) => SI_REG_n_165, \wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_166, \wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_167 ); \RD.r_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_r_channel port map ( D(11 downto 0) => s_arid_r(11 downto 0), aclk => aclk, areset_d1 => areset_d1, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, m_valid_i_reg => \RD.r_channel_0_n_2\, \out\(33 downto 32) => si_rs_rresp(1 downto 0), \out\(31 downto 0) => si_rs_rdata(31 downto 0), r_rlast => r_rlast, s_ready_i_reg => SI_REG_n_178, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0), \skid_buffer_reg[46]\(0) => si_rs_rlast, \state_reg[1]_rep\ => \RD.r_channel_0_n_0\, \state_reg[1]_rep_0\ => \RD.ar_channel_0_n_11\ ); SI_REG: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice port map ( CO(0) => SI_REG_n_134, D(2 downto 1) => wrap_cnt(3 downto 2), D(0) => wrap_cnt(0), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_135, O(2) => SI_REG_n_136, O(1) => SI_REG_n_137, O(0) => SI_REG_n_138, Q(58 downto 47) => s_awid(11 downto 0), Q(46) => SI_REG_n_20, Q(45) => SI_REG_n_21, Q(44) => SI_REG_n_22, Q(43) => SI_REG_n_23, Q(42 downto 39) => si_rs_awlen(3 downto 0), Q(38) => si_rs_awburst(1), Q(37) => SI_REG_n_29, Q(36 downto 35) => si_rs_awsize(1 downto 0), Q(34 downto 12) => Q(22 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), S(3) => \WR.aw_channel_0_n_54\, S(2) => \WR.aw_channel_0_n_55\, S(1) => \WR.aw_channel_0_n_56\, S(0) => \WR.aw_channel_0_n_57\, aclk => aclk, aresetn => aresetn, axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4), \axaddr_incr_reg[11]_0\(3) => SI_REG_n_143, \axaddr_incr_reg[11]_0\(2) => SI_REG_n_144, \axaddr_incr_reg[11]_0\(1) => SI_REG_n_145, \axaddr_incr_reg[11]_0\(0) => SI_REG_n_146, \axaddr_incr_reg[3]\(3) => SI_REG_n_148, \axaddr_incr_reg[3]\(2) => SI_REG_n_149, \axaddr_incr_reg[3]\(1) => SI_REG_n_150, \axaddr_incr_reg[3]\(0) => SI_REG_n_151, \axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), \axaddr_incr_reg[7]\(3) => SI_REG_n_139, \axaddr_incr_reg[7]\(2) => SI_REG_n_140, \axaddr_incr_reg[7]\(1) => SI_REG_n_141, \axaddr_incr_reg[7]\(0) => SI_REG_n_142, \axaddr_incr_reg[7]_0\(0) => SI_REG_n_147, axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 0), axaddr_offset_0(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 0), \axaddr_offset_r_reg[3]\ => SI_REG_n_179, \axaddr_offset_r_reg[3]_0\ => SI_REG_n_187, \axaddr_offset_r_reg[3]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3), \axaddr_offset_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3 downto 0), \axaddr_offset_r_reg[3]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_4\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \axlen_cnt_reg[3]\ => SI_REG_n_162, \axlen_cnt_reg[3]_0\ => SI_REG_n_175, b_push => b_push, \cnt_read_reg[3]_rep__0\ => SI_REG_n_178, \cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0), \cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0), \cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_2\, \m_axi_araddr[10]\ => SI_REG_n_196, \m_axi_awaddr[10]\ => SI_REG_n_195, \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_47\, \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_48\, \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_49\, \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_50\, m_valid_i_reg(0) => \ar_pipe/p_1_in\, next_pending_r_reg => SI_REG_n_163, next_pending_r_reg_0 => SI_REG_n_164, next_pending_r_reg_1 => SI_REG_n_176, next_pending_r_reg_2 => SI_REG_n_177, \out\(11 downto 0) => si_rs_bid(11 downto 0), r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0), r_push_r_reg(0) => si_rs_rlast, \s_arid_r_reg[11]\(58 downto 47) => s_arid(11 downto 0), \s_arid_r_reg[11]\(46) => SI_REG_n_79, \s_arid_r_reg[11]\(45) => SI_REG_n_80, \s_arid_r_reg[11]\(44) => SI_REG_n_81, \s_arid_r_reg[11]\(43) => SI_REG_n_82, \s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0), \s_arid_r_reg[11]\(38) => si_rs_arburst(1), \s_arid_r_reg[11]\(37) => SI_REG_n_88, \s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0), \s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0), \s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, \s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0), sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\, sel_first_2 => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, si_rs_awvalid => si_rs_awvalid, si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, si_rs_rready => si_rs_rready, \state_reg[0]_rep\ => \WR.aw_channel_0_n_10\, \state_reg[0]_rep_0\ => \RD.ar_channel_0_n_9\, \state_reg[1]\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_0\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_rep\ => \WR.aw_channel_0_n_9\, \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_7\, \state_reg[1]_rep_1\ => \RD.ar_channel_0_n_8\, \state_reg[1]_rep_2\ => \RD.ar_channel_0_n_10\, \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_180, \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_181, \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_182, \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_183, \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_184, \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_185, \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_186, \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_188, \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_189, \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_190, \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_191, \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_192, \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_193, \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_194, \wrap_cnt_r_reg[3]\ => SI_REG_n_158, \wrap_cnt_r_reg[3]_0\(2) => SI_REG_n_165, \wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_166, \wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_167, \wrap_cnt_r_reg[3]_1\ => SI_REG_n_171, wrap_second_len(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(1), wrap_second_len_1(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(1), \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(0), \wrap_second_len_r_reg[3]_1\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 2), \wrap_second_len_r_reg[3]_1\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(0), \wrap_second_len_r_reg[3]_2\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 2), \wrap_second_len_r_reg[3]_2\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(0) ); \WR.aw_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_aw_channel port map ( CO(0) => SI_REG_n_134, D(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(1), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_135, O(2) => SI_REG_n_136, O(1) => SI_REG_n_137, O(0) => SI_REG_n_138, Q(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), S(3) => \WR.aw_channel_0_n_54\, S(2) => \WR.aw_channel_0_n_55\, S(1) => \WR.aw_channel_0_n_56\, S(0) => \WR.aw_channel_0_n_57\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0), \axaddr_offset_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3 downto 0), b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, \in\(19 downto 8) => b_awid(11 downto 0), \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4), \m_payload_i_reg[35]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 0), \m_payload_i_reg[38]\ => SI_REG_n_195, \m_payload_i_reg[44]\ => SI_REG_n_158, \m_payload_i_reg[46]\ => SI_REG_n_164, \m_payload_i_reg[47]\ => SI_REG_n_162, \m_payload_i_reg[48]\ => SI_REG_n_163, \m_payload_i_reg[64]\(35 downto 24) => s_awid(11 downto 0), \m_payload_i_reg[64]\(23) => SI_REG_n_20, \m_payload_i_reg[64]\(22) => SI_REG_n_21, \m_payload_i_reg[64]\(21) => SI_REG_n_22, \m_payload_i_reg[64]\(20) => SI_REG_n_23, \m_payload_i_reg[64]\(19 downto 16) => si_rs_awlen(3 downto 0), \m_payload_i_reg[64]\(15) => si_rs_awburst(1), \m_payload_i_reg[64]\(14) => SI_REG_n_29, \m_payload_i_reg[64]\(13 downto 12) => si_rs_awsize(1 downto 0), \m_payload_i_reg[64]\(11 downto 0) => si_rs_awaddr(11 downto 0), \m_payload_i_reg[6]\ => SI_REG_n_179, \m_payload_i_reg[6]_0\(6) => SI_REG_n_180, \m_payload_i_reg[6]_0\(5) => SI_REG_n_181, \m_payload_i_reg[6]_0\(4) => SI_REG_n_182, \m_payload_i_reg[6]_0\(3) => SI_REG_n_183, \m_payload_i_reg[6]_0\(2) => SI_REG_n_184, \m_payload_i_reg[6]_0\(1) => SI_REG_n_185, \m_payload_i_reg[6]_0\(0) => SI_REG_n_186, sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\, si_rs_awvalid => si_rs_awvalid, \state_reg[1]_rep\ => \WR.aw_channel_0_n_9\, \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_10\, \wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_7\, \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(0), \wrap_second_len_r_reg[3]_1\(2 downto 1) => wrap_cnt(3 downto 2), \wrap_second_len_r_reg[3]_1\(0) => wrap_cnt(0) ); \WR.b_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_b_channel port map ( aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, \in\(19 downto 8) => b_awid(11 downto 0), \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, \out\(11 downto 0) => si_rs_bid(11 downto 0), si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0) ); areset_d1_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn, O => areset_d1_i_1_n_0 ); areset_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => areset_d1_i_1_n_0, Q => areset_d1, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_wready\ <= m_axi_wready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const1>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(11) <= \<const0>\; m_axi_arid(10) <= \<const0>\; m_axi_arid(9) <= \<const0>\; m_axi_arid(8) <= \<const0>\; m_axi_arid(7) <= \<const0>\; m_axi_arid(6) <= \<const0>\; m_axi_arid(5) <= \<const0>\; m_axi_arid(4) <= \<const0>\; m_axi_arid(3) <= \<const0>\; m_axi_arid(2) <= \<const0>\; m_axi_arid(1) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const1>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const1>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(11) <= \<const0>\; m_axi_awid(10) <= \<const0>\; m_axi_awid(9) <= \<const0>\; m_axi_awid(8) <= \<const0>\; m_axi_awid(7) <= \<const0>\; m_axi_awid(6) <= \<const0>\; m_axi_awid(5) <= \<const0>\; m_axi_awid(4) <= \<const0>\; m_axi_awid(3) <= \<const0>\; m_axi_awid(2) <= \<const0>\; m_axi_awid(1) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const1>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const1>\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \^s_axi_wvalid\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s port map ( Q(22 downto 20) => m_axi_awprot(2 downto 0), Q(19 downto 0) => m_axi_awaddr(31 downto 12), aclk => aclk, aresetn => aresetn, \in\(33 downto 32) => m_axi_rresp(1 downto 0), \in\(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0), \m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, \s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0), \s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0), \s_axi_rid[11]\(34) => s_axi_rlast, \s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0), \s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zqynq_lab_1_design_auto_pc_1,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2.1"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0), m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0), m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(11 downto 0) => B"000000000000", m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => B"000000000000", m_axi_rlast => '1', m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/bajsd_v1_00_a - Copy/hdl/vhdl/tb_brutus.vhd
2
2254
-------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Create Date: 17:00:22 09/23/2014 -- Module Name: C:/Users/ael10jso/Xilinx/embedded_bruteforce/vhdl/tb_brutus.vhd -- Project Name: controller_sg_pp_md_comp -- Description: -- -- VHDL Test Bench Created by ISE for module: brutus_top -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY tb_brutus IS END tb_brutus; ARCHITECTURE behavior OF tb_brutus IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT brutus_top PORT( clk : IN std_logic; rstn : IN std_logic; i_fsl_data_recv : IN std_logic; i_fsl_hash : IN std_logic_vector(127 downto 0); o_pw_found : OUT std_logic; o_passwd : OUT std_logic_vector(47 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rstn : std_logic := '0'; signal i_fsl_data_recv : std_logic := '0'; signal i_fsl_hash : std_logic_vector(127 downto 0) := (others => '0'); --Outputs signal o_pw_found : std_logic; signal o_passwd : std_logic_vector(47 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: brutus_top PORT MAP ( clk => clk, rstn => rstn, i_fsl_data_recv => i_fsl_data_recv, i_fsl_hash => i_fsl_hash, o_pw_found => o_pw_found, o_passwd => o_passwd ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 10 ns; rstn <= '0'; wait for clk_period*10; rstn <= '1'; i_fsl_hash <= x"4124bc0a9335c27f086f24ba207a4912"; -- "aa" i_fsl_data_recv <= '1'; wait for clk_period; i_fsl_data_recv <= '0'; wait; end process; END;
mit
Nooxet/embedded_bruteforce
brutus_system/hdl/elaborate/microblaze_0_bram_block_elaborate_v1_00_a/hdl/vhdl/microblaze_0_bram_block_elaborate.vhd
1
35484
------------------------------------------------------------------------------- -- microblaze_0_bram_block_elaborate.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity microblaze_0_bram_block_elaborate is generic ( C_MEMSIZE : integer; C_PORT_DWIDTH : integer; C_PORT_AWIDTH : integer; C_NUM_WE : integer; C_FAMILY : string ); port ( BRAM_Rst_A : in std_logic; BRAM_Clk_A : in std_logic; BRAM_EN_A : in std_logic; BRAM_WEN_A : in std_logic_vector(0 to C_NUM_WE-1); BRAM_Addr_A : in std_logic_vector(0 to C_PORT_AWIDTH-1); BRAM_Din_A : out std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Dout_A : in std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Rst_B : in std_logic; BRAM_Clk_B : in std_logic; BRAM_EN_B : in std_logic; BRAM_WEN_B : in std_logic_vector(0 to C_NUM_WE-1); BRAM_Addr_B : in std_logic_vector(0 to C_PORT_AWIDTH-1); BRAM_Din_B : out std_logic_vector(0 to C_PORT_DWIDTH-1); BRAM_Dout_B : in std_logic_vector(0 to C_PORT_DWIDTH-1) ); attribute keep_hierarchy : STRING; attribute keep_hierarchy of microblaze_0_bram_block_elaborate : entity is "yes"; end microblaze_0_bram_block_elaborate; architecture STRUCTURE of microblaze_0_bram_block_elaborate is component RAMB16BWER is generic ( INIT_FILE : string; DATA_WIDTH_A : integer; DATA_WIDTH_B : integer ); port ( ADDRA : in std_logic_vector(13 downto 0); CLKA : in std_logic; DIA : in std_logic_vector(31 downto 0); DIPA : in std_logic_vector(3 downto 0); DOA : out std_logic_vector(31 downto 0); DOPA : out std_logic_vector(3 downto 0); ENA : in std_logic; REGCEA : in std_logic; RSTA : in std_logic; WEA : in std_logic_vector(3 downto 0); ADDRB : in std_logic_vector(13 downto 0); CLKB : in std_logic; DIB : in std_logic_vector(31 downto 0); DIPB : in std_logic_vector(3 downto 0); DOB : out std_logic_vector(31 downto 0); DOPB : out std_logic_vector(3 downto 0); ENB : in std_logic; REGCEB : in std_logic; RSTB : in std_logic; WEB : in std_logic_vector(3 downto 0) ); end component; attribute BMM_INFO : STRING; attribute BMM_INFO of ramb16bwer_0: label is " "; attribute BMM_INFO of ramb16bwer_1: label is " "; attribute BMM_INFO of ramb16bwer_2: label is " "; attribute BMM_INFO of ramb16bwer_3: label is " "; attribute BMM_INFO of ramb16bwer_4: label is " "; attribute BMM_INFO of ramb16bwer_5: label is " "; attribute BMM_INFO of ramb16bwer_6: label is " "; attribute BMM_INFO of ramb16bwer_7: label is " "; attribute BMM_INFO of ramb16bwer_8: label is " "; attribute BMM_INFO of ramb16bwer_9: label is " "; attribute BMM_INFO of ramb16bwer_10: label is " "; attribute BMM_INFO of ramb16bwer_11: label is " "; attribute BMM_INFO of ramb16bwer_12: label is " "; attribute BMM_INFO of ramb16bwer_13: label is " "; attribute BMM_INFO of ramb16bwer_14: label is " "; attribute BMM_INFO of ramb16bwer_15: label is " "; -- Internal signals signal net_gnd0 : std_logic; signal net_gnd4 : std_logic_vector(3 downto 0); signal pgassign1 : std_logic_vector(0 to 0); signal pgassign2 : std_logic_vector(0 to 29); signal pgassign3 : std_logic_vector(13 downto 0); signal pgassign4 : std_logic_vector(31 downto 0); signal pgassign5 : std_logic_vector(31 downto 0); signal pgassign6 : std_logic_vector(3 downto 0); signal pgassign7 : std_logic_vector(13 downto 0); signal pgassign8 : std_logic_vector(31 downto 0); signal pgassign9 : std_logic_vector(31 downto 0); signal pgassign10 : std_logic_vector(3 downto 0); signal pgassign11 : std_logic_vector(13 downto 0); signal pgassign12 : std_logic_vector(31 downto 0); signal pgassign13 : std_logic_vector(31 downto 0); signal pgassign14 : std_logic_vector(3 downto 0); signal pgassign15 : std_logic_vector(13 downto 0); signal pgassign16 : std_logic_vector(31 downto 0); signal pgassign17 : std_logic_vector(31 downto 0); signal pgassign18 : std_logic_vector(3 downto 0); signal pgassign19 : std_logic_vector(13 downto 0); signal pgassign20 : std_logic_vector(31 downto 0); signal pgassign21 : std_logic_vector(31 downto 0); signal pgassign22 : std_logic_vector(3 downto 0); signal pgassign23 : std_logic_vector(13 downto 0); signal pgassign24 : std_logic_vector(31 downto 0); signal pgassign25 : std_logic_vector(31 downto 0); signal pgassign26 : std_logic_vector(3 downto 0); signal pgassign27 : std_logic_vector(13 downto 0); signal pgassign28 : std_logic_vector(31 downto 0); signal pgassign29 : std_logic_vector(31 downto 0); signal pgassign30 : std_logic_vector(3 downto 0); signal pgassign31 : std_logic_vector(13 downto 0); signal pgassign32 : std_logic_vector(31 downto 0); signal pgassign33 : std_logic_vector(31 downto 0); signal pgassign34 : std_logic_vector(3 downto 0); signal pgassign35 : std_logic_vector(13 downto 0); signal pgassign36 : std_logic_vector(31 downto 0); signal pgassign37 : std_logic_vector(31 downto 0); signal pgassign38 : std_logic_vector(3 downto 0); signal pgassign39 : std_logic_vector(13 downto 0); signal pgassign40 : std_logic_vector(31 downto 0); signal pgassign41 : std_logic_vector(31 downto 0); signal pgassign42 : std_logic_vector(3 downto 0); signal pgassign43 : std_logic_vector(13 downto 0); signal pgassign44 : std_logic_vector(31 downto 0); signal pgassign45 : std_logic_vector(31 downto 0); signal pgassign46 : std_logic_vector(3 downto 0); signal pgassign47 : std_logic_vector(13 downto 0); signal pgassign48 : std_logic_vector(31 downto 0); signal pgassign49 : std_logic_vector(31 downto 0); signal pgassign50 : std_logic_vector(3 downto 0); signal pgassign51 : std_logic_vector(13 downto 0); signal pgassign52 : std_logic_vector(31 downto 0); signal pgassign53 : std_logic_vector(31 downto 0); signal pgassign54 : std_logic_vector(3 downto 0); signal pgassign55 : std_logic_vector(13 downto 0); signal pgassign56 : std_logic_vector(31 downto 0); signal pgassign57 : std_logic_vector(31 downto 0); signal pgassign58 : std_logic_vector(3 downto 0); signal pgassign59 : std_logic_vector(13 downto 0); signal pgassign60 : std_logic_vector(31 downto 0); signal pgassign61 : std_logic_vector(31 downto 0); signal pgassign62 : std_logic_vector(3 downto 0); signal pgassign63 : std_logic_vector(13 downto 0); signal pgassign64 : std_logic_vector(31 downto 0); signal pgassign65 : std_logic_vector(31 downto 0); signal pgassign66 : std_logic_vector(3 downto 0); signal pgassign67 : std_logic_vector(13 downto 0); signal pgassign68 : std_logic_vector(31 downto 0); signal pgassign69 : std_logic_vector(31 downto 0); signal pgassign70 : std_logic_vector(3 downto 0); signal pgassign71 : std_logic_vector(13 downto 0); signal pgassign72 : std_logic_vector(31 downto 0); signal pgassign73 : std_logic_vector(31 downto 0); signal pgassign74 : std_logic_vector(3 downto 0); signal pgassign75 : std_logic_vector(13 downto 0); signal pgassign76 : std_logic_vector(31 downto 0); signal pgassign77 : std_logic_vector(31 downto 0); signal pgassign78 : std_logic_vector(3 downto 0); signal pgassign79 : std_logic_vector(13 downto 0); signal pgassign80 : std_logic_vector(31 downto 0); signal pgassign81 : std_logic_vector(31 downto 0); signal pgassign82 : std_logic_vector(3 downto 0); signal pgassign83 : std_logic_vector(13 downto 0); signal pgassign84 : std_logic_vector(31 downto 0); signal pgassign85 : std_logic_vector(31 downto 0); signal pgassign86 : std_logic_vector(3 downto 0); signal pgassign87 : std_logic_vector(13 downto 0); signal pgassign88 : std_logic_vector(31 downto 0); signal pgassign89 : std_logic_vector(31 downto 0); signal pgassign90 : std_logic_vector(3 downto 0); signal pgassign91 : std_logic_vector(13 downto 0); signal pgassign92 : std_logic_vector(31 downto 0); signal pgassign93 : std_logic_vector(31 downto 0); signal pgassign94 : std_logic_vector(3 downto 0); signal pgassign95 : std_logic_vector(13 downto 0); signal pgassign96 : std_logic_vector(31 downto 0); signal pgassign97 : std_logic_vector(31 downto 0); signal pgassign98 : std_logic_vector(3 downto 0); signal pgassign99 : std_logic_vector(13 downto 0); signal pgassign100 : std_logic_vector(31 downto 0); signal pgassign101 : std_logic_vector(31 downto 0); signal pgassign102 : std_logic_vector(3 downto 0); signal pgassign103 : std_logic_vector(13 downto 0); signal pgassign104 : std_logic_vector(31 downto 0); signal pgassign105 : std_logic_vector(31 downto 0); signal pgassign106 : std_logic_vector(3 downto 0); signal pgassign107 : std_logic_vector(13 downto 0); signal pgassign108 : std_logic_vector(31 downto 0); signal pgassign109 : std_logic_vector(31 downto 0); signal pgassign110 : std_logic_vector(3 downto 0); signal pgassign111 : std_logic_vector(13 downto 0); signal pgassign112 : std_logic_vector(31 downto 0); signal pgassign113 : std_logic_vector(31 downto 0); signal pgassign114 : std_logic_vector(3 downto 0); signal pgassign115 : std_logic_vector(13 downto 0); signal pgassign116 : std_logic_vector(31 downto 0); signal pgassign117 : std_logic_vector(31 downto 0); signal pgassign118 : std_logic_vector(3 downto 0); signal pgassign119 : std_logic_vector(13 downto 0); signal pgassign120 : std_logic_vector(31 downto 0); signal pgassign121 : std_logic_vector(31 downto 0); signal pgassign122 : std_logic_vector(3 downto 0); signal pgassign123 : std_logic_vector(13 downto 0); signal pgassign124 : std_logic_vector(31 downto 0); signal pgassign125 : std_logic_vector(31 downto 0); signal pgassign126 : std_logic_vector(3 downto 0); signal pgassign127 : std_logic_vector(13 downto 0); signal pgassign128 : std_logic_vector(31 downto 0); signal pgassign129 : std_logic_vector(31 downto 0); signal pgassign130 : std_logic_vector(3 downto 0); begin -- Internal assignments pgassign1(0 to 0) <= B"0"; pgassign2(0 to 29) <= B"000000000000000000000000000000"; pgassign3(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign3(0 downto 0) <= B"0"; pgassign4(31 downto 2) <= B"000000000000000000000000000000"; pgassign4(1 downto 0) <= BRAM_Dout_A(0 to 1); BRAM_Din_A(0 to 1) <= pgassign5(1 downto 0); pgassign6(3 downto 3) <= BRAM_WEN_A(0 to 0); pgassign6(2 downto 2) <= BRAM_WEN_A(0 to 0); pgassign6(1 downto 1) <= BRAM_WEN_A(0 to 0); pgassign6(0 downto 0) <= BRAM_WEN_A(0 to 0); pgassign7(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign7(0 downto 0) <= B"0"; pgassign8(31 downto 2) <= B"000000000000000000000000000000"; pgassign8(1 downto 0) <= BRAM_Dout_B(0 to 1); BRAM_Din_B(0 to 1) <= pgassign9(1 downto 0); pgassign10(3 downto 3) <= BRAM_WEN_B(0 to 0); pgassign10(2 downto 2) <= BRAM_WEN_B(0 to 0); pgassign10(1 downto 1) <= BRAM_WEN_B(0 to 0); pgassign10(0 downto 0) <= BRAM_WEN_B(0 to 0); pgassign11(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign11(0 downto 0) <= B"0"; pgassign12(31 downto 2) <= B"000000000000000000000000000000"; pgassign12(1 downto 0) <= BRAM_Dout_A(2 to 3); BRAM_Din_A(2 to 3) <= pgassign13(1 downto 0); pgassign14(3 downto 3) <= BRAM_WEN_A(0 to 0); pgassign14(2 downto 2) <= BRAM_WEN_A(0 to 0); pgassign14(1 downto 1) <= BRAM_WEN_A(0 to 0); pgassign14(0 downto 0) <= BRAM_WEN_A(0 to 0); pgassign15(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign15(0 downto 0) <= B"0"; pgassign16(31 downto 2) <= B"000000000000000000000000000000"; pgassign16(1 downto 0) <= BRAM_Dout_B(2 to 3); BRAM_Din_B(2 to 3) <= pgassign17(1 downto 0); pgassign18(3 downto 3) <= BRAM_WEN_B(0 to 0); pgassign18(2 downto 2) <= BRAM_WEN_B(0 to 0); pgassign18(1 downto 1) <= BRAM_WEN_B(0 to 0); pgassign18(0 downto 0) <= BRAM_WEN_B(0 to 0); pgassign19(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign19(0 downto 0) <= B"0"; pgassign20(31 downto 2) <= B"000000000000000000000000000000"; pgassign20(1 downto 0) <= BRAM_Dout_A(4 to 5); BRAM_Din_A(4 to 5) <= pgassign21(1 downto 0); pgassign22(3 downto 3) <= BRAM_WEN_A(0 to 0); pgassign22(2 downto 2) <= BRAM_WEN_A(0 to 0); pgassign22(1 downto 1) <= BRAM_WEN_A(0 to 0); pgassign22(0 downto 0) <= BRAM_WEN_A(0 to 0); pgassign23(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign23(0 downto 0) <= B"0"; pgassign24(31 downto 2) <= B"000000000000000000000000000000"; pgassign24(1 downto 0) <= BRAM_Dout_B(4 to 5); BRAM_Din_B(4 to 5) <= pgassign25(1 downto 0); pgassign26(3 downto 3) <= BRAM_WEN_B(0 to 0); pgassign26(2 downto 2) <= BRAM_WEN_B(0 to 0); pgassign26(1 downto 1) <= BRAM_WEN_B(0 to 0); pgassign26(0 downto 0) <= BRAM_WEN_B(0 to 0); pgassign27(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign27(0 downto 0) <= B"0"; pgassign28(31 downto 2) <= B"000000000000000000000000000000"; pgassign28(1 downto 0) <= BRAM_Dout_A(6 to 7); BRAM_Din_A(6 to 7) <= pgassign29(1 downto 0); pgassign30(3 downto 3) <= BRAM_WEN_A(0 to 0); pgassign30(2 downto 2) <= BRAM_WEN_A(0 to 0); pgassign30(1 downto 1) <= BRAM_WEN_A(0 to 0); pgassign30(0 downto 0) <= BRAM_WEN_A(0 to 0); pgassign31(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign31(0 downto 0) <= B"0"; pgassign32(31 downto 2) <= B"000000000000000000000000000000"; pgassign32(1 downto 0) <= BRAM_Dout_B(6 to 7); BRAM_Din_B(6 to 7) <= pgassign33(1 downto 0); pgassign34(3 downto 3) <= BRAM_WEN_B(0 to 0); pgassign34(2 downto 2) <= BRAM_WEN_B(0 to 0); pgassign34(1 downto 1) <= BRAM_WEN_B(0 to 0); pgassign34(0 downto 0) <= BRAM_WEN_B(0 to 0); pgassign35(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign35(0 downto 0) <= B"0"; pgassign36(31 downto 2) <= B"000000000000000000000000000000"; pgassign36(1 downto 0) <= BRAM_Dout_A(8 to 9); BRAM_Din_A(8 to 9) <= pgassign37(1 downto 0); pgassign38(3 downto 3) <= BRAM_WEN_A(1 to 1); pgassign38(2 downto 2) <= BRAM_WEN_A(1 to 1); pgassign38(1 downto 1) <= BRAM_WEN_A(1 to 1); pgassign38(0 downto 0) <= BRAM_WEN_A(1 to 1); pgassign39(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign39(0 downto 0) <= B"0"; pgassign40(31 downto 2) <= B"000000000000000000000000000000"; pgassign40(1 downto 0) <= BRAM_Dout_B(8 to 9); BRAM_Din_B(8 to 9) <= pgassign41(1 downto 0); pgassign42(3 downto 3) <= BRAM_WEN_B(1 to 1); pgassign42(2 downto 2) <= BRAM_WEN_B(1 to 1); pgassign42(1 downto 1) <= BRAM_WEN_B(1 to 1); pgassign42(0 downto 0) <= BRAM_WEN_B(1 to 1); pgassign43(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign43(0 downto 0) <= B"0"; pgassign44(31 downto 2) <= B"000000000000000000000000000000"; pgassign44(1 downto 0) <= BRAM_Dout_A(10 to 11); BRAM_Din_A(10 to 11) <= pgassign45(1 downto 0); pgassign46(3 downto 3) <= BRAM_WEN_A(1 to 1); pgassign46(2 downto 2) <= BRAM_WEN_A(1 to 1); pgassign46(1 downto 1) <= BRAM_WEN_A(1 to 1); pgassign46(0 downto 0) <= BRAM_WEN_A(1 to 1); pgassign47(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign47(0 downto 0) <= B"0"; pgassign48(31 downto 2) <= B"000000000000000000000000000000"; pgassign48(1 downto 0) <= BRAM_Dout_B(10 to 11); BRAM_Din_B(10 to 11) <= pgassign49(1 downto 0); pgassign50(3 downto 3) <= BRAM_WEN_B(1 to 1); pgassign50(2 downto 2) <= BRAM_WEN_B(1 to 1); pgassign50(1 downto 1) <= BRAM_WEN_B(1 to 1); pgassign50(0 downto 0) <= BRAM_WEN_B(1 to 1); pgassign51(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign51(0 downto 0) <= B"0"; pgassign52(31 downto 2) <= B"000000000000000000000000000000"; pgassign52(1 downto 0) <= BRAM_Dout_A(12 to 13); BRAM_Din_A(12 to 13) <= pgassign53(1 downto 0); pgassign54(3 downto 3) <= BRAM_WEN_A(1 to 1); pgassign54(2 downto 2) <= BRAM_WEN_A(1 to 1); pgassign54(1 downto 1) <= BRAM_WEN_A(1 to 1); pgassign54(0 downto 0) <= BRAM_WEN_A(1 to 1); pgassign55(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign55(0 downto 0) <= B"0"; pgassign56(31 downto 2) <= B"000000000000000000000000000000"; pgassign56(1 downto 0) <= BRAM_Dout_B(12 to 13); BRAM_Din_B(12 to 13) <= pgassign57(1 downto 0); pgassign58(3 downto 3) <= BRAM_WEN_B(1 to 1); pgassign58(2 downto 2) <= BRAM_WEN_B(1 to 1); pgassign58(1 downto 1) <= BRAM_WEN_B(1 to 1); pgassign58(0 downto 0) <= BRAM_WEN_B(1 to 1); pgassign59(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign59(0 downto 0) <= B"0"; pgassign60(31 downto 2) <= B"000000000000000000000000000000"; pgassign60(1 downto 0) <= BRAM_Dout_A(14 to 15); BRAM_Din_A(14 to 15) <= pgassign61(1 downto 0); pgassign62(3 downto 3) <= BRAM_WEN_A(1 to 1); pgassign62(2 downto 2) <= BRAM_WEN_A(1 to 1); pgassign62(1 downto 1) <= BRAM_WEN_A(1 to 1); pgassign62(0 downto 0) <= BRAM_WEN_A(1 to 1); pgassign63(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign63(0 downto 0) <= B"0"; pgassign64(31 downto 2) <= B"000000000000000000000000000000"; pgassign64(1 downto 0) <= BRAM_Dout_B(14 to 15); BRAM_Din_B(14 to 15) <= pgassign65(1 downto 0); pgassign66(3 downto 3) <= BRAM_WEN_B(1 to 1); pgassign66(2 downto 2) <= BRAM_WEN_B(1 to 1); pgassign66(1 downto 1) <= BRAM_WEN_B(1 to 1); pgassign66(0 downto 0) <= BRAM_WEN_B(1 to 1); pgassign67(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign67(0 downto 0) <= B"0"; pgassign68(31 downto 2) <= B"000000000000000000000000000000"; pgassign68(1 downto 0) <= BRAM_Dout_A(16 to 17); BRAM_Din_A(16 to 17) <= pgassign69(1 downto 0); pgassign70(3 downto 3) <= BRAM_WEN_A(2 to 2); pgassign70(2 downto 2) <= BRAM_WEN_A(2 to 2); pgassign70(1 downto 1) <= BRAM_WEN_A(2 to 2); pgassign70(0 downto 0) <= BRAM_WEN_A(2 to 2); pgassign71(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign71(0 downto 0) <= B"0"; pgassign72(31 downto 2) <= B"000000000000000000000000000000"; pgassign72(1 downto 0) <= BRAM_Dout_B(16 to 17); BRAM_Din_B(16 to 17) <= pgassign73(1 downto 0); pgassign74(3 downto 3) <= BRAM_WEN_B(2 to 2); pgassign74(2 downto 2) <= BRAM_WEN_B(2 to 2); pgassign74(1 downto 1) <= BRAM_WEN_B(2 to 2); pgassign74(0 downto 0) <= BRAM_WEN_B(2 to 2); pgassign75(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign75(0 downto 0) <= B"0"; pgassign76(31 downto 2) <= B"000000000000000000000000000000"; pgassign76(1 downto 0) <= BRAM_Dout_A(18 to 19); BRAM_Din_A(18 to 19) <= pgassign77(1 downto 0); pgassign78(3 downto 3) <= BRAM_WEN_A(2 to 2); pgassign78(2 downto 2) <= BRAM_WEN_A(2 to 2); pgassign78(1 downto 1) <= BRAM_WEN_A(2 to 2); pgassign78(0 downto 0) <= BRAM_WEN_A(2 to 2); pgassign79(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign79(0 downto 0) <= B"0"; pgassign80(31 downto 2) <= B"000000000000000000000000000000"; pgassign80(1 downto 0) <= BRAM_Dout_B(18 to 19); BRAM_Din_B(18 to 19) <= pgassign81(1 downto 0); pgassign82(3 downto 3) <= BRAM_WEN_B(2 to 2); pgassign82(2 downto 2) <= BRAM_WEN_B(2 to 2); pgassign82(1 downto 1) <= BRAM_WEN_B(2 to 2); pgassign82(0 downto 0) <= BRAM_WEN_B(2 to 2); pgassign83(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign83(0 downto 0) <= B"0"; pgassign84(31 downto 2) <= B"000000000000000000000000000000"; pgassign84(1 downto 0) <= BRAM_Dout_A(20 to 21); BRAM_Din_A(20 to 21) <= pgassign85(1 downto 0); pgassign86(3 downto 3) <= BRAM_WEN_A(2 to 2); pgassign86(2 downto 2) <= BRAM_WEN_A(2 to 2); pgassign86(1 downto 1) <= BRAM_WEN_A(2 to 2); pgassign86(0 downto 0) <= BRAM_WEN_A(2 to 2); pgassign87(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign87(0 downto 0) <= B"0"; pgassign88(31 downto 2) <= B"000000000000000000000000000000"; pgassign88(1 downto 0) <= BRAM_Dout_B(20 to 21); BRAM_Din_B(20 to 21) <= pgassign89(1 downto 0); pgassign90(3 downto 3) <= BRAM_WEN_B(2 to 2); pgassign90(2 downto 2) <= BRAM_WEN_B(2 to 2); pgassign90(1 downto 1) <= BRAM_WEN_B(2 to 2); pgassign90(0 downto 0) <= BRAM_WEN_B(2 to 2); pgassign91(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign91(0 downto 0) <= B"0"; pgassign92(31 downto 2) <= B"000000000000000000000000000000"; pgassign92(1 downto 0) <= BRAM_Dout_A(22 to 23); BRAM_Din_A(22 to 23) <= pgassign93(1 downto 0); pgassign94(3 downto 3) <= BRAM_WEN_A(2 to 2); pgassign94(2 downto 2) <= BRAM_WEN_A(2 to 2); pgassign94(1 downto 1) <= BRAM_WEN_A(2 to 2); pgassign94(0 downto 0) <= BRAM_WEN_A(2 to 2); pgassign95(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign95(0 downto 0) <= B"0"; pgassign96(31 downto 2) <= B"000000000000000000000000000000"; pgassign96(1 downto 0) <= BRAM_Dout_B(22 to 23); BRAM_Din_B(22 to 23) <= pgassign97(1 downto 0); pgassign98(3 downto 3) <= BRAM_WEN_B(2 to 2); pgassign98(2 downto 2) <= BRAM_WEN_B(2 to 2); pgassign98(1 downto 1) <= BRAM_WEN_B(2 to 2); pgassign98(0 downto 0) <= BRAM_WEN_B(2 to 2); pgassign99(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign99(0 downto 0) <= B"0"; pgassign100(31 downto 2) <= B"000000000000000000000000000000"; pgassign100(1 downto 0) <= BRAM_Dout_A(24 to 25); BRAM_Din_A(24 to 25) <= pgassign101(1 downto 0); pgassign102(3 downto 3) <= BRAM_WEN_A(3 to 3); pgassign102(2 downto 2) <= BRAM_WEN_A(3 to 3); pgassign102(1 downto 1) <= BRAM_WEN_A(3 to 3); pgassign102(0 downto 0) <= BRAM_WEN_A(3 to 3); pgassign103(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign103(0 downto 0) <= B"0"; pgassign104(31 downto 2) <= B"000000000000000000000000000000"; pgassign104(1 downto 0) <= BRAM_Dout_B(24 to 25); BRAM_Din_B(24 to 25) <= pgassign105(1 downto 0); pgassign106(3 downto 3) <= BRAM_WEN_B(3 to 3); pgassign106(2 downto 2) <= BRAM_WEN_B(3 to 3); pgassign106(1 downto 1) <= BRAM_WEN_B(3 to 3); pgassign106(0 downto 0) <= BRAM_WEN_B(3 to 3); pgassign107(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign107(0 downto 0) <= B"0"; pgassign108(31 downto 2) <= B"000000000000000000000000000000"; pgassign108(1 downto 0) <= BRAM_Dout_A(26 to 27); BRAM_Din_A(26 to 27) <= pgassign109(1 downto 0); pgassign110(3 downto 3) <= BRAM_WEN_A(3 to 3); pgassign110(2 downto 2) <= BRAM_WEN_A(3 to 3); pgassign110(1 downto 1) <= BRAM_WEN_A(3 to 3); pgassign110(0 downto 0) <= BRAM_WEN_A(3 to 3); pgassign111(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign111(0 downto 0) <= B"0"; pgassign112(31 downto 2) <= B"000000000000000000000000000000"; pgassign112(1 downto 0) <= BRAM_Dout_B(26 to 27); BRAM_Din_B(26 to 27) <= pgassign113(1 downto 0); pgassign114(3 downto 3) <= BRAM_WEN_B(3 to 3); pgassign114(2 downto 2) <= BRAM_WEN_B(3 to 3); pgassign114(1 downto 1) <= BRAM_WEN_B(3 to 3); pgassign114(0 downto 0) <= BRAM_WEN_B(3 to 3); pgassign115(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign115(0 downto 0) <= B"0"; pgassign116(31 downto 2) <= B"000000000000000000000000000000"; pgassign116(1 downto 0) <= BRAM_Dout_A(28 to 29); BRAM_Din_A(28 to 29) <= pgassign117(1 downto 0); pgassign118(3 downto 3) <= BRAM_WEN_A(3 to 3); pgassign118(2 downto 2) <= BRAM_WEN_A(3 to 3); pgassign118(1 downto 1) <= BRAM_WEN_A(3 to 3); pgassign118(0 downto 0) <= BRAM_WEN_A(3 to 3); pgassign119(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign119(0 downto 0) <= B"0"; pgassign120(31 downto 2) <= B"000000000000000000000000000000"; pgassign120(1 downto 0) <= BRAM_Dout_B(28 to 29); BRAM_Din_B(28 to 29) <= pgassign121(1 downto 0); pgassign122(3 downto 3) <= BRAM_WEN_B(3 to 3); pgassign122(2 downto 2) <= BRAM_WEN_B(3 to 3); pgassign122(1 downto 1) <= BRAM_WEN_B(3 to 3); pgassign122(0 downto 0) <= BRAM_WEN_B(3 to 3); pgassign123(13 downto 1) <= BRAM_Addr_A(17 to 29); pgassign123(0 downto 0) <= B"0"; pgassign124(31 downto 2) <= B"000000000000000000000000000000"; pgassign124(1 downto 0) <= BRAM_Dout_A(30 to 31); BRAM_Din_A(30 to 31) <= pgassign125(1 downto 0); pgassign126(3 downto 3) <= BRAM_WEN_A(3 to 3); pgassign126(2 downto 2) <= BRAM_WEN_A(3 to 3); pgassign126(1 downto 1) <= BRAM_WEN_A(3 to 3); pgassign126(0 downto 0) <= BRAM_WEN_A(3 to 3); pgassign127(13 downto 1) <= BRAM_Addr_B(17 to 29); pgassign127(0 downto 0) <= B"0"; pgassign128(31 downto 2) <= B"000000000000000000000000000000"; pgassign128(1 downto 0) <= BRAM_Dout_B(30 to 31); BRAM_Din_B(30 to 31) <= pgassign129(1 downto 0); pgassign130(3 downto 3) <= BRAM_WEN_B(3 to 3); pgassign130(2 downto 2) <= BRAM_WEN_B(3 to 3); pgassign130(1 downto 1) <= BRAM_WEN_B(3 to 3); pgassign130(0 downto 0) <= BRAM_WEN_B(3 to 3); net_gnd0 <= '0'; net_gnd4(3 downto 0) <= B"0000"; ramb16bwer_0 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_0.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign3, CLKA => BRAM_Clk_A, DIA => pgassign4, DIPA => net_gnd4, DOA => pgassign5, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign6, ADDRB => pgassign7, CLKB => BRAM_Clk_B, DIB => pgassign8, DIPB => net_gnd4, DOB => pgassign9, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign10 ); ramb16bwer_1 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_1.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign11, CLKA => BRAM_Clk_A, DIA => pgassign12, DIPA => net_gnd4, DOA => pgassign13, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign14, ADDRB => pgassign15, CLKB => BRAM_Clk_B, DIB => pgassign16, DIPB => net_gnd4, DOB => pgassign17, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign18 ); ramb16bwer_2 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_2.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign19, CLKA => BRAM_Clk_A, DIA => pgassign20, DIPA => net_gnd4, DOA => pgassign21, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign22, ADDRB => pgassign23, CLKB => BRAM_Clk_B, DIB => pgassign24, DIPB => net_gnd4, DOB => pgassign25, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign26 ); ramb16bwer_3 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_3.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign27, CLKA => BRAM_Clk_A, DIA => pgassign28, DIPA => net_gnd4, DOA => pgassign29, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign30, ADDRB => pgassign31, CLKB => BRAM_Clk_B, DIB => pgassign32, DIPB => net_gnd4, DOB => pgassign33, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign34 ); ramb16bwer_4 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_4.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign35, CLKA => BRAM_Clk_A, DIA => pgassign36, DIPA => net_gnd4, DOA => pgassign37, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign38, ADDRB => pgassign39, CLKB => BRAM_Clk_B, DIB => pgassign40, DIPB => net_gnd4, DOB => pgassign41, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign42 ); ramb16bwer_5 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_5.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign43, CLKA => BRAM_Clk_A, DIA => pgassign44, DIPA => net_gnd4, DOA => pgassign45, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign46, ADDRB => pgassign47, CLKB => BRAM_Clk_B, DIB => pgassign48, DIPB => net_gnd4, DOB => pgassign49, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign50 ); ramb16bwer_6 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_6.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign51, CLKA => BRAM_Clk_A, DIA => pgassign52, DIPA => net_gnd4, DOA => pgassign53, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign54, ADDRB => pgassign55, CLKB => BRAM_Clk_B, DIB => pgassign56, DIPB => net_gnd4, DOB => pgassign57, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign58 ); ramb16bwer_7 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_7.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign59, CLKA => BRAM_Clk_A, DIA => pgassign60, DIPA => net_gnd4, DOA => pgassign61, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign62, ADDRB => pgassign63, CLKB => BRAM_Clk_B, DIB => pgassign64, DIPB => net_gnd4, DOB => pgassign65, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign66 ); ramb16bwer_8 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_8.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign67, CLKA => BRAM_Clk_A, DIA => pgassign68, DIPA => net_gnd4, DOA => pgassign69, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign70, ADDRB => pgassign71, CLKB => BRAM_Clk_B, DIB => pgassign72, DIPB => net_gnd4, DOB => pgassign73, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign74 ); ramb16bwer_9 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_9.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign75, CLKA => BRAM_Clk_A, DIA => pgassign76, DIPA => net_gnd4, DOA => pgassign77, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign78, ADDRB => pgassign79, CLKB => BRAM_Clk_B, DIB => pgassign80, DIPB => net_gnd4, DOB => pgassign81, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign82 ); ramb16bwer_10 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_10.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign83, CLKA => BRAM_Clk_A, DIA => pgassign84, DIPA => net_gnd4, DOA => pgassign85, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign86, ADDRB => pgassign87, CLKB => BRAM_Clk_B, DIB => pgassign88, DIPB => net_gnd4, DOB => pgassign89, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign90 ); ramb16bwer_11 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_11.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign91, CLKA => BRAM_Clk_A, DIA => pgassign92, DIPA => net_gnd4, DOA => pgassign93, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign94, ADDRB => pgassign95, CLKB => BRAM_Clk_B, DIB => pgassign96, DIPB => net_gnd4, DOB => pgassign97, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign98 ); ramb16bwer_12 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_12.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign99, CLKA => BRAM_Clk_A, DIA => pgassign100, DIPA => net_gnd4, DOA => pgassign101, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign102, ADDRB => pgassign103, CLKB => BRAM_Clk_B, DIB => pgassign104, DIPB => net_gnd4, DOB => pgassign105, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign106 ); ramb16bwer_13 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_13.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign107, CLKA => BRAM_Clk_A, DIA => pgassign108, DIPA => net_gnd4, DOA => pgassign109, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign110, ADDRB => pgassign111, CLKB => BRAM_Clk_B, DIB => pgassign112, DIPB => net_gnd4, DOB => pgassign113, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign114 ); ramb16bwer_14 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_14.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign115, CLKA => BRAM_Clk_A, DIA => pgassign116, DIPA => net_gnd4, DOA => pgassign117, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign118, ADDRB => pgassign119, CLKB => BRAM_Clk_B, DIB => pgassign120, DIPB => net_gnd4, DOB => pgassign121, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign122 ); ramb16bwer_15 : RAMB16BWER generic map ( INIT_FILE => "microblaze_0_bram_block_combined_15.mem", DATA_WIDTH_A => 2, DATA_WIDTH_B => 2 ) port map ( ADDRA => pgassign123, CLKA => BRAM_Clk_A, DIA => pgassign124, DIPA => net_gnd4, DOA => pgassign125, DOPA => open, ENA => BRAM_EN_A, REGCEA => net_gnd0, RSTA => BRAM_Rst_A, WEA => pgassign126, ADDRB => pgassign127, CLKB => BRAM_Clk_B, DIB => pgassign128, DIPB => net_gnd4, DOB => pgassign129, DOPB => open, ENB => BRAM_EN_B, REGCEB => net_gnd0, RSTB => BRAM_Rst_B, WEB => pgassign130 ); end architecture STRUCTURE;
mit
UdayanSinha/Code_Blocks
VHDL/Projects/work/register_generic.vhd
1
686
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions ENTITY register_generic IS GENERIC(size: INTEGER); PORT(d: IN STD_LOGIC_VECTOR(size-1 DOWNTO 0); clk, rst: IN STD_LOGIC; q: OUT STD_LOGIC_VECTOR(size-1 DOWNTO 0)); END register_generic; ARCHITECTURE behave OF register_generic IS SIGNAL q_int: STD_LOGIC_VECTOR(size-1 DOWNTO 0); BEGIN PROCESS(clk) BEGIN IF (rising_edge(clk)) THEN q_int<=d; END IF; END PROCESS; q<=q_int when rst='0' else (others=>'Z'); --asynchronous reset END behave;
mit
UdayanSinha/Code_Blocks
VHDL/Projects/work/tb_data_bus.vhd
1
996
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions ENTITY tb_data_bus IS END tb_data_bus; ARCHITECTURE test of tb_data_bus IS COMPONENT data_bus IS PORT(data_in: IN STD_LOGIC_VECTOR(3 DOWNTO 0); --data bus data_out: OUT STD_LOGIC_VECTOR(3 DOWNTO 0):="ZZZZ"; rd_wr, clk, rst, addr: IN STD_LOGIC); --register read-write select, clock, register select END COMPONENT; SIGNAL data_in, data_out: STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL clk, rst: STD_LOGIC:='0'; SIGNAL rd_wr, addr: STD_LOGIC; BEGIN T1: data_bus PORT MAP(data_in, data_out, rd_wr, clk, rst, addr); data_in<="0011", "1010" AFTER 10 ns; rd_wr<='1', '0' AFTER 20 ns; addr<='0', '1' AFTER 10 ns, '0' AFTER 20 ns, '1' AFTER 30 ns; rst<='1' AFTER 25 ns; clk<=NOT(clk) AFTER 5 ns; END test;
mit
UdayanSinha/Code_Blocks
VHDL/Projects/work/frequency_divider_generic.vhd
1
893
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions USE IEEE.std_logic_signed.all; --math operations for signed std_logic ENTITY frequency_divider_generic IS GENERIC(divide_by: INTEGER); PORT(clk_in, reset: IN STD_LOGIC; output: OUT STD_LOGIC); END frequency_divider_generic; ARCHITECTURE behave OF frequency_divider_generic IS SIGNAL count: INTEGER RANGE 0 TO divide_by:=0; BEGIN PROCESS(clk_in, reset) BEGIN If reset='0' THEN --asynchronous, active low reset output<='0'; count<=0; ELSIF rising_edge(clk_in) THEN count<=count+1; IF (count=divide_by-1) THEN output<='1'; count<=0; ELSE output<='0'; END IF; END IF; END PROCESS; END behave;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/ready4hood_v1_00_a/hdl/vhdl/md5_demux.vhd
1
834
---------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Module Name: md5_mux - Behavioral -- Description: -- A demux to select which md5 to use for hashing ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- include the hash_array type -- use work.hash_array_pkg.all; entity md5_demux is generic ( N : integer ); port ( i_md5_indata : in md5_indata_t; i_select : in std_logic_vector(N-1 downto 0); -- should be ceil(log2(N-1)) o_md5_indata : out md5_indata_t_array(N-1 downto 0) ); end md5_demux; architecture Behavioral of md5_demux is begin o_md5_indata(to_integer(unsigned(i_select))) <= i_md5_indata; end Behavioral;
mit
UdayanSinha/Code_Blocks
VHDL/Projects/work/data_bus_4bit.vhd
1
900
LIBRARY IEEE; -- These lines informs the compiler that the library IEEE is used USE IEEE.std_logic_1164.all; -- contains the definition for the std_logic type plus some useful conversion functions ENTITY data_bus_4bit IS PORT(data_bus: INOUT STD_LOGIC_VECTOR(3 DOWNTO 0):=(others=>'Z'); --data bus rd_wr, clk, addr: IN STD_LOGIC); --register read-write select, clock, register select END data_bus_4bit; ARCHITECTURE behave of data_bus_4bit IS SIGNAL d0, d1, q0, q1: STD_LOGIC_VECTOR(3 DOWNTO 0); --register internal outputs and inputs BEGIN PROCESS(clk) BEGIN IF rising_edge(clk) THEN q1<=d1; q0<=d0; END IF; END PROCESS; data_bus<=q0 WHEN addr='0' AND rd_wr='0' ELSE "ZZZZ"; data_bus<=q1 WHEN addr='1' AND rd_wr='0' ELSE "ZZZZ"; d0<=data_bus WHEN addr='0' AND rd_wr='1'; d1<=data_bus WHEN addr='1' AND rd_wr='1'; END behave;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/adau1761_audio_v1_00_a/hdl/vhdl/i2s_data_interface.vhd
3
3299
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Module Name: i2s_data_interface - Behavioral -- Description: Send & Receive I2S data -- New_sample is asserted for one cycle when a new sample has been -- received (and one transmitted) ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity i2s_data_interface is Port ( clk : in STD_LOGIC; audio_l_in : in STD_LOGIC_VECTOR (23 downto 0); audio_r_in : in STD_LOGIC_VECTOR (23 downto 0); audio_l_out : out STD_LOGIC_VECTOR (23 downto 0); audio_r_out : out STD_LOGIC_VECTOR (23 downto 0); new_sample : out STD_LOGIC; i2s_bclk : in STD_LOGIC; i2s_d_out : out STD_LOGIC; i2s_d_in : in STD_LOGIC; i2s_lr : in STD_LOGIC); end i2s_data_interface; architecture Behavioral of i2s_data_interface is signal bit_counter : unsigned(5 downto 0) := (others => '0'); signal bclk_delay : std_logic_vector(9 downto 0) := (others => '0'); signal lr_delay : std_logic_vector(9 downto 0) := (others => '0'); signal sr_in : std_logic_vector(126 downto 0) := (others => '0'); signal sr_out : std_logic_vector(63 downto 0) := (others => '0'); signal i2s_lr_last : std_logic := '0'; signal i2s_d_in_last : std_logic := '0'; begin process(clk) begin -- Process to predict when the falling edge of i2s_bclk should be if rising_edge(clk) then new_sample <= '0'; ------------------------------ -- is there a rising edge two cycles ago? If so the data bit is -- validand we can capture a bit ------------------------------ if bclk_delay(bclk_delay'high-1 downto bclk_delay'high-2) = "10" then sr_in <= sr_in(sr_in'high-1 downto 0) & i2s_d_in_last; end if; ------------------------------ -- Was there a rising edge on BCLK 9 cycles ago? -- If so, this should be about the falling edge so -- the output can change. ------------------------------ if bclk_delay(1 downto 0) = "10" then i2s_d_out <= sr_out(sr_out'high); -- if we are starting a new frame, then load the samples into the shift register if i2s_lr = '1' and i2s_lr_last = '0' then audio_l_out <= sr_in(sr_in'high downto sr_in'high-23); audio_r_out <= sr_in(sr_in'high-32 downto sr_in'high-23-32); sr_out <= audio_l_in & x"00" & audio_r_in & x"00"; new_sample <= '1'; else sr_out <= sr_out(sr_out'high-1 downto 0) & '0'; end if; -- remember what lr was, for edge detection i2s_lr_last <= i2s_lr; end if; bclk_delay <= i2s_bclk & bclk_delay(bclk_delay'high downto 1); i2s_d_in_last <= i2s_d_in; end if; end process; end Behavioral;
mit
tomoasleep/vhdl_test_script
templetes/util.vhd
1
6342
-- This is from http://www.eda-stds.org/vhdl-200x/vhdl-200x-ft/packages_old/ library ieee; use ieee.std_logic_1164.all; package vhdl_test_script_utils is function to_string (value : STD_ULOGIC) return STRING; function to_string (value : STD_ULOGIC_VECTOR) return STRING; function to_string (value : STD_LOGIC_VECTOR) return STRING; alias TO_BSTRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; alias TO_BINARY_STRING is TO_STRING [STD_ULOGIC_VECTOR return STRING]; function TO_OSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [STD_ULOGIC_VECTOR return STRING]; function TO_HSTRING (VALUE : STD_ULOGIC_VECTOR) return STRING; alias TO_HEX_STRING is TO_HSTRING [STD_ULOGIC_VECTOR return STRING]; alias TO_BSTRING is TO_STRING [STD_LOGIC_VECTOR return STRING]; alias TO_BINARY_STRING is TO_STRING [STD_LOGIC_VECTOR return STRING]; function TO_OSTRING (VALUE : STD_LOGIC_VECTOR) return STRING; alias TO_OCTAL_STRING is TO_OSTRING [STD_LOGIC_VECTOR return STRING]; function TO_HSTRING (VALUE : STD_LOGIC_VECTOR) return STRING; function TO_HSTRING (VALUE : STD_LOGIC) return STRING; alias TO_HEX_STRING is TO_HSTRING [STD_LOGIC_VECTOR return STRING]; type char_indexed_by_MVL9 is array (STD_ULOGIC) of CHARACTER; constant MVL9_to_char : char_indexed_by_MVL9 := "UX01ZWLH-"; constant NUS : STRING(2 to 1) := (others => ' '); -- null STRING end package vhdl_test_script_utils; package body vhdl_test_script_utils is ----------------------------------------------------------------------------- -- New string functions for vhdl-200x fast track ----------------------------------------------------------------------------- function to_string (value : STD_ULOGIC) return STRING is variable result : STRING (1 to 1); begin result (1) := MVL9_to_char (value); return result; end function to_string; ------------------------------------------------------------------- -- TO_STRING (an alias called "to_bstring" is provide) ------------------------------------------------------------------- function to_string (value : STD_ULOGIC_VECTOR) return STRING is alias ivalue : STD_ULOGIC_VECTOR(1 to value'length) is value; variable result : STRING(1 to value'length); begin if value'length < 1 then return NUS; else for i in ivalue'range loop result(i) := MVL9_to_char(iValue(i)); end loop; return result; end if; end function to_string; ------------------------------------------------------------------- -- TO_HSTRING ------------------------------------------------------------------- function to_hstring (value : STD_ULOGIC_VECTOR) return STRING is constant ne : INTEGER := (value'length+3)/4; variable pad : STD_ULOGIC_VECTOR(0 to (ne*4 - value'length) - 1); variable ivalue : STD_ULOGIC_VECTOR(0 to ne*4 - 1); variable result : STRING(1 to ne); variable quad : STD_ULOGIC_VECTOR(0 to 3); begin if value'length < 1 then return NUS; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop quad := To_X01Z(ivalue(4*i to 4*i+3)); case quad is when x"0" => result(i+1) := '0'; when x"1" => result(i+1) := '1'; when x"2" => result(i+1) := '2'; when x"3" => result(i+1) := '3'; when x"4" => result(i+1) := '4'; when x"5" => result(i+1) := '5'; when x"6" => result(i+1) := '6'; when x"7" => result(i+1) := '7'; when x"8" => result(i+1) := '8'; when x"9" => result(i+1) := '9'; when x"A" => result(i+1) := 'A'; when x"B" => result(i+1) := 'B'; when x"C" => result(i+1) := 'C'; when x"D" => result(i+1) := 'D'; when x"E" => result(i+1) := 'E'; when x"F" => result(i+1) := 'F'; when "ZZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return result; end if; end function to_hstring; function to_hstring (value : STD_LOGIC) return STRING is variable vector_value : std_ulogic_vector(0 downto 0); variable result : STRING(1 to 1); begin vector_value(0) := value; result := to_hstring(vector_value); return result; end function to_hstring; ------------------------------------------------------------------- -- TO_OSTRING ------------------------------------------------------------------- function to_ostring (value : STD_ULOGIC_VECTOR) return STRING is constant ne : INTEGER := (value'length+2)/3; variable pad : STD_ULOGIC_VECTOR(0 to (ne*3 - value'length) - 1); variable ivalue : STD_ULOGIC_VECTOR(0 to ne*3 - 1); variable result : STRING(1 to ne); variable tri : STD_ULOGIC_VECTOR(0 to 2); begin if value'length < 1 then return NUS; else if value (value'left) = 'Z' then pad := (others => 'Z'); else pad := (others => '0'); end if; ivalue := pad & value; for i in 0 to ne-1 loop tri := To_X01Z(ivalue(3*i to 3*i+2)); case tri is when o"0" => result(i+1) := '0'; when o"1" => result(i+1) := '1'; when o"2" => result(i+1) := '2'; when o"3" => result(i+1) := '3'; when o"4" => result(i+1) := '4'; when o"5" => result(i+1) := '5'; when o"6" => result(i+1) := '6'; when o"7" => result(i+1) := '7'; when "ZZZ" => result(i+1) := 'Z'; when others => result(i+1) := 'X'; end case; end loop; return result; end if; end function to_ostring; function to_string (value : STD_LOGIC_VECTOR) return STRING is begin return to_string (to_stdulogicvector (value)); end function to_string; function to_hstring (value : STD_LOGIC_VECTOR) return STRING is begin return to_hstring (to_stdulogicvector (value)); end function to_hstring; function to_ostring (value : STD_LOGIC_VECTOR) return STRING is begin return to_ostring (to_stdulogicvector (value)); end function to_ostring; end package body vhdl_test_script_utils;
mit
Nooxet/embedded_bruteforce
vhdl/hash_ctrl.vhd
1
4321
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:14:33 09/17/2014 -- Design Name: -- Module Name: hash_ctrl - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity hash_ctrl is generic( N : integer := 1 ); port( clk : in std_logic; rstn : in std_logic; i_string_done : in std_logic; -- from string_generator i_md5_done : in std_logic; -- the done signal from the first md5 i_hash : in std_logic_vector(127 downto 0); -- the hash to crack i_start : in std_logic; -- from MB to start cracking o_md5_select : out std_logic_vector(N-1 downto 0); -- to the dmux which decides which md5 to start o_start : out std_logic; -- start signals to string-generator and cmp o_string_halt : out std_logic; -- pause the string-generator o_cmp_hash : out std_logic_vector(127 downto 0) -- the hash to the cmp ); end hash_ctrl; architecture Behavioral of hash_ctrl is -- local signals here -- signal i_c, i_n : unsigned(N-1 downto 0); -- the selection has to be delayed -- signal md5_sel_c, md5_sel_n : std_logic_vector(N-1 downto 0); type state is (waiting, init, counting); signal state_c, state_n : state; begin clk_proc: process(clk, rstn) begin if rising_edge(clk) then if rstn = '0' then i_c <= (others => '0'); md5_sel_c <= (others => '0'); state_c <= waiting; else i_c <= i_n; md5_sel_c <= md5_sel_n; state_c <= state_n; end if; end if; end process; FSM_proc: process(state_c, i_start, i_c, i_string_done) begin state_n <= state_c; case state_c is -- waits for a start signal -- when waiting => if i_start = '1' then state_n <= init; else state_n <= waiting; end if; -- set the hash value to cmp, initialize the string_gen, wait until all md5 have data -- when init => if i_c = N then state_n <= counting; else state_n <= init; end if; -- waits for a md5 to be done and checks if the hash has been cracked -- when counting => if i_string_done = '1' then state_n <= waiting; else state_n <= counting; end if; end case; end process; data_proc: process(md5_sel_c, state_c, i_hash, i_c, i_md5_done) begin -- halt everything as standard -- md5_sel_n <= (others => '0'); o_start <= '0'; o_string_halt <= '1'; o_cmp_hash <= (others => '0'); o_md5_select <= md5_sel_c; i_n <= (others => '0'); case state_c is when init => -- start the string-gen and cmp -- if i_c = 0 then o_start <= '1'; o_cmp_hash <= i_hash; else o_start <= '0'; o_cmp_hash <= (others => '0'); end if; -- loop through all the md5's to give them strings and start signals -- o_string_halt <= '0'; md5_sel_n <= (others => '0'); md5_sel_n(to_integer(i_c)) <= '1'; if i_c /= N then i_n <= i_c + 1; else i_n <= (others => '0'); end if; when counting => if i_md5_done = '1' then -- the first md5 is finished, start counting -- o_string_halt <= '0'; md5_sel_n <= (others => '0'); md5_sel_n(to_integer(i_c)) <= '1'; i_n <= i_c + 1; else if (i_c < N) and (i_c /= 0) then -- we haven't started all the md5:s yet -- o_string_halt <= '0'; md5_sel_n <= (others => '0'); md5_sel_n(to_integer(i_c)) <= '1'; i_n <= i_c + 1; else -- just waiting for the done signal -- o_string_halt <= '1'; md5_sel_n <= (others => '0'); i_n <= (others => '0'); end if; end if; when others => -- we don't do anything here because the halt signals are set as standard values -- NULL; end case; end process; end Behavioral;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/superip_v1_00_a/hdl/vhdl/Multiplier.vhd
4
9379
library IEEE; use IEEE.std_logic_1164.all; use ieee.numeric_std.all; entity multiplier is generic ( MultiplierIsShiftAdd: boolean:=true; BIT_WIDTH : positive := 16; --Size on input/output vectors COUNT_WIDTH : positive := 5); --Size of the counter signal --COUNT_WIDTH needs to be the exact size required to fit Output signal -- for example if BIT_WIDHT is 16, COUNT_WIDHT needs to be 5. -- The size of the output vector is 2 times the size of the input vector. Port ( CLK : in std_logic; --clock TRIGGER : in std_logic; --RESET signal (pulse) A : in signed ((BIT_WIDTH - 1) downto 0); --multiplicand B : in signed ((BIT_WIDTH - 1) downto 0); --mutiplier RES : out signed ((BIT_WIDTH*2 - 1) downto 0) := (others => '0'); --result READY : out std_logic := '0'); --Calculation ready signal (pulse) end multiplier; architecture Behavioral of multiplier is type reg_type is record counter : unsigned ( (COUNT_WIDTH-1) downto 0 ); EN : std_logic; tmp1 : signed ((BIT_WIDTH*2 - 1) downto 0); -- B tmp2 : signed ((BIT_WIDTH*2 - 1) downto 0); tmpA : signed ((BIT_WIDTH - 1) downto 0); -- A end record; signal r, rin : reg_type := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); signal s_RES, r_late : signed ((BIT_WIDTH*2 - 1) downto 0) := (others => '0'); signal s_READY, sr_READY, sr_recalc: std_logic; constant c_trigger: std_logic:='0'; begin --Control logic of the multiplication algorithm ShiftAdd: if MultiplierIsShiftAdd = true generate READY <= sr_READY; combinational : process(A, B, r, TRIGGER,sr_recalc,r_late) variable v : reg_type := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); begin s_RES <= r_late; rin <= r; s_READY <= '0'; if sr_recalc = '1' then if (TRIGGER = c_trigger) then v := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); s_RES <= (others => '0'); s_READY <= '0'; else v := r; v.counter := v.counter -1; --At first cycle the counter ovwerflows and sets all bits to '1'-s giving the value of BIT_WIDTH - 1 --Initialisation. Copy inputs to variables for manipulation and protection --against the changing of the inputs while calculating. We also reset the counter. s_RES <= v.tmp1; if (v.counter = 2*BIT_WIDTH-1) then s_READY <= '1' and v.EN; --Output the READY signal only when we have a real answer v.EN := '1'; v.tmpA := A; v.tmp1 := RESIZE(B,RES'LENGTH); v.tmp2 := (others => '0'); else s_READY <= '0'; end if; --check if we have to add if (v.tmp1(0) = '1') then v.tmp2 := v.tmp2 + v.tmpA; end if; --Next we are going to arithmetically shift tmp2 to the right so, that --the bit that gets shifted out of it will shift into tmp1 from right v.tmp1 := shift_right(v.tmp1, 1); v.tmp1(2*BIT_WIDTH-1) := v.tmp2(0); v.tmp2 := shift_right(v.tmp2, 1); end if; rin <= v; end if; end process combinational; sequential : process (CLK) begin if rising_edge(CLK) then r <= rin; if s_READY = '1' then r_late <= r.tmp1; -- end if; end if; end process sequential; process(clk,TRIGGER,s_READY)begin if TRIGGER = c_trigger then RES <= (others => '0'); sr_READY <='0'; elsif rising_edge(clk) then if s_READY = '1' then RES <= s_RES; --rin.tmp1;-- sr_READY <= '1';-- registered Ready signal. the ready signal we remain high until the multplier is reset again end if; end if; end process; process(clk,TRIGGER,s_READY)begin if TRIGGER = c_trigger then sr_recalc <= '1'; elsif rising_edge(clk) then if s_READY = '1' then sr_recalc <= '0'; end if; end if; end process; end generate; DedicatedMultiplier: if MultiplierIsShiftAdd = false generate P_Multiply: process(TRIGGER,A,B) variable v_mul_res: signed ((BIT_WIDTH*2 - 1) downto 0); variable v_A, v_B: signed ((BIT_WIDTH - 1) downto 0); variable v_en: std_logic:='0'; begin if (TRIGGER = c_trigger) then v_mul_res := (others => '0'); v_A := (others => '0'); v_B := (others => '0'); RES <= (others => '0'); READY <= '0'; v_en := '0'; else v_A := A; v_B := B; v_mul_res := v_A * v_B; v_en := '1'; end if; RES <= v_mul_res; READY <= '1' and v_en; end process; end generate; end Behavioral; --library IEEE; --use IEEE.std_logic_1164.all; --use ieee.numeric_std.all; -- --entity multiplier is -- generic ( MultiplierIsShiftAdd: boolean:=true; -- BIT_WIDTH : positive := 16; --Size on input/output vectors -- COUNT_WIDTH : positive := 5); --Size of the counter signal -- --COUNT_WIDTH needs to be the exact size required to fit Output signal -- -- for example if BIT_WIDHT is 16, COUNT_WIDHT needs to be 5. -- -- The size of the output vector is 2 times the size of the input vector. -- -- Port ( CLK : in std_logic; --clock -- TRIGGER : in std_logic; --RESET signal (pulse) -- A : in signed ((BIT_WIDTH - 1) downto 0); --multiplicand -- B : in signed ((BIT_WIDTH - 1) downto 0); --mutiplier -- RES : out signed ((BIT_WIDTH*2 - 1) downto 0) := (others => '0'); --result -- READY : out std_logic := '0'); --Calculation ready signal (pulse) --end multiplier; -- -- --architecture Behavioral of multiplier is -- type reg_type is record -- counter : unsigned ( (COUNT_WIDTH-1) downto 0 ); -- EN : std_logic; -- tmp1 : signed ((BIT_WIDTH*2 - 1) downto 0); -- B -- tmp2 : signed ((BIT_WIDTH*2 - 1) downto 0); -- tmpA : signed ((BIT_WIDTH - 1) downto 0); -- A -- end record; -- signal r, rin : reg_type := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); --begin -- -- --Control logic of the multiplication algorithm --ShiftAdd: if MultiplierIsShiftAdd = true generate -- combinational : process(A, B, r, TRIGGER) -- variable v : reg_type := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); -- -- begin -- if (TRIGGER = '0') then -- v := ((others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); -- RES <= (others => '0'); -- READY <= '0'; -- else -- v := r; -- v.counter := v.counter -1; --At first cycle the counter ovwerflows and sets all bits to '1'-s giving the value of BIT_WIDTH - 1 -- --Initialisation. Copy inputs to variables for manipulation and protection -- --against the changing of the inputs while calculating. We also TRIGGER the counter. -- RES <= v.tmp1; -- if (v.counter = 2*BIT_WIDTH-1) then -- READY <= '1' and v.EN; --Output the READY signal only when we have a real answer -- v.EN := '1'; -- v.tmpA := A; -- v.tmp1 := RESIZE(B,RES'LENGTH); -- v.tmp2 := (others => '0'); -- else -- READY <= '0'; -- end if; -- -- --check if we have to add -- if (v.tmp1(0) = '1') then -- v.tmp2 := v.tmp2 + v.tmpA; -- end if; -- -- --Next we are going to arithmetically shift tmp2 to the right so, that -- --the bit that gets shifted out of it will shift into tmp1 from right -- v.tmp1 := shift_right(v.tmp1, 1); -- v.tmp1(2*BIT_WIDTH-1) := v.tmp2(0); -- v.tmp2 := shift_right(v.tmp2, 1); -- end if; -- rin <= v; -- end process combinational; -- -- sequential : process (CLK) -- begin -- if rising_edge(CLK) then -- r <= rin; -- end if; -- end process sequential; --end generate; -- -- DedicatedMultiplier: if MultiplierIsShiftAdd = false generate -- P_Multiply: process(TRIGGER,A,B) -- variable v_mul_res: signed ((BIT_WIDTH*2 - 1) downto 0); -- variable v_A, v_B: signed ((BIT_WIDTH - 1) downto 0); -- variable v_en: std_logic:='0'; -- begin -- if (TRIGGER = '0') then -- v_mul_res := (others => '0'); -- v_A := (others => '0'); -- v_B := (others => '0'); -- RES <= (others => '0'); -- READY <= '0'; -- v_en := '0'; -- else -- v_A := A; -- v_B := B; -- v_mul_res := v_A * v_B; -- v_en := '1'; -- end if; -- RES <= v_mul_res; -- READY <= '1' and v_en; -- end process; -- end generate; --end Behavioral; --
mit
UdayanSinha/Code_Blocks
Nios-2/Nios/practica4/mi_nios/mi_nios_inst.vhd
1
2791
component mi_nios is port ( clk_clk : in std_logic := 'X'; -- clk flash_dclk : out std_logic; -- dclk flash_sce : out std_logic; -- sce flash_sdo : out std_logic; -- sdo flash_data0 : in std_logic := 'X'; -- data0 led_export : out std_logic_vector(7 downto 0); -- export reset_reset_n : in std_logic := 'X'; -- reset_n sdram_addr : out std_logic_vector(11 downto 0); -- addr sdram_ba : out std_logic_vector(1 downto 0); -- ba sdram_cas_n : out std_logic; -- cas_n sdram_cke : out std_logic; -- cke sdram_cs_n : out std_logic; -- cs_n sdram_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- dq sdram_dqm : out std_logic_vector(1 downto 0); -- dqm sdram_ras_n : out std_logic; -- ras_n sdram_we_n : out std_logic; -- we_n sdram_clk_clk : out std_logic; -- clk sw_export : in std_logic_vector(3 downto 0) := (others => 'X') -- export ); end component mi_nios; u0 : component mi_nios port map ( clk_clk => CONNECTED_TO_clk_clk, -- clk.clk flash_dclk => CONNECTED_TO_flash_dclk, -- flash.dclk flash_sce => CONNECTED_TO_flash_sce, -- .sce flash_sdo => CONNECTED_TO_flash_sdo, -- .sdo flash_data0 => CONNECTED_TO_flash_data0, -- .data0 led_export => CONNECTED_TO_led_export, -- led.export reset_reset_n => CONNECTED_TO_reset_reset_n, -- reset.reset_n sdram_addr => CONNECTED_TO_sdram_addr, -- sdram.addr sdram_ba => CONNECTED_TO_sdram_ba, -- .ba sdram_cas_n => CONNECTED_TO_sdram_cas_n, -- .cas_n sdram_cke => CONNECTED_TO_sdram_cke, -- .cke sdram_cs_n => CONNECTED_TO_sdram_cs_n, -- .cs_n sdram_dq => CONNECTED_TO_sdram_dq, -- .dq sdram_dqm => CONNECTED_TO_sdram_dqm, -- .dqm sdram_ras_n => CONNECTED_TO_sdram_ras_n, -- .ras_n sdram_we_n => CONNECTED_TO_sdram_we_n, -- .we_n sdram_clk_clk => CONNECTED_TO_sdram_clk_clk, -- sdram_clk.clk sw_export => CONNECTED_TO_sw_export -- sw.export );
mit
tomoasleep/vhdl_test_script
examples/state_machine.vhd
1
1415
library ieee; use ieee.std_logic_1164.all; library work; use work.const_state.all; use work.const_order.all; -- DOCTEST DEPENDENCIES: state_machine_lib.vhd entity state_machine is port( input: in std_logic; reset: in std_logic; state: out std_logic_vector(2 downto 0); clk : in std_logic ); end state_machine; architecture behave of state_machine is signal current_state: std_logic_vector(2 downto 0); begin main: process(clk) begin if rising_edge(clk) then case reset is when '1' => current_state <= STATE_A; when others => case current_state is when STATE_A => current_state <= STATE_B; when STATE_B => case input is when ORDER_A => current_state <= STATE_C; when others => current_state <= STATE_A; end case; when STATE_C => case input is when ORDER_B => current_state <= STATE_D; when others => current_state <= STATE_A; end case; when STATE_D => current_state <= STATE_A; when others => current_state <= STATE_A; end case; end case; end if; end process; state <= current_state; end behave;
mit
Madh93/scpu
work/registro/_primary.vhd
1
446
library verilog; use verilog.vl_types.all; entity registro is generic( WIDTH : integer := 8 ); port( clk : in vl_logic; reset : in vl_logic; d : in vl_logic_vector; q : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of WIDTH : constant is 1; end registro;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/superip_v1_00_a/hdl/vhdl/IIR_Biquad_II_v3.vhd
4
10813
--/////////////////////////////////////////////////IIR_Biquad//////////////////////////////////////////////////////////// -- FileName: IIR_Biquad_II_v3.vhd -- This is a direct Form1, 2nd Order IIR Filter. This code was created from the original version which you can find at: -- https://eewiki.net/display/LOGIC/IIR+Filter+Design+in+VHDL+Targeted+for+18-Bit,+48+KHz+Audio+Signal+Use#IIRFilterDesigninVHDLTargetedfor18-Bit,48KHzAudioSignalUse-InstantiatingtheIIR_Biquad.vhdFilterModule -- Credit must be given to Tony Storey of DIGI-KEY for providing the original code upon which this version has been created from. -- -- Original Version History -- Version 1.0 7/31/2012 Tony Storey -- Initial Public Releaselibrary ieee; -- -- Current Version History -- Version 3.0 27/05/2015 Ovie, Tsotne, Juri, and Silvester. -- -- A lot of changes and updates have been made to this version. This version uses a single "shift add" multiplier instead of five DSP multipliers. -- This version has a reduced area size due to the scheduling and sharing of resource, but with a trade off of time. -- -- -- IIR_Biquad_II_v3.vhd IS PROVIDED "AS IS." WE EXPRESSLY DISCLAIMS ANY -- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A -- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL WE -- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL -- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF -- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS -- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF), -- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS. -- WE ALSO DISCLAIMS ANY LIABILITY FOR PATENT OR COPYRIGHT -- INFRINGEMENT. -- --/////////////////////////////////Recommendations on how to use this component./////////////////////////////////////////// -- The current configuration has coefficient width of 32 bits and sample data width of 32 bits (24 bits but padded with zeros) -- , it takes approximately 350 clock circles to perform a -- single filter operation. With this configuration the approximate minimum frequency of operation of the filter should be -- 16.8Mhz --///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////// library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity IIR_Biquad_II_v3 is Port ( Coef_b0 : std_logic_vector(31 downto 0); Coef_b1 : std_logic_vector(31 downto 0); Coef_b2 : std_logic_vector(31 downto 0); Coef_a1 : std_logic_vector(31 downto 0); Coef_a2 : std_logic_vector(31 downto 0); clk : in STD_LOGIC; rst : in STD_LOGIC; sample_trig : in STD_LOGIC; X_in : in STD_LOGIC_VECTOR (23 downto 0); filter_done : out STD_LOGIC; Y_out : out STD_LOGIC_VECTOR (23 downto 0) ); end IIR_Biquad_II_v3; architecture arch of IIR_Biquad_II_v3 is signal ZFF_X0, ZFF_X1, ZFF_X2, ZFF_Y1, ZFF_Y2 : std_logic_vector(31 downto 0) := (others => '0'); -- define each post gain 64 bit sample signal pgZFF_X0_quad, pgZFF_X1_quad, pgZFF_X2_quad, pgZFF_Y1_quad, pgZFF_Y2_quad : signed( 63 downto 0) := (others => '0'); signal mul_result, pgZFF_X0_quad_0, pgZFF_X1_quad_1, pgZFF_X2_quad_2, pgZFF_Y1_quad_1, pgZFF_Y2_quad_2 : signed( 63 downto 0) := (others => '0'); -- define each post gain 32 but truncated sample signal pgZFF_X0, pgZFF_X1, pgZFF_X2, pgZFF_Y1, pgZFF_Y2 : std_logic_vector(31 downto 0) := (others => '0'); -- define output double reg signal Y_out_double : std_logic_vector(31 downto 0) := (others => '0'); -- state machine signals type state_type is (idle, run); signal state_reg, state_next : state_type; -- counter signals signal q_reg, q_next : unsigned(2 downto 0); signal q_reset, q_add : std_logic; signal counter: integer:=1; signal rst_cnt, s_trigger, s_multiply: std_logic; constant shiftAddMultiply: boolean:=true; constant DSPMultiply: boolean:=false; signal mul_coefs, trunc_prods, sum_stg_a, trunc_out, cnt, Mul_stage_over, Mul_Ready, Mul_Ready1, Mul_Ready2, Mul_Ready3, Mul_Ready4, Mul_Ready5 : std_logic; signal ZFF, Coef: std_logic_vector(31 downto 0) := (others => '0'); begin -- process to shift samples process(clk, rst, Y_out_double, sample_trig) begin if(rising_edge(clk)) then if(rst = '1') then ZFF_X0 <= (others => '0'); ZFF_X1 <= (others => '0'); ZFF_X2 <= (others => '0'); ZFF_Y1 <= (others => '0'); ZFF_Y2 <= (others => '0'); else if(sample_trig = '1' AND state_reg = idle) then ZFF_X0 <= X_in(23) & X_in(23) & X_in & B"0000_00"; ZFF_X1 <= ZFF_X0; ZFF_X2 <= ZFF_X1; ZFF_Y1 <= Y_out_double; ZFF_Y2 <= ZFF_Y1; end if; end if; end if; end process; -- STATE UPDATE AND TIMING process(clk, rst) begin if (rising_edge(clk)) then if(rst = '1') then state_reg <= idle; q_reg <= (others => '0'); -- reset counter else state_reg <= state_next; -- update the state q_reg <= q_next; end if; end if; end process; -- COUNTER FOR TIMING q_next <= (others => '0') when q_reset = '1' else -- resets the counter q_reg + 2 when q_add = '1' and q_reg = 1 else q_reg + 1 when q_add = '1' else -- increment count if commanded q_reg; -- process for control of data path flags process( q_reg, state_reg, sample_trig,Mul_Ready,Mul_stage_over) begin -- defaults q_reset <= '0'; q_add <= '0'; mul_coefs <= '0'; trunc_prods <= '0'; sum_stg_a <= '0'; trunc_out <= '0'; filter_done <= '0'; rst_cnt <= '1'; case state_reg is when idle => if(sample_trig = '1') then state_next <= run; else state_next <= idle; end if; when run => if( q_reg < B"001") then q_add <= '1'; state_next <= run; elsif( q_reg < "011") then rst_cnt <= '0'; -- allow counter to run so that it can count how many multiplication has been performed. mul_coefs <= '1'; q_add <= '0'; -- seize the counter from counting until if Mul_stage_over = '1' then -- multiplication is done. q_add <= '1'; end if; state_next <= run; elsif( q_reg < "100") then trunc_prods <= '1'; q_add <= '1'; state_next <= run; elsif( q_reg < "101") then sum_stg_a <= '1'; q_add <= '1'; state_next <= run; elsif( q_reg < "110") then trunc_out <= '1'; q_add <= '1'; state_next <= run; else q_reset <= '1'; filter_done <= '1'; state_next <= idle; end if; end case; end process; --Mul_Ready<= Mul_Ready1 and Mul_Ready2 and Mul_Ready3 and Mul_Ready4 and Mul_Ready5; mul: entity work.multiplier generic map( MultiplierIsShiftAdd=> shiftAddMultiply, --DSPMultiply,-- BIT_WIDTH => 32,COUNT_WIDTH => 6) Port map (CLK => CLK, TRIGGER => s_multiply, A => signed(Coef), B => signed(ZFF), RES => mul_result, READY => Mul_Ready); s_multiply <= mul_coefs and s_trigger; Count_Multiplication: process(clk,rst_cnt,mul_coefs,Mul_Ready) begin --if rising_edge(clk) then if rst_cnt = '1' or rst = '1' then counter <= 0; elsif rising_edge(Mul_Ready) then if mul_coefs = '1' then counter <= counter + 1; end if; end if; --end if; end process; --Mul_stage_over <= '1' when counter = 5 else '0'; Stage_input_values_for_multiplier:process(counter,Coef_b0,Coef_b1, Coef_b2, Coef_a1, Coef_a2, ZFF_X0, ZFF_X1, ZFF_X2, ZFF_Y1, ZFF_Y2) begin case counter is when 0 => Coef <= Coef_b0; ZFF <= ZFF_X0; when 1 => Coef <= Coef_b1; ZFF <= ZFF_X1; when 2 => Coef <= Coef_b2; ZFF <= ZFF_X2; when 3 => Coef <= Coef_a1; ZFF <= ZFF_Y1; when 4 => Coef <= Coef_a2; ZFF <= ZFF_Y2; when others => Coef <= (others => '0'); ZFF <= (others => '0'); end case; end process; Stage_Multiplication_Result: process(clk,counter,mul_result,Mul_Ready) begin if rising_edge(clk) then if rst = '1' then pgZFF_X0_quad <= (others => '0'); pgZFF_X1_quad <= (others => '0'); pgZFF_X2_quad <= (others => '0'); pgZFF_Y1_quad <= (others => '0'); pgZFF_Y2_quad <= (others => '0'); s_trigger <= '1'; else s_trigger <= '1'; Mul_stage_over <= '0'; case counter is when 1 => if Mul_Ready = '1' then pgZFF_X0_quad <= mul_result; s_trigger <= '0'; end if; when 2 => if Mul_Ready = '1' then pgZFF_X1_quad <= mul_result; s_trigger <= '0'; end if; when 3 => if Mul_Ready = '1' then pgZFF_X2_quad <= mul_result; s_trigger <= '0'; end if; when 4 => if Mul_Ready = '1' then pgZFF_Y1_quad <= mul_result; s_trigger <= '0'; end if; when 5 => if Mul_Ready = '1' then pgZFF_Y2_quad <= mul_result; --s_trigger <= '0'; Mul_stage_over <= '1'; end if; when others => -- pgZFF_X0_quad <= (others => '0'); -- pgZFF_X1_quad <= (others => '0'); -- pgZFF_X2_quad <= (others => '0'); -- pgZFF_Y1_quad <= (others => '0'); -- pgZFF_Y2_quad <= (others => '0'); end case; end if; end if; end process; -- truncate the output to summation block process(clk, trunc_prods, pgZFF_X0_quad, pgZFF_X1_quad, pgZFF_X2_quad, pgZFF_Y1_quad, pgZFF_Y2_quad) begin if rising_edge(clk) then if (trunc_prods = '1') then pgZFF_X0 <= std_logic_vector(pgZFF_X0_quad(61 downto 30)); pgZFF_X2 <= std_logic_vector(pgZFF_X2_quad(61 downto 30)); pgZFF_X1 <= std_logic_vector(pgZFF_X1_quad(61 downto 30)); pgZFF_Y1 <= std_logic_vector(pgZFF_Y1_quad(61 downto 30)); pgZFF_Y2 <= std_logic_vector(pgZFF_Y2_quad(61 downto 30)); end if; end if; end process; -- sum all post gain feedback and feedfoward paths -- Y[z] = X[z]*bo + X[z]*b1*Z^-1 + X[z]*b2*Z^-2 - Y[z]*a1*z^-1 + Y[z]*a2*z^-2 process(clk, sum_stg_a) begin if(rising_edge(clk)) then if(sum_stg_a = '1') then Y_out_double <= std_logic_vector(signed(pgZFF_X0) + signed(pgZFF_X1) + signed(pgZFF_X2) - signed(pgZFF_Y1) - signed(pgZFF_Y2)); end if; end if; end process; -- output truncation block process(clk, trunc_out) begin if rising_edge(clk) then if (trunc_out = '1') then Y_out <= Y_out_double( 30 downto 7); end if; end if; end process; end arch;
mit
Nooxet/embedded_bruteforce
vhdl/pre_process.vhd
1
2393
---------------------------------------------------------------------------------- -- Company: -- Engineer: Niklas Aldén -- -- Create Date: 14:02:44 09/16/2014 -- Design Name: -- Module Name: pre_process - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity pre_process is Port ( i_data : in STD_LOGIC_VECTOR (47 downto 0); i_length : in STD_LOGIC_VECTOR (2 downto 0); o_data_0 : out unsigned (31 downto 0); o_data_1 : out unsigned (31 downto 0); o_length : out STD_LOGIC_VECTOR (7 downto 0) ); end pre_process; architecture Behavioral of pre_process is begin data_path : process(i_length, i_data) begin if i_length = "001" then --1 o_data_0 <= x"000080" & unsigned(i_data(7 downto 0)); o_data_1 <= (others => '0'); o_length <= x"08"; elsif i_length = "010" then --2 o_data_0 <= x"0080" & unsigned(i_data(15 downto 0)); o_data_1 <= (others => '0'); o_length <= x"10"; elsif i_length = "011" then --3 o_data_0 <= x"80" & unsigned(i_data(23 downto 0)); o_data_1 <= (others => '0'); o_length <= x"18"; elsif i_length = "100" then --4 o_data_0 <= unsigned(i_data(31 downto 0)); o_data_1 <= x"00000080"; o_length <= x"20"; elsif i_length = "101" then --5 o_data_0 <= unsigned(i_data(31 downto 0)); o_data_1 <= x"000080" & unsigned(i_data(39 downto 32)); o_length <= x"28"; elsif i_length = "110" then --6 o_data_0 <= unsigned(i_data(31 downto 0)); o_data_1 <= x"0080" & unsigned(i_data(47 downto 32)); o_length <= x"30"; else --0 o_data_0 <= x"00000080"; o_data_1 <= (others => '0'); o_length <= x"00"; end if; end process; end Behavioral;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/bajsd_v1_00_a - Copy/hdl/vhdl/sg_pp_md_top.vhd
4
3629
---------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Module Name: sg_pp_md_top - Structural -- Description: -- A top module for string_generator, pre_processing and md5 modules ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity sg_pp_md_top is port ( clk : in std_logic; rstn : in std_logic; i_start_sg : in std_logic; i_halt_sg : in std_logic; i_start_md5 : in std_logic; o_hash : out unsigned(127 downto 0); o_done_md5 : out std_logic ); end sg_pp_md_top; architecture Structural of sg_pp_md_top is component string_generator port ( clk : in std_logic; rstn : in std_logic; -- active low reset ofc i_start : in std_logic; i_halt : in std_logic; o_done : out std_logic; o_length : out std_logic_vector(2 downto 0); -- max 6 chars o_string : out std_logic_vector(47 downto 0) -- 6 char string ); end component; component pre_process Port ( i_data : in STD_LOGIC_VECTOR (47 downto 0); i_length : in STD_LOGIC_VECTOR (2 downto 0); o_data_0 : out unsigned (31 downto 0); o_data_1 : out unsigned (31 downto 0); o_length : out STD_LOGIC_VECTOR (7 downto 0) ); end component; component MD5 port ( clk : in std_logic; rstn : in std_logic; i_start : in std_logic; --i_data : in unsigned(71 downto 0); -- 8 chars + 1 appended bit i_data_0 : in unsigned(31 downto 0); -- first 4 chars i_data_1 : in unsigned(31 downto 0); -- next 4 chars i_length : in std_logic_vector(7 downto 0); -- nbr of chars o_done : out std_logic; o_hash_0 : out unsigned(31 downto 0); o_hash_1 : out unsigned(31 downto 0); o_hash_2 : out unsigned(31 downto 0); o_hash_3 : out unsigned(31 downto 0) ); end component; signal s_len_sg_pp : std_logic_vector(2 downto 0); -- length sg->pp signal s_len_pp_md5 : std_logic_vector(7 downto 0); -- length pp->md5 signal s_string_sg_pp : std_logic_vector(47 downto 0); signal s_string1_pp_md5 : unsigned(31 downto 0); signal s_string2_pp_md5 : unsigned(31 downto 0); begin sg_inst: string_generator port map ( clk => clk, rstn => rstn, i_start => i_start_sg, i_halt => i_halt_sg, o_done => open, o_length => s_len_sg_pp, o_string => s_string_sg_pp ); pp_inst: pre_process port map( i_data => s_string_sg_pp, i_length => s_len_sg_pp, o_data_0 => s_string1_pp_md5, o_data_1 => s_string2_pp_md5, o_length => s_len_pp_md5 ); MD5_inst: MD5 port map ( clk => clk, rstn => rstn, i_start => i_start_md5, i_data_0 => s_string1_pp_md5, i_data_1 => s_string2_pp_md5, i_length => s_len_pp_md5, o_done => o_done_md5, o_hash_0 => o_hash(31 downto 0), o_hash_1 => o_hash(63 downto 32), o_hash_2 => o_hash(95 downto 64), o_hash_3 => o_hash(127 downto 96) ); end Structural;
mit
Nooxet/embedded_bruteforce
brutus_system/hdl/elaborate/clock_generator_0_v4_03_a/hdl/vhdl/clock_generator.vhd
1
37674
------------------------------------------------------------------------------ -- C:/Users/ael10jso/Xilinx/embedded_bruteforce/brutus_system/hdl/elaborate/clock_generator_0_v4_03_a/hdl/vhdl/clock_generator.vhd ------------------------------------------------------------------------------ -- ClkGen Wrapper HDL file generated by ClkGen's TCL generator library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; library Unisim; use Unisim.vcomponents.all; library clock_generator_v4_03_a; use clock_generator_v4_03_a.all; entity clock_generator is generic ( C_FAMILY : string := "spartan6" ; C_DEVICE : string := "6slx16"; C_PACKAGE : string := "csg324"; C_SPEEDGRADE : string := "-3"; C_CLK_GEN : string := "PASSED" ); port ( -- clock generation CLKIN : in std_logic; CLKOUT0 : out std_logic; CLKOUT1 : out std_logic; CLKOUT2 : out std_logic; CLKOUT3 : out std_logic; CLKOUT4 : out std_logic; CLKOUT5 : out std_logic; CLKOUT6 : out std_logic; CLKOUT7 : out std_logic; CLKOUT8 : out std_logic; CLKOUT9 : out std_logic; CLKOUT10 : out std_logic; CLKOUT11 : out std_logic; CLKOUT12 : out std_logic; CLKOUT13 : out std_logic; CLKOUT14 : out std_logic; CLKOUT15 : out std_logic; -- external feedback CLKFBIN : in std_logic; CLKFBOUT : out std_logic; -- variable phase shift PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; PSDONE : out std_logic; -- reset RST : in std_logic; LOCKED : out std_logic ); end clock_generator; architecture STRUCTURE of clock_generator is ---------------------------------------------------------------------------- -- Components ( copy from entity, exact the same in low level parameters ) ---------------------------------------------------------------------------- component pll_module is generic ( C_BANDWIDTH : string := "OPTIMIZED"; C_CLKFBOUT_MULT : integer := 1; C_CLKFBOUT_PHASE : real := 0.0; C_CLKIN1_PERIOD : real := 0.000; -- C_CLKIN2_PERIOD : real := 0.000; C_CLKOUT0_DIVIDE : integer := 1; C_CLKOUT0_DUTY_CYCLE : real := 0.5; C_CLKOUT0_PHASE : real := 0.0; C_CLKOUT1_DIVIDE : integer := 1; C_CLKOUT1_DUTY_CYCLE : real := 0.5; C_CLKOUT1_PHASE : real := 0.0; C_CLKOUT2_DIVIDE : integer := 1; C_CLKOUT2_DUTY_CYCLE : real := 0.5; C_CLKOUT2_PHASE : real := 0.0; C_CLKOUT3_DIVIDE : integer := 1; C_CLKOUT3_DUTY_CYCLE : real := 0.5; C_CLKOUT3_PHASE : real := 0.0; C_CLKOUT4_DIVIDE : integer := 1; C_CLKOUT4_DUTY_CYCLE : real := 0.5; C_CLKOUT4_PHASE : real := 0.0; C_CLKOUT5_DIVIDE : integer := 1; C_CLKOUT5_DUTY_CYCLE : real := 0.5; C_CLKOUT5_PHASE : real := 0.0; C_COMPENSATION : string := "SYSTEM_SYNCHRONOUS"; C_DIVCLK_DIVIDE : integer := 1; -- C_EN_REL : boolean := false; -- C_PLL_PMCD_MODE : boolean := false; C_REF_JITTER : real := 0.100; C_RESET_ON_LOSS_OF_LOCK : boolean := false; C_RST_DEASSERT_CLK : string := "CLKIN1"; C_CLKOUT0_DESKEW_ADJUST : string := "NONE"; C_CLKOUT1_DESKEW_ADJUST : string := "NONE"; C_CLKOUT2_DESKEW_ADJUST : string := "NONE"; C_CLKOUT3_DESKEW_ADJUST : string := "NONE"; C_CLKOUT4_DESKEW_ADJUST : string := "NONE"; C_CLKOUT5_DESKEW_ADJUST : string := "NONE"; C_CLKFBOUT_DESKEW_ADJUST : string := "NONE"; C_CLKIN1_BUF : boolean := false; -- C_CLKIN2_BUF : boolean := false; C_CLKFBOUT_BUF : boolean := false; C_CLKOUT0_BUF : boolean := false; C_CLKOUT1_BUF : boolean := false; C_CLKOUT2_BUF : boolean := false; C_CLKOUT3_BUF : boolean := false; C_CLKOUT4_BUF : boolean := false; C_CLKOUT5_BUF : boolean := false; C_EXT_RESET_HIGH : integer := 1; C_FAMILY : string := "spartan6" ); port ( CLKFBDCM : out std_logic; CLKFBOUT : out std_logic; CLKOUT0 : out std_logic; CLKOUT1 : out std_logic; CLKOUT2 : out std_logic; CLKOUT3 : out std_logic; CLKOUT4 : out std_logic; CLKOUT5 : out std_logic; CLKOUTDCM0 : out std_logic; CLKOUTDCM1 : out std_logic; CLKOUTDCM2 : out std_logic; CLKOUTDCM3 : out std_logic; CLKOUTDCM4 : out std_logic; CLKOUTDCM5 : out std_logic; -- DO : out std_logic_vector (15 downto 0); -- DRDY : out std_logic; LOCKED : out std_logic; CLKFBIN : in std_logic; CLKIN1 : in std_logic; -- CLKIN2 : in std_logic; -- CLKINSEL : in std_logic; -- DADDR : in std_logic_vector (4 downto 0); -- DCLK : in std_logic; -- DEN : in std_logic; -- DI : in std_logic_vector (15 downto 0); -- DWE : in std_logic; -- REL : in std_logic; RST : in std_logic ); end component; ---------------------------------------------------------------------------- -- Functions ---------------------------------------------------------------------------- -- Note : The string functions are put here to remove dependency to other pcore level libraries function UpperCase_Char(char : character) return character is begin -- If char is not an upper case letter then return char if char < 'a' or char > 'z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'a' => return 'A'; when 'b' => return 'B'; when 'c' => return 'C'; when 'd' => return 'D'; when 'e' => return 'E'; when 'f' => return 'F'; when 'g' => return 'G'; when 'h' => return 'H'; when 'i' => return 'I'; when 'j' => return 'J'; when 'k' => return 'K'; when 'l' => return 'L'; when 'm' => return 'M'; when 'n' => return 'N'; when 'o' => return 'O'; when 'p' => return 'P'; when 'q' => return 'Q'; when 'r' => return 'R'; when 's' => return 'S'; when 't' => return 'T'; when 'u' => return 'U'; when 'v' => return 'V'; when 'w' => return 'W'; when 'x' => return 'X'; when 'y' => return 'Y'; when 'z' => return 'Z'; when others => return char; end case; end UpperCase_Char; function UpperCase_String (s : string) return string is variable res : string(s'range); begin -- function LoweerCase_String for I in s'range loop res(I) := UpperCase_Char(s(I)); end loop; -- I return res; end function UpperCase_String; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal function equalString( str1, str2 : string ) return boolean is constant len1 : integer := str1'length; constant len2 : integer := str2'length; variable equal : boolean := true; begin if not (len1 = len2) then equal := false; else for i in str1'range loop if not (UpperCase_Char(str1(i)) = UpperCase_Char(str2(i))) then equal := false; end if; end loop; end if; return equal; end equalString; ---------------------------------------------------------------------------- -- Signals ---------------------------------------------------------------------------- -- signals: gnd signal net_gnd0 : std_logic; signal net_gnd1 : std_logic_vector(0 to 0); signal net_gnd16 : std_logic_vector(0 to 15); -- signals: vdd signal net_vdd0 : std_logic; -- signals : PLL0 wrapper signal SIG_PLL0_CLKFBDCM : std_logic; signal SIG_PLL0_CLKFBOUT : std_logic; signal SIG_PLL0_CLKOUT0 : std_logic; signal SIG_PLL0_CLKOUT1 : std_logic; signal SIG_PLL0_CLKOUT2 : std_logic; signal SIG_PLL0_CLKOUT3 : std_logic; signal SIG_PLL0_CLKOUT4 : std_logic; signal SIG_PLL0_CLKOUT5 : std_logic; signal SIG_PLL0_CLKOUTDCM0 : std_logic; signal SIG_PLL0_CLKOUTDCM1 : std_logic; signal SIG_PLL0_CLKOUTDCM2 : std_logic; signal SIG_PLL0_CLKOUTDCM3 : std_logic; signal SIG_PLL0_CLKOUTDCM4 : std_logic; signal SIG_PLL0_CLKOUTDCM5 : std_logic; signal SIG_PLL0_LOCKED : std_logic; signal SIG_PLL0_CLKFBIN : std_logic; signal SIG_PLL0_CLKIN1 : std_logic; signal SIG_PLL0_RST : std_logic; signal SIG_PLL0_CLKFBOUT_BUF : std_logic; signal SIG_PLL0_CLKOUT0_BUF : std_logic; signal SIG_PLL0_CLKOUT1_BUF : std_logic; signal SIG_PLL0_CLKOUT2_BUF : std_logic; signal SIG_PLL0_CLKOUT3_BUF : std_logic; signal SIG_PLL0_CLKOUT4_BUF : std_logic; signal SIG_PLL0_CLKOUT5_BUF : std_logic; begin ---------------------------------------------------------------------------- -- GND and VCC signals ---------------------------------------------------------------------------- net_gnd0 <= '0'; net_gnd1(0 to 0) <= B"0"; net_gnd16(0 to 15) <= B"0000000000000000"; net_vdd0 <= '1'; ---------------------------------------------------------------------------- -- DCM wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLL wrappers ---------------------------------------------------------------------------- -- PLL0 wrapper PLL0_INST : pll_module generic map ( C_BANDWIDTH => "OPTIMIZED", C_CLKFBOUT_MULT => 10, C_CLKFBOUT_PHASE => 0.0, C_CLKIN1_PERIOD => 10.000000, C_CLKOUT0_DIVIDE => 20, C_CLKOUT0_DUTY_CYCLE => 0.5, C_CLKOUT0_PHASE => 0.0000, C_CLKOUT1_DIVIDE => 1, C_CLKOUT1_DUTY_CYCLE => 0.5, C_CLKOUT1_PHASE => 0.0, C_CLKOUT2_DIVIDE => 1, C_CLKOUT2_DUTY_CYCLE => 0.5, C_CLKOUT2_PHASE => 0.0, C_CLKOUT3_DIVIDE => 1, C_CLKOUT3_DUTY_CYCLE => 0.5, C_CLKOUT3_PHASE => 0.0, C_CLKOUT4_DIVIDE => 1, C_CLKOUT4_DUTY_CYCLE => 0.5, C_CLKOUT4_PHASE => 0.0, C_CLKOUT5_DIVIDE => 1, C_CLKOUT5_DUTY_CYCLE => 0.5, C_CLKOUT5_PHASE => 0.0, C_COMPENSATION => "SYSTEM_SYNCHRONOUS", C_DIVCLK_DIVIDE => 1, C_REF_JITTER => 0.100, C_RESET_ON_LOSS_OF_LOCK => false, C_RST_DEASSERT_CLK => "CLKIN1", C_CLKOUT0_DESKEW_ADJUST => "NONE", C_CLKOUT1_DESKEW_ADJUST => "NONE", C_CLKOUT2_DESKEW_ADJUST => "NONE", C_CLKOUT3_DESKEW_ADJUST => "NONE", C_CLKOUT4_DESKEW_ADJUST => "NONE", C_CLKOUT5_DESKEW_ADJUST => "NONE", C_CLKFBOUT_DESKEW_ADJUST => "NONE", C_CLKIN1_BUF => false, C_CLKFBOUT_BUF => false, C_CLKOUT0_BUF => false, C_CLKOUT1_BUF => false, C_CLKOUT2_BUF => false, C_CLKOUT3_BUF => false, C_CLKOUT4_BUF => false, C_CLKOUT5_BUF => false, C_EXT_RESET_HIGH => 1, C_FAMILY => "spartan6" ) port map ( CLKFBDCM => SIG_PLL0_CLKFBDCM, CLKFBOUT => SIG_PLL0_CLKFBOUT, CLKOUT0 => SIG_PLL0_CLKOUT0, CLKOUT1 => SIG_PLL0_CLKOUT1, CLKOUT2 => SIG_PLL0_CLKOUT2, CLKOUT3 => SIG_PLL0_CLKOUT3, CLKOUT4 => SIG_PLL0_CLKOUT4, CLKOUT5 => SIG_PLL0_CLKOUT5, CLKOUTDCM0 => SIG_PLL0_CLKOUTDCM0, CLKOUTDCM1 => SIG_PLL0_CLKOUTDCM1, CLKOUTDCM2 => SIG_PLL0_CLKOUTDCM2, CLKOUTDCM3 => SIG_PLL0_CLKOUTDCM3, CLKOUTDCM4 => SIG_PLL0_CLKOUTDCM4, CLKOUTDCM5 => SIG_PLL0_CLKOUTDCM5, -- DO -- DRDY LOCKED => SIG_PLL0_LOCKED, CLKFBIN => SIG_PLL0_CLKFBIN, CLKIN1 => SIG_PLL0_CLKIN1, -- CLKIN2 -- CLKINSEL -- DADDR -- DCLK -- DEN -- DI -- DWE -- REL RST => SIG_PLL0_RST ); -- wrapper of clkout : CLKOUT0 PLL0_CLKOUT0_BUFG_INST : BUFG port map ( I => SIG_PLL0_CLKOUT0, O => SIG_PLL0_CLKOUT0_BUF ); -- wrapper of clkout : CLKOUT1 SIG_PLL0_CLKOUT1_BUF <= SIG_PLL0_CLKOUT1; -- wrapper of clkout : CLKOUT2 SIG_PLL0_CLKOUT2_BUF <= SIG_PLL0_CLKOUT2; -- wrapper of clkout : CLKOUT3 SIG_PLL0_CLKOUT3_BUF <= SIG_PLL0_CLKOUT3; -- wrapper of clkout : CLKOUT4 SIG_PLL0_CLKOUT4_BUF <= SIG_PLL0_CLKOUT4; -- wrapper of clkout : CLKOUT5 SIG_PLL0_CLKOUT5_BUF <= SIG_PLL0_CLKOUT5; -- wrapper of clkout : CLKFBOUT PLL0_CLKFBOUT_BUFG_INST : BUFG port map ( I => SIG_PLL0_CLKFBOUT, O => SIG_PLL0_CLKFBOUT_BUF ); ---------------------------------------------------------------------------- -- MMCM wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLE wrappers ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- DCMs CLKIN, CLKFB and RST signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLs CLKIN1, CLKFBIN and RST signal connection ---------------------------------------------------------------------------- -- PLL0 CLKIN1 SIG_PLL0_CLKIN1 <= CLKIN; -- PLL0 CLKFBIN SIG_PLL0_CLKFBIN <= SIG_PLL0_CLKFBOUT; -- PLL0 RST SIG_PLL0_RST <= RST; ---------------------------------------------------------------------------- -- MMCMs CLKIN1, CLKFBIN, RST and Variable_Phase_Control signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- PLLEs CLKIN1, CLKFBIN, RST and Variable_Phase_Control signal connection ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- CLKGEN CLKOUT, CLKFBOUT and LOCKED signal connection ---------------------------------------------------------------------------- -- CLKGEN CLKOUT CLKOUT0 <= SIG_PLL0_CLKOUT0_BUF; CLKOUT1 <= '0'; CLKOUT2 <= '0'; CLKOUT3 <= '0'; CLKOUT4 <= '0'; CLKOUT5 <= '0'; CLKOUT6 <= '0'; CLKOUT7 <= '0'; CLKOUT8 <= '0'; CLKOUT9 <= '0'; CLKOUT10 <= '0'; CLKOUT11 <= '0'; CLKOUT12 <= '0'; CLKOUT13 <= '0'; CLKOUT14 <= '0'; CLKOUT15 <= '0'; -- CLKGEN CLKFBOUT -- CLKGEN LOCKED LOCKED <= SIG_PLL0_LOCKED; end architecture STRUCTURE; ------------------------------------------------------------------------------ -- High level parameters ------------------------------------------------------------------------------ -- C_CLK_GEN = PASSED -- C_ELABORATE_DIR = -- C_ELABORATE_RES = NOT_SET -- C_FAMILY = spartan6 -- C_DEVICE = 6slx16 -- C_PACKAGE = csg324 -- C_SPEEDGRADE = -3 ---------------------------------------- -- C_EXTRA_MMCM_FOR_DESKEW = -- C_MMCMExtra_CLKIN_FREQ = -- C_MMCMExtra_CLKOUT0 = -- C_MMCMExtra_CLKOUT1 = -- C_MMCMExtra_CLKOUT2 = -- C_MMCMExtra_CLKOUT3 = -- C_MMCMExtra_CLKOUT4 = -- C_MMCMExtra_CLKOUT5 = -- C_MMCMExtra_CLKOUT6 = -- C_MMCMExtra_CLKOUT7 = -- C_MMCMExtra_CLKOUT8 = -- C_MMCMExtra_CLKOUT9 = -- C_MMCMExtra_CLKOUT10 = -- C_MMCMExtra_CLKOUT11 = -- C_MMCMExtra_CLKOUT12 = -- C_MMCMExtra_CLKOUT13 = -- C_MMCMExtra_CLKOUT14 = -- C_MMCMExtra_CLKOUT15 = -- C_MMCMExtra_CLKFBOUT_MULT = -- C_MMCMExtra_DIVCLK_DIVIDE = -- C_MMCMExtra_CLKOUT0_DIVIDE = -- C_MMCMExtra_CLKOUT1_DIVIDE = -- C_MMCMExtra_CLKOUT2_DIVIDE = -- C_MMCMExtra_CLKOUT3_DIVIDE = -- C_MMCMExtra_CLKOUT4_DIVIDE = -- C_MMCMExtra_CLKOUT5_DIVIDE = -- C_MMCMExtra_CLKOUT6_DIVIDE = -- C_MMCMExtra_CLKOUT0_BUF = -- C_MMCMExtra_CLKOUT1_BUF = -- C_MMCMExtra_CLKOUT2_BUF = -- C_MMCMExtra_CLKOUT3_BUF = -- C_MMCMExtra_CLKOUT4_BUF = -- C_MMCMExtra_CLKOUT5_BUF = -- C_MMCMExtra_CLKOUT6_BUF = -- C_MMCMExtra_CLKFBOUT_BUF = -- C_MMCMExtra_CLKOUT0_PHASE = -- C_MMCMExtra_CLKOUT1_PHASE = -- C_MMCMExtra_CLKOUT2_PHASE = -- C_MMCMExtra_CLKOUT3_PHASE = -- C_MMCMExtra_CLKOUT4_PHASE = -- C_MMCMExtra_CLKOUT5_PHASE = -- C_MMCMExtra_CLKOUT6_PHASE = ---------------------------------------- -- C_CLKIN_FREQ = 100000000 -- C_CLKOUT0_FREQ = 50000000 -- C_CLKOUT0_PHASE = 0 -- C_CLKOUT0_GROUP = NONE -- C_CLKOUT0_BUF = TRUE -- C_CLKOUT0_VARIABLE_PHASE = FALSE -- C_CLKOUT1_FREQ = 0 -- C_CLKOUT1_PHASE = 0 -- C_CLKOUT1_GROUP = NONE -- C_CLKOUT1_BUF = TRUE -- C_CLKOUT1_VARIABLE_PHASE = FALSE -- C_CLKOUT2_FREQ = 0 -- C_CLKOUT2_PHASE = 0 -- C_CLKOUT2_GROUP = NONE -- C_CLKOUT2_BUF = TRUE -- C_CLKOUT2_VARIABLE_PHASE = FALSE -- C_CLKOUT3_FREQ = 0 -- C_CLKOUT3_PHASE = 0 -- C_CLKOUT3_GROUP = NONE -- C_CLKOUT3_BUF = TRUE -- C_CLKOUT3_VARIABLE_PHASE = FALSE -- C_CLKOUT4_FREQ = 0 -- C_CLKOUT4_PHASE = 0 -- C_CLKOUT4_GROUP = NONE -- C_CLKOUT4_BUF = TRUE -- C_CLKOUT4_VARIABLE_PHASE = FALSE -- C_CLKOUT5_FREQ = 0 -- C_CLKOUT5_PHASE = 0 -- C_CLKOUT5_GROUP = NONE -- C_CLKOUT5_BUF = TRUE -- C_CLKOUT5_VARIABLE_PHASE = FALSE -- C_CLKOUT6_FREQ = 0 -- C_CLKOUT6_PHASE = 0 -- C_CLKOUT6_GROUP = NONE -- C_CLKOUT6_BUF = TRUE -- C_CLKOUT6_VARIABLE_PHASE = FALSE -- C_CLKOUT7_FREQ = 0 -- C_CLKOUT7_PHASE = 0 -- C_CLKOUT7_GROUP = NONE -- C_CLKOUT7_BUF = TRUE -- C_CLKOUT7_VARIABLE_PHASE = FALSE -- C_CLKOUT8_FREQ = 0 -- C_CLKOUT8_PHASE = 0 -- C_CLKOUT8_GROUP = NONE -- C_CLKOUT8_BUF = TRUE -- C_CLKOUT8_VARIABLE_PHASE = FALSE -- C_CLKOUT9_FREQ = 0 -- C_CLKOUT9_PHASE = 0 -- C_CLKOUT9_GROUP = NONE -- C_CLKOUT9_BUF = TRUE -- C_CLKOUT9_VARIABLE_PHASE = FALSE -- C_CLKOUT10_FREQ = 0 -- C_CLKOUT10_PHASE = 0 -- C_CLKOUT10_GROUP = NONE -- C_CLKOUT10_BUF = TRUE -- C_CLKOUT10_VARIABLE_PHASE = FALSE -- C_CLKOUT11_FREQ = 0 -- C_CLKOUT11_PHASE = 0 -- C_CLKOUT11_GROUP = NONE -- C_CLKOUT11_BUF = TRUE -- C_CLKOUT11_VARIABLE_PHASE = FALSE -- C_CLKOUT12_FREQ = 0 -- C_CLKOUT12_PHASE = 0 -- C_CLKOUT12_GROUP = NONE -- C_CLKOUT12_BUF = TRUE -- C_CLKOUT12_VARIABLE_PHASE = FALSE -- C_CLKOUT13_FREQ = 0 -- C_CLKOUT13_PHASE = 0 -- C_CLKOUT13_GROUP = NONE -- C_CLKOUT13_BUF = TRUE -- C_CLKOUT13_VARIABLE_PHASE = FALSE -- C_CLKOUT14_FREQ = 0 -- C_CLKOUT14_PHASE = 0 -- C_CLKOUT14_GROUP = NONE -- C_CLKOUT14_BUF = TRUE -- C_CLKOUT14_VARIABLE_PHASE = FALSE -- C_CLKOUT15_FREQ = 0 -- C_CLKOUT15_PHASE = 0 -- C_CLKOUT15_GROUP = NONE -- C_CLKOUT15_BUF = TRUE -- C_CLKOUT15_VARIABLE_PHASE = FALSE ---------------------------------------- -- C_CLKFBIN_FREQ = 0 -- C_CLKFBIN_DESKEW = NONE -- C_CLKFBOUT_FREQ = 0 -- C_CLKFBOUT_GROUP = NONE -- C_CLKFBOUT_BUF = TRUE ---------------------------------------- -- C_PSDONE_GROUP = NONE ------------------------------------------------------------------------------ -- Low level parameters ------------------------------------------------------------------------------ -- C_CLKOUT0_MODULE = PLL0 -- C_CLKOUT0_PORT = CLKOUT0B -- C_CLKOUT1_MODULE = NONE -- C_CLKOUT1_PORT = NONE -- C_CLKOUT2_MODULE = NONE -- C_CLKOUT2_PORT = NONE -- C_CLKOUT3_MODULE = NONE -- C_CLKOUT3_PORT = NONE -- C_CLKOUT4_MODULE = NONE -- C_CLKOUT4_PORT = NONE -- C_CLKOUT5_MODULE = NONE -- C_CLKOUT5_PORT = NONE -- C_CLKOUT6_MODULE = NONE -- C_CLKOUT6_PORT = NONE -- C_CLKOUT7_MODULE = NONE -- C_CLKOUT7_PORT = NONE -- C_CLKOUT8_MODULE = NONE -- C_CLKOUT8_PORT = NONE -- C_CLKOUT9_MODULE = NONE -- C_CLKOUT9_PORT = NONE -- C_CLKOUT10_MODULE = NONE -- C_CLKOUT10_PORT = NONE -- C_CLKOUT11_MODULE = NONE -- C_CLKOUT11_PORT = NONE -- C_CLKOUT12_MODULE = NONE -- C_CLKOUT12_PORT = NONE -- C_CLKOUT13_MODULE = NONE -- C_CLKOUT13_PORT = NONE -- C_CLKOUT14_MODULE = NONE -- C_CLKOUT14_PORT = NONE -- C_CLKOUT15_MODULE = NONE -- C_CLKOUT15_PORT = NONE ---------------------------------------- -- C_CLKFBOUT_MODULE = NONE -- C_CLKFBOUT_PORT = NONE -- C_CLKFBOUT_get_clkgen_dcm_default_params = NONE ---------------------------------------- -- C_PSDONE_MODULE = NONE ---------------------------------------- -- C_DCM0_DFS_FREQUENCY_MODE = "LOW" -- C_DCM0_DLL_FREQUENCY_MODE = "LOW" -- C_DCM0_DUTY_CYCLE_CORRECTION = true -- C_DCM0_CLKIN_DIVIDE_BY_2 = false -- C_DCM0_CLK_FEEDBACK = "1X" -- C_DCM0_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM0_DSS_MODE = "NONE" -- C_DCM0_STARTUP_WAIT = false -- C_DCM0_PHASE_SHIFT = 0 -- C_DCM0_CLKFX_MULTIPLY = 4 -- C_DCM0_CLKFX_DIVIDE = 1 -- C_DCM0_CLKDV_DIVIDE = 2.0 -- C_DCM0_CLKIN_PERIOD = 41.6666666 -- C_DCM0_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM0_CLKIN_BUF = false -- C_DCM0_CLKFB_BUF = false -- C_DCM0_CLK0_BUF = false -- C_DCM0_CLK90_BUF = false -- C_DCM0_CLK180_BUF = false -- C_DCM0_CLK270_BUF = false -- C_DCM0_CLKDV_BUF = false -- C_DCM0_CLK2X_BUF = false -- C_DCM0_CLK2X180_BUF = false -- C_DCM0_CLKFX_BUF = false -- C_DCM0_CLKFX180_BUF = false -- C_DCM0_EXT_RESET_HIGH = 1 -- C_DCM0_FAMILY = "spartan6" -- C_DCM0_CLKIN_MODULE = NONE -- C_DCM0_CLKIN_PORT = NONE -- C_DCM0_CLKFB_MODULE = NONE -- C_DCM0_CLKFB_PORT = NONE -- C_DCM0_RST_MODULE = NONE -- C_DCM1_DFS_FREQUENCY_MODE = "LOW" -- C_DCM1_DLL_FREQUENCY_MODE = "LOW" -- C_DCM1_DUTY_CYCLE_CORRECTION = true -- C_DCM1_CLKIN_DIVIDE_BY_2 = false -- C_DCM1_CLK_FEEDBACK = "1X" -- C_DCM1_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM1_DSS_MODE = "NONE" -- C_DCM1_STARTUP_WAIT = false -- C_DCM1_PHASE_SHIFT = 0 -- C_DCM1_CLKFX_MULTIPLY = 4 -- C_DCM1_CLKFX_DIVIDE = 1 -- C_DCM1_CLKDV_DIVIDE = 2.0 -- C_DCM1_CLKIN_PERIOD = 41.6666666 -- C_DCM1_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM1_CLKIN_BUF = false -- C_DCM1_CLKFB_BUF = false -- C_DCM1_CLK0_BUF = false -- C_DCM1_CLK90_BUF = false -- C_DCM1_CLK180_BUF = false -- C_DCM1_CLK270_BUF = false -- C_DCM1_CLKDV_BUF = false -- C_DCM1_CLK2X_BUF = false -- C_DCM1_CLK2X180_BUF = false -- C_DCM1_CLKFX_BUF = false -- C_DCM1_CLKFX180_BUF = false -- C_DCM1_EXT_RESET_HIGH = 1 -- C_DCM1_FAMILY = "spartan6" -- C_DCM1_CLKIN_MODULE = NONE -- C_DCM1_CLKIN_PORT = NONE -- C_DCM1_CLKFB_MODULE = NONE -- C_DCM1_CLKFB_PORT = NONE -- C_DCM1_RST_MODULE = NONE -- C_DCM2_DFS_FREQUENCY_MODE = "LOW" -- C_DCM2_DLL_FREQUENCY_MODE = "LOW" -- C_DCM2_DUTY_CYCLE_CORRECTION = true -- C_DCM2_CLKIN_DIVIDE_BY_2 = false -- C_DCM2_CLK_FEEDBACK = "1X" -- C_DCM2_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM2_DSS_MODE = "NONE" -- C_DCM2_STARTUP_WAIT = false -- C_DCM2_PHASE_SHIFT = 0 -- C_DCM2_CLKFX_MULTIPLY = 4 -- C_DCM2_CLKFX_DIVIDE = 1 -- C_DCM2_CLKDV_DIVIDE = 2.0 -- C_DCM2_CLKIN_PERIOD = 41.6666666 -- C_DCM2_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM2_CLKIN_BUF = false -- C_DCM2_CLKFB_BUF = false -- C_DCM2_CLK0_BUF = false -- C_DCM2_CLK90_BUF = false -- C_DCM2_CLK180_BUF = false -- C_DCM2_CLK270_BUF = false -- C_DCM2_CLKDV_BUF = false -- C_DCM2_CLK2X_BUF = false -- C_DCM2_CLK2X180_BUF = false -- C_DCM2_CLKFX_BUF = false -- C_DCM2_CLKFX180_BUF = false -- C_DCM2_EXT_RESET_HIGH = 1 -- C_DCM2_FAMILY = "spartan6" -- C_DCM2_CLKIN_MODULE = NONE -- C_DCM2_CLKIN_PORT = NONE -- C_DCM2_CLKFB_MODULE = NONE -- C_DCM2_CLKFB_PORT = NONE -- C_DCM2_RST_MODULE = NONE -- C_DCM3_DFS_FREQUENCY_MODE = "LOW" -- C_DCM3_DLL_FREQUENCY_MODE = "LOW" -- C_DCM3_DUTY_CYCLE_CORRECTION = true -- C_DCM3_CLKIN_DIVIDE_BY_2 = false -- C_DCM3_CLK_FEEDBACK = "1X" -- C_DCM3_CLKOUT_PHASE_SHIFT = "NONE" -- C_DCM3_DSS_MODE = "NONE" -- C_DCM3_STARTUP_WAIT = false -- C_DCM3_PHASE_SHIFT = 0 -- C_DCM3_CLKFX_MULTIPLY = 4 -- C_DCM3_CLKFX_DIVIDE = 1 -- C_DCM3_CLKDV_DIVIDE = 2.0 -- C_DCM3_CLKIN_PERIOD = 41.6666666 -- C_DCM3_DESKEW_ADJUST = "SYSTEM_SYNCHRONOUS" -- C_DCM3_CLKIN_BUF = false -- C_DCM3_CLKFB_BUF = false -- C_DCM3_CLK0_BUF = false -- C_DCM3_CLK90_BUF = false -- C_DCM3_CLK180_BUF = false -- C_DCM3_CLK270_BUF = false -- C_DCM3_CLKDV_BUF = false -- C_DCM3_CLK2X_BUF = false -- C_DCM3_CLK2X180_BUF = false -- C_DCM3_CLKFX_BUF = false -- C_DCM3_CLKFX180_BUF = false -- C_DCM3_EXT_RESET_HIGH = 1 -- C_DCM3_FAMILY = "spartan6" -- C_DCM3_CLKIN_MODULE = NONE -- C_DCM3_CLKIN_PORT = NONE -- C_DCM3_CLKFB_MODULE = NONE -- C_DCM3_CLKFB_PORT = NONE -- C_DCM3_RST_MODULE = NONE ---------------------------------------- -- C_PLL0_BANDWIDTH = "OPTIMIZED" -- C_PLL0_CLKFBOUT_MULT = 10 -- C_PLL0_CLKFBOUT_PHASE = 0.0 -- C_PLL0_CLKIN1_PERIOD = 10.000000 -- C_PLL0_CLKOUT0_DIVIDE = 20 -- C_PLL0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT0_PHASE = 0.0000 -- C_PLL0_CLKOUT1_DIVIDE = 1 -- C_PLL0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT1_PHASE = 0.0 -- C_PLL0_CLKOUT2_DIVIDE = 1 -- C_PLL0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT2_PHASE = 0.0 -- C_PLL0_CLKOUT3_DIVIDE = 1 -- C_PLL0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT3_PHASE = 0.0 -- C_PLL0_CLKOUT4_DIVIDE = 1 -- C_PLL0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT4_PHASE = 0.0 -- C_PLL0_CLKOUT5_DIVIDE = 1 -- C_PLL0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLL0_CLKOUT5_PHASE = 0.0 -- C_PLL0_COMPENSATION = "SYSTEM_SYNCHRONOUS" -- C_PLL0_DIVCLK_DIVIDE = 1 -- C_PLL0_REF_JITTER = 0.100 -- C_PLL0_RESET_ON_LOSS_OF_LOCK = false -- C_PLL0_RST_DEASSERT_CLK = "CLKIN1" -- C_PLL0_CLKOUT0_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT1_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT2_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT3_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT4_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKOUT5_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKFBOUT_DESKEW_ADJUST = "NONE" -- C_PLL0_CLKIN1_BUF = false -- C_PLL0_CLKFBOUT_BUF = TRUE -- C_PLL0_CLKOUT0_BUF = TRUE -- C_PLL0_CLKOUT1_BUF = false -- C_PLL0_CLKOUT2_BUF = false -- C_PLL0_CLKOUT3_BUF = false -- C_PLL0_CLKOUT4_BUF = false -- C_PLL0_CLKOUT5_BUF = false -- C_PLL0_EXT_RESET_HIGH = 1 -- C_PLL0_FAMILY = "spartan6" -- C_PLL0_CLKIN1_MODULE = CLKGEN -- C_PLL0_CLKIN1_PORT = CLKIN -- C_PLL0_CLKFBIN_MODULE = PLL0 -- C_PLL0_CLKFBIN_PORT = CLKFBOUT -- C_PLL0_RST_MODULE = CLKGEN -- C_PLL1_BANDWIDTH = "OPTIMIZED" -- C_PLL1_CLKFBOUT_MULT = 1 -- C_PLL1_CLKFBOUT_PHASE = 0.0 -- C_PLL1_CLKIN1_PERIOD = 0.000 -- C_PLL1_CLKOUT0_DIVIDE = 1 -- C_PLL1_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT0_PHASE = 0.0 -- C_PLL1_CLKOUT1_DIVIDE = 1 -- C_PLL1_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT1_PHASE = 0.0 -- C_PLL1_CLKOUT2_DIVIDE = 1 -- C_PLL1_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT2_PHASE = 0.0 -- C_PLL1_CLKOUT3_DIVIDE = 1 -- C_PLL1_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT3_PHASE = 0.0 -- C_PLL1_CLKOUT4_DIVIDE = 1 -- C_PLL1_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT4_PHASE = 0.0 -- C_PLL1_CLKOUT5_DIVIDE = 1 -- C_PLL1_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLL1_CLKOUT5_PHASE = 0.0 -- C_PLL1_COMPENSATION = "SYSTEM_SYNCHRONOUS" -- C_PLL1_DIVCLK_DIVIDE = 1 -- C_PLL1_REF_JITTER = 0.100 -- C_PLL1_RESET_ON_LOSS_OF_LOCK = false -- C_PLL1_RST_DEASSERT_CLK = "CLKIN1" -- C_PLL1_CLKOUT0_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT1_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT2_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT3_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT4_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKOUT5_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKFBOUT_DESKEW_ADJUST = "NONE" -- C_PLL1_CLKIN1_BUF = false -- C_PLL1_CLKFBOUT_BUF = false -- C_PLL1_CLKOUT0_BUF = false -- C_PLL1_CLKOUT1_BUF = false -- C_PLL1_CLKOUT2_BUF = false -- C_PLL1_CLKOUT3_BUF = false -- C_PLL1_CLKOUT4_BUF = false -- C_PLL1_CLKOUT5_BUF = false -- C_PLL1_EXT_RESET_HIGH = 1 -- C_PLL1_FAMILY = "spartan6" -- C_PLL1_CLKIN1_MODULE = NONE -- C_PLL1_CLKIN1_PORT = NONE -- C_PLL1_CLKFBIN_MODULE = NONE -- C_PLL1_CLKFBIN_PORT = NONE -- C_PLL1_RST_MODULE = NONE ---------------------------------------- -- C_MMCM0_BANDWIDTH = "OPTIMIZED" -- C_MMCM0_CLKFBOUT_MULT_F = 1.0 -- C_MMCM0_CLKFBOUT_PHASE = 0.0 -- C_MMCM0_CLKFBOUT_USE_FINE_PS = false -- C_MMCM0_CLKIN1_PERIOD = 0.000 -- C_MMCM0_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT0_PHASE = 0.0 -- C_MMCM0_CLKOUT1_DIVIDE = 1 -- C_MMCM0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT1_PHASE = 0.0 -- C_MMCM0_CLKOUT2_DIVIDE = 1 -- C_MMCM0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT2_PHASE = 0.0 -- C_MMCM0_CLKOUT3_DIVIDE = 1 -- C_MMCM0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT3_PHASE = 0.0 -- C_MMCM0_CLKOUT4_DIVIDE = 1 -- C_MMCM0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT4_PHASE = 0.0 -- C_MMCM0_CLKOUT4_CASCADE = false -- C_MMCM0_CLKOUT5_DIVIDE = 1 -- C_MMCM0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT5_PHASE = 0.0 -- C_MMCM0_CLKOUT6_DIVIDE = 1 -- C_MMCM0_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM0_CLKOUT6_PHASE = 0.0 -- C_MMCM0_CLKOUT0_USE_FINE_PS = false -- C_MMCM0_CLKOUT1_USE_FINE_PS = false -- C_MMCM0_CLKOUT2_USE_FINE_PS = false -- C_MMCM0_CLKOUT3_USE_FINE_PS = false -- C_MMCM0_CLKOUT4_USE_FINE_PS = false -- C_MMCM0_CLKOUT5_USE_FINE_PS = false -- C_MMCM0_CLKOUT6_USE_FINE_PS = false -- C_MMCM0_COMPENSATION = "ZHOLD" -- C_MMCM0_DIVCLK_DIVIDE = 1 -- C_MMCM0_REF_JITTER1 = 0.010 -- C_MMCM0_CLKIN1_BUF = false -- C_MMCM0_CLKFBOUT_BUF = false -- C_MMCM0_CLKOUT0_BUF = false -- C_MMCM0_CLKOUT1_BUF = false -- C_MMCM0_CLKOUT2_BUF = false -- C_MMCM0_CLKOUT3_BUF = false -- C_MMCM0_CLKOUT4_BUF = false -- C_MMCM0_CLKOUT5_BUF = false -- C_MMCM0_CLKOUT6_BUF = false -- C_MMCM0_CLOCK_HOLD = false -- C_MMCM0_STARTUP_WAIT = false -- C_MMCM0_EXT_RESET_HIGH = 1 -- C_MMCM0_FAMILY = "spartan6" -- C_MMCM0_CLKIN1_MODULE = NONE -- C_MMCM0_CLKIN1_PORT = NONE -- C_MMCM0_CLKFBIN_MODULE = NONE -- C_MMCM0_CLKFBIN_PORT = NONE -- C_MMCM0_RST_MODULE = NONE -- C_MMCM1_BANDWIDTH = "OPTIMIZED" -- C_MMCM1_CLKFBOUT_MULT_F = 1.0 -- C_MMCM1_CLKFBOUT_PHASE = 0.0 -- C_MMCM1_CLKFBOUT_USE_FINE_PS = false -- C_MMCM1_CLKIN1_PERIOD = 0.000 -- C_MMCM1_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM1_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT0_PHASE = 0.0 -- C_MMCM1_CLKOUT1_DIVIDE = 1 -- C_MMCM1_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT1_PHASE = 0.0 -- C_MMCM1_CLKOUT2_DIVIDE = 1 -- C_MMCM1_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT2_PHASE = 0.0 -- C_MMCM1_CLKOUT3_DIVIDE = 1 -- C_MMCM1_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT3_PHASE = 0.0 -- C_MMCM1_CLKOUT4_DIVIDE = 1 -- C_MMCM1_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT4_PHASE = 0.0 -- C_MMCM1_CLKOUT4_CASCADE = false -- C_MMCM1_CLKOUT5_DIVIDE = 1 -- C_MMCM1_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT5_PHASE = 0.0 -- C_MMCM1_CLKOUT6_DIVIDE = 1 -- C_MMCM1_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM1_CLKOUT6_PHASE = 0.0 -- C_MMCM1_CLKOUT0_USE_FINE_PS = false -- C_MMCM1_CLKOUT1_USE_FINE_PS = false -- C_MMCM1_CLKOUT2_USE_FINE_PS = false -- C_MMCM1_CLKOUT3_USE_FINE_PS = false -- C_MMCM1_CLKOUT4_USE_FINE_PS = false -- C_MMCM1_CLKOUT5_USE_FINE_PS = false -- C_MMCM1_CLKOUT6_USE_FINE_PS = false -- C_MMCM1_COMPENSATION = "ZHOLD" -- C_MMCM1_DIVCLK_DIVIDE = 1 -- C_MMCM1_REF_JITTER1 = 0.010 -- C_MMCM1_CLKIN1_BUF = false -- C_MMCM1_CLKFBOUT_BUF = false -- C_MMCM1_CLKOUT0_BUF = false -- C_MMCM1_CLKOUT1_BUF = false -- C_MMCM1_CLKOUT2_BUF = false -- C_MMCM1_CLKOUT3_BUF = false -- C_MMCM1_CLKOUT4_BUF = false -- C_MMCM1_CLKOUT5_BUF = false -- C_MMCM1_CLKOUT6_BUF = false -- C_MMCM1_CLOCK_HOLD = false -- C_MMCM1_STARTUP_WAIT = false -- C_MMCM1_EXT_RESET_HIGH = 1 -- C_MMCM1_FAMILY = "spartan6" -- C_MMCM1_CLKIN1_MODULE = NONE -- C_MMCM1_CLKIN1_PORT = NONE -- C_MMCM1_CLKFBIN_MODULE = NONE -- C_MMCM1_CLKFBIN_PORT = NONE -- C_MMCM1_RST_MODULE = NONE -- C_MMCM2_BANDWIDTH = "OPTIMIZED" -- C_MMCM2_CLKFBOUT_MULT_F = 1.0 -- C_MMCM2_CLKFBOUT_PHASE = 0.0 -- C_MMCM2_CLKFBOUT_USE_FINE_PS = false -- C_MMCM2_CLKIN1_PERIOD = 0.000 -- C_MMCM2_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM2_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT0_PHASE = 0.0 -- C_MMCM2_CLKOUT1_DIVIDE = 1 -- C_MMCM2_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT1_PHASE = 0.0 -- C_MMCM2_CLKOUT2_DIVIDE = 1 -- C_MMCM2_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT2_PHASE = 0.0 -- C_MMCM2_CLKOUT3_DIVIDE = 1 -- C_MMCM2_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT3_PHASE = 0.0 -- C_MMCM2_CLKOUT4_DIVIDE = 1 -- C_MMCM2_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT4_PHASE = 0.0 -- C_MMCM2_CLKOUT4_CASCADE = false -- C_MMCM2_CLKOUT5_DIVIDE = 1 -- C_MMCM2_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT5_PHASE = 0.0 -- C_MMCM2_CLKOUT6_DIVIDE = 1 -- C_MMCM2_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM2_CLKOUT6_PHASE = 0.0 -- C_MMCM2_CLKOUT0_USE_FINE_PS = false -- C_MMCM2_CLKOUT1_USE_FINE_PS = false -- C_MMCM2_CLKOUT2_USE_FINE_PS = false -- C_MMCM2_CLKOUT3_USE_FINE_PS = false -- C_MMCM2_CLKOUT4_USE_FINE_PS = false -- C_MMCM2_CLKOUT5_USE_FINE_PS = false -- C_MMCM2_CLKOUT6_USE_FINE_PS = false -- C_MMCM2_COMPENSATION = "ZHOLD" -- C_MMCM2_DIVCLK_DIVIDE = 1 -- C_MMCM2_REF_JITTER1 = 0.010 -- C_MMCM2_CLKIN1_BUF = false -- C_MMCM2_CLKFBOUT_BUF = false -- C_MMCM2_CLKOUT0_BUF = false -- C_MMCM2_CLKOUT1_BUF = false -- C_MMCM2_CLKOUT2_BUF = false -- C_MMCM2_CLKOUT3_BUF = false -- C_MMCM2_CLKOUT4_BUF = false -- C_MMCM2_CLKOUT5_BUF = false -- C_MMCM2_CLKOUT6_BUF = false -- C_MMCM2_CLOCK_HOLD = false -- C_MMCM2_STARTUP_WAIT = false -- C_MMCM2_EXT_RESET_HIGH = 1 -- C_MMCM2_FAMILY = "spartan6" -- C_MMCM2_CLKIN1_MODULE = NONE -- C_MMCM2_CLKIN1_PORT = NONE -- C_MMCM2_CLKFBIN_MODULE = NONE -- C_MMCM2_CLKFBIN_PORT = NONE -- C_MMCM2_RST_MODULE = NONE -- C_MMCM3_BANDWIDTH = "OPTIMIZED" -- C_MMCM3_CLKFBOUT_MULT_F = 1.0 -- C_MMCM3_CLKFBOUT_PHASE = 0.0 -- C_MMCM3_CLKFBOUT_USE_FINE_PS = false -- C_MMCM3_CLKIN1_PERIOD = 0.000 -- C_MMCM3_CLKOUT0_DIVIDE_F = 1.0 -- C_MMCM3_CLKOUT0_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT0_PHASE = 0.0 -- C_MMCM3_CLKOUT1_DIVIDE = 1 -- C_MMCM3_CLKOUT1_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT1_PHASE = 0.0 -- C_MMCM3_CLKOUT2_DIVIDE = 1 -- C_MMCM3_CLKOUT2_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT2_PHASE = 0.0 -- C_MMCM3_CLKOUT3_DIVIDE = 1 -- C_MMCM3_CLKOUT3_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT3_PHASE = 0.0 -- C_MMCM3_CLKOUT4_DIVIDE = 1 -- C_MMCM3_CLKOUT4_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT4_PHASE = 0.0 -- C_MMCM3_CLKOUT4_CASCADE = false -- C_MMCM3_CLKOUT5_DIVIDE = 1 -- C_MMCM3_CLKOUT5_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT5_PHASE = 0.0 -- C_MMCM3_CLKOUT6_DIVIDE = 1 -- C_MMCM3_CLKOUT6_DUTY_CYCLE = 0.5 -- C_MMCM3_CLKOUT6_PHASE = 0.0 -- C_MMCM3_CLKOUT0_USE_FINE_PS = false -- C_MMCM3_CLKOUT1_USE_FINE_PS = false -- C_MMCM3_CLKOUT2_USE_FINE_PS = false -- C_MMCM3_CLKOUT3_USE_FINE_PS = false -- C_MMCM3_CLKOUT4_USE_FINE_PS = false -- C_MMCM3_CLKOUT5_USE_FINE_PS = false -- C_MMCM3_CLKOUT6_USE_FINE_PS = false -- C_MMCM3_COMPENSATION = "ZHOLD" -- C_MMCM3_DIVCLK_DIVIDE = 1 -- C_MMCM3_REF_JITTER1 = 0.010 -- C_MMCM3_CLKIN1_BUF = false -- C_MMCM3_CLKFBOUT_BUF = false -- C_MMCM3_CLKOUT0_BUF = false -- C_MMCM3_CLKOUT1_BUF = false -- C_MMCM3_CLKOUT2_BUF = false -- C_MMCM3_CLKOUT3_BUF = false -- C_MMCM3_CLKOUT4_BUF = false -- C_MMCM3_CLKOUT5_BUF = false -- C_MMCM3_CLKOUT6_BUF = false -- C_MMCM3_CLOCK_HOLD = false -- C_MMCM3_STARTUP_WAIT = false -- C_MMCM3_EXT_RESET_HIGH = 1 -- C_MMCM3_FAMILY = "spartan6" -- C_MMCM3_CLKIN1_MODULE = NONE -- C_MMCM3_CLKIN1_PORT = NONE -- C_MMCM3_CLKFBIN_MODULE = NONE -- C_MMCM3_CLKFBIN_PORT = NONE -- C_MMCM3_RST_MODULE = NONE ---------------------------------------- -- C_PLLE0_BANDWIDTH = "OPTIMIZED" -- C_PLLE0_CLKFBOUT_MULT = 1 -- C_PLLE0_CLKFBOUT_PHASE = 0.0 -- C_PLLE0_CLKIN1_PERIOD = 0.000 -- C_PLLE0_CLKOUT0_DIVIDE = 1 -- C_PLLE0_CLKOUT0_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT0_PHASE = 0.0 -- C_PLLE0_CLKOUT1_DIVIDE = 1 -- C_PLLE0_CLKOUT1_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT1_PHASE = 0.0 -- C_PLLE0_CLKOUT2_DIVIDE = 1 -- C_PLLE0_CLKOUT2_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT2_PHASE = 0.0 -- C_PLLE0_CLKOUT3_DIVIDE = 1 -- C_PLLE0_CLKOUT3_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT3_PHASE = 0.0 -- C_PLLE0_CLKOUT4_DIVIDE = 1 -- C_PLLE0_CLKOUT4_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT4_PHASE = 0.0 -- C_PLLE0_CLKOUT5_DIVIDE = 1 -- C_PLLE0_CLKOUT5_DUTY_CYCLE = 0.5 -- C_PLLE0_CLKOUT5_PHASE = 0.0 -- C_PLLE0_COMPENSATION = "ZHOLD" -- C_PLLE0_DIVCLK_DIVIDE = 1 -- C_PLLE0_REF_JITTER1 = 0.010 -- C_PLLE0_CLKIN1_BUF = false -- C_PLLE0_CLKFBOUT_BUF = false -- C_PLLE0_CLKOUT0_BUF = false -- C_PLLE0_CLKOUT1_BUF = false -- C_PLLE0_CLKOUT2_BUF = false -- C_PLLE0_CLKOUT3_BUF = false -- C_PLLE0_CLKOUT4_BUF = false -- C_PLLE0_CLKOUT5_BUF = false -- C_PLLE0_STARTUP_WAIT = "false" -- C_PLLE0_EXT_RESET_HIGH = 1 -- C_PLLE0_FAMILY = "virtex7" -- C_PLLE0_CLKIN1_MODULE = NONE -- C_PLLE0_CLKIN1_PORT = NONE -- C_PLLE0_CLKFBIN_MODULE = NONE -- C_PLLE0_CLKFBIN_PORT = NONE -- C_PLLE0_RST_MODULE = NONE ----------------------------------------
mit
RickvanLoo/Synthesizer
DDS.vhd
1
3405
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY DDS IS GENERIC(lut_bit_width : integer := 8; pa_bit_width : integer := 32 ); PORT ( clk : IN std_logic; reset : IN std_logic; ENABLE : in std_logic; NOTE_ON_DDS: in std_logic_vector(7 downto 0); --Note ON/OFF 0x80(off), 0xFF(on); SI_DATA, SQ_DATA, SA_DATA, TR_DATA : OUT std_logic_vector(15 downto 0); a_clk : OUT std_logic ); END ENTITY DDS; ARCHITECTURE behav of DDS is component NOTE_2_PA IS GENERIC(lut_bit_width : integer := 8; pa_bit_width : integer := 32 ); PORT ( CLK : in std_logic; RESET : in std_logic; ENABLE : in std_logic; NOTE_ON : in std_logic_vector(7 downto 0); --Note ON/OFF 0x80(off), 0xFF(on); PA_word : OUT unsigned(pa_bit_width-1 downto 0) ); END component; component phaseaccum_entity GENERIC(max_length : integer := 2147483647; lut_bit_width : integer := 8; pa_bit_width : integer := 32 ); PORT (a_clk : IN std_logic; reset : IN std_logic; PA_word : IN unsigned(pa_bit_width-1 downto 0); phase_out : OUT unsigned(lut_bit_width-1 downto 0) ); END component; component sinelut_entity IS GENERIC(lut_bit_width : integer := 8 ); PORT( phase_in : in unsigned(lut_bit_width-1 downto 0); a_clk : in std_logic; reset : in std_logic; DATA : OUT std_logic_vector(15 downto 0) ); END component; component pulselut_entity IS GENERIC(lut_bit_width : integer := 8 ); PORT( phase_in : in unsigned(lut_bit_width-1 downto 0); a_clk : in std_logic; reset : in std_logic; DATA : OUT std_logic_vector(15 downto 0) ); END component; component sawlut_entity IS GENERIC(lut_bit_width : integer := 8 ); PORT( phase_in : in unsigned(lut_bit_width-1 downto 0); a_clk : in std_logic; reset : in std_logic; DATA : OUT std_logic_vector(15 downto 0) ); END component; component trilut_entity IS GENERIC(lut_bit_width : integer := 8 ); PORT( phase_in : in unsigned(lut_bit_width-1 downto 0); a_clk : in std_logic; reset : in std_logic; DATA : OUT std_logic_vector(15 downto 0) ); END component; component sample_clk_gen_entity is GENERIC(divider : integer := 512 ); PORT (clk : IN std_logic; reset : IN std_logic; a_clk : OUT std_logic; a_clk_main : OUT std_logic ); END component; signal as_clk : std_logic; signal a_phase_out : unsigned(lut_bit_width-1 downto 0); signal PAs_word : unsigned(pa_bit_width-1 downto 0); BEGIN G1: sample_clk_gen_entity port map(clk=>clk, reset=>reset, a_clk=>as_clk, a_clk_main=>a_clk); G2: NOTE_2_PA port map(CLK=>CLK, RESET=>RESET, NOTE_ON=>NOTE_ON_DDS, ENABLE=>ENABLE, PA_word=>PAs_word); G3: phaseaccum_entity port map(a_clk=>as_clk, reset=>reset, PA_word=>PAs_word, phase_out=>a_phase_out); G4: sinelut_entity port map(phase_in=>a_phase_out, a_clk=>as_clk, reset=>reset, DATA=>SI_DATA); G5: pulselut_entity port map(phase_in=>a_phase_out, a_clk=>as_clk, reset=>reset, DATA=>SQ_DATA); G6: sawlut_entity port map(phase_in=>a_phase_out, a_clk=>as_clk, reset=>reset, DATA=>SA_DATA); G7: trilut_entity port map(phase_in=>a_phase_out, a_clk=>as_clk, reset=>reset, DATA=>TR_DATA); END BEHAV;
mit
RickvanLoo/Synthesizer
pulselut_entity.vhd
1
1056
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.ALL; ENTITY pulselut_entity IS GENERIC(lut_bit_width : integer := 8; DATA_width: integer := 16 ); PORT( phase_in : in unsigned(lut_bit_width-1 downto 0); a_clk : in std_logic; reset : in std_logic; DATA : OUT std_logic_vector(15 downto 0) ); END pulselut_entity; architecture behav of pulselut_entity is --LUT type sine_lut is array (0 to 1) of integer; constant sinedata:sine_lut:= (-8000,8000); signal sDATA : std_logic_vector(15 downto 0); begin process(a_clk,reset) variable lutindex : integer range 0 to (2**lut_bit_width)-1 := 0; begin if reset = '0' then DATA <= (others => '0'); lutindex := 0; elsif rising_edge(a_clk) then lutindex := to_integer(phase_in); if lutindex < 128 then sDATA <= std_logic_vector(to_signed(sinedata(0), DATA_width)); else sDATA <= std_logic_vector(to_signed(sinedata(1), DATA_width)); end if; DATA <= sDATA; end if; end process; end behav;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/ready4hood_v1_00_a/hdl/vhdl/md5_mux.vhd
1
820
---------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Module Name: md5_mux - Behavioral -- Description: -- A mux to select which hash to compare ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- include the hash_array type -- use work.hash_array_pkg.all; entity md5_mux is generic ( N : integer ); port ( i_hash : in hash_array(N-1 downto 0); i_select : in std_logic_vector(N-1 downto 0); -- should be ceil(log2(N-1)) o_hash : out std_logic_vector(127 downto 0) ); end md5_mux; architecture Behavioral of md5_mux is begin o_hash <= i_hash(to_integer(unsigned(i_select))); end Behavioral;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/ready4hood_v1_00_a/hdl/vhdl/md5_working.vhd
2
14437
--library IEEE; --use IEEE.STD_LOGIC_1164.ALL; --use IEEE.STD_LOGIC_ARITH.ALL; --use IEEE.STD_LOGIC_UNSIGNED.ALL; -- --entity MD5 is -- Port( Clk : in std_logic; -- Reset : in std_logic; -- Run : in std_logic; -- FirstRun : in std_logic; -- w0, w1, w2, w3, w4, w5, w6, w7, w8, w9, w10, w11, w12, w13, w14, w15 : in std_logic_vector(31 downto 0); -- Done : out std_logic; -- Hash_1, Hash_2, Hash_3, Hash_4 : out std_logic_vector(31 downto 0)); --end MD5; -- --architecture Behavioral of MD5 is -- ----Initial Constants for Words A, B, C, and D --constant aa : std_logic_vector(31 downto 0) := x"67452301"; --constant bb : std_logic_vector(31 downto 0) := x"EFCDAB89"; --constant cc : std_logic_vector(31 downto 0) := x"98BADCFE"; --constant dd : std_logic_vector(31 downto 0) := x"10325476"; -- --subtype arr8 is STD_LOGIC_VECTOR (7 downto 0); --subtype arr32 is STD_LOGIC_VECTOR (31 downto 0); -- ----R[64] is used to determine the amount of left rotation --type Rarray is array (63 downto 0) of arr8; --constant R : Rarray :=( x"15", x"0F", x"0A", x"06", x"15", x"0F", x"0A", x"06", x"15", x"0F", x"0A", x"06", x"15", x"0F", x"0A", x"06", -- x"17", x"10", x"0B", x"04", x"17", x"10", x"0B", x"04", x"17", x"10", x"0B", x"04", x"17", x"10", x"0B", x"04", -- x"14", x"0E", x"09", x"05", x"14", x"0E", x"09", x"05", x"14", x"0E", x"09", x"05", x"14", x"0E", x"09", x"05", -- x"16", x"11", x"0C", x"07", x"16", x"11", x"0C", x"07", x"16", x"11", x"0C", x"07", x"16", x"11", x"0C", x"07"); -- -- ----K[64] = floor(abs(sin(i)) * 2^32) --type Karray is array (63 downto 0) of arr32; --constant K : Karray :=( x"eb86d391", x"2ad7d2bb", x"bd3af235", x"f7537e82", x"4e0811a1", x"a3014314", x"fe2ce6e0", x"6fa87e4f", x"85845dd1", -- x"ffeff47d", x"8f0ccc92", x"655b59c3", x"fc93a039", x"ab9423a7", x"432aff97", x"f4292244", x"c4ac5665", x"1fa27cf8", -- x"e6db99e5", x"d9d4d039", x"04881d05", x"d4ef3085", x"eaa127fa", x"289b7ec6", x"bebfbc70", x"f6bb4b60", x"4bdecfa9", -- x"a4beea44", x"fde5380c", x"6d9d6122", x"8771f681", x"fffa3942", x"8d2a4c8a", x"676f02d9", x"fcefa3f8", x"a9e3e905", -- x"455a14ed", x"f4d50d87", x"c33707d6", x"21e1cde6", x"e7d3fbc8", x"d8a1e681", x"02441453", x"d62f105d", x"e9b6c7aa", -- x"265e5a51", x"c040b340", x"f61e2562", x"49b40821", x"a679438e", x"fd987193", x"6b901122", x"895cd7be", x"ffff5bb1", -- x"8b44f7af", x"698098d8", x"fd469501", x"a8304613", x"4787c62a", x"f57c0faf", x"c1bdceee", x"242070db", x"e8c7b756", -- x"d76aa478"); -- --type Warray is array (15 downto 0) of arr32; --signal W : Warray; --signal a, b, c, d, f : std_logic_vector(31 downto 0); --signal g : integer range 0 to 15; --signal i : integer range 0 to 64; -- --type ctrl_state is (Halt, Process_1, Process_2, Process_3, Process_4, Waiting, Finished); --signal State, Next_state : ctrl_state; -- --begin -- Assign_Next_State : process (Clk, Reset) -- begin -- if (Reset = '1') then -- State <= Halt; -- elsif (rising_edge(clk)) then -- State <= Next_State; -- end if; -- end process; -- -- Get_Next_State : process (State, Run, FirstRun, i) -- begin -- case State is -- when Halt => -- if (FirstRun = '0') then -- Next_state <= Halt; -- else -- Next_state <= Process_1; -- end if; -- when Process_1 => --Each Process is one of the four stages in the MD5 Algorithm -- if(i = 15) then -- Next_state <= Process_2; -- else -- Next_state <= Process_1; -- end if; -- when Process_2 => -- if(i = 31) then -- Next_state <= Process_3; -- else -- Next_state <= Process_2; -- end if; -- when Process_3 => -- if(i = 47) then -- Next_state <= Process_4; -- else -- Next_state <= Process_3; -- end if; -- when Process_4 => -- if(i = 63) then -- Next_state <= Finished; -- else -- Next_state <= Process_4; -- end if; -- when Finished => -- Next_state <= Waiting; -- when Waiting => -- if (Run = '0') then -- Next_state <= Waiting; -- else -- Next_state <= Process_1; -- end if; -- when others => -- Next_state <= Halt; -- end case; -- end process; -- -- Control_States : process (state, a, b, c, d, i) -- begin -- Done <= '0'; -- Hash_1 <= x"00000000"; -- Hash_2 <= x"00000000"; -- Hash_3 <= x"00000000"; -- Hash_4 <= x"00000000"; -- -- f <= x"00000000"; -- g <= 0; -- case State is -- when Process_1 => -- f <= (b and c) or ((not b) and d); -- g <= i; -- when Process_2 => -- f <= (d and b) or ((not d) and c); -- g <= (((5*i) + 1) mod 16); -- when Process_3 => -- f <= b xor c xor d; -- g <= ((3*i) + 5) mod 16; -- when Process_4 => -- f <= c xor (b or (not d)); -- g <= (7*i) mod 16; -- when Finished => -- Done <= '1'; -- Hash_1 <= aa + a; -- Hash_2 <= bb + b; -- Hash_3 <= cc + c; -- Hash_4 <= dd + d; -- when others => -- NULL; -- end case; -- end process; -- Process_MD5 : process (state, a, b, c, d, i, W, clk) -- begin -- if (rising_edge(clk)) then -- case State is -- when Halt => -- i <= 0; -- a <= aa; -- b <= bb; -- c <= cc; -- d <= dd; -- -- W(0) <= w0; -- W(1) <= w1; -- W(2) <= w2; -- W(3) <= w3; -- W(4) <= w4; -- W(5) <= w5; -- W(6) <= w6; -- W(7) <= w7; -- W(8) <= w8; -- W(9) <= w9; -- W(10) <= w10; -- W(11) <= w11; -- W(12) <= w12; -- W(13) <= w13; -- W(14) <= w14; -- W(15) <= w15; -- when Process_1 => -- d <= c; -- c <= b; -- --Shift Left OR'ed with the Equivalent Shift Right is the same as Left Rotation -- b <= b + (SHL((a + f + K(i) + W(g)), R(i)) or SHR((a + f + K(i) + W(g)), ("00100000" - R(i)))); -- a <= d; -- i <= i + 1; -- when Process_2 => -- d <= c; -- c <= b; -- --Shift Left OR'ed with the Equivalent Shift Right is the same as Left Rotation -- b <= b + (SHL((a + f + K(i) + W(g)), R(i)) or SHR((a + f + K(i) + W(g)), ("00100000" - R(i)))); -- a <= d; -- i <= i + 1; -- when Process_3 => -- d <= c; -- c <= b; -- b <= b + (SHL((a + f + K(i) + W(g)), R(i)) or SHR((a + f + K(i) + W(g)), ("00100000" - R(i)))); -- a <= d; -- i <= i + 1; -- when Process_4 => -- d <= c; -- c <= b; -- b <= b + (SHL((a + f + K(i) + W(g)), R(i)) or SHR((a + f + K(i) + W(g)), ("00100000" - R(i)))); -- a <= d; -- i <= i + 1; -- when Waiting => -- i <= 0; -- a <= aa; -- b <= bb; -- c <= cc; -- d <= dd; -- -- W(0) <= w0; -- W(1) <= w1; -- W(2) <= w2; -- W(3) <= w3; -- W(4) <= w4; -- W(5) <= w5; -- W(6) <= w6; -- W(7) <= w7; -- W(8) <= w8; -- W(9) <= w9; -- W(10) <= w10; -- W(11) <= w11; -- W(12) <= w12; -- W(13) <= w13; -- W(14) <= w14; -- W(15) <= w15; -- when others => -- NULL; -- end case; -- end if; -- end process; --end Behavioral; library ieee; use ieee.std_logic_1164.all; --use ieee.std_logic_arith.all; --use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; --use ieee.numeric_bit.all; entity MD5 is port ( clk : in std_logic; rstn : in std_logic; i_start : in std_logic; --i_data : in unsigned(71 downto 0); -- 8 chars + 1 appended bit i_data_0 : in unsigned(31 downto 0); -- first 4 chars i_data_1 : in unsigned(31 downto 0); -- next 4 chars i_length : in std_logic_vector(7 downto 0); -- nbr of chars o_done : out std_logic; o_hash_0 : out unsigned(31 downto 0); o_hash_1 : out unsigned(31 downto 0); o_hash_2 : out unsigned(31 downto 0); o_hash_3 : out unsigned(31 downto 0) ); end MD5; architecture Behavioral of MD5 is -- the initialization values of the loop variables -- constant a_init : unsigned(31 downto 0) := x"67452301"; constant b_init : unsigned(31 downto 0) := x"EFCDAB89"; constant c_init : unsigned(31 downto 0) := x"98BADCFE"; constant d_init : unsigned(31 downto 0) := x"10325476"; -- the array with the init values -- type w_array is array(15 downto 0) of unsigned(31 downto 0); signal w : w_array; --w_n -- loop signals -- signal a_c, a_n, b_c, b_n, c_c, c_n, d_c, d_n, f : unsigned(31 downto 0); signal i_c, i_n : integer range 0 to 63; signal g : integer range 0 to 15; -- the states for the main loop -- type state is (stage_1, stage_2, stage_3, stage_4, waiting, finished, set_output); signal state_c, state_n : state; -- Specifies the amount to shift in the main loop, use rotate_left() -- type s_array is array(63 downto 0) of unsigned(7 downto 0); constant s : s_array :=( x"15", x"0F", x"0A", x"06", x"15", x"0F", x"0A", x"06", x"15", x"0F", x"0A", x"06", x"15", x"0F", x"0A", x"06", x"17", x"10", x"0B", x"04", x"17", x"10", x"0B", x"04", x"17", x"10", x"0B", x"04", x"17", x"10", x"0B", x"04", x"14", x"0E", x"09", x"05", x"14", x"0E", x"09", x"05", x"14", x"0E", x"09", x"05", x"14", x"0E", x"09", x"05", x"16", x"11", x"0C", x"07", x"16", x"11", x"0C", x"07", x"16", x"11", x"0C", x"07", x"16", x"11", x"0C", x"07"); -- 15 0f 0a 06 17 10 0b 04 14 0e 09 05 16 11 0c 07 -- fixed amount to add during the rotation -- type k_array is array(63 downto 0) of unsigned(31 downto 0); constant k : k_array :=( x"eb86d391", x"2ad7d2bb", x"bd3af235", x"f7537e82", x"4e0811a1", x"a3014314", x"fe2ce6e0", x"6fa87e4f", x"85845dd1", x"ffeff47d", x"8f0ccc92", x"655b59c3", x"fc93a039", x"ab9423a7", x"432aff97", x"f4292244", x"c4ac5665", x"1fa27cf8", x"e6db99e5", x"d9d4d039", x"04881d05", x"d4ef3085", x"eaa127fa", x"289b7ec6", x"bebfbc70", x"f6bb4b60", x"4bdecfa9", x"a4beea44", x"fde5380c", x"6d9d6122", x"8771f681", x"fffa3942", x"8d2a4c8a", x"676f02d9", x"fcefa3f8", x"a9e3e905", x"455a14ed", x"f4d50d87", x"c33707d6", x"21e1cde6", x"e7d3fbc8", x"d8a1e681", x"02441453", x"d62f105d", x"e9b6c7aa", x"265e5a51", x"c040b340", x"f61e2562", x"49b40821", x"a679438e", x"fd987193", x"6b901122", x"895cd7be", x"ffff5bb1", x"8b44f7af", x"698098d8", x"fd469501", x"a8304613", x"4787c62a", x"f57c0faf", x"c1bdceee", x"242070db", x"e8c7b756", x"d76aa478"); -- begin the Behavioral -- begin -- the clock process -- clk_proc: process(clk) begin if rising_edge(clk) then if rstn = '0' then state_c <= waiting; -- reset the main loop signals -- i_c <= 0; a_c <= a_init; b_c <= b_init; c_c <= c_init; d_c <= d_init; else state_c <= state_n; i_c <= i_n; a_c <= a_n; b_c <= b_n; c_c <= c_n; d_c <= d_n; end if; end if; end process; -- the state control -- FSM: process(state_c, i_start, i_c) begin -- defaults -- state_n <= state_c; case state_c is when waiting => if i_start = '0' then state_n <= waiting; else state_n <= stage_1; end if; when stage_1 => if i_c = 15 then -- state change depending on the counter state_n <= stage_2; else state_n <= stage_1; end if; when stage_2 => if i_c = 31 then state_n <= stage_3; else state_n <= stage_2; end if; when stage_3 => if i_c = 47 then state_n <= stage_4; else state_n <= stage_3; end if; when stage_4 => if i_c = 63 then state_n <= finished; else state_n <= stage_4; end if; when finished => state_n <= waiting; when others => null; end case; end process; -- set the outputs and update f & g -- data_path: process(a_c, b_c, c_c, d_c, f, g, i_c, w, state_c, i_data_0, i_data_1, i_length) begin -- set standard values -- o_done <= '0'; o_hash_0 <= (others => '0'); o_hash_1 <= (others => '0'); o_hash_2 <= (others => '0'); o_hash_3 <= (others => '0'); f <= (others => '0'); g <= 0; -- set init vector-data values -- w(0) <= i_data_0; w(1) <= i_data_1; w(2) <= (others => '0'); w(3) <= (others => '0'); w(4) <= (others => '0'); w(5) <= (others => '0'); w(6) <= (others => '0'); w(7) <= (others => '0'); w(8) <= (others => '0'); w(9) <= (others => '0'); w(10) <= (others => '0'); w(11) <= (others => '0'); w(12) <= (others => '0'); w(13) <= (others => '0'); w(14) <= unsigned(x"000000" & i_length); w(15) <= (others => '0'); -- main loop signals calc set as standard values -- d_n <= c_c; c_n <= b_c; b_n <= b_c + rotate_left(a_c + k(i_c) + w(g) + f, to_integer(s(i_c))); a_n <= d_c; if i_c < 63 then i_n <= i_c + 1; else i_n <= i_c; end if; case state_c is when waiting => i_n <= 0; a_n <= a_init; b_n <= b_init; c_n <= c_init; d_n <= d_init; when stage_1 => f <= (b_c and c_c) or ((not b_c) and d_c); g <= i_c;-- mod 16; CHECK THIS!! when stage_2 => f <= (d_c and b_c) or ((not d_c) and c_c); g <= ((5 * i_c) + 1) mod 16; when stage_3 => f <= b_c xor c_c xor d_c; g <= ((3 * i_c) + 5) mod 16; when stage_4 => f <= c_c xor (b_c or (not d_c)); g <= (7 * i_c) mod 16; when finished => o_done <= '1'; o_hash_0 <= (a_init + a_c); o_hash_1 <= (b_init + b_c); o_hash_2 <= (c_init + c_c); o_hash_3 <= (d_init + d_c); when others => null; end case; end process; end Behavioral;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/bajsd_v1_00_a - Copy/hdl/vhdl/comp.vhd
2
2964
---------------------------------------------------------------------------------- -- Company: -- Engineer: Gabbe -- -- Create Date: 09:40:15 09/17/2014 -- Design Name: -- Module Name: comp - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity comp is port( clk : in std_logic; rstn : in std_logic; -- active low i_hash_0, i_hash_1, i_hash_2, i_hash_3 : in unsigned(31 downto 0); -- hash from md5 i_cmp_hash : in std_logic_vector(127 downto 0); -- hash we are going to crack i_start : in std_logic; -- 1 when we should read i_cmp_hash o_equal : out std_logic -- 1 if we found the matching hash, else 0 ); end comp; architecture Behavioral of comp is -- the register signals -- signal cmp_hash_c, cmp_hash_n : std_logic_vector(127 downto 0); -- for delaying equal signal, to controller -- signal eq_c, eq_n : std_logic; begin -- the only signals which are clocked in this block are the register signals -- clk_proc: process(clk) begin if rising_edge(clk) then if rstn = '0' then cmp_hash_c <= (others => '0'); eq_c <= '0'; else cmp_hash_c <= cmp_hash_n; eq_c <= eq_n; end if; end if; end process; -- data path -- data_proc: process(i_start, i_cmp_hash, i_hash_0, i_hash_1, i_hash_2, i_hash_3, cmp_hash_c, eq_c) -- the i_hash_1-3 have to be converted to little endian -- variable little_endian_0, little_endian_1, little_endian_2, little_endian_3 : unsigned(31 downto 0); begin -- defaults -- eq_n <= eq_c; -- converts the md5-hashes to little endian -- little_endian_0 := i_hash_0(7 downto 0) & i_hash_0(15 downto 8) & i_hash_0(23 downto 16) & i_hash_0(31 downto 24); little_endian_1 := i_hash_1(7 downto 0) & i_hash_1(15 downto 8) & i_hash_1(23 downto 16) & i_hash_1(31 downto 24); little_endian_2 := i_hash_2(7 downto 0) & i_hash_2(15 downto 8) & i_hash_2(23 downto 16) & i_hash_2(31 downto 24); little_endian_3 := i_hash_3(7 downto 0) & i_hash_3(15 downto 8) & i_hash_3(23 downto 16) & i_hash_3(31 downto 24); -- sets the register value -- if i_start = '1' then cmp_hash_n <= i_cmp_hash; else cmp_hash_n <= cmp_hash_c; end if; -- have we found a matching hash or not? -- if (little_endian_0 & little_endian_1 & little_endian_2 & little_endian_3) = unsigned(cmp_hash_c) then eq_n <= '1'; else eq_n <= '0'; end if; end process; o_equal <= eq_c; end Behavioral;
mit
tsotnep/vhdl_soc_audio_mixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/filter_v1_00_a/hdl/vhdl/user_logic.vhd
3
34463
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Tue Apr 14 17:57:17 2015 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_NUM_REG -- Number of software accessible registers -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Resetn -- Bus to IP reset -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_NUM_REG : integer := 15; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here CLK_48 : in std_logic; RST : in std_logic; HP_BTN : in std_logic; BP_BTN : in std_logic; LP_BTN : in std_logic; AUDIO_IN_L : in std_logic_vector(23 downto 0); AUDIO_IN_R : in std_logic_vector(23 downto 0); AUDIO_OUT_L : out std_logic_vector(23 downto 0); AUDIO_OUT_R : out std_logic_vector(23 downto 0); FILTER_DONE : out std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Resetn : in std_logic; Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0); Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0); Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0); Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0); IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Resetn : signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic signal reset, IIR_LP_Done_R, IIR_LP_Done_L, IIR_BP_Done_R, IIR_BP_Done_L, IIR_HP_Done_R, IIR_HP_Done_L: std_logic; signal AUDIO_OUT_TRUNC_L, AUDIO_OUT_TRUNC_R, IIR_LP_Y_Out_R, IIR_LP_Y_Out_L, IIR_BP_Y_Out_R, IIR_BP_Y_Out_L, IIR_HP_Y_Out_R, IIR_HP_Y_Out_L: std_logic_vector(15 downto 0); component IIR_Biquad_II is Generic( Coef_b0 : std_logic_vector(31 downto 0) := B"00_00_0000_0001_0000_1100_0011_1001_1100"; -- b0 ~ +0.0010232 Coef_b1 : std_logic_vector(31 downto 0) := B"00_00_0000_0010_0001_1000_0111_0011_1001"; -- b1 ~ +0.0020464 Coef_b2 : std_logic_vector(31 downto 0) := B"00_00_0000_0001_0000_1100_0011_1001_1100"; -- b2 ~ +0.0010232 Coef_a1 : std_logic_vector(31 downto 0) := B"10_00_0101_1110_1011_0111_1110_0110_1000"; -- a1 ~ -1.9075016 Coef_a2 : std_logic_vector(31 downto 0) := B"00_11_1010_0101_0111_1001_0000_0111_0101" ); Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; sample_trig : in STD_LOGIC; X_in : in STD_LOGIC_VECTOR (15 downto 0); filter_done : out STD_LOGIC; Y_out : out STD_LOGIC_VECTOR (15 downto 0) ); end component; function Three_ASR ( val: signed (15 downto 0) ) return signed is begin return val(15) & val(15) & val(15) & val(15 downto 3) ; end Three_ASR; function Two_ASR ( val: signed (15 downto 0) ) return signed is begin return val(15) & val(15) & val(15 downto 2) ; end Two_ASR; ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg2 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg3 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg4 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg5 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg6 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg7 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg8 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg9 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg10 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg11 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg12 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg13 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg14 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg15 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg16 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg17 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg18 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg19 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg20 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg21 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg22 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg23 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg24 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg25 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg26 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg27 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg28 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg29 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); -- signal slv_reg_write_sel : std_logic_vector(29 downto 0); -- signal slv_reg_read_sel : std_logic_vector(29 downto 0); signal slv_reg_write_sel : std_logic_vector(14 downto 0); signal slv_reg_read_sel : std_logic_vector(14 downto 0); signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; begin --USER logic implementation added here ---- connect all the "filter done" with an AND gate to the user_logic top level entity. FILTER_DONE <= IIR_LP_Done_R and IIR_LP_Done_L and IIR_BP_Done_R and IIR_BP_Done_L and IIR_HP_Done_R and IIR_HP_Done_L; -----Pad the Audio output with 8 zeros to make it up to 24 bit, AUDIO_OUT_L <= AUDIO_OUT_TRUNC_L & X"00"; AUDIO_OUT_R <= AUDIO_OUT_TRUNC_R & X"00"; ---this process controls each individual filter and the final output of the filter. process (HP_BTN,BP_BTN, LP_BTN) variable val: std_logic_vector(2 downto 0):= HP_BTN & BP_BTN & LP_BTN; begin case VAL is when "000" => AUDIO_OUT_TRUNC_L <= (others => '0');--IIR_LP_Y_Out_L + IIR_BP_Y_Out_L + IIR_HP_Y_Out_L; AUDIO_OUT_TRUNC_R <= (others => '0');--IIR_LP_Y_Out_R + IIR_BP_Y_Out_R + IIR_HP_Y_Out_R; when "001" => AUDIO_OUT_TRUNC_L <= IIR_LP_Y_Out_L; AUDIO_OUT_TRUNC_R <= IIR_LP_Y_Out_R; when "010" => AUDIO_OUT_TRUNC_L <= IIR_BP_Y_Out_L; AUDIO_OUT_TRUNC_R <= IIR_BP_Y_Out_R; when "011" => AUDIO_OUT_TRUNC_L <= IIR_LP_Y_Out_L + IIR_BP_Y_Out_L; AUDIO_OUT_TRUNC_R <= IIR_LP_Y_Out_R + IIR_BP_Y_Out_R; when "100" => AUDIO_OUT_TRUNC_L <= IIR_HP_Y_Out_L; AUDIO_OUT_TRUNC_R <= IIR_HP_Y_Out_R; when "101" => AUDIO_OUT_TRUNC_L <= IIR_LP_Y_Out_L + IIR_HP_Y_Out_L; AUDIO_OUT_TRUNC_R <= IIR_LP_Y_Out_R + IIR_HP_Y_Out_R; when "110" => AUDIO_OUT_TRUNC_L <= IIR_HP_Y_Out_L + IIR_BP_Y_Out_L; AUDIO_OUT_TRUNC_R <= IIR_HP_Y_Out_R + IIR_BP_Y_Out_R; when "111" => AUDIO_OUT_TRUNC_L <= IIR_LP_Y_Out_L + IIR_BP_Y_Out_L + IIR_HP_Y_Out_L; AUDIO_OUT_TRUNC_R <= IIR_LP_Y_Out_R + IIR_BP_Y_Out_R + IIR_HP_Y_Out_R; when others => AUDIO_OUT_TRUNC_L <= (others => '0');--IIR_LP_Y_Out_L + IIR_BP_Y_Out_L + IIR_HP_Y_Out_L; AUDIO_OUT_TRUNC_R <= (others => '0');--IIR_LP_Y_Out_R + IIR_BP_Y_Out_R + IIR_HP_Y_Out_R; end case; end process; IIR_LP_R: IIR_Biquad_II Generic Map ( Coef_b0 => B"00_00_0000_0001_0000_1100_0011_1001_1100", -- b0 ~ +0.0010232 Coef_b1 => B"00_00_0000_0010_0001_1000_0111_0011_1001", -- b1 ~ +0.0020464 Coef_b2 => B"00_00_0000_0001_0000_1100_0011_1001_1100", -- b2 ~ +0.0010232 Coef_a1 => B"10_00_0101_1110_1011_0111_1110_0110_1000", -- a1 ~ -1.9075016 Coef_a2 => B"00_11_1010_0101_0111_1001_0000_0111_0101" -- a2 ~ +0.9115945 ) Port map ( clk => CLK_48, rst => reset, sample_trig => '1',--Sample_IIR, X_in => AUDIO_IN_R(23 downto 8), filter_done => IIR_LP_Done_R, Y_out => IIR_LP_Y_Out_R ); IIR_LP_L: IIR_Biquad_II Generic Map ( Coef_b0 => B"00_00_0000_0001_0000_1100_0011_1001_1100", -- b0 ~ +0.0010232 Coef_b1 => B"00_00_0000_0010_0001_1000_0111_0011_1001", -- b1 ~ +0.0020464 Coef_b2 => B"00_00_0000_0001_0000_1100_0011_1001_1100", -- b2 ~ +0.0010232 Coef_a1 => B"10_00_0101_1110_1011_0111_1110_0110_1000", -- a1 ~ -1.9075016 Coef_a2 => B"00_11_1010_0101_0111_1001_0000_0111_0101" -- a2 ~ +0.9115945 ) Port map ( clk => CLK_48, rst => reset, sample_trig => '1',--Sample_IIR, X_in => AUDIO_IN_L(23 downto 8),--X_in_truncated_L, filter_done => IIR_LP_Done_L, Y_out => IIR_LP_Y_Out_L ); IIR_BP_R: IIR_Biquad_II --(20 - 20000) Generic Map ( Coef_b0 => B"00_00_1101_1001_0100_1010_0010_0011_0000",-- b0 ~ +0.212196872 Coef_b1 => B"11_10_0101_0001_1010_0101_0110_1110_1000",-- b1 ~ -0.420267366 Coef_b2 => B"00_00_1101_1001_0100_1010_0010_0011_0000",-- b2 ~ +0.212196872 Coef_a1 => B"11_10_0101_0001_1010_0101_0110_1110_1000",-- a1 ~ -0.575606257 Coef_a2 => B"11_01_1011_0010_1001_0100_0100_0110_0000" -- a2 ~ +0.986994963 ) Port map ( clk => CLK_48, rst => reset, sample_trig => '1',--Sample_IIR, X_in => AUDIO_IN_R(23 downto 8),--X_in_truncated_R, filter_done => IIR_BP_Done_R, Y_out => IIR_BP_Y_Out_R ); IIR_BP_L: IIR_Biquad_II--(20 - 20000) Generic Map ( Coef_b0 => B"00_00_1101_1001_0100_1010_0010_0011_0000",-- b0 ~ +0.212196872 Coef_b1 => B"11_10_0101_0001_1010_0101_0110_1110_1000",-- b1 ~ -0.420267366 Coef_b2 => B"00_00_1101_1001_0100_1010_0010_0011_0000",-- b2 ~ +0.212196872 Coef_a1 => B"11_10_0101_0001_1010_0101_0110_1110_1000",-- a1 ~ -0.575606257 Coef_a2 => B"11_01_1011_0010_1001_0100_0100_0110_0000" -- a2 ~ +0.986994963 ) Port map ( clk => CLK_48, rst => reset, sample_trig => '1',--Sample_IIR, X_in => AUDIO_IN_L(23 downto 8),--X_in_truncated_L, filter_done => IIR_BP_Done_L, Y_out => IIR_BP_Y_Out_L ); IIR_HP_R: IIR_Biquad_II Generic Map ( Coef_b0 => B"00_00_0000_0000_1010_1011_0111_0101_1110",-- b0 ~ +0.00065407 Coef_b1 => B"00_00_0000_0000_0000_0000_0000_0000_0000",-- b1 ~ 0.0 Coef_b2 => B"11_11_1111_1111_0101_0100_1000_1010_0010",-- b2 ~ -0.00065407 Coef_a1 => B"10_00_0000_0001_0110_0100_0110_0011_0100",-- a1 ~ -1.998640489 Coef_a2 => B"00_11_1111_1110_1010_1001_0001_0100_0010" -- a2 ~ +0.998691859 ) Port map ( clk => CLK_48, rst => reset, sample_trig => '1',--Sample_IIR, X_in => AUDIO_IN_R(23 downto 8),--X_in_truncated_R, filter_done => IIR_HP_Done_R, Y_out => IIR_HP_Y_Out_R ); IIR_HP_L: IIR_Biquad_II Generic Map ( Coef_b0 => B"00_00_0000_0000_1010_1011_0111_0101_1110",-- b0 ~ +0.00065407 Coef_b1 => B"00_00_0000_0000_0000_0000_0000_0000_0000",-- b1 ~ 0.0 Coef_b2 => B"11_11_1111_1111_0101_0100_1000_1010_0010",-- b2 ~ -0.00065407 Coef_a1 => B"10_00_0000_0001_0110_0100_0110_0011_0100",-- a1 ~ -1.998640489 Coef_a2 => B"00_11_1111_1110_1010_1001_0001_0100_0010" -- a2 ~ +0.998691859 ) Port map ( clk => CLK_48, rst => reset, sample_trig => '1',--Sample_IIR, X_in => AUDIO_IN_L(23 downto 8),--X_in_truncated_L, filter_done => IIR_HP_Done_L, Y_out => IIR_HP_Y_Out_L ); ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ -- slv_reg_write_sel <= Bus2IP_WrCE(29 downto 0); -- slv_reg_read_sel <= Bus2IP_RdCE(29 downto 0); slv_reg_write_sel <= Bus2IP_WrCE(14 downto 0); slv_reg_read_sel <= Bus2IP_RdCE(14 downto 0); slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29); slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6) or Bus2IP_RdCE(7) or Bus2IP_RdCE(8) or Bus2IP_RdCE(9) or Bus2IP_RdCE(10) or Bus2IP_RdCE(11) or Bus2IP_RdCE(12) or Bus2IP_RdCE(13) or Bus2IP_RdCE(14) or Bus2IP_RdCE(15) or Bus2IP_RdCE(16) or Bus2IP_RdCE(17) or Bus2IP_RdCE(18) or Bus2IP_RdCE(19) or Bus2IP_RdCE(20) or Bus2IP_RdCE(21) or Bus2IP_RdCE(22) or Bus2IP_RdCE(23) or Bus2IP_RdCE(24) or Bus2IP_RdCE(25) or Bus2IP_RdCE(26) or Bus2IP_RdCE(27) or Bus2IP_RdCE(28) or Bus2IP_RdCE(29); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Resetn = '0' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); slv_reg3 <= (others => '0'); slv_reg4 <= (others => '0'); slv_reg5 <= (others => '0'); slv_reg6 <= (others => '0'); slv_reg7 <= (others => '0'); slv_reg8 <= (others => '0'); slv_reg9 <= (others => '0'); slv_reg10 <= (others => '0'); slv_reg11 <= (others => '0'); slv_reg12 <= (others => '0'); slv_reg13 <= (others => '0'); slv_reg14 <= (others => '0'); -- slv_reg15 <= (others => '0'); -- slv_reg16 <= (others => '0'); -- slv_reg17 <= (others => '0'); -- slv_reg18 <= (others => '0'); -- slv_reg19 <= (others => '0'); -- slv_reg20 <= (others => '0'); -- slv_reg21 <= (others => '0'); -- slv_reg22 <= (others => '0'); -- slv_reg23 <= (others => '0'); -- slv_reg24 <= (others => '0'); -- slv_reg25 <= (others => '0'); -- slv_reg26 <= (others => '0'); -- slv_reg27 <= (others => '0'); -- slv_reg28 <= (others => '0'); -- slv_reg29 <= (others => '0'); else case slv_reg_write_sel is when "100000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "010000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg1(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "001000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg2(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000100000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg3(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000010000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg4(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000001000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg5(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000100000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg6(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000010000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg7(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000001000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg8(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000000100000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg9(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000000010000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg10(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000000001000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg11(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000000000100" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg12(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000000000010" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg13(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "000000000000001" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg14(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; -- when "000000000000000100000000000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg15(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000010000000000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg16(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000001000000000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg17(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000100000000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg18(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000010000000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg19(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000001000000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg20(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000100000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg21(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000010000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg22(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000001000000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg23(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000000100000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg24(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000000010000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg25(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000000001000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg26(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000000000100" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg27(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000000000010" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg28(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "000000000000000000000000000001" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg29(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, slv_reg14) is --, slv_reg15, slv_reg16, slv_reg17, slv_reg18, slv_reg19, slv_reg20, slv_reg21, slv_reg22, slv_reg23, slv_reg24, slv_reg25, slv_reg26, slv_reg27, slv_reg28, slv_reg29 ) is begin case slv_reg_read_sel is when "100000000000000" => slv_ip2bus_data <= slv_reg0; when "010000000000000" => slv_ip2bus_data <= slv_reg1; when "001000000000000" => slv_ip2bus_data <= slv_reg2; when "000100000000000" => slv_ip2bus_data <= slv_reg3; when "000010000000000" => slv_ip2bus_data <= slv_reg4; when "000001000000000" => slv_ip2bus_data <= slv_reg5; when "000000100000000" => slv_ip2bus_data <= slv_reg6; when "000000010000000" => slv_ip2bus_data <= slv_reg7; when "000000001000000" => slv_ip2bus_data <= slv_reg8; when "000000000100000" => slv_ip2bus_data <= slv_reg9; when "000000000010000" => slv_ip2bus_data <= slv_reg10; when "000000000001000" => slv_ip2bus_data <= slv_reg11; when "000000000000100" => slv_ip2bus_data <= slv_reg12; when "000000000000010" => slv_ip2bus_data <= slv_reg13; when "000000000000001" => slv_ip2bus_data <= slv_reg14; -- when "000000000000000100000000000000" => slv_ip2bus_data <= slv_reg15; -- when "000000000000000010000000000000" => slv_ip2bus_data <= slv_reg16; -- when "000000000000000001000000000000" => slv_ip2bus_data <= slv_reg17; -- when "000000000000000000100000000000" => slv_ip2bus_data <= slv_reg18; -- when "000000000000000000010000000000" => slv_ip2bus_data <= slv_reg19; -- when "000000000000000000001000000000" => slv_ip2bus_data <= slv_reg20; -- when "000000000000000000000100000000" => slv_ip2bus_data <= slv_reg21; -- when "000000000000000000000010000000" => slv_ip2bus_data <= slv_reg22; -- when "000000000000000000000001000000" => slv_ip2bus_data <= slv_reg23; -- when "000000000000000000000000100000" => slv_ip2bus_data <= slv_reg24; -- when "000000000000000000000000010000" => slv_ip2bus_data <= slv_reg25; -- when "000000000000000000000000001000" => slv_ip2bus_data <= slv_reg26; -- when "000000000000000000000000000100" => slv_ip2bus_data <= slv_reg27; -- when "000000000000000000000000000010" => slv_ip2bus_data <= slv_reg28; -- when "000000000000000000000000000001" => slv_ip2bus_data <= slv_reg29; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack; IP2Bus_RdAck <= slv_read_ack; IP2Bus_Error <= '0'; end IMP;
mit
Nooxet/embedded_bruteforce
brutus_system/pcores/bajsd_v1_00_a - Copy/hdl/vhdl/tb_md5_mux.vhd
2
2181
-------------------------------------------------------------------------------- -- Engineer: Noxet -- -- Create Date: 14:47:34 09/17/2014 -- Design Name: -- Module Name: C:/temp/test_string_gen/tb_md5_mux.vhd -- Project Name: test_string_gen -- Description: -- Testbench for md5_mux module -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; use work.hash_array_pkg.all; ENTITY tb_md5_mux IS END tb_md5_mux; ARCHITECTURE behavior OF tb_md5_mux IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT md5_mux generic ( N : integer ); PORT( i_hash : IN hash_array(N-1 downto 0); i_select : IN std_logic_vector(N-1 downto 0); o_hash : OUT std_logic_vector(127 downto 0) ); END COMPONENT; --Inputs signal i_hash : hash_array(2 downto 0); signal i_select : std_logic_vector(2 downto 0) := (others => '0'); --Outputs signal o_hash : std_logic_vector(127 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: md5_mux generic map( N => 3 ) PORT MAP ( i_hash => i_hash, i_select => i_select, o_hash => o_hash ); -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 10 ns; i_hash(0) <= x"0cc175b9c0f1b6a831c399e269772661"; -- a i_hash(1) <= x"92eb5ffee6ae2fec3ad71c777531578f"; -- b i_hash(2) <= x"4a8a08f09d37b73795649038408b5f33"; -- c wait for 1 ns; i_select <= "001"; -- select a wait for 1 ns; assert o_hash = x"0cc175b9c0f1b6a831c399e269772661" report "FAIL A"; i_select <= "010"; -- select b wait for 1 ns; assert o_hash = x"92eb5ffee6ae2fec3ad71c777531578f" report "FAIL B"; i_select <= "100"; wait for 1 ns; assert o_hash = x"4a8a08f09d37b73795649038408b5f33" report "FAIL C"; wait; end process; END;
mit
jz0229/open-ephys-pcie
serdes-interface/firmware/clk_div.vhd
3
1020
---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity clk_div is generic (MAXD: natural:=5); port( clk: in std_logic; reset: in std_logic; div: in integer range 0 to MAXD; div_clk: out std_logic ); end clk_div; architecture Behavioral of clk_div is begin process(clk,reset) variable M: integer range 0 to MAXD; begin if reset='1' then --reset clock divider M := 0; div_clk <= '0'; elsif(rising_edge(clk)) then -- generate a pulse when the counter = (the division magnitude -1) if M=div-1 then div_clk <= '1'; M := 0; else M := M +1 ; div_clk <= '0'; end if; end if; end process; end Behavioral;
mit
Hyvok/HardHeat
src/utils.vhd
1
3726
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package utils_pkg is function log2(Arg : natural) return natural; function ceil_log2(Arg : natural) return natural; function shift_right_vec(Arg : std_logic_vector; Num : positive) return std_logic_vector; function shift_left_vec(Arg : std_logic_vector; Num : positive) return std_logic_vector; function shift_right_vec( Arg : std_logic_vector; Num : positive; NewBit : std_logic) return std_logic_vector; function shift_left_vec( Arg : std_logic_vector; Num : positive; NewBit : std_logic) return std_logic_vector; end package; package body utils_pkg is --------------------------------------------------------------------------- -- Function for calculating the base-2 logarithm --------------------------------------------------------------------------- function log2(Arg : natural) return natural is variable temp : integer := Arg; variable ret_val : integer := 0; begin while temp > 1 loop ret_val := ret_val + 1; temp := temp / 2; end loop; return ret_val; end function; --------------------------------------------------------------------------- -- Function for calculating the minimum number of bits to represent Arg --------------------------------------------------------------------------- function ceil_log2(Arg : natural) return natural is variable RetVal : natural; begin RetVal := log2(Arg); -- Round up if (Arg > (2**RetVal)) then return(RetVal + 1); else return(RetVal); end if; end function; --------------------------------------------------------------------------- -- Shift an std_logic_vector right --------------------------------------------------------------------------- function shift_right_vec(Arg : std_logic_vector; Num : positive) return std_logic_vector is begin return(std_logic_vector(shift_right(unsigned(Arg), Num))); end function; --------------------------------------------------------------------------- -- Shift an std_logic_vector left --------------------------------------------------------------------------- function shift_left_vec(Arg : std_logic_vector; Num : positive) return std_logic_vector is begin return(std_logic_vector(shift_left(unsigned(Arg), Num))); end function; --------------------------------------------------------------------------- -- Shift an std_logic_vector right and put new bit to 'high --------------------------------------------------------------------------- function shift_right_vec( Arg : std_logic_vector; Num : positive; NewBit : std_logic) return std_logic_vector is variable vec : std_logic_vector(Arg'range); begin vec := std_logic_vector(shift_right(unsigned(Arg), Num)); vec(vec'high) := NewBit; return(vec); end function; --------------------------------------------------------------------------- -- Shift an std_logic_vector left and put new bit to 'low --------------------------------------------------------------------------- function shift_left_vec( Arg : std_logic_vector; Num : positive; NewBit : std_logic) return std_logic_vector is variable vec : std_logic_vector(Arg'range); begin vec := std_logic_vector(shift_left(unsigned(Arg), Num)); vec(vec'low) := NewBit; return(vec); end function; end package body;
mit
jz0229/open-ephys-pcie
oepcie_host_firmware/HDLs/mem_conf_control.vhd
1
3343
---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use WORK.myDeclare.all; entity mem_conf_control is port ( bus_clk : in std_logic; reset : in std_logic; user_mem_32_addr : in std_logic_vector(3 downto 0); user_w_mem_32_wren : in std_logic; user_r_mem_32_rden : in std_logic; user_w_mem_32_data : in std_logic_vector(31 downto 0); user_r_mem_32_data : out std_logic_vector(31 downto 0); dev_reset_out : out std_logic; conf_ack : out std_logic; conf_nack : out std_logic; mem_out : out mem_type ); end mem_conf_control; architecture Behavioral of mem_conf_control is --state machines type confstate_type is (MEMUD, CONF, ACK, NACK, DEVRESET); --state machine definition signal confstate : confstate_type; --memory location and its functions; constant HS_CONFIG_DEVICE_ID : integer := 0; constant HS_CONFIG_REG_ADDR : integer := 1; constant HS_CONFIG_REG_VALUE : integer := 2; constant HS_CONFIG_RW : integer := 3; constant HS_CONFIG_TRIG : integer := 4; constant KC705_RUNNING : integer := 5; constant KC705_RESET : integer := 6; constant KC705_SYS_CLK_HZ : integer := 7; constant KC705_FRAME_CLK_HZ : integer :=8; constant KC705_FRAME_CLK_M : integer :=9; constant KC705_FRAME_CLK_D : integer :=10; signal mem_host : mem_type; begin mem_out <= mem_host; sm_proc: process(bus_clk, reset, user_mem_32_addr, mem_host, user_w_mem_32_wren, user_r_mem_32_rden) begin if (reset = '1') then confstate <= MEMUD; conf_ack <= '0'; conf_nack <= '0'; dev_reset_out <= '0'; --reset device for i in 0 to MEMARRAYLENGTH-1 loop mem_host(i) <= (others=>'0'); end loop; elsif (rising_edge(bus_clk)) then case confstate is when MEMUD => --update mem_host if (user_w_mem_32_wren = '1') then --if user_mem_32_addr = mem_host(to_integer(unsigned(user_mem_32_addr))) <= user_w_mem_32_data; else --user update the read only registers mem_host(KC705_SYS_CLK_HZ) <= std_logic_vector(to_unsigned(250_000_000,32)); mem_host(KC705_FRAME_CLK_HZ) <= std_logic_vector(to_unsigned(1000,32)); end if; if (user_r_mem_32_rden = '1') then user_r_mem_32_data <= mem_host(to_integer(unsigned(user_mem_32_addr))); else user_r_mem_32_data <= (others=>'0'); end if; if (not (mem_host(KC705_RESET) = (x"00000000"))) then confstate <= DEVRESET; elsif (not (mem_host(HS_CONFIG_TRIG) = (x"00000000"))) then confstate <= CONF; else confstate <= MEMUD; end if; conf_nack <= '0'; conf_ack <= '0'; dev_reset_out <= '0'; when CONF => --here initiate configuration to the headstage. --right now always ACK confstate <= ACK; when ACK => --send ACK signal conf_ack <= '1'; mem_host(HS_CONFIG_TRIG) <= (others=>'0'); --reset this to 0. confstate <= MEMUD; when NACK => conf_nack <= '1'; confstate <= MEMUD; when DEVRESET => -- here we need to send a fresh device map to the host and set this register back to zero dev_reset_out <= '1'; confstate <= MEMUD; mem_host(KC705_RESET) <= (others=>'0'); end case; end if; end process; end Behavioral;
mit
jz0229/open-ephys-pcie
serdes-interface/firmware/SPI_input.vhd
2
446
---------------------------------------------------------------------------------- --This is the SPI input module that takes a serial command and make it a parallel sequence ---------------------------------------------------------------------------------- library IEEE; use ieee.numeric_std.all; use IEEE.STD_LOGIC_1164.ALL; entity SPI_input is end SPI_input; architecture Behavioral of SPI_input is begin end Behavioral;
mit
jz0229/open-ephys-pcie
serdes-interface/firmware/data_merge.vhd
2
3821
---------------------------------------------------------------------------------- --this merges the data from different streams onto the serdes interface ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; entity data_merge is port( pclk : in std_logic; reset : in std_logic; data_rdy_pcie : in std_logic; --this is generated from the SPI interface. Here we must sample this line using 50MHz clock vsync_o : out std_logic; stream1 : in std_logic_vector(15 downto 0); stream2 : in std_logic_vector(15 downto 0); stream3 : in std_logic_vector(15 downto 0); stream4 : in std_logic_vector(15 downto 0); dout_o : out std_logic_vector(7 downto 0) ); end data_merge; architecture Behavioral of data_merge is signal pclk_data_rdy_pcie : std_logic; --pclk synced data_rdy_pcie signal signal dout, dout_next : std_logic_vector(7 downto 0); --digital output signal vsync, vsync_next : std_logic; type merge_state_type is (IDLE, S1MSB, S1LSB, S2MSB, S2LSB, S3MSB, S3LSB, S4MSB, S4LSB, WAITLOW); --state machine definition: signal merge_state, merge_state_next : merge_state_type; signal sm_cnt, sm_cnt_next : unsigned(3 downto 0); begin --signal assignment vsync_o <= vsync; dout_o <= dout; --vsync triggers the data spliting process process(reset, merge_state, pclk) begin if (reset='1') then merge_state <= IDLE; dout <= (others=>'0'); vsync <= '0'; sm_cnt <= (others=>'0'); elsif (rising_edge(pclk)) then merge_state <= merge_state_next; dout <= dout_next; vsync <= vsync_next; sm_cnt <= sm_cnt_next; end if; end process; --next states process(reset, merge_state, data_rdy_pcie, sm_cnt, dout, stream1, stream2, stream3, stream4) begin case merge_state is when IDLE => if data_rdy_pcie = '1' then merge_state_next <= S1MSB; else merge_state_next <= IDLE; end if; dout_next <= dout; vsync_next <= '0'; sm_cnt_next <= (others=>'0'); when S1MSB => merge_state_next <= S1LSB; dout_next <= stream1(15 downto 8); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S1LSB => merge_state_next <= S2MSB; dout_next <= stream1(7 downto 0); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S2MSB => merge_state_next <= S2LSB; dout_next <= stream2(15 downto 8); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S2LSB => merge_state_next <= S3MSB; dout_next <= stream2(7 downto 0); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S3MSB => merge_state_next <= S3LSB; dout_next <= stream3(15 downto 8); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S3LSB => merge_state_next <= S4MSB; dout_next <= stream3(7 downto 0); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S4MSB => merge_state_next <= S4LSB; dout_next <= stream4(15 downto 8); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S4LSB => merge_state_next <= WAITLOW; dout_next <= stream4(7 downto 0); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when WAITLOW => if data_rdy_pcie = '0' then if sm_cnt >= 10 then merge_state_next <= IDLE; vsync_next <= '0'; sm_cnt_next <= (others=>'0'); else sm_cnt_next <= sm_cnt + 1; vsync_next <= '1'; merge_state_next <= WAITLOW; end if; else merge_state_next <= WAITLOW; vsync_next <= '1'; sm_cnt_next <= sm_cnt; end if; dout_next <= (others=>'0'); --sm_cnt_next <= (others=>'0'); end case; end process; end Behavioral;
mit
Hyvok/HardHeat
sim/deadtime_gen/deadtime_gen_tb.vhd
1
1513
library ieee; library work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity deadtime_gen_tb is generic ( TUNING_WORD_N : positive := 22 ); end entity; architecture rtl of deadtime_gen_tb is constant CLK_PERIOD : time := 1 sec / 20e7; signal clk : std_logic := '0'; signal reset : std_logic; signal sig : std_logic; signal tuning_word : unsigned(TUNING_WORD_N - 1 downto 0); begin DUT_inst: entity work.deadtime_gen(rtl) generic map ( DT_N => 16, DT_VAL => 100 ) port map ( clk => clk, reset => reset, sig_in => sig ); sig_gen_p: entity work.phase_accumulator(rtl) generic map ( ACCUM_BITS_N => 32, TUNING_WORD_N => TUNING_WORD_N ) port map ( clk => clk, reset => reset, tuning_word_in => tuning_word, sig_out => sig ); reset <= '1', '0' after 500 ns; clk_gen: process(clk) begin clk <= not clk after CLK_PERIOD / 2; end process; tuning_word_gen: process(clk) begin if reset = '1' then tuning_word <= to_unsigned(2**TUNING_WORD_N / 2 - 1, TUNING_WORD_N); elsif rising_edge(clk) then tuning_word <= tuning_word - 1; end if; end process; end;
mit
jz0229/open-ephys-pcie
serdes-interface/firmware/ipcore_dir/pll/example_design/pll_exdes.vhd
2
6041
-- file: pll_exdes.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- Clocking wizard example design ------------------------------------------------------------------------------ -- This example design instantiates the created clocking network, where each -- output clock drives a counter. The high bit of each counter is ported. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity pll_exdes is generic ( TCQ : in time := 100 ps); port (-- Clock in ports CLK_IN1 : in std_logic; -- Reset that only drives logic in example design COUNTER_RESET : in std_logic; CLK_OUT : out std_logic_vector(1 downto 1) ; -- High bits of counters driven by clocks COUNT : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end pll_exdes; architecture xilinx of pll_exdes is -- Parameters for the counters --------------------------------- -- Counter width constant C_W : integer := 16; -- When the clock goes out of lock, reset the counters signal locked_int : std_logic; signal reset_int : std_logic := '0'; -- Declare the clocks and counter signal clk : std_logic; signal clk_int : std_logic; signal clk_n : std_logic; signal counter : std_logic_vector(C_W-1 downto 0) := (others => '0'); signal rst_sync : std_logic; signal rst_sync_int : std_logic; signal rst_sync_int1 : std_logic; signal rst_sync_int2 : std_logic; component pll is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end component; begin -- Alias output to internally used signal LOCKED <= locked_int; -- When the clock goes out of lock, reset the counters reset_int <= (not locked_int) or RESET or COUNTER_RESET; process (clk, reset_int) begin if (reset_int = '1') then rst_sync <= '1'; rst_sync_int <= '1'; rst_sync_int1 <= '1'; rst_sync_int2 <= '1'; elsif (clk 'event and clk='1') then rst_sync <= '0'; rst_sync_int <= rst_sync; rst_sync_int1 <= rst_sync_int; rst_sync_int2 <= rst_sync_int1; end if; end process; -- Instantiation of the clocking network ---------------------------------------- clknetwork : pll port map (-- Clock in ports CLK_IN1 => CLK_IN1, -- Clock out ports CLK_OUT1 => clk_int, -- Status and control signals RESET => RESET, LOCKED => locked_int); clk_n <= not clk; clkout_oddr : ODDR2 port map (Q => CLK_OUT(1), C0 => clk, C1 => clk_n, CE => '1', D0 => '1', D1 => '0', R => '0', S => '0'); -- Connect the output clocks to the design ------------------------------------------- clk <= clk_int; -- Output clock sampling ------------------------------------- process (clk, rst_sync_int2) begin if (rst_sync_int2 = '1') then counter <= (others => '0') after TCQ; elsif (rising_edge(clk)) then counter <= counter + 1 after TCQ; end if; end process; -- alias the high bit to the output COUNT <= counter(C_W-1); end xilinx;
mit
Hyvok/HardHeat
src/pwm.vhd
1
2811
library ieee; library work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.utils_pkg.all; entity pwm is generic ( -- Number of bits in the PWM counter COUNTER_N : positive; -- Minimum modulation level (to ensure for example fans stay running) MIN_MOD_LVL : positive; -- Number of PWM cycles (full timer) the PWM is disabled on enable ENABLE_ON_D : natural ); port ( clk : in std_logic; reset : in std_logic; enable_in : in std_logic; mod_lvl_in : in unsigned(COUNTER_N - 1 downto 0); mod_lvl_f_in : in std_logic; pwm_out : out std_logic ); end entity; architecture rtl of pwm is begin pwm_p: process(clk, reset) type pwm_state is (idle, enable_on_delay, pwm); variable state : pwm_state; variable timer : unsigned(COUNTER_N - 1 downto 0); variable cycles : unsigned(ceil_log2(ENABLE_ON_D) downto 0); variable mod_lvl : unsigned(COUNTER_N - 1 downto 0); begin if reset = '1' then state := idle; timer := (others => '0'); cycles := (others => '0'); mod_lvl := to_unsigned(MIN_MOD_LVL, mod_lvl'length); pwm_out <= '0'; elsif rising_edge(clk) then if state = idle then pwm_out <= '0'; if enable_in = '1' then state := enable_on_delay; timer := (others => '0'); cycles := (others => '0'); pwm_out <= '1'; end if; elsif state = enable_on_delay then if timer = 2**COUNTER_N - 1 then cycles := cycles + 1; if cycles >= ENABLE_ON_D then state := pwm; timer := (others => '0'); end if; end if; elsif state = pwm then if timer <= mod_lvl then pwm_out <= '1'; else pwm_out <= '0'; end if; end if; if enable_in = '0' then state := idle; timer := (others => '0'); else timer := timer + 1; end if; if mod_lvl_f_in = '1' then if mod_lvl_in < MIN_MOD_LVL then mod_lvl := to_unsigned(MIN_MOD_LVL, mod_lvl'length); else mod_lvl := mod_lvl_in; end if; end if; end if; end process; end;
mit
Hyvok/HardHeat
src/lock_detector.vhd
1
1727
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity lock_detector is generic ( -- Number of bits in the phase-difference input PHASE_TIME_IN_N : positive; -- Number of bits in the lock counter LOCK_COUNT_N : positive; -- Number of bits in the unlock counter ULOCK_COUNT_N : positive; -- Value under which the phase is considered to be locked LOCK_LIMIT : natural ); port ( clk : in std_logic; reset : in std_logic; phase_time_in : in signed(PHASE_TIME_IN_N - 1 downto 0); lock_out : out std_logic ); end entity; architecture rtl of lock_detector is begin lock_detector_p: process(clk, reset) variable lock_count : unsigned(LOCK_COUNT_N - 1 downto 0); variable ulock_count : unsigned(ULOCK_COUNT_N - 1 downto 0); begin if reset = '1' then lock_count := (others => '0'); ulock_count := (others => '0'); lock_out <= '0'; elsif rising_edge(clk) then if phase_time_in <= LOCK_LIMIT and phase_time_in >= -LOCK_LIMIT then lock_count := lock_count + 1; if lock_count = 2**lock_count'length - 1 then lock_out <= '1'; end if; else lock_count := (others => '0'); ulock_count := ulock_count + 1; if ulock_count = 2**ulock_count'length - 1 then lock_out <= '0'; end if; end if; end if; end process; end;
mit
jz0229/open-ephys-pcie
serdes-interface/firmware/TB_SPI_module.vhd
2
2783
-------------------------------------------------------------------------------- --Test bench for the SPI_module -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY TB_SPI_module IS END TB_SPI_module; ARCHITECTURE behavior OF TB_SPI_module IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT SPI_module PORT( clk_spi : IN std_logic; reset : IN std_logic; spi_start : IN std_logic; command_in : in std_logic_vector(15 downto 0); miso_i : in std_logic; cs_o : OUT std_logic; sclk_o : OUT std_logic; mosi_o : OUT std_logic; data_lclk_o : out std_logic ); END COMPONENT; --Inputs signal clk_spi : std_logic := '0'; signal reset : std_logic := '0'; signal spi_start : std_logic := '0'; signal command_in : std_logic_vector(15 downto 0); signal miso_i : std_logic; --Outputs signal cs_o : std_logic; signal sclk_o : std_logic; signal mosi_o : std_logic; signal data_lclk_o : std_logic; -- Clock period definitions constant clk_spi_period : time := 10 ns; constant spi_start_period : time := 1 us; BEGIN -- output SPI module uut_output: SPI_module PORT MAP ( clk_spi => clk_spi, reset => reset, spi_start => spi_start, command_in => command_in, miso_i => miso_i, cs_o => cs_o, sclk_o => sclk_o, mosi_o => mosi_o, data_lclk_o => data_lclk_o ); -- Instantiate the Unit Under Test (UUT) uut_input: SPI_module PORT MAP ( clk_spi => clk_spi, reset => reset, spi_start => spi_start, command_in => command_in, miso_i => mosi_o, cs_o => open, sclk_o => open, mosi_o => open, data_lclk_o => open ); -- Clock process definitions clk_spi_process :process begin clk_spi <= '0'; wait for clk_spi_period/2; clk_spi <= '1'; wait for clk_spi_period/2; end process; spi_start_process :process begin spi_start <= '1'; wait for spi_start_period; spi_start <= '1'; wait for 40 ns; end process; -- Stimulus process stim_proc: process begin command_in <= "1000000001011011"; miso_i <= '1'; -- hold reset state for 100 ns. reset <= '1'; wait for 100 ns; reset <= '0'; wait for clk_spi_period*10; -- insert stimulus here wait; end process; END;
mit
Hyvok/HardHeat
src/ds18b20_data_gen.vhd
1
8398
library ieee; library work; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.utils_pkg.all; entity ds18b20_data_gen is generic ( MICROSECOND_D : positive ); port ( clk : in std_logic; reset : in std_logic; ow_out : in std_logic; -- Test temperature value in, data_gen generates a new data packet from -- this value and transmits it to the 1-wire bus temp_in : in signed(16 - 1 downto 0); temp_in_f : in std_logic; ow_in : out std_logic ); end entity; architecture rtl of ds18b20_data_gen is subtype data_t is std_logic_vector(8 - 1 downto 0); type data_array_t is array(natural range <>) of data_t; function calc_crc(Arg : data_t; NewByte : data_t) return data_t is variable crc : data_t; variable bit_num : natural := 0; begin while bit_num < 8 loop crc := Arg; crc(crc'left) := NewByte(bit_num) xor crc(crc'right); crc(4) := crc(3) xor crc(crc'left); crc(5) := crc(4) xor crc(crc'left); crc := shift_left_vec(crc, 1); bit_num := bit_num + 1; end loop; return(crc); end function; function gen_data(Temp : signed(16 - 1 downto 0)) return data_array_t is variable byte_num : natural := 0; variable bit_num : natural := 0; variable data : data_array_t(8 downto 0); variable crc : data_t := (others => '0'); begin while byte_num < 9 loop if byte_num = 0 then data(byte_num) := std_logic_vector(Temp(7 downto 0)); elsif byte_num = 1 then data(byte_num) := std_logic_vector(Temp(15 downto 8)); -- Just use some (valid) fixed data for the rest of the bytes elsif byte_num = 2 then data(byte_num) := x"4B"; elsif byte_num = 3 then data(byte_num) := x"46"; elsif byte_num = 4 then data(byte_num) := x"FF"; elsif byte_num = 5 then data(byte_num) := x"FF"; elsif byte_num = 6 then data(byte_num) := x"02"; elsif byte_num = 7 then data(byte_num) := x"10"; elsif byte_num = 8 then data(byte_num) := crc; -- Do not calculate CRC for CRC byte so just return return(data); end if; crc := calc_crc(crc, data(byte_num)); byte_num := byte_num + 1; end loop; end function; constant RESET_D : natural := MICROSECOND_D * 479; constant RESET_WAIT_D : natural := MICROSECOND_D * 15; constant RESET_PRESENCE_D : natural := MICROSECOND_D * 239; constant ZERO_D : natural := MICROSECOND_D * 59; constant ONE_D : natural := MICROSECOND_D * 1; constant SKIP_ROM_CMD : std_logic_vector(8 - 1 downto 0) := x"CC"; constant CONV_CMD : std_logic_vector(8 - 1 downto 0) := x"44"; constant READ_CMD : std_logic_vector(8 - 1 downto 0) := x"BE"; begin data_gen_p: process(clk, reset) type data_gen_state is ( idle, reset_wait, presence, wait_reset_high, read, command, transmit ); -- Increment timer value and go to next state when delay is fullfilled procedure handle_delay( constant delay : in natural; variable timer : inout natural; constant next_state : in data_gen_state; variable state_var : inout data_gen_state) is begin timer := timer + 1; if timer >= delay then state_var := next_state; timer := 0; end if; end procedure; procedure new_bit( variable buf : inout data_t; constant val : in std_logic) is begin buf := shift_right_vec(buf, 1); buf(buf'high) := val; end procedure; variable state : data_gen_state; variable next_state : data_gen_state; variable byte_num : natural; variable bit_num : natural; variable last_out : std_logic; variable tx_buf : data_array_t(8 downto 0); variable timer : natural; variable rx_buf : data_t; variable rx_bits_left : natural; begin if reset = '1' then state := idle; next_state := idle; byte_num := 0; bit_num := 0; last_out := '0'; tx_buf := gen_data(temp_in); timer := 0; rx_buf := (others => '0'); rx_bits_left := 0; ow_in <= '1'; elsif rising_edge(clk) then if state = idle then ow_in <= '1'; if ow_out = '0' then handle_delay(RESET_D, timer, reset_wait, state); else timer := 0; end if; elsif state = reset_wait then handle_delay(RESET_WAIT_D, timer, presence, state); elsif state = presence then ow_in <= '0'; handle_delay(RESET_PRESENCE_D, timer, wait_reset_high, state); elsif state = wait_reset_high then ow_in <= '1'; if ow_out = '1' then state := read; rx_buf := (others => '0'); rx_bits_left := rx_buf'length; next_state := command; end if; elsif state = read then ow_in <= '1'; if rx_bits_left > 0 then if ow_out = '0' then timer := timer + 1; elsif ow_out = '1' then if timer >= ZERO_D then new_bit(rx_buf, '0'); rx_bits_left := rx_bits_left - 1; elsif timer >= ONE_D then new_bit(rx_buf, '1'); rx_bits_left := rx_bits_left - 1; end if; timer := 0; end if; else state := next_state; end if; elsif state = command then if rx_buf = SKIP_ROM_CMD then next_state := command; state := read; rx_bits_left := rx_buf'length; elsif rx_buf = CONV_CMD then -- Just start waiting for next reset state := idle; next_state := idle; rx_bits_left := rx_buf'length; elsif rx_buf = READ_CMD then state := transmit; rx_bits_left := 0; else report "Unknown command" severity warning; state := idle; next_state := idle; rx_bits_left := 0; end if; rx_buf := (others => '0'); elsif state = transmit then if not last_out = ow_out and ow_out = '0' then ow_in <= tx_buf(byte_num)(bit_num); bit_num := bit_num + 1; if bit_num = 8 then bit_num := 0; byte_num := byte_num + 1; if byte_num = tx_buf'length then state := idle; next_state := idle; bit_num := 0; byte_num := 0; end if; end if; end if; end if; last_out := ow_out; -- Update TX buffer data if temperature has changed if temp_in_f = '1' then tx_buf := gen_data(temp_in); end if; end if; end process; end;
mit
Hyvok/HardHeat
src/tdc.vhd
1
2216
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tdc is generic ( -- Number of bits in the counter COUNTER_N : positive ); port ( clk : in std_logic; reset : in std_logic; up_in : in std_logic; down_in : in std_logic; time_out : out signed(COUNTER_N - 1 downto 0); sig_or_out : out std_logic; sign_out : out std_logic ); end tdc; architecture rtl of tdc is begin tdc_p: process(clk, reset) variable sig_or : std_logic; variable last_or : std_logic; variable last_up : std_logic; variable last_down : std_logic; variable sign : std_logic; variable count : signed(COUNTER_N - 1 downto 0); begin if reset = '1' then time_out <= (others => '0'); count := (others => '0'); last_or := '0'; last_up := '0'; last_down := '0'; sign := '0'; sign_out <= sign; sig_or := '0'; elsif rising_edge(clk) then if not up_in = last_up and up_in = '1' then sign := '0'; sign_out <= sign; elsif not down_in = last_down and down_in = '1' then sign := '1'; sign_out <= sign; end if; last_up := up_in; last_down := down_in; sig_or := up_in or down_in; sig_or_out <= sig_or; -- Count when the or signal is high if sig_or = '1' then count := count + 1; else if last_or = '1' then -- Apply sign if sign = '1' then time_out <= not count + 1; else time_out <= count; end if; count := (others => '0'); end if; end if; last_or := sig_or; end if; end process; end;
mit
zerokill/vhdl-course
exercise_3/opdr5.vhd
1
2802
-- maurice daverveldt -- 1531491 -- ev3a -- dit bestand bevat alle losse componenten library ieee; use ieee.std_logic_1164.all; entity opdr5_mux is port( x,y : in std_logic_vector(7 downto 0); s : in std_logic; f : out std_logic_vector(7 downto 0)); end opdr5_mux; architecture RTL of opdr5_mux is begin process(x,y,s) begin if s = '0' then f <= x; --als s = 0 zet dan x op uitgang else f <= y; --als s = 1 zet dan y op uitgang end if; end process; end RTL; library ieee; use ieee.std_logic_1164.all; entity opdr5_inv is port( x : in std_logic_vector(7 downto 0); s : in std_logic; f : out std_logic_vector(7 downto 0)); end opdr5_inv; architecture RTL of opdr5_inv is begin process(x,s) begin if s = '1' then f <= not x; --als s =1 inverteer x else f <= x; --als s =0 inverteer x niet end if; end process; end RTL; library ieee; use ieee.std_logic_1164.all; entity opdr5_add is port( a,b,cin : in std_logic; f : out std_logic; cout : out std_logic); end opdr5_add; architecture RTL of opdr5_add is begin f <= (a xor b) xor cin; --als a exor b exor cin maak dan f hoog cout <= (a and b) or (cin and b) or (cin and a); -- maak de carry hoog als dat nodig is end RTL; library ieee; use ieee.std_logic_1164.all; entity opdr5_add8 is port( a,b : in std_logic_vector(7 downto 0); f : out std_logic_vector(7 downto 0); cin : in std_logic; cout :out std_logic); end opdr5_add8; architecture struct of opdr5_add8 is -- in deze structure gaan we een 8 bits full adder maken -- uit de 1 bits full adder die we eerder hebben gemaakt component opdr5_add is port( a,b,cin : in std_logic; f : out std_logic; cout : out std_logic); end component; signal im : std_logic_vector(6 downto 0); begin --koppel c0 aan de juiste poorten c0 : opdr5_add port map(a => a(0), b => b(0), cin => cin, f => f(0), cout => im(0)); --koppel c1 tot c6 aan de juiste poorten en aan elkaar c : for i in 1 to 6 generate c1to6 : opdr5_add port map(a => a(i), b=> b(i), f => f(i), cin => im(i - 1), cout => im(i) ); end generate; --koppel c7 aan de juiste poorten en de cout aan de uitgang c7 : opdr5_add port map(a => a(7), b => b(7), cin => im(6), f => f(7), cout => cout); end struct; library ieee; use ieee.std_logic_1164.all; package opdr5 is component opdr5_inv is port( x : in std_logic_vector(7 downto 0); s : in std_logic; f : out std_logic_vector(7 downto 0)); end component; component opdr5_mux is port( x,y : in std_logic_vector(7 downto 0); s : in std_logic; f : out std_logic_vector(7 downto 0)); end component; component opdr5_add8 is port( a,b : in std_logic_vector(7 downto 0); f : out std_logic_vector(7 downto 0); cin : in std_logic; cout :out std_logic); end component; end package;
mit
zerokill/vhdl-course
exercise_4/codeslot.vhd
1
1855
-- Codeslot -- -- gemaakt door -- -- __ ___ _ -- / |/ /___ ___ _______(_)_______ -- / /|_/ / __ `/ / / / ___/ / ___/ _ \ -- / / / / /_/ / /_/ / / / / /__/ __/ -- /_/ /_/\__,_/\__,_/_/ /_/\___/\___/ -- ____ __ ____ -- / __ \____ __ _____ ______ _____ / /___/ / /_ -- / / / / __ `/ | / / _ \/ ___/ | / / _ \/ / __ / __/ -- / /_/ / /_/ /| |/ / __/ / | |/ / __/ / /_/ / /_ -- /_____/\__,_/ |___/\___/_/ |___/\___/_/\__,_/\__/ -- -- Maurice Daverveldt -- Ev3a -- 1531491 -- -- gebruikte wachtwoord binair: -- 0001010010010001 -- dit bestand combineert alle afzonderlijke onderdelen library ieee; use ieee.std_logic_1164.all; use work.opdr6.all; entity codeslot is port( DIP : in std_logic_vector(3 downto 0); druk : in std_logic; clk : in std_logic; disp_seg : out std_logic_vector(7 downto 0); disp_sel : out std_logic_vector(3 downto 0); LED : out std_logic); end codeslot; architecture structure of codeslot is signal dender_FSM : std_logic; signal FSM_conv : std_logic_vector(3 downto 0); signal conv_disp : std_logic_vector(31 downto 0); begin -- maak de druk knop vast aan de anti dender schakeling input : opdr6_dender port map( druk => druk, clk => clk, uit => dender_FSM ); -- maak de druk knop en DIP switches vast aan de FSM FSM : opdr6_FSM port map( druk => dender_FSM, DIP => DIP, uit => FSM_conv, LED => LED ); -- maak de FSM en DIP switches vast aan de display convertor conv : opdr6_conv port map( FSM => FSM_conv, DIP => DIP, uit => conv_disp ); -- maak de display convertor vast aan de display driver disp : opdr6_disp port map( ingang => conv_disp, AN => disp_sel, SEG => disp_seg, clk => clk ); end structure;
mit
chebykinn/university
circuitry/lab3/wb_spimaster.vhd
2
4982
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity wb_spimaster is generic ( dat_sz : natural := 8; slv_bits: natural := 3 ); port ( clk_i : in std_logic; rst_i : in std_logic; -- -- Whishbone Interface -- adr_i : in std_logic_vector(1 downto 0); dat_i : in std_logic_vector((dat_sz - 1) downto 0); dat_o : out std_logic_vector((dat_sz - 1) downto 0); cyc_i : in std_logic; lock_i : in std_logic; sel_i : in std_logic; we_i : in std_logic; ack_o : out std_logic; err_o : out std_logic; rty_o : out std_logic; stall_o: out std_logic; stb_i : in std_logic; -- -- SPI Master Signals -- spi_mosi_o : out std_logic; spi_miso_i : in std_logic; spi_nsel_o : out std_logic_vector(((2 ** slv_bits) - 1) downto 0); spi_sclk_o : out std_logic ); end wb_spimaster; architecture Behavioral of wb_spimaster is component shift_engine is generic ( -- Width of parallel data width : natural := 8; -- Delay after NSEL is pulled low, in ticks of clk_i delay : natural := 2 ); port ( -- Clocking clk_i : in std_logic; rst_i : in std_logic; -- Data dat_i : in std_logic_vector((width - 1) downto 0); dat_o : out std_logic_vector((width - 1) downto 0); -- Control Signals cpol_i : in std_logic; -- SPI Clock Polarity cpha_i : in std_logic; -- SPI Clock Phase div_i : in natural range 2 to width; -- SPI Clock Divider, relative to clk_i cnt_i : in integer range 1 to (width - 1); -- Number of Bits to Shift start_i : in std_logic; done_o : out std_logic; -- Shift Signals sclk_o : out std_logic; mosi_o : out std_logic; miso_i : in std_logic ); end component shift_engine; -- Internal Registers signal tx_dat : std_logic_vector((dat_sz - 1) downto 0) := (others => '-'); signal rx_dat : std_logic_vector((dat_sz - 1) downto 0) := (others => '-'); signal ctrl : std_logic_vector((dat_sz - 2) downto 0) := (others => '0'); signal nsel : std_logic_vector(((2 ** slv_bits) - 1) downto 0) := (others => '1'); signal div : std_logic_vector((dat_sz - 1) downto 0) := (others => '1'); signal start : std_logic; signal done : std_logic; signal tmp_div : integer := 2; signal tmp_cnt : integer := (dat_sz - 1); begin shift : shift_engine generic map ( -- Width of parallel data width => dat_sz, -- Delay after NSEL is pulled low, in ticks of clk_i delay => 2 ) port map ( -- Clocking clk_i => clk_i, rst_i => rst_i, -- Data dat_i => tx_dat, dat_o => rx_dat, -- Control Signals cpol_i => ctrl(4), cpha_i => ctrl(5), div_i => tmp_div, cnt_i => tmp_cnt, start_i => start, done_o => done, -- Shift Signals sclk_o => spi_sclk_o, mosi_o => spi_mosi_o, miso_i => spi_miso_i ); tmp_cnt <= to_integer(unsigned(ctrl(2 downto 0))); tmp_div <= to_integer(unsigned(div)); process (clk_i) begin if (rising_edge(clk_i)) then start <= '0'; ack_o <= stb_i; err_o <= '0'; if ((stb_i = '1') and (we_i = '1')) then case adr_i is when "00" => tx_dat <= dat_i; when "01" => ctrl((dat_i'high - 1) downto 0) <= dat_i((dat_i'high - 1) downto 0); if ((done = '0') and (dat_i(7) = '1')) then ack_o <= '0'; err_o <= '1'; else start <= dat_i(dat_i'high); end if; when "10" => nsel(((2 ** slv_bits) - 1) downto 0) <= dat_i(((2 ** slv_bits) - 1) downto 0); when "11" => div <= dat_i; when others => end case; else case adr_i is when "00" => dat_o <= rx_dat; when "01" => dat_o(6 downto 0) <= ctrl(6 downto 0); dat_o(7) <= not done; when "10" => dat_o(nsel'high downto 0) <= nsel; when "11" => dat_o <= div; when others => dat_o <= (others => '-'); end case; end if; end if; end process; rty_o <= '0'; spi_nsel_o <= nsel; stall_o <= stb_i; end Behavioral;
mit
mathiashelsen/WolfCoreOne
logic/quartus_prj/runningLED.vhd
1
632
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity runningLED is port( clk : in std_logic; -- master clock signal LED_output : out std_logic_vector(7 downto 0) ); end runningLED; architecture default of runningLED is signal ctr : unsigned(31 downto 0); signal pattern : std_logic_vector(7 downto 0); begin process( clk ) begin if (clk'event and clk = '1') then if(ctr = 5000000) then ctr <= X"0000_0000"; pattern <= pattern + X"1"; LED_output <= pattern; else ctr <= ctr + 1; end if; end if; end process; end architecture;
mit
mathiashelsen/WolfCoreOne
logic/src/wolfcore.vhd
1
10894
-- -- MIT License -- -- Copyright (c) 2017 Mathias Helsen, Arne Vansteenkiste -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mainArch.all; entity wolfcore is port( dataOutput : out std_logic_vector(31 downto 0); dataInput : in std_logic_vector(31 downto 0); dataInAddr : out std_logic_vector(31 downto 0); dataOutAddr : out std_logic_vector(31 downto 0); dataWrEn : out std_logic; instrInput : in std_logic_vector(31 downto 0); pc : buffer std_logic_Vector(31 downto 0); CPU_Status : buffer std_logic_vector(31 downto 0); rst : in std_logic; clk : in std_logic; forceRoot : in std_logic; flushing : out std_logic ); end entity; architecture default of wolfcore is type regFileType is array(13 downto 0) of std_logic_vector(31 downto 0); signal inputA : std_logic_vector(31 downto 0); signal inputFA : std_logic_vector(31 downto 0); signal inputB : std_logic_vector(31 downto 0); signal regFile : regFileType; type cpuStateType is ( Nominal, Flush ); signal cpuState : cpuStateType; --signal pc : std_logic_vector(31 downto 0); signal ALU_Overflow : std_logic_vector(31 downto 0); signal ALU_Out : std_logic_vector(31 downto 0); signal ALU_Status : std_logic_vector(7 downto 0); -- The instruction as it travels down the pipeline signal instrFetchB : std_logic_vector(31 downto 0); signal instrExecute : std_logic_vector(31 downto 0); signal instrWriteBack : std_logic_vector(31 downto 0); signal shadowPC_FA : std_logic_vector(31 downto 0); signal shadowPC_FB : std_logic_vector(31 downto 0); -- Used during execute signal wbEn : std_logic; signal updateStatus : std_logic; -- The magnificent combinatorial ALU! All hail the ALU! component ALU port( instr : in std_logic_vector(4 downto 0); -- instruction (decoded) inputA : in std_logic_vector(31 downto 0); -- input data A inputB : in std_logic_vector(31 downto 0); -- input data B ALU_Out : buffer std_logic_vector(31 downto 0); -- ALU results ALU_Overflow : buffer std_logic_vector(31 downto 0); -- ALU overflow results ALU_Status : buffer std_logic_vector(7 downto 0) -- Status of the ALU ); end component; begin mainALU: ALU port map( instr => instrWriteBack(12 downto 8), inputA => inputA, inputB => inputB, ALU_Out => ALU_Out, ALU_Overflow => ALU_Overflow, ALU_Status => ALU_Status ); process(instrWriteBack, CPU_Status) begin case instrWriteBack(COND) is -- Always when "001" => wbEn <= '1'; -- Never when "000" => wbEn <= '0'; -- When 0 when "010" => wbEn <= CPU_Status(7); -- When not 0 when "011" => wbEn <= not CPU_Status(7); -- When "positive" -> MSB = 0 when "100" => wbEn <= not CPU_Status(6); -- When "negative" -> MSB = 1 when "101" => wbEn <= CPU_Status(6); when "110" => wbEn <= CPU_Status(5); when "111" => wbEn <= not CPU_Status(5); when others => wbEn <= '0'; end case; end process; process(cpuState) begin if(cpuState = Nominal) then flushing <= '0'; else flushing <= '1'; end if; end process; process(clk, rst) begin if(rst = '1') then inputA <= X"0000_0000"; inputB <= X"0000_0000"; pc <= X"0000_0000"; CPU_Status <= X"0000_0000"; for i in regFile'range loop regFile(i) <= X"0000_0000"; end loop; instrFetchB <= X"0000_0000"; instrExecute <= X"0000_0000"; instrWriteBack <= X"0000_0000"; cpuState <= Nominal; dataWrEn <= '0'; dataInAddr <= X"0000_0000"; dataOutAddr <= X"0000_0000"; dataOutput <= X"0000_0000"; elsif (clk'event and clk='1') then -- FETCH-A if(instrInput(PTRa) = '1') then dataInAddr <= regFile(to_integer(unsigned(instrInput(REGA)))); end if; shadowPC_FA <= pc; instrFetchB <= instrInput; -- FETCH-B if( cpuState = Nominal ) then if(instrFetchB(PTRa) = '1') then inputFA <= dataInput; end if; shadowPC_FB <= shadowPC_FB; if(instrFetchB(PTRb) = '1') then if(instrFetchB(IMMb) = '1') then dataInAddr <= std_logic_vector(to_unsigned(0, 21)) & instrFetchB(IMMv); else dataInAddr <= regFile(to_integer(unsigned(instrFetchB(REGb)))); end if; end if; instrExecute <= instrFetchB; else inputFA <= X"0000_0000"; instrExecute <= X"0000_0000"; end if; -- EXECUTE if( cpuState = Nominal ) then if(instrExecute(PTRa) = '1') then inputA <= inputFA; else case to_integer(unsigned(instrExecute(REGa))) is when 14 => inputA <= shadowPC_FB; when 15 => inputA <= CPU_Status; when others => inputA <= regFile(to_integer(unsigned(instrExecute(REGa)))); end case; end if; if(instrExecute(PTRb) = '1') then inputB <= dataInput; else if(instrExecute(IMMb) = '1') then inputB <= std_logic_vector(to_unsigned(0, 21)) & instrExecute(IMMv); else case to_integer(unsigned(instrExecute(REGb))) is when 14 => inputB <= shadowPC_FB; when 15 => inputB <= CPU_Status; when others => inputB <= regFile(to_integer(unsigned(instrExecute(REGb)))); end case; end if; end if; -- We take along important information for the writeback instrWriteBack <= instrExecute; else instrWriteBack <= X"0000_0000"; inputA <= X"0000_0000"; inputB <= X"0000_0000"; end if; -- WRITEBACK if(wbEn='1' and cpuState = Nominal) then if(instrWriteBack(PTRc) = '1') then dataOutAddr <= regFile(to_integer(unsigned(instrWriteBack(REGc)))); dataOutput <= ALU_Out; dataWrEn <= '1'; pc <= std_logic_vector(unsigned(pc) + to_unsigned(1, pc'length)); else dataWrEn <= '0'; case to_integer(unsigned(instrWriteBack(REGc))) is when 14 => pc <= ALU_out; cpuState <= Flush; when 15 => pc <= std_logic_vector(unsigned(pc) + to_unsigned(1, pc'length)); cpuState <= Nominal; when others => regFile(to_integer(unsigned(instrWriteBack(REGc)))) <= ALU_out; pc <= std_logic_vector(unsigned(pc) + to_unsigned(1, pc'length)); cpuState <= Nominal; end case; end if; else dataWrEn <= '0'; pc <= std_logic_vector(unsigned(pc) + to_unsigned(1, pc'length)); cpuState <= Nominal; end if; if( (forceRoot = '1' or CPU_Status(31 downto 30) = "00") and instrWriteBack(REGc) = X"F" and wbEn = '1' ) then CPU_Status <= ALU_Out; elsif(instrWriteBack(0) = '1') then CPU_Status(7 downto 0) <= ALU_Status; end if; end if; end process; end architecture;
mit
boztalay/OldProjects
FPGA/Current Projects/Subsystems/OZ-3/OpinReg.vhd
3
865
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:01:55 10/26/2009 -- Design Name: -- Module Name: OpinReg - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity OpinReg is end OpinReg; architecture Behavioral of OpinReg is begin end Behavioral;
mit
boztalay/OldProjects
FPGA/LCD_Control/TestCPU1_dRAM_TB.vhd
1
3002
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 02:14:07 10/04/2009 -- Design Name: -- Module Name: C:/Users/Ben/Desktop/Folders/FPGA/Projects/Current Projects/Systems/TestCPU1/TestCPU1_dRAM_TB.vhd -- Project Name: TestCPU1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: TestCPU1_dRAM -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY TestCPU1_dRAM_TB IS END TestCPU1_dRAM_TB; ARCHITECTURE behavior OF TestCPU1_dRAM_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT TestCPU1_dRAM PORT( clock : IN std_logic; reset : IN std_logic; write_e : IN std_logic; read_e : IN std_logic; addr : IN std_logic_vector(7 downto 0); data : IN std_logic_vector(15 downto 0); to_reg_file : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal write_e : std_logic := '0'; signal read_e : std_logic := '0'; signal addr : std_logic_vector(7 downto 0) := (others => '0'); signal data : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal to_reg_file : std_logic_vector(15 downto 0); -- Clock period definitions constant clock_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: TestCPU1_dRAM PORT MAP ( clock => clock, reset => reset, write_e => write_e, read_e => read_e, addr => addr, data => data, to_reg_file => to_reg_file ); -- Clock process definitions clock_process :process begin clock <= '0'; wait for clock_period/2; clock <= '1'; wait for clock_period/2; end process; -- Stimulus process stim_proc: process begin wait for 20 ns; write_e <= '1'; addr <= x"01"; data <= x"0001"; wait for 10 ns; addr <= x"02"; data <= x"0002"; wait for 10 ns; write_e <= '0'; read_e <= '1'; wait for 10 ns; addr <= x"01"; wait for 10 ns; reset <= '1'; wait for 10 ns; reset <= '0'; read_e <= '0'; wait; end process; END;
mit
boztalay/OldProjects
FPGA/Current Projects/Components/GenCounter.vhd
2
1330
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:39:09 11/26/2009 -- Design Name: -- Module Name: GenCounter - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity GenCounter is generic (size : integer); Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; data_out : out STD_LOGIC_VECTOR((size - 1) downto 0)); end GenCounter; architecture Behavioral of GenCounter is begin main: process(clock, reset) is variable count : STD_LOGIC_VECTOR((size - 1) downto 0) := (others => '0'); begin if rising_edge(clock) then count := count + 1; end if; if reset = '1' then count := (others => '0'); end if; data_out <= count; end process; end Behavioral;
mit
boztalay/OldProjects
FPGA/FlashProgrammer/GenCounter.vhd
2
1330
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:39:09 11/26/2009 -- Design Name: -- Module Name: GenCounter - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity GenCounter is generic (size : integer); Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; data_out : out STD_LOGIC_VECTOR((size - 1) downto 0)); end GenCounter; architecture Behavioral of GenCounter is begin main: process(clock, reset) is variable count : STD_LOGIC_VECTOR((size - 1) downto 0) := (others => '0'); begin if rising_edge(clock) then count := count + 1; end if; if reset = '1' then count := (others => '0'); end if; data_out <= count; end process; end Behavioral;
mit
boztalay/OldProjects
FPGA/LCD_Control/mROM.vhd
1
1823
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:06:19 10/24/2009 -- Design Name: -- Module Name: mROM - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity mROM is Port ( enable : in STD_LOGIC; address : in STD_LOGIC_VECTOR (4 downto 0); data_out : out STD_LOGIC_VECTOR (7 downto 0)); end mROM; architecture Behavioral of mROM is begin mROM: process (address) is type mROM_array is array (31 downto 0) of STD_LOGIC_VECTOR (7 downto 0); variable mROM: mROM_array := (0 => "00111000", 1 => "00001111", 2 => "00000001", 3 => "01001001", --'I' 4 => "01110100", --'t' 5 => "00100000", --' ' 6 => "01110111", --'w' 7 => "01101111", --'o' 8 => "01110010", --'r' 9 => "01101011", --'k' 10 => "01110011", --'s' 11 => "00100001", --'!' 12 => "00100000", --' ' 13 => "00111010", --':' 14 => "01000100", --'D' others => "00000000"); --Ready to begin write cycles begin data_out <= mROM(conv_integer(unsigned(address))); end process; end Behavioral;
mit
miamor/8dot
assets/plugins/ace/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
mit
bargei/NoC264
NoC264_3x3/deblocking_filter_node.vhd
1
13242
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; entity deblocking_filter_node is generic ( data_width : integer := 64; addr_width : integer := 1; vc_sel_width : integer := 1; num_vc : integer := 2; flit_buff_depth : integer := 8 ); port( clk : in std_logic; rst : in std_logic; -- recv interface to network recv_data : in std_logic_vector(data_width-1 downto 0); src_addr : in std_logic_vector(addr_width-1 downto 0); is_tail_flit : in std_logic; data_in_buffer : in std_logic_vector(num_vc-1 downto 0); dequeue : out std_logic_vector(num_vc-1 downto 0); select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0); -- send interface to network send_data : out std_logic_vector(data_width-1 downto 0); dest_addr : out std_logic_vector(addr_width-1 downto 0); set_tail_flit : out std_logic; send_flit : out std_logic; ready_to_send : in std_logic; --debugging has_rxd : out std_logic; is_idle : out std_logic; is_filtering : out std_logic; is_tx_ing : out std_logic; is_cleanup_ing : out std_logic; rx_non_zero : out std_logic; tx_non_zero : out std_logic ); end entity deblocking_filter_node; architecture fsmd of deblocking_filter_node is component h264_deblock_filter_core is port( clk : in std_logic; rst : in std_logic; is_chroma : in std_logic; boundary_strength : in signed(8 downto 0); p0 : in signed(8 downto 0); p1 : in signed(8 downto 0); p2 : in signed(8 downto 0); p3 : in signed(8 downto 0); q0 : in signed(8 downto 0); q1 : in signed(8 downto 0); q2 : in signed(8 downto 0); q3 : in signed(8 downto 0); alpha : in signed(8 downto 0); beta : in signed(8 downto 0); tc0 : in signed(8 downto 0); p0_out : out signed(8 downto 0); p1_out : out signed(8 downto 0); p2_out : out signed(8 downto 0); q0_out : out signed(8 downto 0); q1_out : out signed(8 downto 0); q2_out : out signed(8 downto 0) ); end component h264_deblock_filter_core; component priority_encoder is generic( encoded_word_size : integer := 2 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end component priority_encoder; --signals signal is_chroma : std_logic; signal boundary_strength : std_logic_vector(8 downto 0); signal p0 : std_logic_vector(8 downto 0); signal p1 : std_logic_vector(8 downto 0); signal p2 : std_logic_vector(8 downto 0); signal p3 : std_logic_vector(8 downto 0); signal q0 : std_logic_vector(8 downto 0); signal q1 : std_logic_vector(8 downto 0); signal q2 : std_logic_vector(8 downto 0); signal q3 : std_logic_vector(8 downto 0); signal alpha : std_logic_vector(8 downto 0); signal beta : std_logic_vector(8 downto 0); signal tc0 : std_logic_vector(8 downto 0); signal bS : std_logic_vector(8 downto 0); signal p0_out : signed(8 downto 0); signal p1_out : signed(8 downto 0); signal p2_out : signed(8 downto 0); signal q0_out : signed(8 downto 0); signal q1_out : signed(8 downto 0); signal q2_out : signed(8 downto 0); signal p0_out_vector : std_logic_vector(8 downto 0); signal p1_out_vector : std_logic_vector(8 downto 0); signal p2_out_vector : std_logic_vector(8 downto 0); signal q0_out_vector : std_logic_vector(8 downto 0); signal q1_out_vector : std_logic_vector(8 downto 0); signal q2_out_vector : std_logic_vector(8 downto 0); signal identifier : std_logic_vector(7 downto 0); signal selected_vc_enc : std_logic_vector(vc_sel_width-1 downto 0); signal selected_vc_q : std_logic_vector(vc_sel_width-1 downto 0); signal selected_vc_d : std_logic_vector(vc_sel_width-1 downto 0); signal selected_vc_one_hot : std_logic_vector(num_vc-1 downto 0); signal has_rxd_q, has_rxd_d : std_logic; signal recv_packet_q : std_logic_vector(127 downto 0); signal recv_packet_d : std_logic_vector(127 downto 0); signal send_data_0 : std_logic_vector(63 downto 0); signal send_data_1 : std_logic_vector(63 downto 0); --constants constant p_index : integer := 96; constant q_index : integer := 64; constant param_index : integer := 32; constant sys_param_index : integer := 0; --states type db_filter_states is (idle, select_vc, rx_0, rx_1, wait_rx_0, tx_0, tx_1, dequeue_0, dequeue_1, wait_tx_0, wait_tx_1); signal next_state, current_state : db_filter_states; begin --------------------------------------------------------------------------- -- DATAPATH --------------------------------------------------------------------------- process(clk, rst) begin if rst = '1' then recv_packet_q <= (others => '0'); selected_vc_q <= (others => '0'); elsif rising_edge(clk) then recv_packet_q <= recv_packet_d; selected_vc_q <= selected_vc_d; end if; end process; recv_packet_d(63 downto 0) <= recv_data when current_state = rx_0 else recv_packet_q(63 downto 0); recv_packet_d(127 downto 64) <= recv_data when current_state = rx_1 else recv_packet_q(127 downto 64); selected_vc_d <= selected_vc_enc when current_state = select_vc else selected_vc_q; selected_vc_one_hot <= "01" when selected_vc_q = "0" else "10"; --parse input p3( 7 downto 0 ) <= (recv_packet_q( p_index + 31 downto p_index + 24)); p2( 7 downto 0 ) <= (recv_packet_q( p_index + 23 downto p_index + 16)); p1( 7 downto 0 ) <= (recv_packet_q( p_index + 15 downto p_index + 8)); p0( 7 downto 0 ) <= (recv_packet_q( p_index + 7 downto p_index + 0)); q3( 7 downto 0 ) <= (recv_packet_q( q_index + 31 downto q_index + 24)); q2( 7 downto 0 ) <= (recv_packet_q( q_index + 23 downto q_index + 16)); q1( 7 downto 0 ) <= (recv_packet_q( q_index + 15 downto q_index + 8)); q0( 7 downto 0 ) <= (recv_packet_q( q_index + 7 downto q_index + 0)); alpha( 7 downto 0 ) <= (recv_packet_q( param_index + 31 downto param_index + 24)); beta( 7 downto 0 ) <= (recv_packet_q( param_index + 23 downto param_index + 16)); bS( 7 downto 0 ) <= (recv_packet_q( param_index + 15 downto param_index + 8 )); tc0( 7 downto 0 ) <= (recv_packet_q( param_index + 7 downto param_index + 0 )); p3( 8 ) <= '0'; p2( 8 ) <= '0'; p1( 8 ) <= '0'; p0( 8 ) <= '0'; q3( 8 ) <= '0'; q2( 8 ) <= '0'; q1( 8 ) <= '0'; q0( 8 ) <= '0'; alpha( 8 ) <= '0'; beta( 8 ) <= '0'; bS( 8 ) <= '0'; tc0( 8 ) <= '0'; is_chroma <= recv_packet_q( sys_param_index + 16 ); identifier <= recv_packet_q( sys_param_index + 15 downto sys_param_index + 8 ); --form response p0_out_vector <= std_logic_vector(p0_out); p1_out_vector <= std_logic_vector(p1_out); p2_out_vector <= std_logic_vector(p2_out); q0_out_vector <= std_logic_vector(q0_out); q1_out_vector <= std_logic_vector(q1_out); q2_out_vector <= std_logic_vector(q2_out); send_data_1 <= p3(7 downto 0) & p2_out_vector(7 downto 0) & p1_out_vector(7 downto 0) & p0_out_vector(7 downto 0) & q3(7 downto 0) & q2_out_vector(7 downto 0) & q1_out_vector(7 downto 0) & q0_out_vector(7 downto 0); send_data_0 <= X"00000000" & x"000000" & identifier; send_data <= send_data_0 when current_state = wait_tx_0 or current_state = dequeue_1 or current_state = tx_0 else send_data_1; --network controls dest_addr <= std_logic_vector(to_unsigned(7, addr_width)); select_vc_read <= selected_vc_q; set_tail_flit <= '1' when current_state = wait_tx_1 or current_state = tx_1 else '0'; send_flit <= '1' when current_state = tx_0 or current_state = tx_1 else '0'; dequeue <= selected_vc_one_hot when current_state = dequeue_0 or current_state = dequeue_1 else "00"; -- filter core u0: component h264_deblock_filter_core port map( clk => '0', rst => '0', is_chroma => is_chroma, boundary_strength => signed( bs ), p0 => signed( p0 ), p1 => signed( p1 ), p2 => signed( p2 ), p3 => signed( p3 ), q0 => signed( q0 ), q1 => signed( q1 ), q2 => signed( q2 ), q3 => signed( q3 ), alpha => signed( alpha ), beta => signed( beta ), tc0 => signed( tc0 ), p0_out => p0_out, p1_out => p1_out, p2_out => p2_out, q0_out => q0_out, q1_out => q1_out, q2_out => q2_out ); -- select which bufer to read from u1: priority_encoder generic map(vc_sel_width) port map(data_in_buffer, selected_vc_enc); --------------------------------------------------------------------------- -- STATE MACHINE --------------------------------------------------------------------------- --state register process(clk, rst) begin if rst = '1' then current_state <= idle; elsif rising_edge(clk) then current_state <= next_state; end if; end process; --update logic process(current_state, data_in_buffer, ready_to_send) begin --default next_state <= current_state; if current_state = idle and or_reduce(data_in_buffer) = '1' then next_state <= select_vc; end if; if current_state = select_vc then next_state <= rx_0; end if; if current_state = rx_0 then next_state <= dequeue_0; end if; if current_state = dequeue_0 then next_state <= wait_rx_0; end if; if current_state = wait_rx_0 and or_reduce(data_in_buffer and selected_vc_one_hot) = '1' then next_state <= rx_1; end if; if current_state = rx_1 then next_state <= dequeue_1; end if; if current_state = dequeue_1 then next_state <= wait_tx_0; end if; if current_state = wait_tx_0 and ready_to_send = '1' then next_state <= tx_0; end if; if current_state = tx_0 then next_state <= wait_tx_1; end if; if current_state = wait_tx_1 and ready_to_send = '1' then next_state <= tx_1; end if; if current_state = tx_1 then next_state <= idle; end if; end process; end architecture fsmd;
mit
boztalay/OldProjects
FPGA/Gates/Gate_Or/Gate_Or.vhd
1
1005
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 00:50:42 04/10/2009 -- Design Name: -- Module Name: Gate_Or - Behavioral -- Project Name: OR Gate -- Target Devices: -- Tool versions: -- Description: An OR logic gate with two inputs -- -- Dependencies: None -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Gate_Or is Port ( A : in STD_LOGIC; B : in STD_LOGIC; Q : out STD_LOGIC); end Gate_Or; architecture Behavioral of Gate_Or is begin Q <= (A or B); end Behavioral;
mit
bargei/NoC264
NoC264_2x2/noc_interface.vhd
1
6917
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; entity noc_interface is generic( data_width : integer := 64; addr_width : integer := 1; vc_sel_width : integer := 1; num_vc : integer := 2; flit_buff_depth : integer := 8; use_vc : integer := 0 ); port( --clk, reset clk : in std_logic; rst : in std_logic; --user sending interface send_data : in std_logic_vector(data_width-1 downto 0); dest_addr : in std_logic_vector(addr_width-1 downto 0); set_tail_flit : in std_logic; send_flit : in std_logic; ready_to_send : out std_logic; --user receiving interface recv_data : out std_logic_vector(data_width-1 downto 0); src_addr : out std_logic_vector(addr_width-1 downto 0); is_tail_flit : out std_logic; data_in_buffer : out std_logic_vector(num_vc-1 downto 0); dequeue : in std_logic_vector(num_vc-1 downto 0); select_vc_read : in std_logic_vector(vc_sel_width-1 downto 0); --interface to network send_putFlit_flit_in : out std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0); EN_send_putFlit : out std_logic; EN_send_getNonFullVCs : out std_logic; send_getNonFullVCs : in std_logic_vector(num_vc-1 downto 0); EN_recv_getFlit : out std_logic; recv_getFlit : in std_logic_vector(data_width+addr_width+vc_sel_width+1 downto 0); recv_putNonFullVCs_nonFullVCs : out std_logic_vector(num_vc-1 downto 0); EN_recv_putNonFullVCs : out std_logic; recv_info_getRecvPortID : in std_logic_vector(addr_width-1 downto 0) ); end entity noc_interface; architecture structural of noc_interface is --fifo buffer for reciving component fifo_buffer is generic( word_len : integer := 64; buff_len : integer := 8 ); port( write_data : in std_logic_vector(word_len-1 downto 0); read_data : out std_logic_vector(word_len-1 downto 0); buffer_full : out std_logic; buffer_empty : out std_logic; enqueue : in std_logic; dequeue : in std_logic; clk : in std_logic; rst : in std_logic ); end component fifo_buffer; type fifo_io is array(num_vc-1 downto 0) of std_logic_vector(vc_sel_width+data_width+addr_width+1 downto 0); signal write_vc, read_vc: fifo_io; signal buffer_full_vc, buffer_empty_vc, enqueue_vc, dequeue_vc: std_logic_vector(num_vc-1 downto 0); signal receive_vc: std_logic_vector(vc_sel_width-1 downto 0); -- priority encoder component priority_encoder is generic( encoded_word_size : integer := 3 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end component priority_encoder; signal selected_vc : std_logic_vector(vc_sel_width-1 downto 0); --constants to parse flits constant data_msb : integer := data_width-1; constant data_lsb : integer := 0; constant vc_msb : integer := vc_sel_width+data_width-1; constant vc_lsb : integer := data_width; constant addr_msb : integer := vc_sel_width+data_width+addr_width-1; constant addr_lsb : integer := vc_sel_width+data_width; constant is_tail_index : integer := vc_sel_width+data_width+addr_width; constant is_valid_index : integer := vc_sel_width+data_width+addr_width+1; constant flit_size : integer := vc_sel_width+data_width+addr_width+2; begin --------------------------------------------------------------------------- --RECEIVE SIDE ------------------------------------------------------------ --------------------------------------------------------------------------- -- create and map 1 buffer for each VC receive_buffer: for i in num_vc-1 downto 0 generate signal vc_select : integer; signal flit_valid : std_logic; begin ur_i: fifo_buffer generic map(data_width+addr_width+vc_sel_width+2, flit_buff_depth) port map(write_vc(i), read_vc(i), buffer_full_vc(i), buffer_empty_vc(i), enqueue_vc(i), dequeue_vc(i), clk, rst); vc_select <= to_integer(unsigned(recv_getFlit(vc_msb downto vc_lsb))); flit_valid <= recv_getFlit(is_valid_index); write_vc(i) <= recv_getFlit when i = vc_select else std_logic_vector(to_unsigned(0,flit_size)); enqueue_vc(i) <= flit_valid when i = vc_select else '0'; end generate; -- IO for receive side of controller EN_recv_getFlit <= '1'; -- always read to receive flits as long as buffers aren't full recv_putNonFullVCs_nonFullVCs <= not buffer_full_vc; data_in_buffer <= not buffer_empty_vc; recv_data <= read_vc(to_integer(unsigned(select_vc_read)))(data_msb downto data_lsb); dequeue_vc <= dequeue; is_tail_flit <= read_vc(to_integer(unsigned(select_vc_read)))(is_tail_index); src_addr <= read_vc(to_integer(unsigned(select_vc_read)))(addr_msb downto addr_lsb); EN_recv_putNonFullVCs <= '1'; -- readme is not clear about what this does, assuming it is not need for peek flow control --------------------------------------------------------------------------- --SEND SIDE --------------------------------------------------------------- --------------------------------------------------------------------------- -------- priority encoder to determine which vc to use ------us_0: priority_encoder generic map(vc_sel_width) ------ port map(send_getNonFullVCs, selected_vc); ------ ------ -------- IO for sending side of controller ------send_putFlit_flit_in <= send_flit & set_tail_flit & dest_addr & selected_vc & send_data; --------ready_to_send <= '0' when to_integer(unsigned(send_getNonFullVCs)) = 0 else '1'; ------ready_to_send <= or_reduce(send_getNonFullVCs); ------EN_send_putFlit <= send_flit; ------EN_send_getNonFullVCs <= '1'; --always read to recieve credits ------ ------ -- test version which only sends on VC0 -- priority encoder to determine which vc to use selected_vc <= std_logic_vector(to_unsigned(use_vc, vc_sel_width)); -- IO for sending side of controller send_putFlit_flit_in <= send_flit & set_tail_flit & dest_addr & selected_vc & send_data; ready_to_send <= send_getNonFullVCs(use_vc); EN_send_putFlit <= send_flit; EN_send_getNonFullVCs <= '1'; --always read to recieve credits end architecture structural;
mit
bargei/NoC264
NoC264_2x2/avg2.vhd
2
705
-- Inter-Prediction Interpolator Filter -- see ITU Std. 8.4.2.2.1 and 8.4.2.2.2 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use ieee.math_real.all; entity avg2 is port( x0 : in std_logic_vector(7 downto 0); x1 : in std_logic_vector(7 downto 0); y : out std_logic_vector(7 downto 0) ); end entity avg2; architecture rtl of avg2 is signal sum : unsigned(8 downto 0); signal y_extd : std_logic_vector(8 downto 0); begin sum <= unsigned("0" & x0) + unsigned("0" & x1) + to_unsigned(1, 9); y_extd <= std_logic_vector(shift_right(sum, 1)); y <= y_extd( 7 downto 0); end architecture rtl;
mit
bargei/NoC264
NoC264_3x3/chroma_motion.vhd
1
12683
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use ieee.math_real.all; ------------------------------------------------------------------------------- --- chroma_motion.vhd --- Ian Barge, 2017 --- --- Implements an NoC node for performing motion compensation on chroma samples. --- Designed for use with the NoC generated using CONNECT --- http://users.ece.cmu.edu/~mpapamic/connect/ --- --- see https://www.itu.int/rec/T-REC-H.264-201610-I/en for more information on --- this algorith (section 8.4.2.2.2) and h.264 as a whole --- --- Input packet format: --- flit 0: 63..40 RESERVED --- 39..32 motion vector Cr channel, x component --- 31..24 motion vector Cr channel, y component --- 23..16 motion vector Cb channel, x component --- 15..8 motion vector Cb channel, y component --- 7..0 packet identifier --- --- flit 1: Cr reference (0,0), (1,0), (2,0), (0,1), (1,1), (2,1), ... (1,2)* --- flit 2: Cb reference (0,0), (1,0), (2,0), (0,1), (1,1), (2,1), ... (1,2)* --- flit 3: 63..40: RESERVED --- 39..32: Cr reference (2,2) --- 31..8: RESERVED --- 7..0: Cb reference (2,2) --- * 8 bits each entity chroma_motion is generic( data_width : integer := 64; addr_width : integer := 4; vc_sel_width : integer := 1; num_vc : integer := 2; flit_buff_depth : integer := 8 ); port( clk : in std_logic; rst : in std_logic; -- recv interface to network recv_data : in std_logic_vector(data_width-1 downto 0); src_addr : in std_logic_vector(addr_width-1 downto 0); is_tail_flit : in std_logic; data_in_buffer : in std_logic_vector(num_vc-1 downto 0); dequeue : out std_logic_vector(num_vc-1 downto 0); select_vc_read : out std_logic_vector(vc_sel_width-1 downto 0); -- send interface to network send_data : out std_logic_vector(data_width-1 downto 0); dest_addr : out std_logic_vector(addr_width-1 downto 0); set_tail_flit : out std_logic; send_flit : out std_logic; ready_to_send : in std_logic ); end entity chroma_motion; architecture fsmd of chroma_motion is --- Components ------------------------------------------------------------ component priority_encoder is generic( encoded_word_size : integer := 3 ); Port( input : in std_logic_vector(2**encoded_word_size-1 downto 0); output : out std_logic_vector(encoded_word_size-1 downto 0) ); end component priority_encoder; --- Types ----------------------------------------------------------------- type chroma_motion_states is (idle, sel_vc, rx_header, dequeue_header, wait_rx_cr, rx_cr, dequeue_cr, wait_rx_cb, rx_cb, dequeue_cb, wait_rx_crcb, rx_crcb, dequeue_crcb, wait_tx_header, tx_header, wait_tx_data, tx_data); type reference_array is array (8 downto 0) of integer; type result_array is array (3 downto 0) of integer; --- signals and registers ------------------------------------------------- signal cr_ref_d : reference_array; signal cb_ref_d : reference_array; signal cr_ref_q : reference_array; signal cb_ref_q : reference_array; signal cr_result : result_array; signal cb_result : result_array; signal cr_x_frac_d : integer; signal cr_y_frac_d : integer; signal cr_x_frac_q : integer; signal cr_y_frac_q : integer; signal cb_x_frac_d : integer; signal cb_y_frac_d : integer; signal cb_x_frac_q : integer; signal cb_y_frac_q : integer; signal ref_d : std_logic_vector(7 downto 0); signal ref_q : std_logic_vector(7 downto 0); signal result_vect : std_logic_vector(63 downto 0); signal resp_header : std_logic_vector(63 downto 0); signal state : chroma_motion_states; signal next_state : chroma_motion_states; signal sel_vc_d : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_q : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_enc : std_logic_vector(vc_sel_width-1 downto 0); signal sel_vc_one_hot : std_logic_vector(num_vc-1 downto 0); begin --------------------------------------------------------------------------- --- DATAPATH -------------------------------------------------------------- --------------------------------------------------------------------------- --components u2: component priority_encoder generic map( encoded_word_size => vc_sel_width ) Port map( input => data_in_buffer, output => sel_vc_enc ); --registers regs: process(clk, rst) begin if rst = '1' then cr_ref_q <= (others => 0); cb_ref_q <= (others => 0); cr_x_frac_q <= 0; cr_y_frac_q <= 0; cb_x_frac_q <= 0; cb_y_frac_q <= 0; ref_q <= (others => '0'); sel_vc_q <= (others => '0'); state <= idle; elsif rising_edge(clk) then cr_ref_q <= cr_ref_d; cb_ref_q <= cb_ref_d; cr_x_frac_q <= cr_x_frac_d; cr_y_frac_q <= cr_y_frac_d; cb_x_frac_q <= cb_x_frac_d; cb_y_frac_q <= cb_y_frac_d; ref_q <= ref_d; sel_vc_q <= sel_vc_d; state <= next_state; end if; end process; --register update reg_update: for i in 7 downto 0 generate constant recv_data_low_index : integer := i * 8; constant recv_data_high_index : integer := recv_data_low_index + 7; begin cr_ref_d(i) <= to_integer(unsigned(recv_data(recv_data_high_index downto recv_data_low_index))) when state = rx_cr else cr_ref_q(i); cb_ref_d(i) <= to_integer(unsigned(recv_data(recv_data_high_index downto recv_data_low_index))) when state = rx_cb else cb_ref_q(i); end generate; cr_ref_d(8) <= to_integer(unsigned(recv_data(39 downto 32))) when state = rx_crcb else cr_ref_q(8); cb_ref_d(8) <= to_integer(unsigned(recv_data(7 downto 0 ))) when state = rx_crcb else cb_ref_q(8); cr_x_frac_d <= to_integer(unsigned(recv_data(39 downto 32))) when state = rx_header else cr_x_frac_q; cr_y_frac_d <= to_integer(unsigned(recv_data(31 downto 24))) when state = rx_header else cr_y_frac_q; cb_x_frac_d <= to_integer(unsigned(recv_data(23 downto 16))) when state = rx_header else cb_x_frac_q; cb_y_frac_d <= to_integer(unsigned(recv_data(15 downto 8 ))) when state = rx_header else cb_y_frac_q; ref_d <= recv_data(7 downto 0) when state = rx_header else ref_q; sel_vc_d <= sel_vc_enc when state = sel_vc else sel_vc_q; --the algorithm --2d linear interpolator for 2 2x2 blocks chroma_motion_x: for x in 1 downto 0 generate chroma_motion_y: for y in 1 downto 0 generate constant ref_0_0_index : integer := x + y*3; constant ref_0_1_index : integer := x + (y+1)*3; constant ref_1_0_index : integer := (x+1) + y*3; constant ref_1_1_index : integer := (x+1) + (y+1) * 3; constant cr_cb_result_index : integer := x + y*2; begin cr_result(cr_cb_result_index) <= ((8-cr_x_frac_q)*(8-cr_y_frac_q)*cr_ref_q(ref_0_0_index) + cr_x_frac_q*(8-cr_y_frac_q)*cr_ref_q(ref_1_0_index) + (8-cr_x_frac_q)*cr_y_frac_q*cr_ref_q(ref_0_1_index) + cr_x_frac_q*cr_y_frac_q*cr_ref_q(ref_1_1_index) + 32 )/64; cb_result(cr_cb_result_index) <= ((8-cb_x_frac_q)*(8-cb_y_frac_q)*cb_ref_q(ref_0_0_index) + cb_x_frac_q*(8-cb_y_frac_q)*cb_ref_q(ref_1_0_index) + (8-cb_x_frac_q)*cb_y_frac_q*cb_ref_q(ref_0_1_index) + cb_x_frac_q*cb_y_frac_q*cb_ref_q(ref_1_1_index) + 32 )/64; end generate; end generate; --output formatting result_vect <= std_logic_vector(to_unsigned(cr_result(0), 8)) & std_logic_vector(to_unsigned(cr_result(1), 8)) & std_logic_vector(to_unsigned(cr_result(2), 8)) & std_logic_vector(to_unsigned(cr_result(3), 8)) & std_logic_vector(to_unsigned(cb_result(0), 8)) & std_logic_vector(to_unsigned(cb_result(1), 8)) & std_logic_vector(to_unsigned(cb_result(2), 8)) & std_logic_vector(to_unsigned(cb_result(3), 8)); resp_header <= x"00000000000000" & ref_q; --packet generation send_data <= resp_header when state = wait_tx_header or state = tx_header else result_vect; dest_addr <= std_logic_vector(to_unsigned(7, addr_width)); set_tail_flit <= '1' when state = wait_tx_data or state = tx_data else '0'; send_flit <= '1' when state = tx_header or state = tx_data else '0'; --rx controls dequeue <= sel_vc_one_hot when state = dequeue_cb or state = dequeue_cr or state = dequeue_crcb or state = dequeue_header else "00"; select_vc_read <= sel_vc_q; sel_vc_one_hot <= "01" when sel_vc_q = "0" else "10"; --------------------------------------------------------------------------- --- STATE MACHINE --------------------------------------------------------- --------------------------------------------------------------------------- process(state, data_in_buffer, is_tail_flit, sel_vc_one_hot, ready_to_send) begin next_state <= state; if state = idle and or_reduce(data_in_buffer) = '1' then next_state <= sel_vc; end if; if state = sel_vc then next_state <= rx_header; end if; if state = rx_header then next_state <= dequeue_header; end if; if state = dequeue_header then next_state <= wait_rx_cr; end if; if state = wait_rx_cr and or_reduce(sel_vc_one_hot and data_in_buffer) = '1' then next_state <= rx_cr; end if; if state = rx_cr then next_state <= dequeue_cr; end if; if state = dequeue_cr then next_state <= wait_rx_cb; end if; if state = wait_rx_cb and or_reduce(sel_vc_one_hot and data_in_buffer) = '1' then next_state <= rx_cb; end if; if state = rx_cb then next_state <= dequeue_cb; end if; if state = dequeue_cb then next_state <= wait_rx_crcb; end if; if state = wait_rx_crcb and or_reduce(sel_vc_one_hot and data_in_buffer) = '1' then next_state <= rx_crcb; end if; if state = rx_crcb then next_state <= dequeue_crcb; end if; if state = dequeue_crcb then next_state <= wait_tx_header; end if; if state = wait_tx_header and ready_to_send = '1' then next_state <= tx_header; end if; if state = tx_header then next_state <= wait_tx_data; end if; if state = wait_tx_data and ready_to_send = '1' then next_state <= tx_data; end if; if state = tx_data then next_state <= idle; end if; end process; end architecture;
mit
boztalay/OldProjects
FPGA/testytest/memory.vhd
1
10901
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity memory is Port ( clk80 : in std_logic; rst : in std_logic; cam_vs : in STD_LOGIC; vid_vs : in STD_LOGIC; empty : out STD_LOGIC; full : out STD_LOGIC; CQ_write_en : in STD_LOGIC; -- CQ_write_clk : in STD_LOGIC; CQ_data_in : in STD_LOGIC_VECTOR(15 downto 0); VQ_read_en : in STD_LOGIC; -- VQ_read_clk : in STD_LOGIC; VQ_data_out : out STD_LOGIC_VECTOR(15 downto 0); RAM_addr : out std_logic_vector(22 downto 0); RAM_data_out : out std_logic_vector(15 downto 0); RAM_data_in : in std_logic_vector(15 downto 0); RAM_oe : out std_logic; RAM_we : out std_logic; RAM_adv : out std_logic; RAM_clk_en : out std_logic; RAM_ub : out std_logic; RAM_lb : out std_logic; RAM_ce : out std_logic; RAM_cre : out std_logic; RAM_wait : in std_logic; led : out std_logic_vector(7 downto 0) ); end memory; architecture Behavioral of memory is type state_type is (start, cfgmem, cfgmem_wait, cfgmem_done, cfgReadAry, cfgReadAry_wait, waitstate, mem_write_init, mem_write_init_wait_high, mem_write_init_wait, mem_write_data, mem_write_end, mem_read_init, mem_read_init_wait_high, mem_read_init_wait, mem_read_data, mem_read_end); signal state, next_state : state_type; signal RAM_addr_s : std_logic_vector(22 downto 0); signal RAM_oe_s : std_logic; signal latency_cnt : integer; signal data_in_reg : std_logic_vector(15 downto 0); signal CQ_empty : STD_LOGIC; signal CQ_read_en : STD_LOGIC; signal CQ_data_out : STD_LOGIC_VECTOR(15 downto 0); signal VQ_full : STD_LOGIC; signal VQ_write_en : STD_LOGIC; signal VQ_data_in : STD_LOGIC_VECTOR(15 downto 0); signal write_addr_reg : STD_LOGIC_VECTOR(22 downto 0); signal write_addr_inc : STD_LOGIC; signal read_addr_reg : STD_LOGIC_VECTOR(22 downto 0); signal read_addr_inc : STD_LOGIC; signal RAM_data_in_reg : STD_LOGIC_VECTOR(15 downto 0); signal VQ_data_in_reg : STD_LOGIC_VECTOR(15 downto 0); signal VQ_write_en_reg : STD_LOGIC; signal CQ_read_en_reg : STD_LOGIC; ----Queue component delcaration --component FIFO -- port ( -- din: IN std_logic_VECTOR(15 downto 0); -- rd_clk: IN std_logic; -- rd_en: IN std_logic; -- rst: IN std_logic; -- wr_clk: IN std_logic; -- wr_en: IN std_logic; -- dout: OUT std_logic_VECTOR(15 downto 0); -- empty: OUT std_logic; -- full: OUT std_logic); --end component; -- ---- Synplicity black box declaration --attribute syn_black_box : boolean; --attribute syn_black_box of FIFO: component is true; component fifo is port( CLR : in std_logic; CLK : in std_logic; RD : in std_logic; WR : in std_logic; DATA : in std_logic_vector(15 downto 0); EMPTY : out std_logic; FULL : out std_logic; Q : out std_logic_vector(15 downto 0) ); end component; begin RAM_oe <= RAM_oe_s; RAM_addr <= RAM_addr_s; empty <= CQ_empty; full <= VQ_full; CQ : fifo port map ( clr => rst, clk => clk80, rd => CQ_read_en, wr => CQ_write_en, data => CQ_data_in, empty => CQ_empty, q => CQ_data_out); VQ : fifo port map ( clr => rst, clk => clk80, rd => VQ_read_en, wr => VQ_write_en, data => VQ_data_in, full => VQ_full, q => VQ_data_out); ----The camera queue --CQ : FIFO -- port map ( -- din => CQ_data_in, -- rd_clk => clk80, -- rd_en => CQ_read_en_reg, -- rst => rst, -- wr_clk => CQ_write_clk, -- wr_en => CQ_write_en, -- dout => CQ_data_out, -- empty => CQ_empty); -- ----The video queue --VQ : FIFO -- port map ( -- din => VQ_data_in_reg, -- rd_clk => VQ_read_clk, -- rd_en => VQ_read_en, -- rst => rst, -- wr_clk => clk80, -- wr_en => VQ_write_en_reg, -- dout => VQ_data_out, -- full => VQ_full); RAM_control_SM: process(clk80, rst) begin if rst='1' then state <= start; latency_cnt <= 0; elsif clk80'event and clk80='1' then if state /= next_state then latency_cnt <= 1; else latency_cnt <= latency_cnt + 1; end if; state <= next_state; end if; end process; RAM_control_NextState: process(state, latency_cnt, RAM_wait) begin case state is --Configuring the memory when start => next_state <= cfgMem; when cfgmem => if latency_cnt < 7 then next_state <= cfgmem; else next_state <= cfgMem_wait; end if; when cfgmem_wait => --wait is active high (default) if latency_cnt < 7 then next_state <= cfgMem_wait; else next_state <= cfgmem_done; end if; when cfgmem_done => if latency_cnt < 4 then next_state <= cfgmem_done; else next_state <= cfgReadAry; end if; when cfgReadAry => if latency_cnt < 7 then next_state <= cfgReadAry; else next_state <= cfgReadAry_wait; end if; when cfgReadAry_wait => if latency_cnt < 7 then next_state <= cfgReadAry_wait; else next_state <= waitstate; end if; when waitstate => if latency_cnt < 7 then next_state <= waitstate; else next_state <= mem_write_init; end if; --Initiate a write to memory when mem_write_init => next_state <= mem_write_init_wait_high; when mem_write_init_wait_high => if RAM_wait = '1' then next_state <= mem_write_init_wait; else next_state <= mem_write_init_wait_high; end if; when mem_write_init_wait => if RAM_wait = '1' then next_state <= mem_write_init_wait; else next_state <= mem_write_data; end if; --Write data when mem_write_data => if CQ_empty = '1' then next_state <= mem_write_end; else next_state <= mem_write_data; end if; when mem_write_end => if latency_cnt <= 2 then next_state <= mem_write_end; else next_state <= mem_read_init; end if; --Initiate a read from memory when mem_read_init => next_state <= mem_read_init_wait_high; when mem_read_init_wait_high => if RAM_wait = '1' then next_state <= mem_read_init_wait; else next_state <= mem_read_init_wait_high; end if; when mem_read_init_wait => if RAM_wait = '1' then next_state <= mem_read_init_wait; else next_state <= mem_read_data; end if; --Read data from memory when mem_read_data => if VQ_full = '1' then next_state <= mem_read_end; else next_state <= mem_read_data; end if; when mem_read_end => if latency_cnt <= 2 then next_state <= mem_read_end; else next_state <= mem_write_init; end if; when others => null; end case; end process; RAM_controller: process(state, RAM_data_in, latency_cnt) begin RAM_clk_en <= '1'; RAM_oe_s <= '1'; RAM_we <= '1'; RAM_adv <= '1'; RAM_ub <= '0'; RAM_lb <= '0'; RAM_ce <= '1'; RAM_cre <= '0'; RAM_addr_s <= (others => '0'); RAM_data_out <= (others => '0'); CQ_read_en <= '0'; VQ_write_en <= '0'; VQ_data_in <= (others => '0'); write_addr_inc <= '0'; read_addr_inc <= '0'; case state is --Configure the memory when start => RAM_clk_en <= '0'; when cfgmem => RAM_clk_en <= '0'; RAM_addr_s <= "00010000001110100011111"; RAM_cre <= '1'; RAM_adv <= '0'; RAM_ce <= '0'; RAM_we <= '0'; when cfgmem_wait => --wait is active high (default) RAM_clk_en <= '0'; RAM_addr_s <= "00010000001110100011111"; RAM_ce <= '0'; when cfgmem_done => RAM_clk_en <= '0'; RAM_ce <= '1'; when cfgReadAry => RAM_clk_en <= '0'; RAM_ce <= '0'; RAM_adv <= '0'; RAM_oe_s <= '0'; when cfgReadAry_wait => RAM_clk_en <= '0'; RAM_ce <= '0'; RAM_adv <= '0'; RAM_oe_s <= '0'; if latency_cnt >= 3 then RAM_adv <= '1'; end if; when waitstate => --Initiate a write to memory when mem_write_init => RAM_addr_s <= write_addr_reg; RAM_ce <= '0'; RAM_adv <= '0'; RAM_we <= '0'; when mem_write_init_wait_high => RAM_ce <= '0'; when mem_write_init_wait => RAM_ce <= '0'; if RAM_wait = '1' then CQ_read_en <= '1'; end if; --Write data when mem_write_data => RAM_ce <= '0'; RAM_data_out <= CQ_data_out; write_addr_inc <= '1'; if CQ_empty = '0' then CQ_read_en <= '1'; end if; when mem_write_end => --All taken care of in defaults --Initiate a read from memory when mem_read_init => RAM_addr_s <= read_addr_reg; RAM_ce <= '0'; RAM_adv <= '0'; when mem_read_init_wait_high => RAM_ce <= '0'; RAM_oe_s <= '0'; when mem_read_init_wait => RAM_ce <= '0'; RAM_oe_s <= '0'; --Read data when mem_read_data => RAM_ce <= '0'; if VQ_full = '0' then VQ_data_in <= RAM_data_in_reg; VQ_write_en <= '1'; read_addr_inc <= '1'; end if; when mem_read_end => --Taken care of up top in defaults when others => null; end case; end process; datainreg: process (clk80, rst) begin if rst='1' then RAM_data_in_reg <= (others => '0'); elsif clk80'event and clk80='1' then RAM_data_in_reg <= RAM_data_in; end if; end process; address_regs: process (clk80, rst, cam_vs, vid_vs) begin if rst = '1' then write_addr_reg <= (others => '0'); read_addr_reg <= (others => '0'); elsif cam_vs = '1' then --syncs high write_addr_reg <= (others => '0'); elsif vid_vs = '0' then read_addr_reg <= (others => '0'); elsif falling_edge(clk80) then if write_addr_inc = '1' then write_addr_reg <= write_addr_reg + 1; end if; if read_addr_inc = '1' then read_addr_reg <= read_addr_reg + 1; end if; end if; end process; fifo_regs: process (clk80, rst) begin if rst = '1' then CQ_read_en_reg <= '0'; VQ_write_en_reg <= '0'; VQ_data_in_reg <= (others => '0'); elsif falling_edge(clk80) then CQ_read_en_reg <= CQ_read_en; VQ_write_en_reg <= VQ_write_en; VQ_data_in_reg <= VQ_data_in; end if; end process; end Behavioral;
mit
boztalay/OldProjects
FPGA/testytest/top_level.vhd
1
5051
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity top_level is Port ( mclk : in std_logic; rst : in std_logic; CQ_write_en : in STD_LOGIC; VQ_read_en : in STD_LOGIC; -- switches : in STD_LOGIC_VECTOR(7 downto 0); memory_address_bus: inout std_logic_vector(22 downto 0); memory_data_bus : inout std_logic_vector(15 downto 0); anodes : out STD_LOGIC_VECTOR(3 downto 0); decoder_out : out STD_LOGIC_VECTOR(6 downto 0); RAM_oe : out std_logic; RAM_we : out std_logic; RAM_adv : out std_logic; RAM_clk : out std_logic; RAM_ub : out std_logic; RAM_lb : out std_logic; RAM_ce : out std_logic; RAM_cre : out std_logic; RAM_wait : in std_logic; LEDs : out std_logic_vector(7 downto 0) ); end top_level; architecture Behavioral of top_level is component DCMi_80 is port ( CLKIN_IN : in std_logic; CLKFX_OUT : out std_logic; CLK0_OUT : out std_logic); end component; component four_dig_7seg is Port ( clock : in STD_LOGIC; display_data : in STD_LOGIC_VECTOR (15 downto 0); anodes : out STD_LOGIC_VECTOR (3 downto 0); to_display : out STD_LOGIC_VECTOR (6 downto 0)); end component; component memory is Port ( clk80 : in std_logic; rst : in std_logic; cam_vs : in STD_LOGIC; vid_vs : in STD_LOGIC; empty : out STD_LOGIC; full : out STD_LOGIC; CQ_write_en : in STD_LOGIC; -- CQ_write_clk : in STD_LOGIC; CQ_data_in : in STD_LOGIC_VECTOR(15 downto 0); VQ_read_en : in STD_LOGIC; -- VQ_read_clk : in STD_LOGIC; VQ_data_out : out STD_LOGIC_VECTOR(15 downto 0); -- VQ_data_out_dumb : out STD_LOGIC_VECTOR(15 downto 0); RAM_addr : out std_logic_vector(22 downto 0); RAM_data_out : out std_logic_vector(15 downto 0); RAM_data_in : in std_logic_vector(15 downto 0); RAM_oe : out std_logic; RAM_we : out std_logic; RAM_adv : out std_logic; RAM_clk_en : out std_logic; RAM_ub : out std_logic; RAM_lb : out std_logic; RAM_ce : out std_logic; RAM_cre : out std_logic; RAM_wait : in std_logic; led : out std_logic_vector(7 downto 0) ); end component; signal clk80, dcm_clk_25, RAM_clk_en : std_logic; signal count : std_logic_vector(24 downto 0); signal CQ_write_clk : STD_LOGIC; signal VQ_read_clk : STD_LOGIC; signal display_data : STD_LOGIC_VECTOR(15 downto 0); signal RAM_addr_s : std_logic_vector(22 downto 0); signal RAM_data_in, RAM_data_out, RAM_data_reg : std_logic_vector(15 downto 0); signal RAM_oe_s, RAM_oe_reg, RAM_we_s, RAM_adv_s, RAM_ub_s, RAM_lb_s, RAM_ce_s, RAM_cre_s : std_logic; signal pclk25, clk25:std_logic; signal clk_625 : STD_LOGIC; signal CQ_data_in_sig : STD_LOGIC_VECTOR(15 downto 0); begin clk25 <= count(0); pclk25 <= clk25; RAM_clk <= clk80; --when RAM_clk_en='1' else 'Z'; --CQ_write_clk <= count(3); --VQ_read_clk <= count(3); LEDs(0) <= count(24); --50 MHz clock divider mclk_proc: process(mclk, rst) begin if rst = '1' then count <= (others => '0'); elsif mclk'event and mclk = '1' then count <= count + 1; end if; end process; --Note, clk80 is now at 100 MHz, not 80 DCM1: DCMi_80 port map ( CLKIN_IN => pclk25, CLKFX_OUT => clk80, CLK0_OUT => dcm_clk_25 ); display: four_dig_7seg port map( clock => mclk, display_data => display_data, anodes => anodes, to_display => decoder_out); MainMem: memory Port map ( clk80 => clk80, rst => rst, empty => LEDs(1), full => LEDs(2), cam_vs => '0', vid_vs => '1', CQ_write_en => CQ_write_en, --CQ_write_clk => CQ_write_clk, CQ_data_in => CQ_data_in_sig, VQ_read_en => VQ_read_en, --VQ_read_clk => VQ_read_clk, VQ_data_out => display_data, RAM_addr => RAM_addr_s, RAM_data_out => RAM_data_out, RAM_data_in => RAM_data_in, RAM_oe => RAM_oe_s, RAM_we => RAM_we_s, RAM_adv => RAM_adv_s, RAM_clk_en => RAM_clk_en, RAM_ub => RAM_ub_s, RAM_lb => RAM_lb_s, RAM_ce => RAM_ce_s, RAM_cre => RAM_cre_s, RAM_wait => RAM_wait ); OutputRegs: process(clk80, rst) begin if rst='1' then memory_address_bus <= (others=>'0'); RAM_data_reg <= (others=>'0'); RAM_oe_reg <= '1'; RAM_we <= '1'; RAM_adv <= '1'; RAM_ub <= '1'; RAM_lb <= '1'; RAM_ce <= '1'; RAM_cre <= '0'; elsif clk80'event and clk80='0' then memory_address_bus <= RAM_addr_s; RAM_data_reg <= RAM_data_out; RAM_oe_reg <= RAM_oe_s; RAM_we <= RAM_we_s; RAM_adv <= RAM_adv_s; RAM_ub <= RAM_ub_s; RAM_lb <= RAM_lb_s; RAM_ce <= RAM_ce_s; RAM_cre <= RAM_cre_s; end if; end process; RAM_oe <= RAM_oe_reg; memory_data_bus <= RAM_data_reg when RAM_oe_reg='1' else (others=>'Z'); RAM_data_in <= memory_data_bus; CQ_data_in_sig <= x"6E6E"; LEDs(7 downto 3) <= (others => '0'); end Behavioral;
mit
boztalay/OldProjects
FPGA/Current Projects/Subsystems/OZ-3/OZ3_TB.vhd
2
4898
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:49:58 01/01/2010 -- Design Name: -- Module Name: C:/Users/georgecuris/Desktop/Back Up/FPGA/Projects/Current Projects/Systems/OZ-3/OZ3_TB.vhd -- Project Name: OZ-3 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: OZ3 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY OZ3_TB IS END OZ3_TB; ARCHITECTURE behavior OF OZ3_TB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT OZ3 PORT( clock : IN std_logic; reset : IN std_logic; input_pins : IN std_logic_vector(15 downto 0); input_port : IN std_logic_vector(31 downto 0); instruction_in : IN std_logic_vector(15 downto 0); dRAM_data_in : IN std_logic_vector(15 downto 0); output_pins : OUT std_logic_vector(15 downto 0); output_port : OUT std_logic_vector(31 downto 0); instruction_addr_out : OUT std_logic_vector(22 downto 0); dRAM_data_out : OUT std_logic_vector(15 downto 0); dRAM_addr_out : OUT std_logic_vector(22 downto 0); dRAM_WR_out : OUT std_logic; mem_ctrl_clk_out : OUT std_logic ); END COMPONENT; --Inputs signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal input_pins : std_logic_vector(15 downto 0) := (others => '0'); signal input_port : std_logic_vector(31 downto 0) := (others => '0'); signal instruction_in : std_logic_vector(15 downto 0) := (others => '0'); signal dRAM_data_in : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal output_pins : std_logic_vector(15 downto 0); signal output_port : std_logic_vector(31 downto 0); signal instruction_addr_out : std_logic_vector(22 downto 0); signal dRAM_data_out : std_logic_vector(15 downto 0); signal dRAM_addr_out : std_logic_vector(22 downto 0); signal dRAM_WR_out : std_logic; signal mem_ctrl_clk_out : std_logic; -- Clock period definitions constant clock_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: OZ3 PORT MAP ( clock => clock, reset => reset, input_pins => input_pins, input_port => input_port, instruction_in => instruction_in, dRAM_data_in => dRAM_data_in, output_pins => output_pins, output_port => output_port, instruction_addr_out => instruction_addr_out, dRAM_data_out => dRAM_data_out, dRAM_addr_out => dRAM_addr_out, dRAM_WR_out => dRAM_WR_out, mem_ctrl_clk_out => mem_ctrl_clk_out ); -- Clock process definitions clock_process :process begin clock <= '1'; wait for clock_period/2; clock <= '0'; wait for clock_period/2; end process; -- Stimulus process stim_proc: process begin reset <= '1'; wait for 200 ns; reset <= '0'; dRAM_data_in <= x"FFFF"; --Send instruction addi r1, r0, 7FFF instruction_in <= b"0010000000100000"; wait for 10 ns; instruction_in <= b"0111111111111111"; --End of instruction addi r1, r0, 7FFF --Send instruction ldu r1, r0, addr wait for 10 ns; instruction_in <= b"0110010000100000"; wait for 10 ns; instruction_in <= b"0000000111110000"; --End of instruction ldu r1, r0, addr --Send instruction addi r2, r0, 8001 wait for 10 ns; instruction_in <= b"0010000001000000"; wait for 10 ns; instruction_in <= b"1000000000000001"; --End of instruction addi r2, r0, 8001 --Send instruction add r0, r2, r1 (dummy add) wait for 10 ns; instruction_in <= b"0011110000000010"; wait for 10 ns; instruction_in <= b"0000100000000000"; --End of instruction add r0, r2, r1 --Send instruction brnc r0, 31 wait for 10 ns; instruction_in <= b"1000010000000000"; wait for 10 ns; instruction_in <= b"0000000000011111"; --End of instruction brnc r0, 31 wait for 10 ns; instruction_in <= b"0000000000000000"; wait; end process; END;
mit
boztalay/OldProjects
FPGA/Sys_SecondTimer/Comp_Counter2bit.vhd
1
1501
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 23:08:49 07/29/2009 -- Design Name: -- Module Name: Comp_Counter - Behavioral -- Project Name: Binary Counter -- Target Devices: -- Tool versions: -- Description: A binary counter with synchronous reset. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.10 - First draft written -- Revision 0.15 - Syntax errors fixed -- Revision 1.00 - Generated programming file with a successful test on hardware -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Comp_Counter2bit is Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; output : out STD_LOGIC_VECTOR (1 downto 0)); end Comp_Counter2bit; architecture Behavioral of Comp_Counter2bit is begin main : process(reset, clock) is variable value : STD_LOGIC_VECTOR(1 downto 0) := "00"; begin if falling_edge(clock) then if reset = '1' or value = b"11" then value := b"00"; else value := value + b"01"; end if; end if; output <= value; end process main; end Behavioral;
mit
boztalay/OldProjects
FPGA/FlashProgrammer/Offset.vhd
1
1278
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 22:44:06 11/18/2009 -- Design Name: -- Module Name: Offset - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: A generic module that applies an offset (through addition only) -- to its input -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Offset is generic ( size: integer; offset: integer); Port ( data_in : in STD_LOGIC_VECTOR((size-1) downto 0); data_out : out STD_LOGIC_VECTOR((size-1) downto 0)); end Offset; architecture Behavioral of Offset is begin main: process (data_in) is begin data_out <= (data_in + conv_std_logic_vector(offset, size)); end process; end Behavioral;
mit
boztalay/OldProjects
FPGA/Gates/Gate_Xor/Gate_Xor.vhd
1
1012
---------------------------------------------------------------------------------- -- Company: -- Engineer: Ben Oztalay -- -- Create Date: 00:52:18 04/10/2009 -- Design Name: -- Module Name: Gate_Xor - Behavioral -- Project Name: XOR Gate -- Target Devices: -- Tool versions: -- Description: An XOR logic gate with two inputs -- -- Dependencies: None -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Gate_Xor is Port ( A : in STD_LOGIC; B : in STD_LOGIC; Q : out STD_LOGIC); end Gate_Xor; architecture Behavioral of Gate_Xor is begin Q <= (A xor B); end Behavioral;
mit
pyrohaz/SSD1306_VHDLImplementation
simulation/qsim/work/@s@s@d1306_@v@h@d@l@implementation_vlg_check_tst/_primary.vhd
1
414
library verilog; use verilog.vl_types.all; entity SSD1306_VHDLImplementation_vlg_check_tst is port( CD : in vl_logic; CLKO : in vl_logic; CS : in vl_logic; DO : in vl_logic; RSTO : in vl_logic; sampler_rx : in vl_logic ); end SSD1306_VHDLImplementation_vlg_check_tst;
mit
jaymoulin/querymail
public/_assets/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
mit
bpervan/uart
Echo.vhd
1
2161
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:36:02 03/13/2014 -- Design Name: -- Module Name: Echo - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Echo is Port ( d_in : in STD_LOGIC_VECTOR (7 downto 0); r_done : in STD_LOGIC; w_done : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; w_start : out STD_LOGIC; d_out : out STD_LOGIC_VECTOR (7 downto 0)); end Echo; architecture Behavioral of Echo is type state_type is (idle, send); signal current_state, next_state : state_type; signal w_start_reg, w_start_reg_next : std_logic; signal d_out_reg, d_out_reg_next : std_logic_vector (7 downto 0); begin process(clk, rst) begin if(rst = '1') then w_start_reg <= '0'; d_out_reg <= "00000000"; current_state <= idle; else if(rising_edge(clk)) then w_start_reg <= w_start_reg_next; d_out_reg <= d_out_reg_next; current_state <= next_state; end if; end if; end process; process (r_done, d_in, w_start_reg, d_out_reg) begin w_start_reg_next <= w_start_reg; d_out_reg_next <= d_out_reg; next_state <= current_state; case current_state is when idle => if(r_done = '1') then w_start_reg_next <= '1'; d_out_reg_next <= d_in; next_state <= send; end if; when send => if (w_done = '1') then next_state <= idle; w_start_reg_next <= '0'; end if; end case; end process; d_out <= d_out_reg; w_start <= w_start_reg; end Behavioral;
mit
MikhailKoslowski/Variax
Quartus/DataGenerator.vhd
1
1164
----------------------------------------------------------- -- Default Libs LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; -- My libs -- USE work.my_functions.all ----------------------------------------------------------- ENTITY DataGenerator IS PORT ( clk : IN STD_LOGIC; nxt : IN STD_LOGIC; data : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); rdy : OUT STD_LOGIC ); END ENTITY; ----------------------------------------------------------- ARCHITECTURE structure OF DataGenerator IS SIGNAL running : STD_LOGIC := '0'; SIGNAL s_data : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL rd1 : STD_LOGIC; SIGNAL rd2 : STD_LOGIC; SIGNAL lastNxt : STD_LOGIC := '0'; BEGIN PROCESS (clk) VARIABLE value : INTEGER RANGE 0 to 255 := 31; BEGIN IF clk'EVENT AND clk='1' THEN IF nxt='1' AND lastNxt='0' THEN -- Rising edge value := value + 1; IF value >= 127 THEN value := 32; END IF; s_data <= STD_LOGIC_VECTOR(TO_UNSIGNED(value, 8)); rdy <= '1'; ELSIF nxt='0' THEN -- Steady rdy <= '0'; END IF; lastNxt <= nxt; END IF; END PROCESS; data <= s_data; END ARCHITECTURE structure;
mit
MikhailKoslowski/Variax
Quartus/BaudGenerator.vhd
1
1265
----------------------------------------------------------- -- Default Libs LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; -- My libs -- USE work.my_functions.all ----------------------------------------------------------- ENTITY BaudGenerator IS GENERIC ( baud : INTEGER := 9_600; freq : INTEGER := 50_000_000); PORT (clk: IN STD_LOGIC; clk_out: OUT STD_LOGIC); END ENTITY; ----------------------------------------------------------- ARCHITECTURE structure OF BaudGenerator IS SIGNAL x: STD_LOGIC :='0'; SIGNAL y: STD_LOGIC :='0'; CONSTANT M : INTEGER := freq/baud; BEGIN PROCESS (clk) VARIABLE count1: INTEGER RANGE 0 TO M-1 := 0; BEGIN IF clk'EVENT AND clk='1' THEN IF count1 < (M/2) THEN x <= '1'; ELSE x <= '0'; END IF; count1 := count1 + 1; IF count1 = M THEN count1 := 0; --x <= '1'; END IF; END IF; END PROCESS; PROCESS (clk) VARIABLE count2: INTEGER RANGE 0 TO M-1 := 0; BEGIN IF clk'EVENT AND clk='0' AND M mod 2 = 1 THEN IF count2 < (M/2) THEN y <= '1'; ELSE y <= '0'; END IF; count2 := count2 + 1; IF count2 = M THEN count2 := 0; --y <= '1'; END IF; END IF; END PROCESS; clk_out <= x OR y; END ARCHITECTURE structure;
mit
RussGlover/381-module-1
project/hardware/vhdl/euclidean_interface.vhd
1
1258
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; entity euclidean_interface is port( clock, reset : in std_logic; writedata : in std_logic_vector(255 downto 0); readdata : out std_logic_vector(255 downto 0); write_n : in std_logic ); end euclidean_interface; architecture behavioural of euclidean_interface is component euclidean port ( input1values, input2values : in std_logic_vector(127 downto 0); distance : out std_logic_vector(31 downto 0) ); end component; signal operand1, operand2 : std_logic_vector(127 downto 0) := (others => '0'); signal result : std_logic_vector(31 downto 0) := (others => '0'); signal zeroes : std_logic_vector(223 downto 0) := (others => '0'); begin euclidean_distance : euclidean port map( input1values => operand1, input2values => operand2, distance => result ); process(clock, reset) begin if (reset = '1') then operand1 <= (others => '0'); operand2 <= (others => '0'); elsif (rising_edge(clock)) then if (write_n = '1') then operand1 <= writedata(127 downto 0); operand2 <= writedata(255 downto 128); readdata <= zeroes & result; else null; end if; end if; end process; end behavioural;
mit
bpervan/uart
UARTEcho.vhd
1
2262
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 14:44:11 03/13/2014 -- Design Name: -- Module Name: UARTEcho - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity UARTEcho is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; rx : in STD_LOGIC; tx : out STD_LOGIC; led : out std_logic_vector (6 downto 0)); end UARTEcho; architecture Behavioral of UARTEcho is component UARTController port ( clk : in STD_LOGIC; rst : in STD_LOGIC; rx : in STD_LOGIC; w_data : in STD_LOGIC_VECTOR (7 downto 0); w_start : in STD_LOGIC; tx : out STD_LOGIC; w_done : out STD_LOGIC; r_data : out STD_LOGIC_VECTOR (7 downto 0); r_done : out STD_LOGIC; led_out : out std_logic_vector (6 downto 0)); end component; component Echo port ( d_in : in STD_LOGIC_VECTOR (7 downto 0); r_done : in STD_LOGIC; w_done : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; w_start : out STD_LOGIC; d_out : out STD_LOGIC_VECTOR (7 downto 0)); end component; signal w_data: std_logic_vector (7 downto 0); signal w_start: std_logic; signal w_done: std_logic; signal r_done_sig: std_logic; signal r_data: std_logic_vector (7 downto 0); begin UARTCon: entity work.UARTController port map (clk => clk, rst => rst, rx => rx, w_data => w_data, w_start => w_start, tx => tx, w_done => w_done, r_data => r_data, r_done => r_done_sig, led_out => led); EchoModul: entity work.Echo port map (d_in => r_data, r_done => r_done_sig, w_done => w_done, clk => clk, rst => rst, w_start => w_start, d_out => w_data); end Behavioral;
mit
donghyundonghyun/Historage
static/lib/ace/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
mit
hubertokf/VHDL-MIPS-Pipeline
addSub.vhd
1
714
-- Quartus II VHDL Template -- Signed Adder/Subtractor library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_signed.all; entity addSub is generic( DATA_WIDTH : natural := 8 ); port( a : in std_logic_vector ((DATA_WIDTH-1) downto 0); b : in std_logic_vector ((DATA_WIDTH-1) downto 0); add_sub : in std_logic; result : out std_logic_vector ((DATA_WIDTH-1) downto 0) ); end entity; architecture rtl of addSub is begin process(a,b,add_sub) begin -- Add if "add_sub" is 1, else subtract if (add_sub = '1') then result <= a + b; else result <= a - b; end if; end process; end rtl;
mit
aquaxis/FPGAMAG18
fmrv32im-artya7.madd33/fmrv32im-artya7.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl
1
7088
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017 -- Date : Fri Jun 23 10:02:11 2017 -- Host : dshwdev running 64-bit Ubuntu 16.04.2 LTS -- Command : write_vhdl -force -mode funcsim -- /home/h-ishihara/workspace/FPGAMAG18/FPGA/fmrv32im-artya7.madd33/fmrv32im-artya7.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.vhdl -- Design : clk_wiz_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35ticsg324-1L -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_wiz_0_clk_wiz_0_clk_wiz is port ( clk_out1 : out STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of clk_wiz_0_clk_wiz_0_clk_wiz : entity is "clk_wiz_0_clk_wiz"; end clk_wiz_0_clk_wiz_0_clk_wiz; architecture STRUCTURE of clk_wiz_0_clk_wiz_0_clk_wiz is signal clk_in1_clk_wiz_0 : STD_LOGIC; signal clk_out1_clk_wiz_0 : STD_LOGIC; signal clkfbout_buf_clk_wiz_0 : STD_LOGIC; signal clkfbout_clk_wiz_0 : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_LOCKED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_clk_wiz_0, O => clkfbout_buf_clk_wiz_0 ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_in1, O => clk_in1_clk_wiz_0 ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_out1_clk_wiz_0, O => clk_out1 ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 10.000000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 30.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_clk_wiz_0, CLKFBOUT => clkfbout_clk_wiz_0, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_in1_clk_wiz_0, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_out1_clk_wiz_0, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => NLW_mmcm_adv_inst_LOCKED_UNCONNECTED, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clk_wiz_0 is port ( clk_out1 : out STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of clk_wiz_0 : entity is true; end clk_wiz_0; architecture STRUCTURE of clk_wiz_0 is begin inst: entity work.clk_wiz_0_clk_wiz_0_clk_wiz port map ( clk_in1 => clk_in1, clk_out1 => clk_out1 ); end STRUCTURE;
mit
hubertokf/VHDL-MIPS-Pipeline
flipflop.vhd
1
582
library ieee; use ieee.std_logic_1164.all; entity flipflop is generic( DATA_WIDTH : natural := 32 ); port( clk, rst : in std_logic; D : in std_logic_vector ((DATA_WIDTH-1) downto 0); Q : out std_logic_vector ((DATA_WIDTH-1) downto 0) ); end flipflop; architecture rtl of flipflop is signal Temp: std_logic_vector((DATA_WIDTH-1) downto 0); begin process (clk, rst) begin if (rst='1') then Temp <= (others => '0'); elsif (rising_edge(clk))then Temp <= D; end if; end process; Q <= Temp; end rtl;
mit
Vladilit/fpga-multi-effect
ip_repo/zed_audio_ctrl/zed_audio_ctrl.srcs/sources_1/imports/i2s_audio/user_logic.vhd
3
12934
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Wed Aug 15 18:20:40 2012 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_NUM_REG -- Number of software accessible registers -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Resetn -- Bus to IP reset -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_NUM_REG : integer := 5; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ BCLK : out STD_LOGIC; LRCLK : out STD_LOGIC; SDATA_I : in STD_LOGIC; SDATA_O : out STD_LOGIC; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Resetn : in std_logic; Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0); Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0); Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0); Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0); IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Resetn : signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is COMPONENT iis_deser PORT( CLK_100MHZ : IN std_logic; SCLK : IN std_logic; LRCLK : IN std_logic; SDATA : IN std_logic; EN : IN std_logic; LDATA : OUT std_logic_vector(23 downto 0); RDATA : OUT std_logic_vector(23 downto 0); VALID : OUT std_logic ); END COMPONENT; COMPONENT iis_ser PORT( CLK_100MHZ : IN std_logic; SCLK : IN std_logic; LRCLK : IN std_logic; EN : IN std_logic; LDATA : IN std_logic_vector(23 downto 0); RDATA : IN std_logic_vector(23 downto 0); SDATA : OUT std_logic ); END COMPONENT; signal clk_cntr : std_logic_vector(10 downto 0) := (others => '0'); --internal logic "clock" signals signal sclk_int : std_logic; signal lrclk_int : std_logic; signal en : std_logic; signal ldata_in : std_logic_vector(23 downto 0); signal rdata_in : std_logic_vector(23 downto 0); signal data_rdy : std_logic; signal data_rdy_bit : std_logic := '0'; ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal DataRx_L : std_logic_vector(C_SLV_DWIDTH-1 downto 0) := (others => '0'); signal DataRx_R : std_logic_vector(C_SLV_DWIDTH-1 downto 0) := (others => '0'); signal DataTx_L : std_logic_vector(C_SLV_DWIDTH-1 downto 0) := (others => '0'); signal DataTx_R : std_logic_vector(C_SLV_DWIDTH-1 downto 0) := (others => '0'); signal slv_reg4 : std_logic; signal slv_reg_write_sel : std_logic_vector(4 downto 0); signal slv_reg_read_sel : std_logic_vector(4 downto 0); signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; begin en <= '1'; process(Bus2IP_Clk) begin if (rising_edge(Bus2IP_Clk)) then clk_cntr <= clk_cntr + 1; end if; end process; --sclk = 100MHz / 32 = 3.125 MHz sclk_int <= clk_cntr(4); --lrclk = 100MHz / 2048 = 48.828125 KHz lrclk_int <= clk_cntr(10); Inst_iis_deser: iis_deser PORT MAP( CLK_100MHZ => Bus2IP_Clk, SCLK => sclk_int, LRCLK => lrclk_int, SDATA => SDATA_I, EN => en, LDATA => ldata_in, RDATA => rdata_in, VALID => data_rdy ); process(Bus2IP_Clk) begin if (rising_edge(Bus2IP_Clk)) then if (data_rdy = '1') then DataRx_L <= x"00" & ldata_in; DataRx_R <= x"00" & rdata_in; end if; end if; end process; Inst_iis_ser: iis_ser PORT MAP( CLK_100MHZ => Bus2IP_Clk, SCLK => sclk_int, LRCLK => lrclk_int, SDATA => SDATA_O, EN => en, LDATA => DataTx_L(23 downto 0), RDATA => DataTx_R(23 downto 0) ); LRCLK <= lrclk_int; BCLK <= sclk_int; ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(4 downto 0); slv_reg_read_sel <= Bus2IP_RdCE(4 downto 0); slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4); slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Resetn = '0' then DataTx_L <= (others => '0'); DataTx_R <= (others => '0'); data_rdy_bit <= '0'; else case slv_reg_write_sel is when "00100" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then DataTx_L(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00010" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then DataTx_R(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00001" => data_rdy_bit <= '0'; when others => if (data_rdy = '1') then data_rdy_bit <= '1'; end if; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, DataRx_L, DataRx_R, DataTx_L, DataTx_R, data_rdy_bit ) is begin case slv_reg_read_sel is when "10000" => slv_ip2bus_data <= DataRx_L; when "01000" => slv_ip2bus_data <= DataRx_R; when "00100" => slv_ip2bus_data <= DataTx_L; when "00010" => slv_ip2bus_data <= DataTx_R; when "00001" => slv_ip2bus_data <= "0000000000000000000000000000000" & data_rdy_bit; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack; IP2Bus_RdAck <= slv_read_ack; IP2Bus_Error <= '0'; end IMP;
mit
medav/conware
conware_test/system/hdl/system.vhd
1
134730
------------------------------------------------------------------------------- -- system.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system is port ( SWs_8Bits_TRI_IO : inout std_logic_vector(7 downto 0); BTNs_5Bits_TRI_IO : inout std_logic_vector(4 downto 0); processing_system7_0_MIO : inout std_logic_vector(53 downto 0); processing_system7_0_PS_SRSTB : in std_logic; processing_system7_0_PS_CLK : in std_logic; processing_system7_0_PS_PORB : in std_logic; processing_system7_0_DDR_Clk : inout std_logic; processing_system7_0_DDR_Clk_n : inout std_logic; processing_system7_0_DDR_CKE : inout std_logic; processing_system7_0_DDR_CS_n : inout std_logic; processing_system7_0_DDR_RAS_n : inout std_logic; processing_system7_0_DDR_CAS_n : inout std_logic; processing_system7_0_DDR_WEB_pin : out std_logic; processing_system7_0_DDR_BankAddr : inout std_logic_vector(2 downto 0); processing_system7_0_DDR_Addr : inout std_logic_vector(14 downto 0); processing_system7_0_DDR_ODT : inout std_logic; processing_system7_0_DDR_DRSTB : inout std_logic; processing_system7_0_DDR_DQ : inout std_logic_vector(31 downto 0); processing_system7_0_DDR_DM : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_DQS_n : inout std_logic_vector(3 downto 0); processing_system7_0_DDR_VRN : inout std_logic; processing_system7_0_DDR_VRP : inout std_logic; conware_0_M_AXIS_TVALID_pin : out std_logic; conware_0_M_AXIS_TLAST_pin : out std_logic; conware_0_M_AXIS_TREADY_pin : out std_logic; conware_0_M_AXIS_TKEEP_pin : out std_logic_vector(3 downto 0); conware_0_ACLK_pin : out std_logic; cownare_ctl_0_in_states_pin : out std_logic_vector(7 downto 0) ); end system; architecture STRUCTURE of system is component system_axi4lite_0_wrapper is port ( INTERCONNECT_ACLK : in std_logic; INTERCONNECT_ARESETN : in std_logic; S_AXI_ARESET_OUT_N : out std_logic_vector(0 to 0); M_AXI_ARESET_OUT_N : out std_logic_vector(3 downto 0); IRQ : out std_logic; S_AXI_ACLK : in std_logic_vector(0 to 0); S_AXI_AWID : in std_logic_vector(11 downto 0); S_AXI_AWADDR : in std_logic_vector(31 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWLOCK : in std_logic_vector(1 downto 0); S_AXI_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWQOS : in std_logic_vector(3 downto 0); S_AXI_AWUSER : in std_logic_vector(0 to 0); S_AXI_AWVALID : in std_logic_vector(0 to 0); S_AXI_AWREADY : out std_logic_vector(0 to 0); S_AXI_WID : in std_logic_vector(11 downto 0); S_AXI_WDATA : in std_logic_vector(31 downto 0); S_AXI_WSTRB : in std_logic_vector(3 downto 0); S_AXI_WLAST : in std_logic_vector(0 to 0); S_AXI_WUSER : in std_logic_vector(0 to 0); S_AXI_WVALID : in std_logic_vector(0 to 0); S_AXI_WREADY : out std_logic_vector(0 to 0); S_AXI_BID : out std_logic_vector(11 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BUSER : out std_logic_vector(0 to 0); S_AXI_BVALID : out std_logic_vector(0 to 0); S_AXI_BREADY : in std_logic_vector(0 to 0); S_AXI_ARID : in std_logic_vector(11 downto 0); S_AXI_ARADDR : in std_logic_vector(31 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARLOCK : in std_logic_vector(1 downto 0); S_AXI_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARQOS : in std_logic_vector(3 downto 0); S_AXI_ARUSER : in std_logic_vector(0 to 0); S_AXI_ARVALID : in std_logic_vector(0 to 0); S_AXI_ARREADY : out std_logic_vector(0 to 0); S_AXI_RID : out std_logic_vector(11 downto 0); S_AXI_RDATA : out std_logic_vector(31 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic_vector(0 to 0); S_AXI_RUSER : out std_logic_vector(0 to 0); S_AXI_RVALID : out std_logic_vector(0 to 0); S_AXI_RREADY : in std_logic_vector(0 to 0); M_AXI_ACLK : in std_logic_vector(3 downto 0); M_AXI_AWID : out std_logic_vector(47 downto 0); M_AXI_AWADDR : out std_logic_vector(127 downto 0); M_AXI_AWLEN : out std_logic_vector(31 downto 0); M_AXI_AWSIZE : out std_logic_vector(11 downto 0); M_AXI_AWBURST : out std_logic_vector(7 downto 0); M_AXI_AWLOCK : out std_logic_vector(7 downto 0); M_AXI_AWCACHE : out std_logic_vector(15 downto 0); M_AXI_AWPROT : out std_logic_vector(11 downto 0); M_AXI_AWREGION : out std_logic_vector(15 downto 0); M_AXI_AWQOS : out std_logic_vector(15 downto 0); M_AXI_AWUSER : out std_logic_vector(3 downto 0); M_AXI_AWVALID : out std_logic_vector(3 downto 0); M_AXI_AWREADY : in std_logic_vector(3 downto 0); M_AXI_WID : out std_logic_vector(47 downto 0); M_AXI_WDATA : out std_logic_vector(127 downto 0); M_AXI_WSTRB : out std_logic_vector(15 downto 0); M_AXI_WLAST : out std_logic_vector(3 downto 0); M_AXI_WUSER : out std_logic_vector(3 downto 0); M_AXI_WVALID : out std_logic_vector(3 downto 0); M_AXI_WREADY : in std_logic_vector(3 downto 0); M_AXI_BID : in std_logic_vector(47 downto 0); M_AXI_BRESP : in std_logic_vector(7 downto 0); M_AXI_BUSER : in std_logic_vector(3 downto 0); M_AXI_BVALID : in std_logic_vector(3 downto 0); M_AXI_BREADY : out std_logic_vector(3 downto 0); M_AXI_ARID : out std_logic_vector(47 downto 0); M_AXI_ARADDR : out std_logic_vector(127 downto 0); M_AXI_ARLEN : out std_logic_vector(31 downto 0); M_AXI_ARSIZE : out std_logic_vector(11 downto 0); M_AXI_ARBURST : out std_logic_vector(7 downto 0); M_AXI_ARLOCK : out std_logic_vector(7 downto 0); M_AXI_ARCACHE : out std_logic_vector(15 downto 0); M_AXI_ARPROT : out std_logic_vector(11 downto 0); M_AXI_ARREGION : out std_logic_vector(15 downto 0); M_AXI_ARQOS : out std_logic_vector(15 downto 0); M_AXI_ARUSER : out std_logic_vector(3 downto 0); M_AXI_ARVALID : out std_logic_vector(3 downto 0); M_AXI_ARREADY : in std_logic_vector(3 downto 0); M_AXI_RID : in std_logic_vector(47 downto 0); M_AXI_RDATA : in std_logic_vector(127 downto 0); M_AXI_RRESP : in std_logic_vector(7 downto 0); M_AXI_RLAST : in std_logic_vector(3 downto 0); M_AXI_RUSER : in std_logic_vector(3 downto 0); M_AXI_RVALID : in std_logic_vector(3 downto 0); M_AXI_RREADY : out std_logic_vector(3 downto 0); S_AXI_CTRL_AWADDR : in std_logic_vector(31 downto 0); S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_WDATA : in std_logic_vector(31 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; S_AXI_CTRL_ARADDR : in std_logic_vector(31 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; S_AXI_CTRL_RDATA : out std_logic_vector(31 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; INTERCONNECT_ARESET_OUT_N : out std_logic; DEBUG_AW_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_AW_ARB_GRANT : out std_logic_vector(7 downto 0); DEBUG_AR_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_AR_ARB_GRANT : out std_logic_vector(7 downto 0); DEBUG_AW_TRANS_QUAL : out std_logic_vector(0 to 0); DEBUG_AW_ACCEPT_CNT : out std_logic_vector(7 downto 0); DEBUG_AW_ACTIVE_THREAD : out std_logic_vector(15 downto 0); DEBUG_AW_ACTIVE_TARGET : out std_logic_vector(7 downto 0); DEBUG_AW_ACTIVE_REGION : out std_logic_vector(7 downto 0); DEBUG_AW_ERROR : out std_logic_vector(7 downto 0); DEBUG_AW_TARGET : out std_logic_vector(7 downto 0); DEBUG_AR_TRANS_QUAL : out std_logic_vector(0 to 0); DEBUG_AR_ACCEPT_CNT : out std_logic_vector(7 downto 0); DEBUG_AR_ACTIVE_THREAD : out std_logic_vector(15 downto 0); DEBUG_AR_ACTIVE_TARGET : out std_logic_vector(7 downto 0); DEBUG_AR_ACTIVE_REGION : out std_logic_vector(7 downto 0); DEBUG_AR_ERROR : out std_logic_vector(7 downto 0); DEBUG_AR_TARGET : out std_logic_vector(7 downto 0); DEBUG_B_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_R_BEAT_CNT : out std_logic_vector(7 downto 0); DEBUG_R_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_AW_ISSUING_CNT : out std_logic_vector(7 downto 0); DEBUG_AR_ISSUING_CNT : out std_logic_vector(7 downto 0); DEBUG_W_BEAT_CNT : out std_logic_vector(7 downto 0); DEBUG_W_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_BID_TARGET : out std_logic_vector(7 downto 0); DEBUG_BID_ERROR : out std_logic; DEBUG_RID_TARGET : out std_logic_vector(7 downto 0); DEBUG_RID_ERROR : out std_logic; DEBUG_SR_SC_ARADDR : out std_logic_vector(31 downto 0); DEBUG_SR_SC_ARADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_SR_SC_AWADDR : out std_logic_vector(31 downto 0); DEBUG_SR_SC_AWADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_SR_SC_BRESP : out std_logic_vector(15 downto 0); DEBUG_SR_SC_RDATA : out std_logic_vector(31 downto 0); DEBUG_SR_SC_RDATACONTROL : out std_logic_vector(16 downto 0); DEBUG_SR_SC_WDATA : out std_logic_vector(31 downto 0); DEBUG_SR_SC_WDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_SC_SF_ARADDR : out std_logic_vector(31 downto 0); DEBUG_SC_SF_ARADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_SC_SF_AWADDR : out std_logic_vector(31 downto 0); DEBUG_SC_SF_AWADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_SC_SF_BRESP : out std_logic_vector(15 downto 0); DEBUG_SC_SF_RDATA : out std_logic_vector(31 downto 0); DEBUG_SC_SF_RDATACONTROL : out std_logic_vector(16 downto 0); DEBUG_SC_SF_WDATA : out std_logic_vector(31 downto 0); DEBUG_SC_SF_WDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_SF_CB_ARADDR : out std_logic_vector(31 downto 0); DEBUG_SF_CB_ARADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_SF_CB_AWADDR : out std_logic_vector(31 downto 0); DEBUG_SF_CB_AWADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_SF_CB_BRESP : out std_logic_vector(15 downto 0); DEBUG_SF_CB_RDATA : out std_logic_vector(31 downto 0); DEBUG_SF_CB_RDATACONTROL : out std_logic_vector(16 downto 0); DEBUG_SF_CB_WDATA : out std_logic_vector(31 downto 0); DEBUG_SF_CB_WDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_CB_MF_ARADDR : out std_logic_vector(31 downto 0); DEBUG_CB_MF_ARADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_CB_MF_AWADDR : out std_logic_vector(31 downto 0); DEBUG_CB_MF_AWADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_CB_MF_BRESP : out std_logic_vector(15 downto 0); DEBUG_CB_MF_RDATA : out std_logic_vector(31 downto 0); DEBUG_CB_MF_RDATACONTROL : out std_logic_vector(16 downto 0); DEBUG_CB_MF_WDATA : out std_logic_vector(31 downto 0); DEBUG_CB_MF_WDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_MF_MC_ARADDR : out std_logic_vector(31 downto 0); DEBUG_MF_MC_ARADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_MF_MC_AWADDR : out std_logic_vector(31 downto 0); DEBUG_MF_MC_AWADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_MF_MC_BRESP : out std_logic_vector(15 downto 0); DEBUG_MF_MC_RDATA : out std_logic_vector(31 downto 0); DEBUG_MF_MC_RDATACONTROL : out std_logic_vector(16 downto 0); DEBUG_MF_MC_WDATA : out std_logic_vector(31 downto 0); DEBUG_MF_MC_WDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_MC_MP_ARADDR : out std_logic_vector(31 downto 0); DEBUG_MC_MP_ARADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_MC_MP_AWADDR : out std_logic_vector(31 downto 0); DEBUG_MC_MP_AWADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_MC_MP_BRESP : out std_logic_vector(15 downto 0); DEBUG_MC_MP_RDATA : out std_logic_vector(31 downto 0); DEBUG_MC_MP_RDATACONTROL : out std_logic_vector(16 downto 0); DEBUG_MC_MP_WDATA : out std_logic_vector(31 downto 0); DEBUG_MC_MP_WDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_MP_MR_ARADDR : out std_logic_vector(31 downto 0); DEBUG_MP_MR_ARADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_MP_MR_AWADDR : out std_logic_vector(31 downto 0); DEBUG_MP_MR_AWADDRCONTROL : out std_logic_vector(34 downto 0); DEBUG_MP_MR_BRESP : out std_logic_vector(15 downto 0); DEBUG_MP_MR_RDATA : out std_logic_vector(31 downto 0); DEBUG_MP_MR_RDATACONTROL : out std_logic_vector(16 downto 0); DEBUG_MP_MR_WDATA : out std_logic_vector(31 downto 0); DEBUG_MP_MR_WDATACONTROL : out std_logic_vector(6 downto 0) ); end component; component system_sws_8bits_wrapper is port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(8 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(31 downto 0); S_AXI_WSTRB : in std_logic_vector(3 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(8 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(31 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; IP2INTC_Irpt : out std_logic; GPIO_IO_I : in std_logic_vector(7 downto 0); GPIO_IO_O : out std_logic_vector(7 downto 0); GPIO_IO_T : out std_logic_vector(7 downto 0); GPIO2_IO_I : in std_logic_vector(31 downto 0); GPIO2_IO_O : out std_logic_vector(31 downto 0); GPIO2_IO_T : out std_logic_vector(31 downto 0) ); end component; component system_btns_5bits_wrapper is port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(8 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(31 downto 0); S_AXI_WSTRB : in std_logic_vector(3 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(8 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(31 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; IP2INTC_Irpt : out std_logic; GPIO_IO_I : in std_logic_vector(4 downto 0); GPIO_IO_O : out std_logic_vector(4 downto 0); GPIO_IO_T : out std_logic_vector(4 downto 0); GPIO2_IO_I : in std_logic_vector(31 downto 0); GPIO2_IO_O : out std_logic_vector(31 downto 0); GPIO2_IO_T : out std_logic_vector(31 downto 0) ); end component; component system_processing_system7_0_wrapper is port ( CAN0_PHY_TX : out std_logic; CAN0_PHY_RX : in std_logic; CAN1_PHY_TX : out std_logic; CAN1_PHY_RX : in std_logic; ENET0_GMII_TX_EN : out std_logic; ENET0_GMII_TX_ER : out std_logic; ENET0_MDIO_MDC : out std_logic; ENET0_MDIO_O : out std_logic; ENET0_MDIO_T : out std_logic; ENET0_PTP_DELAY_REQ_RX : out std_logic; ENET0_PTP_DELAY_REQ_TX : out std_logic; ENET0_PTP_PDELAY_REQ_RX : out std_logic; ENET0_PTP_PDELAY_REQ_TX : out std_logic; ENET0_PTP_PDELAY_RESP_RX : out std_logic; ENET0_PTP_PDELAY_RESP_TX : out std_logic; ENET0_PTP_SYNC_FRAME_RX : out std_logic; ENET0_PTP_SYNC_FRAME_TX : out std_logic; ENET0_SOF_RX : out std_logic; ENET0_SOF_TX : out std_logic; ENET0_GMII_TXD : out std_logic_vector(7 downto 0); ENET0_GMII_COL : in std_logic; ENET0_GMII_CRS : in std_logic; ENET0_EXT_INTIN : in std_logic; ENET0_GMII_RX_CLK : in std_logic; ENET0_GMII_RX_DV : in std_logic; ENET0_GMII_RX_ER : in std_logic; ENET0_GMII_TX_CLK : in std_logic; ENET0_MDIO_I : in std_logic; ENET0_GMII_RXD : in std_logic_vector(7 downto 0); ENET1_GMII_TX_EN : out std_logic; ENET1_GMII_TX_ER : out std_logic; ENET1_MDIO_MDC : out std_logic; ENET1_MDIO_O : out std_logic; ENET1_MDIO_T : out std_logic; ENET1_PTP_DELAY_REQ_RX : out std_logic; ENET1_PTP_DELAY_REQ_TX : out std_logic; ENET1_PTP_PDELAY_REQ_RX : out std_logic; ENET1_PTP_PDELAY_REQ_TX : out std_logic; ENET1_PTP_PDELAY_RESP_RX : out std_logic; ENET1_PTP_PDELAY_RESP_TX : out std_logic; ENET1_PTP_SYNC_FRAME_RX : out std_logic; ENET1_PTP_SYNC_FRAME_TX : out std_logic; ENET1_SOF_RX : out std_logic; ENET1_SOF_TX : out std_logic; ENET1_GMII_TXD : out std_logic_vector(7 downto 0); ENET1_GMII_COL : in std_logic; ENET1_GMII_CRS : in std_logic; ENET1_EXT_INTIN : in std_logic; ENET1_GMII_RX_CLK : in std_logic; ENET1_GMII_RX_DV : in std_logic; ENET1_GMII_RX_ER : in std_logic; ENET1_GMII_TX_CLK : in std_logic; ENET1_MDIO_I : in std_logic; ENET1_GMII_RXD : in std_logic_vector(7 downto 0); GPIO_I : in std_logic_vector(63 downto 0); GPIO_O : out std_logic_vector(63 downto 0); GPIO_T : out std_logic_vector(63 downto 0); I2C0_SDA_I : in std_logic; I2C0_SDA_O : out std_logic; I2C0_SDA_T : out std_logic; I2C0_SCL_I : in std_logic; I2C0_SCL_O : out std_logic; I2C0_SCL_T : out std_logic; I2C1_SDA_I : in std_logic; I2C1_SDA_O : out std_logic; I2C1_SDA_T : out std_logic; I2C1_SCL_I : in std_logic; I2C1_SCL_O : out std_logic; I2C1_SCL_T : out std_logic; PJTAG_TCK : in std_logic; PJTAG_TMS : in std_logic; PJTAG_TD_I : in std_logic; PJTAG_TD_T : out std_logic; PJTAG_TD_O : out std_logic; SDIO0_CLK : out std_logic; SDIO0_CLK_FB : in std_logic; SDIO0_CMD_O : out std_logic; SDIO0_CMD_I : in std_logic; SDIO0_CMD_T : out std_logic; SDIO0_DATA_I : in std_logic_vector(3 downto 0); SDIO0_DATA_O : out std_logic_vector(3 downto 0); SDIO0_DATA_T : out std_logic_vector(3 downto 0); SDIO0_LED : out std_logic; SDIO0_CDN : in std_logic; SDIO0_WP : in std_logic; SDIO0_BUSPOW : out std_logic; SDIO0_BUSVOLT : out std_logic_vector(2 downto 0); SDIO1_CLK : out std_logic; SDIO1_CLK_FB : in std_logic; SDIO1_CMD_O : out std_logic; SDIO1_CMD_I : in std_logic; SDIO1_CMD_T : out std_logic; SDIO1_DATA_I : in std_logic_vector(3 downto 0); SDIO1_DATA_O : out std_logic_vector(3 downto 0); SDIO1_DATA_T : out std_logic_vector(3 downto 0); SDIO1_LED : out std_logic; SDIO1_CDN : in std_logic; SDIO1_WP : in std_logic; SDIO1_BUSPOW : out std_logic; SDIO1_BUSVOLT : out std_logic_vector(2 downto 0); SPI0_SCLK_I : in std_logic; SPI0_SCLK_O : out std_logic; SPI0_SCLK_T : out std_logic; SPI0_MOSI_I : in std_logic; SPI0_MOSI_O : out std_logic; SPI0_MOSI_T : out std_logic; SPI0_MISO_I : in std_logic; SPI0_MISO_O : out std_logic; SPI0_MISO_T : out std_logic; SPI0_SS_I : in std_logic; SPI0_SS_O : out std_logic; SPI0_SS1_O : out std_logic; SPI0_SS2_O : out std_logic; SPI0_SS_T : out std_logic; SPI1_SCLK_I : in std_logic; SPI1_SCLK_O : out std_logic; SPI1_SCLK_T : out std_logic; SPI1_MOSI_I : in std_logic; SPI1_MOSI_O : out std_logic; SPI1_MOSI_T : out std_logic; SPI1_MISO_I : in std_logic; SPI1_MISO_O : out std_logic; SPI1_MISO_T : out std_logic; SPI1_SS_I : in std_logic; SPI1_SS_O : out std_logic; SPI1_SS1_O : out std_logic; SPI1_SS2_O : out std_logic; SPI1_SS_T : out std_logic; UART0_DTRN : out std_logic; UART0_RTSN : out std_logic; UART0_TX : out std_logic; UART0_CTSN : in std_logic; UART0_DCDN : in std_logic; UART0_DSRN : in std_logic; UART0_RIN : in std_logic; UART0_RX : in std_logic; UART1_DTRN : out std_logic; UART1_RTSN : out std_logic; UART1_TX : out std_logic; UART1_CTSN : in std_logic; UART1_DCDN : in std_logic; UART1_DSRN : in std_logic; UART1_RIN : in std_logic; UART1_RX : in std_logic; TTC0_WAVE0_OUT : out std_logic; TTC0_WAVE1_OUT : out std_logic; TTC0_WAVE2_OUT : out std_logic; TTC0_CLK0_IN : in std_logic; TTC0_CLK1_IN : in std_logic; TTC0_CLK2_IN : in std_logic; TTC1_WAVE0_OUT : out std_logic; TTC1_WAVE1_OUT : out std_logic; TTC1_WAVE2_OUT : out std_logic; TTC1_CLK0_IN : in std_logic; TTC1_CLK1_IN : in std_logic; TTC1_CLK2_IN : in std_logic; WDT_CLK_IN : in std_logic; WDT_RST_OUT : out std_logic; TRACE_CLK : in std_logic; TRACE_CTL : out std_logic; TRACE_DATA : out std_logic_vector(31 downto 0); USB0_PORT_INDCTL : out std_logic_vector(1 downto 0); USB1_PORT_INDCTL : out std_logic_vector(1 downto 0); USB0_VBUS_PWRSELECT : out std_logic; USB1_VBUS_PWRSELECT : out std_logic; USB0_VBUS_PWRFAULT : in std_logic; USB1_VBUS_PWRFAULT : in std_logic; SRAM_INTIN : in std_logic; M_AXI_GP0_ARESETN : out std_logic; M_AXI_GP0_ARVALID : out std_logic; M_AXI_GP0_AWVALID : out std_logic; M_AXI_GP0_BREADY : out std_logic; M_AXI_GP0_RREADY : out std_logic; M_AXI_GP0_WLAST : out std_logic; M_AXI_GP0_WVALID : out std_logic; M_AXI_GP0_ARID : out std_logic_vector(11 downto 0); M_AXI_GP0_AWID : out std_logic_vector(11 downto 0); M_AXI_GP0_WID : out std_logic_vector(11 downto 0); M_AXI_GP0_ARBURST : out std_logic_vector(1 downto 0); M_AXI_GP0_ARLOCK : out std_logic_vector(1 downto 0); M_AXI_GP0_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_GP0_AWBURST : out std_logic_vector(1 downto 0); M_AXI_GP0_AWLOCK : out std_logic_vector(1 downto 0); M_AXI_GP0_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_GP0_ARPROT : out std_logic_vector(2 downto 0); M_AXI_GP0_AWPROT : out std_logic_vector(2 downto 0); M_AXI_GP0_ARADDR : out std_logic_vector(31 downto 0); M_AXI_GP0_AWADDR : out std_logic_vector(31 downto 0); M_AXI_GP0_WDATA : out std_logic_vector(31 downto 0); M_AXI_GP0_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_GP0_ARLEN : out std_logic_vector(3 downto 0); M_AXI_GP0_ARQOS : out std_logic_vector(3 downto 0); M_AXI_GP0_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_GP0_AWLEN : out std_logic_vector(3 downto 0); M_AXI_GP0_AWQOS : out std_logic_vector(3 downto 0); M_AXI_GP0_WSTRB : out std_logic_vector(3 downto 0); M_AXI_GP0_ACLK : in std_logic; M_AXI_GP0_ARREADY : in std_logic; M_AXI_GP0_AWREADY : in std_logic; M_AXI_GP0_BVALID : in std_logic; M_AXI_GP0_RLAST : in std_logic; M_AXI_GP0_RVALID : in std_logic; M_AXI_GP0_WREADY : in std_logic; M_AXI_GP0_BID : in std_logic_vector(11 downto 0); M_AXI_GP0_RID : in std_logic_vector(11 downto 0); M_AXI_GP0_BRESP : in std_logic_vector(1 downto 0); M_AXI_GP0_RRESP : in std_logic_vector(1 downto 0); M_AXI_GP0_RDATA : in std_logic_vector(31 downto 0); M_AXI_GP1_ARESETN : out std_logic; M_AXI_GP1_ARVALID : out std_logic; M_AXI_GP1_AWVALID : out std_logic; M_AXI_GP1_BREADY : out std_logic; M_AXI_GP1_RREADY : out std_logic; M_AXI_GP1_WLAST : out std_logic; M_AXI_GP1_WVALID : out std_logic; M_AXI_GP1_ARID : out std_logic_vector(11 downto 0); M_AXI_GP1_AWID : out std_logic_vector(11 downto 0); M_AXI_GP1_WID : out std_logic_vector(11 downto 0); M_AXI_GP1_ARBURST : out std_logic_vector(1 downto 0); M_AXI_GP1_ARLOCK : out std_logic_vector(1 downto 0); M_AXI_GP1_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_GP1_AWBURST : out std_logic_vector(1 downto 0); M_AXI_GP1_AWLOCK : out std_logic_vector(1 downto 0); M_AXI_GP1_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_GP1_ARPROT : out std_logic_vector(2 downto 0); M_AXI_GP1_AWPROT : out std_logic_vector(2 downto 0); M_AXI_GP1_ARADDR : out std_logic_vector(31 downto 0); M_AXI_GP1_AWADDR : out std_logic_vector(31 downto 0); M_AXI_GP1_WDATA : out std_logic_vector(31 downto 0); M_AXI_GP1_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_GP1_ARLEN : out std_logic_vector(3 downto 0); M_AXI_GP1_ARQOS : out std_logic_vector(3 downto 0); M_AXI_GP1_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_GP1_AWLEN : out std_logic_vector(3 downto 0); M_AXI_GP1_AWQOS : out std_logic_vector(3 downto 0); M_AXI_GP1_WSTRB : out std_logic_vector(3 downto 0); M_AXI_GP1_ACLK : in std_logic; M_AXI_GP1_ARREADY : in std_logic; M_AXI_GP1_AWREADY : in std_logic; M_AXI_GP1_BVALID : in std_logic; M_AXI_GP1_RLAST : in std_logic; M_AXI_GP1_RVALID : in std_logic; M_AXI_GP1_WREADY : in std_logic; M_AXI_GP1_BID : in std_logic_vector(11 downto 0); M_AXI_GP1_RID : in std_logic_vector(11 downto 0); M_AXI_GP1_BRESP : in std_logic_vector(1 downto 0); M_AXI_GP1_RRESP : in std_logic_vector(1 downto 0); M_AXI_GP1_RDATA : in std_logic_vector(31 downto 0); S_AXI_GP0_ARESETN : out std_logic; S_AXI_GP0_ARREADY : out std_logic; S_AXI_GP0_AWREADY : out std_logic; S_AXI_GP0_BVALID : out std_logic; S_AXI_GP0_RLAST : out std_logic; S_AXI_GP0_RVALID : out std_logic; S_AXI_GP0_WREADY : out std_logic; S_AXI_GP0_BRESP : out std_logic_vector(1 downto 0); S_AXI_GP0_RRESP : out std_logic_vector(1 downto 0); S_AXI_GP0_RDATA : out std_logic_vector(31 downto 0); S_AXI_GP0_BID : out std_logic_vector(5 downto 0); S_AXI_GP0_RID : out std_logic_vector(5 downto 0); S_AXI_GP0_ACLK : in std_logic; S_AXI_GP0_ARVALID : in std_logic; S_AXI_GP0_AWVALID : in std_logic; S_AXI_GP0_BREADY : in std_logic; S_AXI_GP0_RREADY : in std_logic; S_AXI_GP0_WLAST : in std_logic; S_AXI_GP0_WVALID : in std_logic; S_AXI_GP0_ARBURST : in std_logic_vector(1 downto 0); S_AXI_GP0_ARLOCK : in std_logic_vector(1 downto 0); S_AXI_GP0_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_GP0_AWBURST : in std_logic_vector(1 downto 0); S_AXI_GP0_AWLOCK : in std_logic_vector(1 downto 0); S_AXI_GP0_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_GP0_ARPROT : in std_logic_vector(2 downto 0); S_AXI_GP0_AWPROT : in std_logic_vector(2 downto 0); S_AXI_GP0_ARADDR : in std_logic_vector(31 downto 0); S_AXI_GP0_AWADDR : in std_logic_vector(31 downto 0); S_AXI_GP0_WDATA : in std_logic_vector(31 downto 0); S_AXI_GP0_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_GP0_ARLEN : in std_logic_vector(3 downto 0); S_AXI_GP0_ARQOS : in std_logic_vector(3 downto 0); S_AXI_GP0_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_GP0_AWLEN : in std_logic_vector(3 downto 0); S_AXI_GP0_AWQOS : in std_logic_vector(3 downto 0); S_AXI_GP0_WSTRB : in std_logic_vector(3 downto 0); S_AXI_GP0_ARID : in std_logic_vector(5 downto 0); S_AXI_GP0_AWID : in std_logic_vector(5 downto 0); S_AXI_GP0_WID : in std_logic_vector(5 downto 0); S_AXI_GP1_ARESETN : out std_logic; S_AXI_GP1_ARREADY : out std_logic; S_AXI_GP1_AWREADY : out std_logic; S_AXI_GP1_BVALID : out std_logic; S_AXI_GP1_RLAST : out std_logic; S_AXI_GP1_RVALID : out std_logic; S_AXI_GP1_WREADY : out std_logic; S_AXI_GP1_BRESP : out std_logic_vector(1 downto 0); S_AXI_GP1_RRESP : out std_logic_vector(1 downto 0); S_AXI_GP1_RDATA : out std_logic_vector(31 downto 0); S_AXI_GP1_BID : out std_logic_vector(5 downto 0); S_AXI_GP1_RID : out std_logic_vector(5 downto 0); S_AXI_GP1_ACLK : in std_logic; S_AXI_GP1_ARVALID : in std_logic; S_AXI_GP1_AWVALID : in std_logic; S_AXI_GP1_BREADY : in std_logic; S_AXI_GP1_RREADY : in std_logic; S_AXI_GP1_WLAST : in std_logic; S_AXI_GP1_WVALID : in std_logic; S_AXI_GP1_ARBURST : in std_logic_vector(1 downto 0); S_AXI_GP1_ARLOCK : in std_logic_vector(1 downto 0); S_AXI_GP1_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_GP1_AWBURST : in std_logic_vector(1 downto 0); S_AXI_GP1_AWLOCK : in std_logic_vector(1 downto 0); S_AXI_GP1_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_GP1_ARPROT : in std_logic_vector(2 downto 0); S_AXI_GP1_AWPROT : in std_logic_vector(2 downto 0); S_AXI_GP1_ARADDR : in std_logic_vector(31 downto 0); S_AXI_GP1_AWADDR : in std_logic_vector(31 downto 0); S_AXI_GP1_WDATA : in std_logic_vector(31 downto 0); S_AXI_GP1_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_GP1_ARLEN : in std_logic_vector(3 downto 0); S_AXI_GP1_ARQOS : in std_logic_vector(3 downto 0); S_AXI_GP1_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_GP1_AWLEN : in std_logic_vector(3 downto 0); S_AXI_GP1_AWQOS : in std_logic_vector(3 downto 0); S_AXI_GP1_WSTRB : in std_logic_vector(3 downto 0); S_AXI_GP1_ARID : in std_logic_vector(5 downto 0); S_AXI_GP1_AWID : in std_logic_vector(5 downto 0); S_AXI_GP1_WID : in std_logic_vector(5 downto 0); S_AXI_ACP_ARESETN : out std_logic; S_AXI_ACP_AWREADY : out std_logic; S_AXI_ACP_ARREADY : out std_logic; S_AXI_ACP_BVALID : out std_logic; S_AXI_ACP_RLAST : out std_logic; S_AXI_ACP_RVALID : out std_logic; S_AXI_ACP_WREADY : out std_logic; S_AXI_ACP_BRESP : out std_logic_vector(1 downto 0); S_AXI_ACP_RRESP : out std_logic_vector(1 downto 0); S_AXI_ACP_BID : out std_logic_vector(2 downto 0); S_AXI_ACP_RID : out std_logic_vector(2 downto 0); S_AXI_ACP_RDATA : out std_logic_vector(63 downto 0); S_AXI_ACP_ACLK : in std_logic; S_AXI_ACP_ARVALID : in std_logic; S_AXI_ACP_AWVALID : in std_logic; S_AXI_ACP_BREADY : in std_logic; S_AXI_ACP_RREADY : in std_logic; S_AXI_ACP_WLAST : in std_logic; S_AXI_ACP_WVALID : in std_logic; S_AXI_ACP_ARID : in std_logic_vector(2 downto 0); S_AXI_ACP_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ACP_AWID : in std_logic_vector(2 downto 0); S_AXI_ACP_AWPROT : in std_logic_vector(2 downto 0); S_AXI_ACP_WID : in std_logic_vector(2 downto 0); S_AXI_ACP_ARADDR : in std_logic_vector(31 downto 0); S_AXI_ACP_AWADDR : in std_logic_vector(31 downto 0); S_AXI_ACP_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_ACP_ARLEN : in std_logic_vector(3 downto 0); S_AXI_ACP_ARQOS : in std_logic_vector(3 downto 0); S_AXI_ACP_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_ACP_AWLEN : in std_logic_vector(3 downto 0); S_AXI_ACP_AWQOS : in std_logic_vector(3 downto 0); S_AXI_ACP_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ACP_ARLOCK : in std_logic_vector(1 downto 0); S_AXI_ACP_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ACP_AWBURST : in std_logic_vector(1 downto 0); S_AXI_ACP_AWLOCK : in std_logic_vector(1 downto 0); S_AXI_ACP_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_ACP_ARUSER : in std_logic_vector(4 downto 0); S_AXI_ACP_AWUSER : in std_logic_vector(4 downto 0); S_AXI_ACP_WDATA : in std_logic_vector(63 downto 0); S_AXI_ACP_WSTRB : in std_logic_vector(7 downto 0); S_AXI_HP0_ARESETN : out std_logic; S_AXI_HP0_ARREADY : out std_logic; S_AXI_HP0_AWREADY : out std_logic; S_AXI_HP0_BVALID : out std_logic; S_AXI_HP0_RLAST : out std_logic; S_AXI_HP0_RVALID : out std_logic; S_AXI_HP0_WREADY : out std_logic; S_AXI_HP0_BRESP : out std_logic_vector(1 downto 0); S_AXI_HP0_RRESP : out std_logic_vector(1 downto 0); S_AXI_HP0_BID : out std_logic_vector(1 downto 0); S_AXI_HP0_RID : out std_logic_vector(1 downto 0); S_AXI_HP0_RDATA : out std_logic_vector(63 downto 0); S_AXI_HP0_RCOUNT : out std_logic_vector(7 downto 0); S_AXI_HP0_WCOUNT : out std_logic_vector(7 downto 0); S_AXI_HP0_RACOUNT : out std_logic_vector(2 downto 0); S_AXI_HP0_WACOUNT : out std_logic_vector(5 downto 0); S_AXI_HP0_ACLK : in std_logic; S_AXI_HP0_ARVALID : in std_logic; S_AXI_HP0_AWVALID : in std_logic; S_AXI_HP0_BREADY : in std_logic; S_AXI_HP0_RDISSUECAP1_EN : in std_logic; S_AXI_HP0_RREADY : in std_logic; S_AXI_HP0_WLAST : in std_logic; S_AXI_HP0_WRISSUECAP1_EN : in std_logic; S_AXI_HP0_WVALID : in std_logic; S_AXI_HP0_ARBURST : in std_logic_vector(1 downto 0); S_AXI_HP0_ARLOCK : in std_logic_vector(1 downto 0); S_AXI_HP0_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_HP0_AWBURST : in std_logic_vector(1 downto 0); S_AXI_HP0_AWLOCK : in std_logic_vector(1 downto 0); S_AXI_HP0_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_HP0_ARPROT : in std_logic_vector(2 downto 0); S_AXI_HP0_AWPROT : in std_logic_vector(2 downto 0); S_AXI_HP0_ARADDR : in std_logic_vector(31 downto 0); S_AXI_HP0_AWADDR : in std_logic_vector(31 downto 0); S_AXI_HP0_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_HP0_ARLEN : in std_logic_vector(3 downto 0); S_AXI_HP0_ARQOS : in std_logic_vector(3 downto 0); S_AXI_HP0_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_HP0_AWLEN : in std_logic_vector(3 downto 0); S_AXI_HP0_AWQOS : in std_logic_vector(3 downto 0); S_AXI_HP0_ARID : in std_logic_vector(1 downto 0); S_AXI_HP0_AWID : in std_logic_vector(1 downto 0); S_AXI_HP0_WID : in std_logic_vector(1 downto 0); S_AXI_HP0_WDATA : in std_logic_vector(63 downto 0); S_AXI_HP0_WSTRB : in std_logic_vector(7 downto 0); S_AXI_HP1_ARESETN : out std_logic; S_AXI_HP1_ARREADY : out std_logic; S_AXI_HP1_AWREADY : out std_logic; S_AXI_HP1_BVALID : out std_logic; S_AXI_HP1_RLAST : out std_logic; S_AXI_HP1_RVALID : out std_logic; S_AXI_HP1_WREADY : out std_logic; S_AXI_HP1_BRESP : out std_logic_vector(1 downto 0); S_AXI_HP1_RRESP : out std_logic_vector(1 downto 0); S_AXI_HP1_BID : out std_logic_vector(5 downto 0); S_AXI_HP1_RID : out std_logic_vector(5 downto 0); S_AXI_HP1_RDATA : out std_logic_vector(63 downto 0); S_AXI_HP1_RCOUNT : out std_logic_vector(7 downto 0); S_AXI_HP1_WCOUNT : out std_logic_vector(7 downto 0); S_AXI_HP1_RACOUNT : out std_logic_vector(2 downto 0); S_AXI_HP1_WACOUNT : out std_logic_vector(5 downto 0); S_AXI_HP1_ACLK : in std_logic; S_AXI_HP1_ARVALID : in std_logic; S_AXI_HP1_AWVALID : in std_logic; S_AXI_HP1_BREADY : in std_logic; S_AXI_HP1_RDISSUECAP1_EN : in std_logic; S_AXI_HP1_RREADY : in std_logic; S_AXI_HP1_WLAST : in std_logic; S_AXI_HP1_WRISSUECAP1_EN : in std_logic; S_AXI_HP1_WVALID : in std_logic; S_AXI_HP1_ARBURST : in std_logic_vector(1 downto 0); S_AXI_HP1_ARLOCK : in std_logic_vector(1 downto 0); S_AXI_HP1_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_HP1_AWBURST : in std_logic_vector(1 downto 0); S_AXI_HP1_AWLOCK : in std_logic_vector(1 downto 0); S_AXI_HP1_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_HP1_ARPROT : in std_logic_vector(2 downto 0); S_AXI_HP1_AWPROT : in std_logic_vector(2 downto 0); S_AXI_HP1_ARADDR : in std_logic_vector(31 downto 0); S_AXI_HP1_AWADDR : in std_logic_vector(31 downto 0); S_AXI_HP1_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_HP1_ARLEN : in std_logic_vector(3 downto 0); S_AXI_HP1_ARQOS : in std_logic_vector(3 downto 0); S_AXI_HP1_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_HP1_AWLEN : in std_logic_vector(3 downto 0); S_AXI_HP1_AWQOS : in std_logic_vector(3 downto 0); S_AXI_HP1_ARID : in std_logic_vector(5 downto 0); S_AXI_HP1_AWID : in std_logic_vector(5 downto 0); S_AXI_HP1_WID : in std_logic_vector(5 downto 0); S_AXI_HP1_WDATA : in std_logic_vector(63 downto 0); S_AXI_HP1_WSTRB : in std_logic_vector(7 downto 0); S_AXI_HP2_ARESETN : out std_logic; S_AXI_HP2_ARREADY : out std_logic; S_AXI_HP2_AWREADY : out std_logic; S_AXI_HP2_BVALID : out std_logic; S_AXI_HP2_RLAST : out std_logic; S_AXI_HP2_RVALID : out std_logic; S_AXI_HP2_WREADY : out std_logic; S_AXI_HP2_BRESP : out std_logic_vector(1 downto 0); S_AXI_HP2_RRESP : out std_logic_vector(1 downto 0); S_AXI_HP2_BID : out std_logic_vector(5 downto 0); S_AXI_HP2_RID : out std_logic_vector(5 downto 0); S_AXI_HP2_RDATA : out std_logic_vector(63 downto 0); S_AXI_HP2_RCOUNT : out std_logic_vector(7 downto 0); S_AXI_HP2_WCOUNT : out std_logic_vector(7 downto 0); S_AXI_HP2_RACOUNT : out std_logic_vector(2 downto 0); S_AXI_HP2_WACOUNT : out std_logic_vector(5 downto 0); S_AXI_HP2_ACLK : in std_logic; S_AXI_HP2_ARVALID : in std_logic; S_AXI_HP2_AWVALID : in std_logic; S_AXI_HP2_BREADY : in std_logic; S_AXI_HP2_RDISSUECAP1_EN : in std_logic; S_AXI_HP2_RREADY : in std_logic; S_AXI_HP2_WLAST : in std_logic; S_AXI_HP2_WRISSUECAP1_EN : in std_logic; S_AXI_HP2_WVALID : in std_logic; S_AXI_HP2_ARBURST : in std_logic_vector(1 downto 0); S_AXI_HP2_ARLOCK : in std_logic_vector(1 downto 0); S_AXI_HP2_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_HP2_AWBURST : in std_logic_vector(1 downto 0); S_AXI_HP2_AWLOCK : in std_logic_vector(1 downto 0); S_AXI_HP2_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_HP2_ARPROT : in std_logic_vector(2 downto 0); S_AXI_HP2_AWPROT : in std_logic_vector(2 downto 0); S_AXI_HP2_ARADDR : in std_logic_vector(31 downto 0); S_AXI_HP2_AWADDR : in std_logic_vector(31 downto 0); S_AXI_HP2_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_HP2_ARLEN : in std_logic_vector(3 downto 0); S_AXI_HP2_ARQOS : in std_logic_vector(3 downto 0); S_AXI_HP2_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_HP2_AWLEN : in std_logic_vector(3 downto 0); S_AXI_HP2_AWQOS : in std_logic_vector(3 downto 0); S_AXI_HP2_ARID : in std_logic_vector(5 downto 0); S_AXI_HP2_AWID : in std_logic_vector(5 downto 0); S_AXI_HP2_WID : in std_logic_vector(5 downto 0); S_AXI_HP2_WDATA : in std_logic_vector(63 downto 0); S_AXI_HP2_WSTRB : in std_logic_vector(7 downto 0); S_AXI_HP3_ARESETN : out std_logic; S_AXI_HP3_ARREADY : out std_logic; S_AXI_HP3_AWREADY : out std_logic; S_AXI_HP3_BVALID : out std_logic; S_AXI_HP3_RLAST : out std_logic; S_AXI_HP3_RVALID : out std_logic; S_AXI_HP3_WREADY : out std_logic; S_AXI_HP3_BRESP : out std_logic_vector(1 downto 0); S_AXI_HP3_RRESP : out std_logic_vector(1 downto 0); S_AXI_HP3_BID : out std_logic_vector(5 downto 0); S_AXI_HP3_RID : out std_logic_vector(5 downto 0); S_AXI_HP3_RDATA : out std_logic_vector(63 downto 0); S_AXI_HP3_RCOUNT : out std_logic_vector(7 downto 0); S_AXI_HP3_WCOUNT : out std_logic_vector(7 downto 0); S_AXI_HP3_RACOUNT : out std_logic_vector(2 downto 0); S_AXI_HP3_WACOUNT : out std_logic_vector(5 downto 0); S_AXI_HP3_ACLK : in std_logic; S_AXI_HP3_ARVALID : in std_logic; S_AXI_HP3_AWVALID : in std_logic; S_AXI_HP3_BREADY : in std_logic; S_AXI_HP3_RDISSUECAP1_EN : in std_logic; S_AXI_HP3_RREADY : in std_logic; S_AXI_HP3_WLAST : in std_logic; S_AXI_HP3_WRISSUECAP1_EN : in std_logic; S_AXI_HP3_WVALID : in std_logic; S_AXI_HP3_ARBURST : in std_logic_vector(1 downto 0); S_AXI_HP3_ARLOCK : in std_logic_vector(1 downto 0); S_AXI_HP3_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_HP3_AWBURST : in std_logic_vector(1 downto 0); S_AXI_HP3_AWLOCK : in std_logic_vector(1 downto 0); S_AXI_HP3_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_HP3_ARPROT : in std_logic_vector(2 downto 0); S_AXI_HP3_AWPROT : in std_logic_vector(2 downto 0); S_AXI_HP3_ARADDR : in std_logic_vector(31 downto 0); S_AXI_HP3_AWADDR : in std_logic_vector(31 downto 0); S_AXI_HP3_ARCACHE : in std_logic_vector(3 downto 0); S_AXI_HP3_ARLEN : in std_logic_vector(3 downto 0); S_AXI_HP3_ARQOS : in std_logic_vector(3 downto 0); S_AXI_HP3_AWCACHE : in std_logic_vector(3 downto 0); S_AXI_HP3_AWLEN : in std_logic_vector(3 downto 0); S_AXI_HP3_AWQOS : in std_logic_vector(3 downto 0); S_AXI_HP3_ARID : in std_logic_vector(5 downto 0); S_AXI_HP3_AWID : in std_logic_vector(5 downto 0); S_AXI_HP3_WID : in std_logic_vector(5 downto 0); S_AXI_HP3_WDATA : in std_logic_vector(63 downto 0); S_AXI_HP3_WSTRB : in std_logic_vector(7 downto 0); DMA0_DATYPE : out std_logic_vector(1 downto 0); DMA0_DAVALID : out std_logic; DMA0_DRREADY : out std_logic; DMA0_RSTN : out std_logic; DMA0_ACLK : in std_logic; DMA0_DAREADY : in std_logic; DMA0_DRLAST : in std_logic; DMA0_DRVALID : in std_logic; DMA0_DRTYPE : in std_logic_vector(1 downto 0); DMA1_DATYPE : out std_logic_vector(1 downto 0); DMA1_DAVALID : out std_logic; DMA1_DRREADY : out std_logic; DMA1_RSTN : out std_logic; DMA1_ACLK : in std_logic; DMA1_DAREADY : in std_logic; DMA1_DRLAST : in std_logic; DMA1_DRVALID : in std_logic; DMA1_DRTYPE : in std_logic_vector(1 downto 0); DMA2_DATYPE : out std_logic_vector(1 downto 0); DMA2_DAVALID : out std_logic; DMA2_DRREADY : out std_logic; DMA2_RSTN : out std_logic; DMA2_ACLK : in std_logic; DMA2_DAREADY : in std_logic; DMA2_DRLAST : in std_logic; DMA2_DRVALID : in std_logic; DMA3_DRVALID : in std_logic; DMA3_DATYPE : out std_logic_vector(1 downto 0); DMA3_DAVALID : out std_logic; DMA3_DRREADY : out std_logic; DMA3_RSTN : out std_logic; DMA3_ACLK : in std_logic; DMA3_DAREADY : in std_logic; DMA3_DRLAST : in std_logic; DMA2_DRTYPE : in std_logic_vector(1 downto 0); DMA3_DRTYPE : in std_logic_vector(1 downto 0); FTMD_TRACEIN_DATA : in std_logic_vector(31 downto 0); FTMD_TRACEIN_VALID : in std_logic; FTMD_TRACEIN_CLK : in std_logic; FTMD_TRACEIN_ATID : in std_logic_vector(3 downto 0); FTMT_F2P_TRIG : in std_logic_vector(3 downto 0); FTMT_F2P_TRIGACK : out std_logic_vector(3 downto 0); FTMT_F2P_DEBUG : in std_logic_vector(31 downto 0); FTMT_P2F_TRIGACK : in std_logic_vector(3 downto 0); FTMT_P2F_TRIG : out std_logic_vector(3 downto 0); FTMT_P2F_DEBUG : out std_logic_vector(31 downto 0); FCLK_CLK3 : out std_logic; FCLK_CLK2 : out std_logic; FCLK_CLK1 : out std_logic; FCLK_CLK0 : out std_logic; FCLK_CLKTRIG3_N : in std_logic; FCLK_CLKTRIG2_N : in std_logic; FCLK_CLKTRIG1_N : in std_logic; FCLK_CLKTRIG0_N : in std_logic; FCLK_RESET3_N : out std_logic; FCLK_RESET2_N : out std_logic; FCLK_RESET1_N : out std_logic; FCLK_RESET0_N : out std_logic; FPGA_IDLE_N : in std_logic; DDR_ARB : in std_logic_vector(3 downto 0); IRQ_F2P : in std_logic_vector(1 downto 0); Core0_nFIQ : in std_logic; Core0_nIRQ : in std_logic; Core1_nFIQ : in std_logic; Core1_nIRQ : in std_logic; EVENT_EVENTO : out std_logic; EVENT_STANDBYWFE : out std_logic_vector(1 downto 0); EVENT_STANDBYWFI : out std_logic_vector(1 downto 0); EVENT_EVENTI : in std_logic; MIO : inout std_logic_vector(53 downto 0); DDR_Clk : inout std_logic; DDR_Clk_n : inout std_logic; DDR_CKE : inout std_logic; DDR_CS_n : inout std_logic; DDR_RAS_n : inout std_logic; DDR_CAS_n : inout std_logic; DDR_WEB : out std_logic; DDR_BankAddr : inout std_logic_vector(2 downto 0); DDR_Addr : inout std_logic_vector(14 downto 0); DDR_ODT : inout std_logic; DDR_DRSTB : inout std_logic; DDR_DQ : inout std_logic_vector(31 downto 0); DDR_DM : inout std_logic_vector(3 downto 0); DDR_DQS : inout std_logic_vector(3 downto 0); DDR_DQS_n : inout std_logic_vector(3 downto 0); DDR_VRN : inout std_logic; DDR_VRP : inout std_logic; PS_SRSTB : in std_logic; PS_CLK : in std_logic; PS_PORB : in std_logic; IRQ_P2F_DMAC_ABORT : out std_logic; IRQ_P2F_DMAC0 : out std_logic; IRQ_P2F_DMAC1 : out std_logic; IRQ_P2F_DMAC2 : out std_logic; IRQ_P2F_DMAC3 : out std_logic; IRQ_P2F_DMAC4 : out std_logic; IRQ_P2F_DMAC5 : out std_logic; IRQ_P2F_DMAC6 : out std_logic; IRQ_P2F_DMAC7 : out std_logic; IRQ_P2F_SMC : out std_logic; IRQ_P2F_QSPI : out std_logic; IRQ_P2F_CTI : out std_logic; IRQ_P2F_GPIO : out std_logic; IRQ_P2F_USB0 : out std_logic; IRQ_P2F_ENET0 : out std_logic; IRQ_P2F_ENET_WAKE0 : out std_logic; IRQ_P2F_SDIO0 : out std_logic; IRQ_P2F_I2C0 : out std_logic; IRQ_P2F_SPI0 : out std_logic; IRQ_P2F_UART0 : out std_logic; IRQ_P2F_CAN0 : out std_logic; IRQ_P2F_USB1 : out std_logic; IRQ_P2F_ENET1 : out std_logic; IRQ_P2F_ENET_WAKE1 : out std_logic; IRQ_P2F_SDIO1 : out std_logic; IRQ_P2F_I2C1 : out std_logic; IRQ_P2F_SPI1 : out std_logic; IRQ_P2F_UART1 : out std_logic; IRQ_P2F_CAN1 : out std_logic ); end component; component system_axi_dma_0_wrapper is port ( s_axi_lite_aclk : in std_logic; m_axi_sg_aclk : in std_logic; m_axi_mm2s_aclk : in std_logic; m_axi_s2mm_aclk : in std_logic; axi_resetn : in std_logic; s_axi_lite_awvalid : in std_logic; s_axi_lite_awready : out std_logic; s_axi_lite_awaddr : in std_logic_vector(9 downto 0); s_axi_lite_wvalid : in std_logic; s_axi_lite_wready : out std_logic; s_axi_lite_wdata : in std_logic_vector(31 downto 0); s_axi_lite_bresp : out std_logic_vector(1 downto 0); s_axi_lite_bvalid : out std_logic; s_axi_lite_bready : in std_logic; s_axi_lite_arvalid : in std_logic; s_axi_lite_arready : out std_logic; s_axi_lite_araddr : in std_logic_vector(9 downto 0); s_axi_lite_rvalid : out std_logic; s_axi_lite_rready : in std_logic; s_axi_lite_rdata : out std_logic_vector(31 downto 0); s_axi_lite_rresp : out std_logic_vector(1 downto 0); m_axi_sg_awaddr : out std_logic_vector(31 downto 0); m_axi_sg_awlen : out std_logic_vector(7 downto 0); m_axi_sg_awsize : out std_logic_vector(2 downto 0); m_axi_sg_awburst : out std_logic_vector(1 downto 0); m_axi_sg_awprot : out std_logic_vector(2 downto 0); m_axi_sg_awcache : out std_logic_vector(3 downto 0); m_axi_sg_awuser : out std_logic_vector(3 downto 0); m_axi_sg_awvalid : out std_logic; m_axi_sg_awready : in std_logic; m_axi_sg_wdata : out std_logic_vector(31 downto 0); m_axi_sg_wstrb : out std_logic_vector(3 downto 0); m_axi_sg_wlast : out std_logic; m_axi_sg_wvalid : out std_logic; m_axi_sg_wready : in std_logic; m_axi_sg_bresp : in std_logic_vector(1 downto 0); m_axi_sg_bvalid : in std_logic; m_axi_sg_bready : out std_logic; m_axi_sg_araddr : out std_logic_vector(31 downto 0); m_axi_sg_arlen : out std_logic_vector(7 downto 0); m_axi_sg_arsize : out std_logic_vector(2 downto 0); m_axi_sg_arburst : out std_logic_vector(1 downto 0); m_axi_sg_arprot : out std_logic_vector(2 downto 0); m_axi_sg_arcache : out std_logic_vector(3 downto 0); m_axi_sg_aruser : out std_logic_vector(3 downto 0); m_axi_sg_arvalid : out std_logic; m_axi_sg_arready : in std_logic; m_axi_sg_rdata : in std_logic_vector(31 downto 0); m_axi_sg_rresp : in std_logic_vector(1 downto 0); m_axi_sg_rlast : in std_logic; m_axi_sg_rvalid : in std_logic; m_axi_sg_rready : out std_logic; m_axi_mm2s_araddr : out std_logic_vector(31 downto 0); m_axi_mm2s_arlen : out std_logic_vector(7 downto 0); m_axi_mm2s_arsize : out std_logic_vector(2 downto 0); m_axi_mm2s_arburst : out std_logic_vector(1 downto 0); m_axi_mm2s_arprot : out std_logic_vector(2 downto 0); m_axi_mm2s_arcache : out std_logic_vector(3 downto 0); m_axi_mm2s_aruser : out std_logic_vector(3 downto 0); m_axi_mm2s_arvalid : out std_logic; m_axi_mm2s_arready : in std_logic; m_axi_mm2s_rdata : in std_logic_vector(31 downto 0); m_axi_mm2s_rresp : in std_logic_vector(1 downto 0); m_axi_mm2s_rlast : in std_logic; m_axi_mm2s_rvalid : in std_logic; m_axi_mm2s_rready : out std_logic; mm2s_prmry_reset_out_n : out std_logic; m_axis_mm2s_tdata : out std_logic_vector(31 downto 0); m_axis_mm2s_tkeep : out std_logic_vector(3 downto 0); m_axis_mm2s_tvalid : out std_logic; m_axis_mm2s_tready : in std_logic; m_axis_mm2s_tlast : out std_logic; m_axis_mm2s_tuser : out std_logic_vector(3 downto 0); m_axis_mm2s_tid : out std_logic_vector(4 downto 0); m_axis_mm2s_tdest : out std_logic_vector(4 downto 0); mm2s_cntrl_reset_out_n : out std_logic; m_axis_mm2s_cntrl_tdata : out std_logic_vector(31 downto 0); m_axis_mm2s_cntrl_tkeep : out std_logic_vector(3 downto 0); m_axis_mm2s_cntrl_tvalid : out std_logic; m_axis_mm2s_cntrl_tready : in std_logic; m_axis_mm2s_cntrl_tlast : out std_logic; m_axi_s2mm_awaddr : out std_logic_vector(31 downto 0); m_axi_s2mm_awlen : out std_logic_vector(7 downto 0); m_axi_s2mm_awsize : out std_logic_vector(2 downto 0); m_axi_s2mm_awburst : out std_logic_vector(1 downto 0); m_axi_s2mm_awprot : out std_logic_vector(2 downto 0); m_axi_s2mm_awcache : out std_logic_vector(3 downto 0); m_axi_s2mm_awuser : out std_logic_vector(3 downto 0); m_axi_s2mm_awvalid : out std_logic; m_axi_s2mm_awready : in std_logic; m_axi_s2mm_wdata : out std_logic_vector(31 downto 0); m_axi_s2mm_wstrb : out std_logic_vector(3 downto 0); m_axi_s2mm_wlast : out std_logic; m_axi_s2mm_wvalid : out std_logic; m_axi_s2mm_wready : in std_logic; m_axi_s2mm_bresp : in std_logic_vector(1 downto 0); m_axi_s2mm_bvalid : in std_logic; m_axi_s2mm_bready : out std_logic; s2mm_prmry_reset_out_n : out std_logic; s_axis_s2mm_tdata : in std_logic_vector(31 downto 0); s_axis_s2mm_tkeep : in std_logic_vector(3 downto 0); s_axis_s2mm_tvalid : in std_logic; s_axis_s2mm_tready : out std_logic; s_axis_s2mm_tlast : in std_logic; s_axis_s2mm_tuser : in std_logic_vector(3 downto 0); s_axis_s2mm_tid : in std_logic_vector(4 downto 0); s_axis_s2mm_tdest : in std_logic_vector(4 downto 0); s2mm_sts_reset_out_n : out std_logic; s_axis_s2mm_sts_tdata : in std_logic_vector(31 downto 0); s_axis_s2mm_sts_tkeep : in std_logic_vector(3 downto 0); s_axis_s2mm_sts_tvalid : in std_logic; s_axis_s2mm_sts_tready : out std_logic; s_axis_s2mm_sts_tlast : in std_logic; mm2s_introut : out std_logic; s2mm_introut : out std_logic; axi_dma_tstvec : out std_logic_vector(31 downto 0) ); end component; component system_axi_interconnect_1_wrapper is port ( INTERCONNECT_ACLK : in std_logic; INTERCONNECT_ARESETN : in std_logic; S_AXI_ARESET_OUT_N : out std_logic_vector(2 downto 0); M_AXI_ARESET_OUT_N : out std_logic_vector(0 to 0); IRQ : out std_logic; S_AXI_ACLK : in std_logic_vector(2 downto 0); S_AXI_AWID : in std_logic_vector(5 downto 0); S_AXI_AWADDR : in std_logic_vector(95 downto 0); S_AXI_AWLEN : in std_logic_vector(23 downto 0); S_AXI_AWSIZE : in std_logic_vector(8 downto 0); S_AXI_AWBURST : in std_logic_vector(5 downto 0); S_AXI_AWLOCK : in std_logic_vector(5 downto 0); S_AXI_AWCACHE : in std_logic_vector(11 downto 0); S_AXI_AWPROT : in std_logic_vector(8 downto 0); S_AXI_AWQOS : in std_logic_vector(11 downto 0); S_AXI_AWUSER : in std_logic_vector(11 downto 0); S_AXI_AWVALID : in std_logic_vector(2 downto 0); S_AXI_AWREADY : out std_logic_vector(2 downto 0); S_AXI_WID : in std_logic_vector(5 downto 0); S_AXI_WDATA : in std_logic_vector(191 downto 0); S_AXI_WSTRB : in std_logic_vector(23 downto 0); S_AXI_WLAST : in std_logic_vector(2 downto 0); S_AXI_WUSER : in std_logic_vector(2 downto 0); S_AXI_WVALID : in std_logic_vector(2 downto 0); S_AXI_WREADY : out std_logic_vector(2 downto 0); S_AXI_BID : out std_logic_vector(5 downto 0); S_AXI_BRESP : out std_logic_vector(5 downto 0); S_AXI_BUSER : out std_logic_vector(2 downto 0); S_AXI_BVALID : out std_logic_vector(2 downto 0); S_AXI_BREADY : in std_logic_vector(2 downto 0); S_AXI_ARID : in std_logic_vector(5 downto 0); S_AXI_ARADDR : in std_logic_vector(95 downto 0); S_AXI_ARLEN : in std_logic_vector(23 downto 0); S_AXI_ARSIZE : in std_logic_vector(8 downto 0); S_AXI_ARBURST : in std_logic_vector(5 downto 0); S_AXI_ARLOCK : in std_logic_vector(5 downto 0); S_AXI_ARCACHE : in std_logic_vector(11 downto 0); S_AXI_ARPROT : in std_logic_vector(8 downto 0); S_AXI_ARQOS : in std_logic_vector(11 downto 0); S_AXI_ARUSER : in std_logic_vector(11 downto 0); S_AXI_ARVALID : in std_logic_vector(2 downto 0); S_AXI_ARREADY : out std_logic_vector(2 downto 0); S_AXI_RID : out std_logic_vector(5 downto 0); S_AXI_RDATA : out std_logic_vector(191 downto 0); S_AXI_RRESP : out std_logic_vector(5 downto 0); S_AXI_RLAST : out std_logic_vector(2 downto 0); S_AXI_RUSER : out std_logic_vector(2 downto 0); S_AXI_RVALID : out std_logic_vector(2 downto 0); S_AXI_RREADY : in std_logic_vector(2 downto 0); M_AXI_ACLK : in std_logic_vector(0 to 0); M_AXI_AWID : out std_logic_vector(1 downto 0); M_AXI_AWADDR : out std_logic_vector(31 downto 0); M_AXI_AWLEN : out std_logic_vector(7 downto 0); M_AXI_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_AWBURST : out std_logic_vector(1 downto 0); M_AXI_AWLOCK : out std_logic_vector(1 downto 0); M_AXI_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_AWPROT : out std_logic_vector(2 downto 0); M_AXI_AWREGION : out std_logic_vector(3 downto 0); M_AXI_AWQOS : out std_logic_vector(3 downto 0); M_AXI_AWUSER : out std_logic_vector(3 downto 0); M_AXI_AWVALID : out std_logic_vector(0 to 0); M_AXI_AWREADY : in std_logic_vector(0 to 0); M_AXI_WID : out std_logic_vector(1 downto 0); M_AXI_WDATA : out std_logic_vector(63 downto 0); M_AXI_WSTRB : out std_logic_vector(7 downto 0); M_AXI_WLAST : out std_logic_vector(0 to 0); M_AXI_WUSER : out std_logic_vector(0 to 0); M_AXI_WVALID : out std_logic_vector(0 to 0); M_AXI_WREADY : in std_logic_vector(0 to 0); M_AXI_BID : in std_logic_vector(1 downto 0); M_AXI_BRESP : in std_logic_vector(1 downto 0); M_AXI_BUSER : in std_logic_vector(0 to 0); M_AXI_BVALID : in std_logic_vector(0 to 0); M_AXI_BREADY : out std_logic_vector(0 to 0); M_AXI_ARID : out std_logic_vector(1 downto 0); M_AXI_ARADDR : out std_logic_vector(31 downto 0); M_AXI_ARLEN : out std_logic_vector(7 downto 0); M_AXI_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_ARBURST : out std_logic_vector(1 downto 0); M_AXI_ARLOCK : out std_logic_vector(1 downto 0); M_AXI_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_ARPROT : out std_logic_vector(2 downto 0); M_AXI_ARREGION : out std_logic_vector(3 downto 0); M_AXI_ARQOS : out std_logic_vector(3 downto 0); M_AXI_ARUSER : out std_logic_vector(3 downto 0); M_AXI_ARVALID : out std_logic_vector(0 to 0); M_AXI_ARREADY : in std_logic_vector(0 to 0); M_AXI_RID : in std_logic_vector(1 downto 0); M_AXI_RDATA : in std_logic_vector(63 downto 0); M_AXI_RRESP : in std_logic_vector(1 downto 0); M_AXI_RLAST : in std_logic_vector(0 to 0); M_AXI_RUSER : in std_logic_vector(0 to 0); M_AXI_RVALID : in std_logic_vector(0 to 0); M_AXI_RREADY : out std_logic_vector(0 to 0); S_AXI_CTRL_AWADDR : in std_logic_vector(31 downto 0); S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_WDATA : in std_logic_vector(31 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; S_AXI_CTRL_ARADDR : in std_logic_vector(31 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; S_AXI_CTRL_RDATA : out std_logic_vector(31 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; INTERCONNECT_ARESET_OUT_N : out std_logic; DEBUG_AW_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_AW_ARB_GRANT : out std_logic_vector(7 downto 0); DEBUG_AR_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_AR_ARB_GRANT : out std_logic_vector(7 downto 0); DEBUG_AW_TRANS_QUAL : out std_logic_vector(0 to 0); DEBUG_AW_ACCEPT_CNT : out std_logic_vector(7 downto 0); DEBUG_AW_ACTIVE_THREAD : out std_logic_vector(15 downto 0); DEBUG_AW_ACTIVE_TARGET : out std_logic_vector(7 downto 0); DEBUG_AW_ACTIVE_REGION : out std_logic_vector(7 downto 0); DEBUG_AW_ERROR : out std_logic_vector(7 downto 0); DEBUG_AW_TARGET : out std_logic_vector(7 downto 0); DEBUG_AR_TRANS_QUAL : out std_logic_vector(0 to 0); DEBUG_AR_ACCEPT_CNT : out std_logic_vector(7 downto 0); DEBUG_AR_ACTIVE_THREAD : out std_logic_vector(15 downto 0); DEBUG_AR_ACTIVE_TARGET : out std_logic_vector(7 downto 0); DEBUG_AR_ACTIVE_REGION : out std_logic_vector(7 downto 0); DEBUG_AR_ERROR : out std_logic_vector(7 downto 0); DEBUG_AR_TARGET : out std_logic_vector(7 downto 0); DEBUG_B_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_R_BEAT_CNT : out std_logic_vector(7 downto 0); DEBUG_R_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_AW_ISSUING_CNT : out std_logic_vector(7 downto 0); DEBUG_AR_ISSUING_CNT : out std_logic_vector(7 downto 0); DEBUG_W_BEAT_CNT : out std_logic_vector(7 downto 0); DEBUG_W_TRANS_SEQ : out std_logic_vector(7 downto 0); DEBUG_BID_TARGET : out std_logic_vector(7 downto 0); DEBUG_BID_ERROR : out std_logic; DEBUG_RID_TARGET : out std_logic_vector(7 downto 0); DEBUG_RID_ERROR : out std_logic; DEBUG_SR_SC_ARADDR : out std_logic_vector(31 downto 0); DEBUG_SR_SC_ARADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_SR_SC_AWADDR : out std_logic_vector(31 downto 0); DEBUG_SR_SC_AWADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_SR_SC_BRESP : out std_logic_vector(5 downto 0); DEBUG_SR_SC_RDATA : out std_logic_vector(63 downto 0); DEBUG_SR_SC_RDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_SR_SC_WDATA : out std_logic_vector(63 downto 0); DEBUG_SR_SC_WDATACONTROL : out std_logic_vector(10 downto 0); DEBUG_SC_SF_ARADDR : out std_logic_vector(31 downto 0); DEBUG_SC_SF_ARADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_SC_SF_AWADDR : out std_logic_vector(31 downto 0); DEBUG_SC_SF_AWADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_SC_SF_BRESP : out std_logic_vector(5 downto 0); DEBUG_SC_SF_RDATA : out std_logic_vector(63 downto 0); DEBUG_SC_SF_RDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_SC_SF_WDATA : out std_logic_vector(63 downto 0); DEBUG_SC_SF_WDATACONTROL : out std_logic_vector(10 downto 0); DEBUG_SF_CB_ARADDR : out std_logic_vector(31 downto 0); DEBUG_SF_CB_ARADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_SF_CB_AWADDR : out std_logic_vector(31 downto 0); DEBUG_SF_CB_AWADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_SF_CB_BRESP : out std_logic_vector(5 downto 0); DEBUG_SF_CB_RDATA : out std_logic_vector(63 downto 0); DEBUG_SF_CB_RDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_SF_CB_WDATA : out std_logic_vector(63 downto 0); DEBUG_SF_CB_WDATACONTROL : out std_logic_vector(10 downto 0); DEBUG_CB_MF_ARADDR : out std_logic_vector(31 downto 0); DEBUG_CB_MF_ARADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_CB_MF_AWADDR : out std_logic_vector(31 downto 0); DEBUG_CB_MF_AWADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_CB_MF_BRESP : out std_logic_vector(5 downto 0); DEBUG_CB_MF_RDATA : out std_logic_vector(63 downto 0); DEBUG_CB_MF_RDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_CB_MF_WDATA : out std_logic_vector(63 downto 0); DEBUG_CB_MF_WDATACONTROL : out std_logic_vector(10 downto 0); DEBUG_MF_MC_ARADDR : out std_logic_vector(31 downto 0); DEBUG_MF_MC_ARADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_MF_MC_AWADDR : out std_logic_vector(31 downto 0); DEBUG_MF_MC_AWADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_MF_MC_BRESP : out std_logic_vector(5 downto 0); DEBUG_MF_MC_RDATA : out std_logic_vector(63 downto 0); DEBUG_MF_MC_RDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_MF_MC_WDATA : out std_logic_vector(63 downto 0); DEBUG_MF_MC_WDATACONTROL : out std_logic_vector(10 downto 0); DEBUG_MC_MP_ARADDR : out std_logic_vector(31 downto 0); DEBUG_MC_MP_ARADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_MC_MP_AWADDR : out std_logic_vector(31 downto 0); DEBUG_MC_MP_AWADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_MC_MP_BRESP : out std_logic_vector(5 downto 0); DEBUG_MC_MP_RDATA : out std_logic_vector(63 downto 0); DEBUG_MC_MP_RDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_MC_MP_WDATA : out std_logic_vector(63 downto 0); DEBUG_MC_MP_WDATACONTROL : out std_logic_vector(10 downto 0); DEBUG_MP_MR_ARADDR : out std_logic_vector(31 downto 0); DEBUG_MP_MR_ARADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_MP_MR_AWADDR : out std_logic_vector(31 downto 0); DEBUG_MP_MR_AWADDRCONTROL : out std_logic_vector(24 downto 0); DEBUG_MP_MR_BRESP : out std_logic_vector(5 downto 0); DEBUG_MP_MR_RDATA : out std_logic_vector(63 downto 0); DEBUG_MP_MR_RDATACONTROL : out std_logic_vector(6 downto 0); DEBUG_MP_MR_WDATA : out std_logic_vector(63 downto 0); DEBUG_MP_MR_WDATACONTROL : out std_logic_vector(10 downto 0) ); end component; component system_conware_0_wrapper is port ( ACLK : in std_logic; ARESETN : in std_logic; S_AXIS_TREADY : out std_logic; S_AXIS_TDATA : in std_logic_vector(31 downto 0); S_AXIS_TLAST : in std_logic; S_AXIS_TVALID : in std_logic; M_AXIS_TVALID : out std_logic; M_AXIS_TDATA : out std_logic_vector(31 downto 0); M_AXIS_TLAST : out std_logic; M_AXIS_TREADY : in std_logic; M_AXIS_TKEEP : out std_logic_vector(3 downto 0); M_AXIS_TSTRB : out std_logic_vector(3 downto 0); in_states : out std_logic_vector(7 downto 0); out_states : out std_logic_vector(7 downto 0); num_reads : out std_logic_vector(31 downto 0); num_writes : out std_logic_vector(31 downto 0); read_ctr : out std_logic_vector(7 downto 0); write_ctr : out std_logic_vector(7 downto 0) ); end component; component system_cownare_ctl_0_wrapper is port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(31 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(31 downto 0); S_AXI_WSTRB : in std_logic_vector(3 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(31 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(31 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic; in_states : in std_logic_vector(7 downto 0); out_states : in std_logic_vector(7 downto 0); num_reads : in std_logic_vector(31 downto 0); num_writes : in std_logic_vector(31 downto 0); read_ctr : in std_logic_vector(7 downto 0); write_ctr : in std_logic_vector(7 downto 0) ); end component; component IOBUF is port ( I : in std_logic; IO : inout std_logic; O : out std_logic; T : in std_logic ); end component; -- Internal signals signal BTNs_5Bits_TRI_IO_I : std_logic_vector(4 downto 0); signal BTNs_5Bits_TRI_IO_O : std_logic_vector(4 downto 0); signal BTNs_5Bits_TRI_IO_T : std_logic_vector(4 downto 0); signal SWs_8Bits_TRI_IO_I : std_logic_vector(7 downto 0); signal SWs_8Bits_TRI_IO_O : std_logic_vector(7 downto 0); signal SWs_8Bits_TRI_IO_T : std_logic_vector(7 downto 0); signal axi4lite_0_M_ARADDR : std_logic_vector(127 downto 0); signal axi4lite_0_M_ARESETN : std_logic_vector(3 downto 0); signal axi4lite_0_M_ARREADY : std_logic_vector(3 downto 0); signal axi4lite_0_M_ARVALID : std_logic_vector(3 downto 0); signal axi4lite_0_M_AWADDR : std_logic_vector(127 downto 0); signal axi4lite_0_M_AWREADY : std_logic_vector(3 downto 0); signal axi4lite_0_M_AWVALID : std_logic_vector(3 downto 0); signal axi4lite_0_M_BREADY : std_logic_vector(3 downto 0); signal axi4lite_0_M_BRESP : std_logic_vector(7 downto 0); signal axi4lite_0_M_BVALID : std_logic_vector(3 downto 0); signal axi4lite_0_M_RDATA : std_logic_vector(127 downto 0); signal axi4lite_0_M_RREADY : std_logic_vector(3 downto 0); signal axi4lite_0_M_RRESP : std_logic_vector(7 downto 0); signal axi4lite_0_M_RVALID : std_logic_vector(3 downto 0); signal axi4lite_0_M_WDATA : std_logic_vector(127 downto 0); signal axi4lite_0_M_WREADY : std_logic_vector(3 downto 0); signal axi4lite_0_M_WSTRB : std_logic_vector(15 downto 0); signal axi4lite_0_M_WVALID : std_logic_vector(3 downto 0); signal axi4lite_0_S_ARADDR : std_logic_vector(31 downto 0); signal axi4lite_0_S_ARBURST : std_logic_vector(1 downto 0); signal axi4lite_0_S_ARCACHE : std_logic_vector(3 downto 0); signal axi4lite_0_S_ARID : std_logic_vector(11 downto 0); signal axi4lite_0_S_ARLEN : std_logic_vector(7 downto 0); signal axi4lite_0_S_ARLOCK : std_logic_vector(1 downto 0); signal axi4lite_0_S_ARPROT : std_logic_vector(2 downto 0); signal axi4lite_0_S_ARQOS : std_logic_vector(3 downto 0); signal axi4lite_0_S_ARREADY : std_logic_vector(0 to 0); signal axi4lite_0_S_ARSIZE : std_logic_vector(2 downto 0); signal axi4lite_0_S_ARVALID : std_logic_vector(0 to 0); signal axi4lite_0_S_AWADDR : std_logic_vector(31 downto 0); signal axi4lite_0_S_AWBURST : std_logic_vector(1 downto 0); signal axi4lite_0_S_AWCACHE : std_logic_vector(3 downto 0); signal axi4lite_0_S_AWID : std_logic_vector(11 downto 0); signal axi4lite_0_S_AWLEN : std_logic_vector(7 downto 0); signal axi4lite_0_S_AWLOCK : std_logic_vector(1 downto 0); signal axi4lite_0_S_AWPROT : std_logic_vector(2 downto 0); signal axi4lite_0_S_AWQOS : std_logic_vector(3 downto 0); signal axi4lite_0_S_AWREADY : std_logic_vector(0 to 0); signal axi4lite_0_S_AWSIZE : std_logic_vector(2 downto 0); signal axi4lite_0_S_AWVALID : std_logic_vector(0 to 0); signal axi4lite_0_S_BID : std_logic_vector(11 downto 0); signal axi4lite_0_S_BREADY : std_logic_vector(0 to 0); signal axi4lite_0_S_BRESP : std_logic_vector(1 downto 0); signal axi4lite_0_S_BVALID : std_logic_vector(0 to 0); signal axi4lite_0_S_RDATA : std_logic_vector(31 downto 0); signal axi4lite_0_S_RID : std_logic_vector(11 downto 0); signal axi4lite_0_S_RLAST : std_logic_vector(0 to 0); signal axi4lite_0_S_RREADY : std_logic_vector(0 to 0); signal axi4lite_0_S_RRESP : std_logic_vector(1 downto 0); signal axi4lite_0_S_RVALID : std_logic_vector(0 to 0); signal axi4lite_0_S_WDATA : std_logic_vector(31 downto 0); signal axi4lite_0_S_WID : std_logic_vector(11 downto 0); signal axi4lite_0_S_WLAST : std_logic_vector(0 to 0); signal axi4lite_0_S_WREADY : std_logic_vector(0 to 0); signal axi4lite_0_S_WSTRB : std_logic_vector(3 downto 0); signal axi4lite_0_S_WVALID : std_logic_vector(0 to 0); signal axi_dma_0_M_AXIS_MM2S_TDATA : std_logic_vector(31 downto 0); signal axi_dma_0_M_AXIS_MM2S_TLAST : std_logic; signal axi_dma_0_M_AXIS_MM2S_TREADY : std_logic; signal axi_dma_0_M_AXIS_MM2S_TVALID : std_logic; signal axi_dma_0_mm2s_introut : std_logic; signal axi_dma_0_s2mm_introut : std_logic; signal axi_interconnect_1_M_ARADDR : std_logic_vector(31 downto 0); signal axi_interconnect_1_M_ARBURST : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_ARCACHE : std_logic_vector(3 downto 0); signal axi_interconnect_1_M_ARID : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_ARLEN : std_logic_vector(7 downto 0); signal axi_interconnect_1_M_ARLOCK : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_ARPROT : std_logic_vector(2 downto 0); signal axi_interconnect_1_M_ARQOS : std_logic_vector(3 downto 0); signal axi_interconnect_1_M_ARREADY : std_logic_vector(0 to 0); signal axi_interconnect_1_M_ARSIZE : std_logic_vector(2 downto 0); signal axi_interconnect_1_M_ARVALID : std_logic_vector(0 to 0); signal axi_interconnect_1_M_AWADDR : std_logic_vector(31 downto 0); signal axi_interconnect_1_M_AWBURST : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_AWCACHE : std_logic_vector(3 downto 0); signal axi_interconnect_1_M_AWID : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_AWLEN : std_logic_vector(7 downto 0); signal axi_interconnect_1_M_AWLOCK : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_AWPROT : std_logic_vector(2 downto 0); signal axi_interconnect_1_M_AWQOS : std_logic_vector(3 downto 0); signal axi_interconnect_1_M_AWREADY : std_logic_vector(0 to 0); signal axi_interconnect_1_M_AWSIZE : std_logic_vector(2 downto 0); signal axi_interconnect_1_M_AWVALID : std_logic_vector(0 to 0); signal axi_interconnect_1_M_BID : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_BREADY : std_logic_vector(0 to 0); signal axi_interconnect_1_M_BRESP : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_BVALID : std_logic_vector(0 to 0); signal axi_interconnect_1_M_RDATA : std_logic_vector(63 downto 0); signal axi_interconnect_1_M_RID : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_RLAST : std_logic_vector(0 to 0); signal axi_interconnect_1_M_RREADY : std_logic_vector(0 to 0); signal axi_interconnect_1_M_RRESP : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_RVALID : std_logic_vector(0 to 0); signal axi_interconnect_1_M_WDATA : std_logic_vector(63 downto 0); signal axi_interconnect_1_M_WID : std_logic_vector(1 downto 0); signal axi_interconnect_1_M_WLAST : std_logic_vector(0 to 0); signal axi_interconnect_1_M_WREADY : std_logic_vector(0 to 0); signal axi_interconnect_1_M_WSTRB : std_logic_vector(7 downto 0); signal axi_interconnect_1_M_WVALID : std_logic_vector(0 to 0); signal axi_interconnect_1_S_ARADDR : std_logic_vector(95 downto 0); signal axi_interconnect_1_S_ARBURST : std_logic_vector(5 downto 0); signal axi_interconnect_1_S_ARCACHE : std_logic_vector(11 downto 0); signal axi_interconnect_1_S_ARLEN : std_logic_vector(23 downto 0); signal axi_interconnect_1_S_ARPROT : std_logic_vector(8 downto 0); signal axi_interconnect_1_S_ARREADY : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_ARSIZE : std_logic_vector(8 downto 0); signal axi_interconnect_1_S_ARUSER : std_logic_vector(11 downto 0); signal axi_interconnect_1_S_ARVALID : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_AWADDR : std_logic_vector(95 downto 0); signal axi_interconnect_1_S_AWBURST : std_logic_vector(5 downto 0); signal axi_interconnect_1_S_AWCACHE : std_logic_vector(11 downto 0); signal axi_interconnect_1_S_AWLEN : std_logic_vector(23 downto 0); signal axi_interconnect_1_S_AWPROT : std_logic_vector(8 downto 0); signal axi_interconnect_1_S_AWREADY : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_AWSIZE : std_logic_vector(8 downto 0); signal axi_interconnect_1_S_AWUSER : std_logic_vector(11 downto 0); signal axi_interconnect_1_S_AWVALID : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_BREADY : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_BRESP : std_logic_vector(5 downto 0); signal axi_interconnect_1_S_BVALID : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_RDATA : std_logic_vector(191 downto 0); signal axi_interconnect_1_S_RLAST : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_RREADY : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_RRESP : std_logic_vector(5 downto 0); signal axi_interconnect_1_S_RVALID : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_WDATA : std_logic_vector(191 downto 0); signal axi_interconnect_1_S_WLAST : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_WREADY : std_logic_vector(2 downto 0); signal axi_interconnect_1_S_WSTRB : std_logic_vector(23 downto 0); signal axi_interconnect_1_S_WVALID : std_logic_vector(2 downto 0); signal conware_0_M_AXIS_TDATA : std_logic_vector(31 downto 0); signal conware_0_M_AXIS_TKEEP : std_logic_vector(3 downto 0); signal conware_0_M_AXIS_TLAST : std_logic; signal conware_0_M_AXIS_TREADY : std_logic; signal conware_0_M_AXIS_TVALID : std_logic; signal conware_0_in_states : std_logic_vector(7 downto 0); signal conware_0_num_reads : std_logic_vector(31 downto 0); signal conware_0_num_writes : std_logic_vector(31 downto 0); signal conware_0_out_states : std_logic_vector(7 downto 0); signal conware_0_read_ctr : std_logic_vector(7 downto 0); signal conware_0_write_ctr : std_logic_vector(7 downto 0); signal net_gnd0 : std_logic; signal net_gnd1 : std_logic_vector(0 to 0); signal net_gnd2 : std_logic_vector(1 downto 0); signal net_gnd3 : std_logic_vector(2 downto 0); signal net_gnd4 : std_logic_vector(3 downto 0); signal net_gnd5 : std_logic_vector(4 downto 0); signal net_gnd6 : std_logic_vector(5 downto 0); signal net_gnd8 : std_logic_vector(7 downto 0); signal net_gnd12 : std_logic_vector(11 downto 0); signal net_gnd32 : std_logic_vector(31 downto 0); signal net_gnd48 : std_logic_vector(47 downto 0); signal net_gnd64 : std_logic_vector(63 downto 0); signal net_vcc4 : std_logic_vector(3 downto 0); signal pgassign1 : std_logic_vector(3 downto 0); signal pgassign2 : std_logic_vector(1 downto 0); signal pgassign3 : std_logic_vector(2 downto 0); signal processing_system7_0_DDR_WEB : std_logic; signal processing_system7_0_FCLK_CLK0 : std_logic_vector(0 to 0); signal processing_system7_0_FCLK_RESET0_N_0 : std_logic; attribute BOX_TYPE : STRING; attribute BOX_TYPE of system_axi4lite_0_wrapper : component is "user_black_box"; attribute BOX_TYPE of system_sws_8bits_wrapper : component is "user_black_box"; attribute BOX_TYPE of system_btns_5bits_wrapper : component is "user_black_box"; attribute BOX_TYPE of system_processing_system7_0_wrapper : component is "user_black_box"; attribute BOX_TYPE of system_axi_dma_0_wrapper : component is "user_black_box"; attribute BOX_TYPE of system_axi_interconnect_1_wrapper : component is "user_black_box"; attribute BOX_TYPE of system_conware_0_wrapper : component is "user_black_box"; attribute BOX_TYPE of system_cownare_ctl_0_wrapper : component is "user_black_box"; begin -- Internal assignments processing_system7_0_DDR_WEB_pin <= processing_system7_0_DDR_WEB; conware_0_M_AXIS_TVALID_pin <= conware_0_M_AXIS_TVALID; conware_0_M_AXIS_TLAST_pin <= conware_0_M_AXIS_TLAST; conware_0_M_AXIS_TREADY_pin <= conware_0_M_AXIS_TREADY; conware_0_M_AXIS_TKEEP_pin <= conware_0_M_AXIS_TKEEP; conware_0_ACLK_pin <= processing_system7_0_FCLK_CLK0(0); cownare_ctl_0_in_states_pin <= conware_0_in_states; axi_interconnect_1_S_AWADDR(63 downto 32) <= B"00000000000000000000000000000000"; axi_interconnect_1_S_AWLEN(15 downto 8) <= B"00000000"; axi_interconnect_1_S_AWSIZE(5 downto 3) <= B"000"; axi_interconnect_1_S_AWBURST(3 downto 2) <= B"00"; axi_interconnect_1_S_AWPROT(5 downto 3) <= B"000"; axi_interconnect_1_S_AWCACHE(7 downto 4) <= B"0000"; axi_interconnect_1_S_AWUSER(7 downto 4) <= B"0000"; axi_interconnect_1_S_AWVALID(1 downto 1) <= B"0"; axi_interconnect_1_S_WDATA(127 downto 64) <= B"0000000000000000000000000000000000000000000000000000000000000000"; axi_interconnect_1_S_WSTRB(15 downto 8) <= B"00000000"; axi_interconnect_1_S_WLAST(1 downto 1) <= B"0"; axi_interconnect_1_S_WVALID(1 downto 1) <= B"0"; axi_interconnect_1_S_BREADY(1 downto 1) <= B"0"; axi_interconnect_1_S_ARADDR(95 downto 64) <= B"00000000000000000000000000000000"; axi_interconnect_1_S_ARLEN(23 downto 16) <= B"00000000"; axi_interconnect_1_S_ARSIZE(8 downto 6) <= B"000"; axi_interconnect_1_S_ARBURST(5 downto 4) <= B"00"; axi_interconnect_1_S_ARPROT(8 downto 6) <= B"000"; axi_interconnect_1_S_ARCACHE(11 downto 8) <= B"0000"; axi_interconnect_1_S_ARUSER(11 downto 8) <= B"0000"; axi_interconnect_1_S_ARVALID(2 downto 2) <= B"0"; axi_interconnect_1_S_RREADY(2 downto 2) <= B"0"; pgassign1(3 downto 3) <= processing_system7_0_FCLK_CLK0(0 to 0); pgassign1(2 downto 2) <= processing_system7_0_FCLK_CLK0(0 to 0); pgassign1(1 downto 1) <= processing_system7_0_FCLK_CLK0(0 to 0); pgassign1(0 downto 0) <= processing_system7_0_FCLK_CLK0(0 to 0); pgassign2(1) <= axi_dma_0_mm2s_introut; pgassign2(0) <= axi_dma_0_s2mm_introut; pgassign3(2 downto 2) <= processing_system7_0_FCLK_CLK0(0 to 0); pgassign3(1 downto 1) <= processing_system7_0_FCLK_CLK0(0 to 0); pgassign3(0 downto 0) <= processing_system7_0_FCLK_CLK0(0 to 0); net_gnd0 <= '0'; net_gnd1(0 to 0) <= B"0"; net_gnd12(11 downto 0) <= B"000000000000"; net_gnd2(1 downto 0) <= B"00"; net_gnd3(2 downto 0) <= B"000"; net_gnd32(31 downto 0) <= B"00000000000000000000000000000000"; net_gnd4(3 downto 0) <= B"0000"; net_gnd48(47 downto 0) <= B"000000000000000000000000000000000000000000000000"; net_gnd5(4 downto 0) <= B"00000"; net_gnd6(5 downto 0) <= B"000000"; net_gnd64(63 downto 0) <= B"0000000000000000000000000000000000000000000000000000000000000000"; net_gnd8(7 downto 0) <= B"00000000"; net_vcc4(3 downto 0) <= B"1111"; axi4lite_0 : system_axi4lite_0_wrapper port map ( INTERCONNECT_ACLK => processing_system7_0_FCLK_CLK0(0), INTERCONNECT_ARESETN => processing_system7_0_FCLK_RESET0_N_0, S_AXI_ARESET_OUT_N => open, M_AXI_ARESET_OUT_N => axi4lite_0_M_ARESETN, IRQ => open, S_AXI_ACLK => processing_system7_0_FCLK_CLK0(0 to 0), S_AXI_AWID => axi4lite_0_S_AWID, S_AXI_AWADDR => axi4lite_0_S_AWADDR, S_AXI_AWLEN => axi4lite_0_S_AWLEN, S_AXI_AWSIZE => axi4lite_0_S_AWSIZE, S_AXI_AWBURST => axi4lite_0_S_AWBURST, S_AXI_AWLOCK => axi4lite_0_S_AWLOCK, S_AXI_AWCACHE => axi4lite_0_S_AWCACHE, S_AXI_AWPROT => axi4lite_0_S_AWPROT, S_AXI_AWQOS => axi4lite_0_S_AWQOS, S_AXI_AWUSER => net_gnd1(0 to 0), S_AXI_AWVALID => axi4lite_0_S_AWVALID(0 to 0), S_AXI_AWREADY => axi4lite_0_S_AWREADY(0 to 0), S_AXI_WID => axi4lite_0_S_WID, S_AXI_WDATA => axi4lite_0_S_WDATA, S_AXI_WSTRB => axi4lite_0_S_WSTRB, S_AXI_WLAST => axi4lite_0_S_WLAST(0 to 0), S_AXI_WUSER => net_gnd1(0 to 0), S_AXI_WVALID => axi4lite_0_S_WVALID(0 to 0), S_AXI_WREADY => axi4lite_0_S_WREADY(0 to 0), S_AXI_BID => axi4lite_0_S_BID, S_AXI_BRESP => axi4lite_0_S_BRESP, S_AXI_BUSER => open, S_AXI_BVALID => axi4lite_0_S_BVALID(0 to 0), S_AXI_BREADY => axi4lite_0_S_BREADY(0 to 0), S_AXI_ARID => axi4lite_0_S_ARID, S_AXI_ARADDR => axi4lite_0_S_ARADDR, S_AXI_ARLEN => axi4lite_0_S_ARLEN, S_AXI_ARSIZE => axi4lite_0_S_ARSIZE, S_AXI_ARBURST => axi4lite_0_S_ARBURST, S_AXI_ARLOCK => axi4lite_0_S_ARLOCK, S_AXI_ARCACHE => axi4lite_0_S_ARCACHE, S_AXI_ARPROT => axi4lite_0_S_ARPROT, S_AXI_ARQOS => axi4lite_0_S_ARQOS, S_AXI_ARUSER => net_gnd1(0 to 0), S_AXI_ARVALID => axi4lite_0_S_ARVALID(0 to 0), S_AXI_ARREADY => axi4lite_0_S_ARREADY(0 to 0), S_AXI_RID => axi4lite_0_S_RID, S_AXI_RDATA => axi4lite_0_S_RDATA, S_AXI_RRESP => axi4lite_0_S_RRESP, S_AXI_RLAST => axi4lite_0_S_RLAST(0 to 0), S_AXI_RUSER => open, S_AXI_RVALID => axi4lite_0_S_RVALID(0 to 0), S_AXI_RREADY => axi4lite_0_S_RREADY(0 to 0), M_AXI_ACLK => pgassign1, M_AXI_AWID => open, M_AXI_AWADDR => axi4lite_0_M_AWADDR, M_AXI_AWLEN => open, M_AXI_AWSIZE => open, M_AXI_AWBURST => open, M_AXI_AWLOCK => open, M_AXI_AWCACHE => open, M_AXI_AWPROT => open, M_AXI_AWREGION => open, M_AXI_AWQOS => open, M_AXI_AWUSER => open, M_AXI_AWVALID => axi4lite_0_M_AWVALID, M_AXI_AWREADY => axi4lite_0_M_AWREADY, M_AXI_WID => open, M_AXI_WDATA => axi4lite_0_M_WDATA, M_AXI_WSTRB => axi4lite_0_M_WSTRB, M_AXI_WLAST => open, M_AXI_WUSER => open, M_AXI_WVALID => axi4lite_0_M_WVALID, M_AXI_WREADY => axi4lite_0_M_WREADY, M_AXI_BID => net_gnd48, M_AXI_BRESP => axi4lite_0_M_BRESP, M_AXI_BUSER => net_gnd4, M_AXI_BVALID => axi4lite_0_M_BVALID, M_AXI_BREADY => axi4lite_0_M_BREADY, M_AXI_ARID => open, M_AXI_ARADDR => axi4lite_0_M_ARADDR, M_AXI_ARLEN => open, M_AXI_ARSIZE => open, M_AXI_ARBURST => open, M_AXI_ARLOCK => open, M_AXI_ARCACHE => open, M_AXI_ARPROT => open, M_AXI_ARREGION => open, M_AXI_ARQOS => open, M_AXI_ARUSER => open, M_AXI_ARVALID => axi4lite_0_M_ARVALID, M_AXI_ARREADY => axi4lite_0_M_ARREADY, M_AXI_RID => net_gnd48, M_AXI_RDATA => axi4lite_0_M_RDATA, M_AXI_RRESP => axi4lite_0_M_RRESP, M_AXI_RLAST => net_gnd4, M_AXI_RUSER => net_gnd4, M_AXI_RVALID => axi4lite_0_M_RVALID, M_AXI_RREADY => axi4lite_0_M_RREADY, S_AXI_CTRL_AWADDR => net_gnd32, S_AXI_CTRL_AWVALID => net_gnd0, S_AXI_CTRL_AWREADY => open, S_AXI_CTRL_WDATA => net_gnd32, S_AXI_CTRL_WVALID => net_gnd0, S_AXI_CTRL_WREADY => open, S_AXI_CTRL_BRESP => open, S_AXI_CTRL_BVALID => open, S_AXI_CTRL_BREADY => net_gnd0, S_AXI_CTRL_ARADDR => net_gnd32, S_AXI_CTRL_ARVALID => net_gnd0, S_AXI_CTRL_ARREADY => open, S_AXI_CTRL_RDATA => open, S_AXI_CTRL_RRESP => open, S_AXI_CTRL_RVALID => open, S_AXI_CTRL_RREADY => net_gnd0, INTERCONNECT_ARESET_OUT_N => open, DEBUG_AW_TRANS_SEQ => open, DEBUG_AW_ARB_GRANT => open, DEBUG_AR_TRANS_SEQ => open, DEBUG_AR_ARB_GRANT => open, DEBUG_AW_TRANS_QUAL => open, DEBUG_AW_ACCEPT_CNT => open, DEBUG_AW_ACTIVE_THREAD => open, DEBUG_AW_ACTIVE_TARGET => open, DEBUG_AW_ACTIVE_REGION => open, DEBUG_AW_ERROR => open, DEBUG_AW_TARGET => open, DEBUG_AR_TRANS_QUAL => open, DEBUG_AR_ACCEPT_CNT => open, DEBUG_AR_ACTIVE_THREAD => open, DEBUG_AR_ACTIVE_TARGET => open, DEBUG_AR_ACTIVE_REGION => open, DEBUG_AR_ERROR => open, DEBUG_AR_TARGET => open, DEBUG_B_TRANS_SEQ => open, DEBUG_R_BEAT_CNT => open, DEBUG_R_TRANS_SEQ => open, DEBUG_AW_ISSUING_CNT => open, DEBUG_AR_ISSUING_CNT => open, DEBUG_W_BEAT_CNT => open, DEBUG_W_TRANS_SEQ => open, DEBUG_BID_TARGET => open, DEBUG_BID_ERROR => open, DEBUG_RID_TARGET => open, DEBUG_RID_ERROR => open, DEBUG_SR_SC_ARADDR => open, DEBUG_SR_SC_ARADDRCONTROL => open, DEBUG_SR_SC_AWADDR => open, DEBUG_SR_SC_AWADDRCONTROL => open, DEBUG_SR_SC_BRESP => open, DEBUG_SR_SC_RDATA => open, DEBUG_SR_SC_RDATACONTROL => open, DEBUG_SR_SC_WDATA => open, DEBUG_SR_SC_WDATACONTROL => open, DEBUG_SC_SF_ARADDR => open, DEBUG_SC_SF_ARADDRCONTROL => open, DEBUG_SC_SF_AWADDR => open, DEBUG_SC_SF_AWADDRCONTROL => open, DEBUG_SC_SF_BRESP => open, DEBUG_SC_SF_RDATA => open, DEBUG_SC_SF_RDATACONTROL => open, DEBUG_SC_SF_WDATA => open, DEBUG_SC_SF_WDATACONTROL => open, DEBUG_SF_CB_ARADDR => open, DEBUG_SF_CB_ARADDRCONTROL => open, DEBUG_SF_CB_AWADDR => open, DEBUG_SF_CB_AWADDRCONTROL => open, DEBUG_SF_CB_BRESP => open, DEBUG_SF_CB_RDATA => open, DEBUG_SF_CB_RDATACONTROL => open, DEBUG_SF_CB_WDATA => open, DEBUG_SF_CB_WDATACONTROL => open, DEBUG_CB_MF_ARADDR => open, DEBUG_CB_MF_ARADDRCONTROL => open, DEBUG_CB_MF_AWADDR => open, DEBUG_CB_MF_AWADDRCONTROL => open, DEBUG_CB_MF_BRESP => open, DEBUG_CB_MF_RDATA => open, DEBUG_CB_MF_RDATACONTROL => open, DEBUG_CB_MF_WDATA => open, DEBUG_CB_MF_WDATACONTROL => open, DEBUG_MF_MC_ARADDR => open, DEBUG_MF_MC_ARADDRCONTROL => open, DEBUG_MF_MC_AWADDR => open, DEBUG_MF_MC_AWADDRCONTROL => open, DEBUG_MF_MC_BRESP => open, DEBUG_MF_MC_RDATA => open, DEBUG_MF_MC_RDATACONTROL => open, DEBUG_MF_MC_WDATA => open, DEBUG_MF_MC_WDATACONTROL => open, DEBUG_MC_MP_ARADDR => open, DEBUG_MC_MP_ARADDRCONTROL => open, DEBUG_MC_MP_AWADDR => open, DEBUG_MC_MP_AWADDRCONTROL => open, DEBUG_MC_MP_BRESP => open, DEBUG_MC_MP_RDATA => open, DEBUG_MC_MP_RDATACONTROL => open, DEBUG_MC_MP_WDATA => open, DEBUG_MC_MP_WDATACONTROL => open, DEBUG_MP_MR_ARADDR => open, DEBUG_MP_MR_ARADDRCONTROL => open, DEBUG_MP_MR_AWADDR => open, DEBUG_MP_MR_AWADDRCONTROL => open, DEBUG_MP_MR_BRESP => open, DEBUG_MP_MR_RDATA => open, DEBUG_MP_MR_RDATACONTROL => open, DEBUG_MP_MR_WDATA => open, DEBUG_MP_MR_WDATACONTROL => open ); SWs_8Bits : system_sws_8bits_wrapper port map ( S_AXI_ACLK => processing_system7_0_FCLK_CLK0(0), S_AXI_ARESETN => axi4lite_0_M_ARESETN(0), S_AXI_AWADDR => axi4lite_0_M_AWADDR(8 downto 0), S_AXI_AWVALID => axi4lite_0_M_AWVALID(0), S_AXI_AWREADY => axi4lite_0_M_AWREADY(0), S_AXI_WDATA => axi4lite_0_M_WDATA(31 downto 0), S_AXI_WSTRB => axi4lite_0_M_WSTRB(3 downto 0), S_AXI_WVALID => axi4lite_0_M_WVALID(0), S_AXI_WREADY => axi4lite_0_M_WREADY(0), S_AXI_BRESP => axi4lite_0_M_BRESP(1 downto 0), S_AXI_BVALID => axi4lite_0_M_BVALID(0), S_AXI_BREADY => axi4lite_0_M_BREADY(0), S_AXI_ARADDR => axi4lite_0_M_ARADDR(8 downto 0), S_AXI_ARVALID => axi4lite_0_M_ARVALID(0), S_AXI_ARREADY => axi4lite_0_M_ARREADY(0), S_AXI_RDATA => axi4lite_0_M_RDATA(31 downto 0), S_AXI_RRESP => axi4lite_0_M_RRESP(1 downto 0), S_AXI_RVALID => axi4lite_0_M_RVALID(0), S_AXI_RREADY => axi4lite_0_M_RREADY(0), IP2INTC_Irpt => open, GPIO_IO_I => SWs_8Bits_TRI_IO_I, GPIO_IO_O => SWs_8Bits_TRI_IO_O, GPIO_IO_T => SWs_8Bits_TRI_IO_T, GPIO2_IO_I => net_gnd32, GPIO2_IO_O => open, GPIO2_IO_T => open ); BTNs_5Bits : system_btns_5bits_wrapper port map ( S_AXI_ACLK => processing_system7_0_FCLK_CLK0(0), S_AXI_ARESETN => axi4lite_0_M_ARESETN(1), S_AXI_AWADDR => axi4lite_0_M_AWADDR(40 downto 32), S_AXI_AWVALID => axi4lite_0_M_AWVALID(1), S_AXI_AWREADY => axi4lite_0_M_AWREADY(1), S_AXI_WDATA => axi4lite_0_M_WDATA(63 downto 32), S_AXI_WSTRB => axi4lite_0_M_WSTRB(7 downto 4), S_AXI_WVALID => axi4lite_0_M_WVALID(1), S_AXI_WREADY => axi4lite_0_M_WREADY(1), S_AXI_BRESP => axi4lite_0_M_BRESP(3 downto 2), S_AXI_BVALID => axi4lite_0_M_BVALID(1), S_AXI_BREADY => axi4lite_0_M_BREADY(1), S_AXI_ARADDR => axi4lite_0_M_ARADDR(40 downto 32), S_AXI_ARVALID => axi4lite_0_M_ARVALID(1), S_AXI_ARREADY => axi4lite_0_M_ARREADY(1), S_AXI_RDATA => axi4lite_0_M_RDATA(63 downto 32), S_AXI_RRESP => axi4lite_0_M_RRESP(3 downto 2), S_AXI_RVALID => axi4lite_0_M_RVALID(1), S_AXI_RREADY => axi4lite_0_M_RREADY(1), IP2INTC_Irpt => open, GPIO_IO_I => BTNs_5Bits_TRI_IO_I, GPIO_IO_O => BTNs_5Bits_TRI_IO_O, GPIO_IO_T => BTNs_5Bits_TRI_IO_T, GPIO2_IO_I => net_gnd32, GPIO2_IO_O => open, GPIO2_IO_T => open ); processing_system7_0 : system_processing_system7_0_wrapper port map ( CAN0_PHY_TX => open, CAN0_PHY_RX => net_gnd0, CAN1_PHY_TX => open, CAN1_PHY_RX => net_gnd0, ENET0_GMII_TX_EN => open, ENET0_GMII_TX_ER => open, ENET0_MDIO_MDC => open, ENET0_MDIO_O => open, ENET0_MDIO_T => open, ENET0_PTP_DELAY_REQ_RX => open, ENET0_PTP_DELAY_REQ_TX => open, ENET0_PTP_PDELAY_REQ_RX => open, ENET0_PTP_PDELAY_REQ_TX => open, ENET0_PTP_PDELAY_RESP_RX => open, ENET0_PTP_PDELAY_RESP_TX => open, ENET0_PTP_SYNC_FRAME_RX => open, ENET0_PTP_SYNC_FRAME_TX => open, ENET0_SOF_RX => open, ENET0_SOF_TX => open, ENET0_GMII_TXD => open, ENET0_GMII_COL => net_gnd0, ENET0_GMII_CRS => net_gnd0, ENET0_EXT_INTIN => net_gnd0, ENET0_GMII_RX_CLK => net_gnd0, ENET0_GMII_RX_DV => net_gnd0, ENET0_GMII_RX_ER => net_gnd0, ENET0_GMII_TX_CLK => net_gnd0, ENET0_MDIO_I => net_gnd0, ENET0_GMII_RXD => net_gnd8, ENET1_GMII_TX_EN => open, ENET1_GMII_TX_ER => open, ENET1_MDIO_MDC => open, ENET1_MDIO_O => open, ENET1_MDIO_T => open, ENET1_PTP_DELAY_REQ_RX => open, ENET1_PTP_DELAY_REQ_TX => open, ENET1_PTP_PDELAY_REQ_RX => open, ENET1_PTP_PDELAY_REQ_TX => open, ENET1_PTP_PDELAY_RESP_RX => open, ENET1_PTP_PDELAY_RESP_TX => open, ENET1_PTP_SYNC_FRAME_RX => open, ENET1_PTP_SYNC_FRAME_TX => open, ENET1_SOF_RX => open, ENET1_SOF_TX => open, ENET1_GMII_TXD => open, ENET1_GMII_COL => net_gnd0, ENET1_GMII_CRS => net_gnd0, ENET1_EXT_INTIN => net_gnd0, ENET1_GMII_RX_CLK => net_gnd0, ENET1_GMII_RX_DV => net_gnd0, ENET1_GMII_RX_ER => net_gnd0, ENET1_GMII_TX_CLK => net_gnd0, ENET1_MDIO_I => net_gnd0, ENET1_GMII_RXD => net_gnd8, GPIO_I => net_gnd64, GPIO_O => open, GPIO_T => open, I2C0_SDA_I => net_gnd0, I2C0_SDA_O => open, I2C0_SDA_T => open, I2C0_SCL_I => net_gnd0, I2C0_SCL_O => open, I2C0_SCL_T => open, I2C1_SDA_I => net_gnd0, I2C1_SDA_O => open, I2C1_SDA_T => open, I2C1_SCL_I => net_gnd0, I2C1_SCL_O => open, I2C1_SCL_T => open, PJTAG_TCK => net_gnd0, PJTAG_TMS => net_gnd0, PJTAG_TD_I => net_gnd0, PJTAG_TD_T => open, PJTAG_TD_O => open, SDIO0_CLK => open, SDIO0_CLK_FB => net_gnd0, SDIO0_CMD_O => open, SDIO0_CMD_I => net_gnd0, SDIO0_CMD_T => open, SDIO0_DATA_I => net_gnd4, SDIO0_DATA_O => open, SDIO0_DATA_T => open, SDIO0_LED => open, SDIO0_CDN => net_gnd0, SDIO0_WP => net_gnd0, SDIO0_BUSPOW => open, SDIO0_BUSVOLT => open, SDIO1_CLK => open, SDIO1_CLK_FB => net_gnd0, SDIO1_CMD_O => open, SDIO1_CMD_I => net_gnd0, SDIO1_CMD_T => open, SDIO1_DATA_I => net_gnd4, SDIO1_DATA_O => open, SDIO1_DATA_T => open, SDIO1_LED => open, SDIO1_CDN => net_gnd0, SDIO1_WP => net_gnd0, SDIO1_BUSPOW => open, SDIO1_BUSVOLT => open, SPI0_SCLK_I => net_gnd0, SPI0_SCLK_O => open, SPI0_SCLK_T => open, SPI0_MOSI_I => net_gnd0, SPI0_MOSI_O => open, SPI0_MOSI_T => open, SPI0_MISO_I => net_gnd0, SPI0_MISO_O => open, SPI0_MISO_T => open, SPI0_SS_I => net_gnd0, SPI0_SS_O => open, SPI0_SS1_O => open, SPI0_SS2_O => open, SPI0_SS_T => open, SPI1_SCLK_I => net_gnd0, SPI1_SCLK_O => open, SPI1_SCLK_T => open, SPI1_MOSI_I => net_gnd0, SPI1_MOSI_O => open, SPI1_MOSI_T => open, SPI1_MISO_I => net_gnd0, SPI1_MISO_O => open, SPI1_MISO_T => open, SPI1_SS_I => net_gnd0, SPI1_SS_O => open, SPI1_SS1_O => open, SPI1_SS2_O => open, SPI1_SS_T => open, UART0_DTRN => open, UART0_RTSN => open, UART0_TX => open, UART0_CTSN => net_gnd0, UART0_DCDN => net_gnd0, UART0_DSRN => net_gnd0, UART0_RIN => net_gnd0, UART0_RX => net_gnd0, UART1_DTRN => open, UART1_RTSN => open, UART1_TX => open, UART1_CTSN => net_gnd0, UART1_DCDN => net_gnd0, UART1_DSRN => net_gnd0, UART1_RIN => net_gnd0, UART1_RX => net_gnd0, TTC0_WAVE0_OUT => open, TTC0_WAVE1_OUT => open, TTC0_WAVE2_OUT => open, TTC0_CLK0_IN => net_gnd0, TTC0_CLK1_IN => net_gnd0, TTC0_CLK2_IN => net_gnd0, TTC1_WAVE0_OUT => open, TTC1_WAVE1_OUT => open, TTC1_WAVE2_OUT => open, TTC1_CLK0_IN => net_gnd0, TTC1_CLK1_IN => net_gnd0, TTC1_CLK2_IN => net_gnd0, WDT_CLK_IN => net_gnd0, WDT_RST_OUT => open, TRACE_CLK => net_gnd0, TRACE_CTL => open, TRACE_DATA => open, USB0_PORT_INDCTL => open, USB1_PORT_INDCTL => open, USB0_VBUS_PWRSELECT => open, USB1_VBUS_PWRSELECT => open, USB0_VBUS_PWRFAULT => net_gnd0, USB1_VBUS_PWRFAULT => net_gnd0, SRAM_INTIN => net_gnd0, M_AXI_GP0_ARESETN => open, M_AXI_GP0_ARVALID => axi4lite_0_S_ARVALID(0), M_AXI_GP0_AWVALID => axi4lite_0_S_AWVALID(0), M_AXI_GP0_BREADY => axi4lite_0_S_BREADY(0), M_AXI_GP0_RREADY => axi4lite_0_S_RREADY(0), M_AXI_GP0_WLAST => axi4lite_0_S_WLAST(0), M_AXI_GP0_WVALID => axi4lite_0_S_WVALID(0), M_AXI_GP0_ARID => axi4lite_0_S_ARID, M_AXI_GP0_AWID => axi4lite_0_S_AWID, M_AXI_GP0_WID => axi4lite_0_S_WID, M_AXI_GP0_ARBURST => axi4lite_0_S_ARBURST, M_AXI_GP0_ARLOCK => axi4lite_0_S_ARLOCK, M_AXI_GP0_ARSIZE => axi4lite_0_S_ARSIZE, M_AXI_GP0_AWBURST => axi4lite_0_S_AWBURST, M_AXI_GP0_AWLOCK => axi4lite_0_S_AWLOCK, M_AXI_GP0_AWSIZE => axi4lite_0_S_AWSIZE, M_AXI_GP0_ARPROT => axi4lite_0_S_ARPROT, M_AXI_GP0_AWPROT => axi4lite_0_S_AWPROT, M_AXI_GP0_ARADDR => axi4lite_0_S_ARADDR, M_AXI_GP0_AWADDR => axi4lite_0_S_AWADDR, M_AXI_GP0_WDATA => axi4lite_0_S_WDATA, M_AXI_GP0_ARCACHE => axi4lite_0_S_ARCACHE, M_AXI_GP0_ARLEN => axi4lite_0_S_ARLEN(3 downto 0), M_AXI_GP0_ARQOS => axi4lite_0_S_ARQOS, M_AXI_GP0_AWCACHE => axi4lite_0_S_AWCACHE, M_AXI_GP0_AWLEN => axi4lite_0_S_AWLEN(3 downto 0), M_AXI_GP0_AWQOS => axi4lite_0_S_AWQOS, M_AXI_GP0_WSTRB => axi4lite_0_S_WSTRB, M_AXI_GP0_ACLK => processing_system7_0_FCLK_CLK0(0), M_AXI_GP0_ARREADY => axi4lite_0_S_ARREADY(0), M_AXI_GP0_AWREADY => axi4lite_0_S_AWREADY(0), M_AXI_GP0_BVALID => axi4lite_0_S_BVALID(0), M_AXI_GP0_RLAST => axi4lite_0_S_RLAST(0), M_AXI_GP0_RVALID => axi4lite_0_S_RVALID(0), M_AXI_GP0_WREADY => axi4lite_0_S_WREADY(0), M_AXI_GP0_BID => axi4lite_0_S_BID, M_AXI_GP0_RID => axi4lite_0_S_RID, M_AXI_GP0_BRESP => axi4lite_0_S_BRESP, M_AXI_GP0_RRESP => axi4lite_0_S_RRESP, M_AXI_GP0_RDATA => axi4lite_0_S_RDATA, M_AXI_GP1_ARESETN => open, M_AXI_GP1_ARVALID => open, M_AXI_GP1_AWVALID => open, M_AXI_GP1_BREADY => open, M_AXI_GP1_RREADY => open, M_AXI_GP1_WLAST => open, M_AXI_GP1_WVALID => open, M_AXI_GP1_ARID => open, M_AXI_GP1_AWID => open, M_AXI_GP1_WID => open, M_AXI_GP1_ARBURST => open, M_AXI_GP1_ARLOCK => open, M_AXI_GP1_ARSIZE => open, M_AXI_GP1_AWBURST => open, M_AXI_GP1_AWLOCK => open, M_AXI_GP1_AWSIZE => open, M_AXI_GP1_ARPROT => open, M_AXI_GP1_AWPROT => open, M_AXI_GP1_ARADDR => open, M_AXI_GP1_AWADDR => open, M_AXI_GP1_WDATA => open, M_AXI_GP1_ARCACHE => open, M_AXI_GP1_ARLEN => open, M_AXI_GP1_ARQOS => open, M_AXI_GP1_AWCACHE => open, M_AXI_GP1_AWLEN => open, M_AXI_GP1_AWQOS => open, M_AXI_GP1_WSTRB => open, M_AXI_GP1_ACLK => net_gnd0, M_AXI_GP1_ARREADY => net_gnd0, M_AXI_GP1_AWREADY => net_gnd0, M_AXI_GP1_BVALID => net_gnd0, M_AXI_GP1_RLAST => net_gnd0, M_AXI_GP1_RVALID => net_gnd0, M_AXI_GP1_WREADY => net_gnd0, M_AXI_GP1_BID => net_gnd12, M_AXI_GP1_RID => net_gnd12, M_AXI_GP1_BRESP => net_gnd2, M_AXI_GP1_RRESP => net_gnd2, M_AXI_GP1_RDATA => net_gnd32, S_AXI_GP0_ARESETN => open, S_AXI_GP0_ARREADY => open, S_AXI_GP0_AWREADY => open, S_AXI_GP0_BVALID => open, S_AXI_GP0_RLAST => open, S_AXI_GP0_RVALID => open, S_AXI_GP0_WREADY => open, S_AXI_GP0_BRESP => open, S_AXI_GP0_RRESP => open, S_AXI_GP0_RDATA => open, S_AXI_GP0_BID => open, S_AXI_GP0_RID => open, S_AXI_GP0_ACLK => net_gnd0, S_AXI_GP0_ARVALID => net_gnd0, S_AXI_GP0_AWVALID => net_gnd0, S_AXI_GP0_BREADY => net_gnd0, S_AXI_GP0_RREADY => net_gnd0, S_AXI_GP0_WLAST => net_gnd0, S_AXI_GP0_WVALID => net_gnd0, S_AXI_GP0_ARBURST => net_gnd2, S_AXI_GP0_ARLOCK => net_gnd2, S_AXI_GP0_ARSIZE => net_gnd3, S_AXI_GP0_AWBURST => net_gnd2, S_AXI_GP0_AWLOCK => net_gnd2, S_AXI_GP0_AWSIZE => net_gnd3, S_AXI_GP0_ARPROT => net_gnd3, S_AXI_GP0_AWPROT => net_gnd3, S_AXI_GP0_ARADDR => net_gnd32, S_AXI_GP0_AWADDR => net_gnd32, S_AXI_GP0_WDATA => net_gnd32, S_AXI_GP0_ARCACHE => net_gnd4, S_AXI_GP0_ARLEN => net_gnd4, S_AXI_GP0_ARQOS => net_gnd4, S_AXI_GP0_AWCACHE => net_gnd4, S_AXI_GP0_AWLEN => net_gnd4, S_AXI_GP0_AWQOS => net_gnd4, S_AXI_GP0_WSTRB => net_gnd4, S_AXI_GP0_ARID => net_gnd6, S_AXI_GP0_AWID => net_gnd6, S_AXI_GP0_WID => net_gnd6, S_AXI_GP1_ARESETN => open, S_AXI_GP1_ARREADY => open, S_AXI_GP1_AWREADY => open, S_AXI_GP1_BVALID => open, S_AXI_GP1_RLAST => open, S_AXI_GP1_RVALID => open, S_AXI_GP1_WREADY => open, S_AXI_GP1_BRESP => open, S_AXI_GP1_RRESP => open, S_AXI_GP1_RDATA => open, S_AXI_GP1_BID => open, S_AXI_GP1_RID => open, S_AXI_GP1_ACLK => net_gnd0, S_AXI_GP1_ARVALID => net_gnd0, S_AXI_GP1_AWVALID => net_gnd0, S_AXI_GP1_BREADY => net_gnd0, S_AXI_GP1_RREADY => net_gnd0, S_AXI_GP1_WLAST => net_gnd0, S_AXI_GP1_WVALID => net_gnd0, S_AXI_GP1_ARBURST => net_gnd2, S_AXI_GP1_ARLOCK => net_gnd2, S_AXI_GP1_ARSIZE => net_gnd3, S_AXI_GP1_AWBURST => net_gnd2, S_AXI_GP1_AWLOCK => net_gnd2, S_AXI_GP1_AWSIZE => net_gnd3, S_AXI_GP1_ARPROT => net_gnd3, S_AXI_GP1_AWPROT => net_gnd3, S_AXI_GP1_ARADDR => net_gnd32, S_AXI_GP1_AWADDR => net_gnd32, S_AXI_GP1_WDATA => net_gnd32, S_AXI_GP1_ARCACHE => net_gnd4, S_AXI_GP1_ARLEN => net_gnd4, S_AXI_GP1_ARQOS => net_gnd4, S_AXI_GP1_AWCACHE => net_gnd4, S_AXI_GP1_AWLEN => net_gnd4, S_AXI_GP1_AWQOS => net_gnd4, S_AXI_GP1_WSTRB => net_gnd4, S_AXI_GP1_ARID => net_gnd6, S_AXI_GP1_AWID => net_gnd6, S_AXI_GP1_WID => net_gnd6, S_AXI_ACP_ARESETN => open, S_AXI_ACP_AWREADY => open, S_AXI_ACP_ARREADY => open, S_AXI_ACP_BVALID => open, S_AXI_ACP_RLAST => open, S_AXI_ACP_RVALID => open, S_AXI_ACP_WREADY => open, S_AXI_ACP_BRESP => open, S_AXI_ACP_RRESP => open, S_AXI_ACP_BID => open, S_AXI_ACP_RID => open, S_AXI_ACP_RDATA => open, S_AXI_ACP_ACLK => net_gnd0, S_AXI_ACP_ARVALID => net_gnd0, S_AXI_ACP_AWVALID => net_gnd0, S_AXI_ACP_BREADY => net_gnd0, S_AXI_ACP_RREADY => net_gnd0, S_AXI_ACP_WLAST => net_gnd0, S_AXI_ACP_WVALID => net_gnd0, S_AXI_ACP_ARID => net_gnd3, S_AXI_ACP_ARPROT => net_gnd3, S_AXI_ACP_AWID => net_gnd3, S_AXI_ACP_AWPROT => net_gnd3, S_AXI_ACP_WID => net_gnd3, S_AXI_ACP_ARADDR => net_gnd32, S_AXI_ACP_AWADDR => net_gnd32, S_AXI_ACP_ARCACHE => net_gnd4, S_AXI_ACP_ARLEN => net_gnd4, S_AXI_ACP_ARQOS => net_gnd4, S_AXI_ACP_AWCACHE => net_gnd4, S_AXI_ACP_AWLEN => net_gnd4, S_AXI_ACP_AWQOS => net_gnd4, S_AXI_ACP_ARBURST => net_gnd2, S_AXI_ACP_ARLOCK => net_gnd2, S_AXI_ACP_ARSIZE => net_gnd3, S_AXI_ACP_AWBURST => net_gnd2, S_AXI_ACP_AWLOCK => net_gnd2, S_AXI_ACP_AWSIZE => net_gnd3, S_AXI_ACP_ARUSER => net_gnd5, S_AXI_ACP_AWUSER => net_gnd5, S_AXI_ACP_WDATA => net_gnd64, S_AXI_ACP_WSTRB => net_gnd8, S_AXI_HP0_ARESETN => open, S_AXI_HP0_ARREADY => axi_interconnect_1_M_ARREADY(0), S_AXI_HP0_AWREADY => axi_interconnect_1_M_AWREADY(0), S_AXI_HP0_BVALID => axi_interconnect_1_M_BVALID(0), S_AXI_HP0_RLAST => axi_interconnect_1_M_RLAST(0), S_AXI_HP0_RVALID => axi_interconnect_1_M_RVALID(0), S_AXI_HP0_WREADY => axi_interconnect_1_M_WREADY(0), S_AXI_HP0_BRESP => axi_interconnect_1_M_BRESP, S_AXI_HP0_RRESP => axi_interconnect_1_M_RRESP, S_AXI_HP0_BID => axi_interconnect_1_M_BID, S_AXI_HP0_RID => axi_interconnect_1_M_RID, S_AXI_HP0_RDATA => axi_interconnect_1_M_RDATA, S_AXI_HP0_RCOUNT => open, S_AXI_HP0_WCOUNT => open, S_AXI_HP0_RACOUNT => open, S_AXI_HP0_WACOUNT => open, S_AXI_HP0_ACLK => processing_system7_0_FCLK_CLK0(0), S_AXI_HP0_ARVALID => axi_interconnect_1_M_ARVALID(0), S_AXI_HP0_AWVALID => axi_interconnect_1_M_AWVALID(0), S_AXI_HP0_BREADY => axi_interconnect_1_M_BREADY(0), S_AXI_HP0_RDISSUECAP1_EN => net_gnd0, S_AXI_HP0_RREADY => axi_interconnect_1_M_RREADY(0), S_AXI_HP0_WLAST => axi_interconnect_1_M_WLAST(0), S_AXI_HP0_WRISSUECAP1_EN => net_gnd0, S_AXI_HP0_WVALID => axi_interconnect_1_M_WVALID(0), S_AXI_HP0_ARBURST => axi_interconnect_1_M_ARBURST, S_AXI_HP0_ARLOCK => axi_interconnect_1_M_ARLOCK, S_AXI_HP0_ARSIZE => axi_interconnect_1_M_ARSIZE, S_AXI_HP0_AWBURST => axi_interconnect_1_M_AWBURST, S_AXI_HP0_AWLOCK => axi_interconnect_1_M_AWLOCK, S_AXI_HP0_AWSIZE => axi_interconnect_1_M_AWSIZE, S_AXI_HP0_ARPROT => axi_interconnect_1_M_ARPROT, S_AXI_HP0_AWPROT => axi_interconnect_1_M_AWPROT, S_AXI_HP0_ARADDR => axi_interconnect_1_M_ARADDR, S_AXI_HP0_AWADDR => axi_interconnect_1_M_AWADDR, S_AXI_HP0_ARCACHE => axi_interconnect_1_M_ARCACHE, S_AXI_HP0_ARLEN => axi_interconnect_1_M_ARLEN(3 downto 0), S_AXI_HP0_ARQOS => axi_interconnect_1_M_ARQOS, S_AXI_HP0_AWCACHE => axi_interconnect_1_M_AWCACHE, S_AXI_HP0_AWLEN => axi_interconnect_1_M_AWLEN(3 downto 0), S_AXI_HP0_AWQOS => axi_interconnect_1_M_AWQOS, S_AXI_HP0_ARID => axi_interconnect_1_M_ARID, S_AXI_HP0_AWID => axi_interconnect_1_M_AWID, S_AXI_HP0_WID => axi_interconnect_1_M_WID, S_AXI_HP0_WDATA => axi_interconnect_1_M_WDATA, S_AXI_HP0_WSTRB => axi_interconnect_1_M_WSTRB, S_AXI_HP1_ARESETN => open, S_AXI_HP1_ARREADY => open, S_AXI_HP1_AWREADY => open, S_AXI_HP1_BVALID => open, S_AXI_HP1_RLAST => open, S_AXI_HP1_RVALID => open, S_AXI_HP1_WREADY => open, S_AXI_HP1_BRESP => open, S_AXI_HP1_RRESP => open, S_AXI_HP1_BID => open, S_AXI_HP1_RID => open, S_AXI_HP1_RDATA => open, S_AXI_HP1_RCOUNT => open, S_AXI_HP1_WCOUNT => open, S_AXI_HP1_RACOUNT => open, S_AXI_HP1_WACOUNT => open, S_AXI_HP1_ACLK => net_gnd0, S_AXI_HP1_ARVALID => net_gnd0, S_AXI_HP1_AWVALID => net_gnd0, S_AXI_HP1_BREADY => net_gnd0, S_AXI_HP1_RDISSUECAP1_EN => net_gnd0, S_AXI_HP1_RREADY => net_gnd0, S_AXI_HP1_WLAST => net_gnd0, S_AXI_HP1_WRISSUECAP1_EN => net_gnd0, S_AXI_HP1_WVALID => net_gnd0, S_AXI_HP1_ARBURST => net_gnd2, S_AXI_HP1_ARLOCK => net_gnd2, S_AXI_HP1_ARSIZE => net_gnd3, S_AXI_HP1_AWBURST => net_gnd2, S_AXI_HP1_AWLOCK => net_gnd2, S_AXI_HP1_AWSIZE => net_gnd3, S_AXI_HP1_ARPROT => net_gnd3, S_AXI_HP1_AWPROT => net_gnd3, S_AXI_HP1_ARADDR => net_gnd32, S_AXI_HP1_AWADDR => net_gnd32, S_AXI_HP1_ARCACHE => net_gnd4, S_AXI_HP1_ARLEN => net_gnd4, S_AXI_HP1_ARQOS => net_gnd4, S_AXI_HP1_AWCACHE => net_gnd4, S_AXI_HP1_AWLEN => net_gnd4, S_AXI_HP1_AWQOS => net_gnd4, S_AXI_HP1_ARID => net_gnd6, S_AXI_HP1_AWID => net_gnd6, S_AXI_HP1_WID => net_gnd6, S_AXI_HP1_WDATA => net_gnd64, S_AXI_HP1_WSTRB => net_gnd8, S_AXI_HP2_ARESETN => open, S_AXI_HP2_ARREADY => open, S_AXI_HP2_AWREADY => open, S_AXI_HP2_BVALID => open, S_AXI_HP2_RLAST => open, S_AXI_HP2_RVALID => open, S_AXI_HP2_WREADY => open, S_AXI_HP2_BRESP => open, S_AXI_HP2_RRESP => open, S_AXI_HP2_BID => open, S_AXI_HP2_RID => open, S_AXI_HP2_RDATA => open, S_AXI_HP2_RCOUNT => open, S_AXI_HP2_WCOUNT => open, S_AXI_HP2_RACOUNT => open, S_AXI_HP2_WACOUNT => open, S_AXI_HP2_ACLK => net_gnd0, S_AXI_HP2_ARVALID => net_gnd0, S_AXI_HP2_AWVALID => net_gnd0, S_AXI_HP2_BREADY => net_gnd0, S_AXI_HP2_RDISSUECAP1_EN => net_gnd0, S_AXI_HP2_RREADY => net_gnd0, S_AXI_HP2_WLAST => net_gnd0, S_AXI_HP2_WRISSUECAP1_EN => net_gnd0, S_AXI_HP2_WVALID => net_gnd0, S_AXI_HP2_ARBURST => net_gnd2, S_AXI_HP2_ARLOCK => net_gnd2, S_AXI_HP2_ARSIZE => net_gnd3, S_AXI_HP2_AWBURST => net_gnd2, S_AXI_HP2_AWLOCK => net_gnd2, S_AXI_HP2_AWSIZE => net_gnd3, S_AXI_HP2_ARPROT => net_gnd3, S_AXI_HP2_AWPROT => net_gnd3, S_AXI_HP2_ARADDR => net_gnd32, S_AXI_HP2_AWADDR => net_gnd32, S_AXI_HP2_ARCACHE => net_gnd4, S_AXI_HP2_ARLEN => net_gnd4, S_AXI_HP2_ARQOS => net_gnd4, S_AXI_HP2_AWCACHE => net_gnd4, S_AXI_HP2_AWLEN => net_gnd4, S_AXI_HP2_AWQOS => net_gnd4, S_AXI_HP2_ARID => net_gnd6, S_AXI_HP2_AWID => net_gnd6, S_AXI_HP2_WID => net_gnd6, S_AXI_HP2_WDATA => net_gnd64, S_AXI_HP2_WSTRB => net_gnd8, S_AXI_HP3_ARESETN => open, S_AXI_HP3_ARREADY => open, S_AXI_HP3_AWREADY => open, S_AXI_HP3_BVALID => open, S_AXI_HP3_RLAST => open, S_AXI_HP3_RVALID => open, S_AXI_HP3_WREADY => open, S_AXI_HP3_BRESP => open, S_AXI_HP3_RRESP => open, S_AXI_HP3_BID => open, S_AXI_HP3_RID => open, S_AXI_HP3_RDATA => open, S_AXI_HP3_RCOUNT => open, S_AXI_HP3_WCOUNT => open, S_AXI_HP3_RACOUNT => open, S_AXI_HP3_WACOUNT => open, S_AXI_HP3_ACLK => net_gnd0, S_AXI_HP3_ARVALID => net_gnd0, S_AXI_HP3_AWVALID => net_gnd0, S_AXI_HP3_BREADY => net_gnd0, S_AXI_HP3_RDISSUECAP1_EN => net_gnd0, S_AXI_HP3_RREADY => net_gnd0, S_AXI_HP3_WLAST => net_gnd0, S_AXI_HP3_WRISSUECAP1_EN => net_gnd0, S_AXI_HP3_WVALID => net_gnd0, S_AXI_HP3_ARBURST => net_gnd2, S_AXI_HP3_ARLOCK => net_gnd2, S_AXI_HP3_ARSIZE => net_gnd3, S_AXI_HP3_AWBURST => net_gnd2, S_AXI_HP3_AWLOCK => net_gnd2, S_AXI_HP3_AWSIZE => net_gnd3, S_AXI_HP3_ARPROT => net_gnd3, S_AXI_HP3_AWPROT => net_gnd3, S_AXI_HP3_ARADDR => net_gnd32, S_AXI_HP3_AWADDR => net_gnd32, S_AXI_HP3_ARCACHE => net_gnd4, S_AXI_HP3_ARLEN => net_gnd4, S_AXI_HP3_ARQOS => net_gnd4, S_AXI_HP3_AWCACHE => net_gnd4, S_AXI_HP3_AWLEN => net_gnd4, S_AXI_HP3_AWQOS => net_gnd4, S_AXI_HP3_ARID => net_gnd6, S_AXI_HP3_AWID => net_gnd6, S_AXI_HP3_WID => net_gnd6, S_AXI_HP3_WDATA => net_gnd64, S_AXI_HP3_WSTRB => net_gnd8, DMA0_DATYPE => open, DMA0_DAVALID => open, DMA0_DRREADY => open, DMA0_RSTN => open, DMA0_ACLK => net_gnd0, DMA0_DAREADY => net_gnd0, DMA0_DRLAST => net_gnd0, DMA0_DRVALID => net_gnd0, DMA0_DRTYPE => net_gnd2, DMA1_DATYPE => open, DMA1_DAVALID => open, DMA1_DRREADY => open, DMA1_RSTN => open, DMA1_ACLK => net_gnd0, DMA1_DAREADY => net_gnd0, DMA1_DRLAST => net_gnd0, DMA1_DRVALID => net_gnd0, DMA1_DRTYPE => net_gnd2, DMA2_DATYPE => open, DMA2_DAVALID => open, DMA2_DRREADY => open, DMA2_RSTN => open, DMA2_ACLK => net_gnd0, DMA2_DAREADY => net_gnd0, DMA2_DRLAST => net_gnd0, DMA2_DRVALID => net_gnd0, DMA3_DRVALID => net_gnd0, DMA3_DATYPE => open, DMA3_DAVALID => open, DMA3_DRREADY => open, DMA3_RSTN => open, DMA3_ACLK => net_gnd0, DMA3_DAREADY => net_gnd0, DMA3_DRLAST => net_gnd0, DMA2_DRTYPE => net_gnd2, DMA3_DRTYPE => net_gnd2, FTMD_TRACEIN_DATA => net_gnd32, FTMD_TRACEIN_VALID => net_gnd0, FTMD_TRACEIN_CLK => net_gnd0, FTMD_TRACEIN_ATID => net_gnd4, FTMT_F2P_TRIG => net_gnd4, FTMT_F2P_TRIGACK => open, FTMT_F2P_DEBUG => net_gnd32, FTMT_P2F_TRIGACK => net_gnd4, FTMT_P2F_TRIG => open, FTMT_P2F_DEBUG => open, FCLK_CLK3 => open, FCLK_CLK2 => open, FCLK_CLK1 => open, FCLK_CLK0 => processing_system7_0_FCLK_CLK0(0), FCLK_CLKTRIG3_N => net_gnd0, FCLK_CLKTRIG2_N => net_gnd0, FCLK_CLKTRIG1_N => net_gnd0, FCLK_CLKTRIG0_N => net_gnd0, FCLK_RESET3_N => open, FCLK_RESET2_N => open, FCLK_RESET1_N => open, FCLK_RESET0_N => processing_system7_0_FCLK_RESET0_N_0, FPGA_IDLE_N => net_gnd0, DDR_ARB => net_gnd4, IRQ_F2P => pgassign2, Core0_nFIQ => net_gnd0, Core0_nIRQ => net_gnd0, Core1_nFIQ => net_gnd0, Core1_nIRQ => net_gnd0, EVENT_EVENTO => open, EVENT_STANDBYWFE => open, EVENT_STANDBYWFI => open, EVENT_EVENTI => net_gnd0, MIO => processing_system7_0_MIO, DDR_Clk => processing_system7_0_DDR_Clk, DDR_Clk_n => processing_system7_0_DDR_Clk_n, DDR_CKE => processing_system7_0_DDR_CKE, DDR_CS_n => processing_system7_0_DDR_CS_n, DDR_RAS_n => processing_system7_0_DDR_RAS_n, DDR_CAS_n => processing_system7_0_DDR_CAS_n, DDR_WEB => processing_system7_0_DDR_WEB, DDR_BankAddr => processing_system7_0_DDR_BankAddr, DDR_Addr => processing_system7_0_DDR_Addr, DDR_ODT => processing_system7_0_DDR_ODT, DDR_DRSTB => processing_system7_0_DDR_DRSTB, DDR_DQ => processing_system7_0_DDR_DQ, DDR_DM => processing_system7_0_DDR_DM, DDR_DQS => processing_system7_0_DDR_DQS, DDR_DQS_n => processing_system7_0_DDR_DQS_n, DDR_VRN => processing_system7_0_DDR_VRN, DDR_VRP => processing_system7_0_DDR_VRP, PS_SRSTB => processing_system7_0_PS_SRSTB, PS_CLK => processing_system7_0_PS_CLK, PS_PORB => processing_system7_0_PS_PORB, IRQ_P2F_DMAC_ABORT => open, IRQ_P2F_DMAC0 => open, IRQ_P2F_DMAC1 => open, IRQ_P2F_DMAC2 => open, IRQ_P2F_DMAC3 => open, IRQ_P2F_DMAC4 => open, IRQ_P2F_DMAC5 => open, IRQ_P2F_DMAC6 => open, IRQ_P2F_DMAC7 => open, IRQ_P2F_SMC => open, IRQ_P2F_QSPI => open, IRQ_P2F_CTI => open, IRQ_P2F_GPIO => open, IRQ_P2F_USB0 => open, IRQ_P2F_ENET0 => open, IRQ_P2F_ENET_WAKE0 => open, IRQ_P2F_SDIO0 => open, IRQ_P2F_I2C0 => open, IRQ_P2F_SPI0 => open, IRQ_P2F_UART0 => open, IRQ_P2F_CAN0 => open, IRQ_P2F_USB1 => open, IRQ_P2F_ENET1 => open, IRQ_P2F_ENET_WAKE1 => open, IRQ_P2F_SDIO1 => open, IRQ_P2F_I2C1 => open, IRQ_P2F_SPI1 => open, IRQ_P2F_UART1 => open, IRQ_P2F_CAN1 => open ); axi_dma_0 : system_axi_dma_0_wrapper port map ( s_axi_lite_aclk => processing_system7_0_FCLK_CLK0(0), m_axi_sg_aclk => processing_system7_0_FCLK_CLK0(0), m_axi_mm2s_aclk => processing_system7_0_FCLK_CLK0(0), m_axi_s2mm_aclk => processing_system7_0_FCLK_CLK0(0), axi_resetn => axi4lite_0_M_ARESETN(2), s_axi_lite_awvalid => axi4lite_0_M_AWVALID(2), s_axi_lite_awready => axi4lite_0_M_AWREADY(2), s_axi_lite_awaddr => axi4lite_0_M_AWADDR(73 downto 64), s_axi_lite_wvalid => axi4lite_0_M_WVALID(2), s_axi_lite_wready => axi4lite_0_M_WREADY(2), s_axi_lite_wdata => axi4lite_0_M_WDATA(95 downto 64), s_axi_lite_bresp => axi4lite_0_M_BRESP(5 downto 4), s_axi_lite_bvalid => axi4lite_0_M_BVALID(2), s_axi_lite_bready => axi4lite_0_M_BREADY(2), s_axi_lite_arvalid => axi4lite_0_M_ARVALID(2), s_axi_lite_arready => axi4lite_0_M_ARREADY(2), s_axi_lite_araddr => axi4lite_0_M_ARADDR(73 downto 64), s_axi_lite_rvalid => axi4lite_0_M_RVALID(2), s_axi_lite_rready => axi4lite_0_M_RREADY(2), s_axi_lite_rdata => axi4lite_0_M_RDATA(95 downto 64), s_axi_lite_rresp => axi4lite_0_M_RRESP(5 downto 4), m_axi_sg_awaddr => axi_interconnect_1_S_AWADDR(31 downto 0), m_axi_sg_awlen => axi_interconnect_1_S_AWLEN(7 downto 0), m_axi_sg_awsize => axi_interconnect_1_S_AWSIZE(2 downto 0), m_axi_sg_awburst => axi_interconnect_1_S_AWBURST(1 downto 0), m_axi_sg_awprot => axi_interconnect_1_S_AWPROT(2 downto 0), m_axi_sg_awcache => axi_interconnect_1_S_AWCACHE(3 downto 0), m_axi_sg_awuser => axi_interconnect_1_S_AWUSER(3 downto 0), m_axi_sg_awvalid => axi_interconnect_1_S_AWVALID(0), m_axi_sg_awready => axi_interconnect_1_S_AWREADY(0), m_axi_sg_wdata => axi_interconnect_1_S_WDATA(31 downto 0), m_axi_sg_wstrb => axi_interconnect_1_S_WSTRB(3 downto 0), m_axi_sg_wlast => axi_interconnect_1_S_WLAST(0), m_axi_sg_wvalid => axi_interconnect_1_S_WVALID(0), m_axi_sg_wready => axi_interconnect_1_S_WREADY(0), m_axi_sg_bresp => axi_interconnect_1_S_BRESP(1 downto 0), m_axi_sg_bvalid => axi_interconnect_1_S_BVALID(0), m_axi_sg_bready => axi_interconnect_1_S_BREADY(0), m_axi_sg_araddr => axi_interconnect_1_S_ARADDR(31 downto 0), m_axi_sg_arlen => axi_interconnect_1_S_ARLEN(7 downto 0), m_axi_sg_arsize => axi_interconnect_1_S_ARSIZE(2 downto 0), m_axi_sg_arburst => axi_interconnect_1_S_ARBURST(1 downto 0), m_axi_sg_arprot => axi_interconnect_1_S_ARPROT(2 downto 0), m_axi_sg_arcache => axi_interconnect_1_S_ARCACHE(3 downto 0), m_axi_sg_aruser => axi_interconnect_1_S_ARUSER(3 downto 0), m_axi_sg_arvalid => axi_interconnect_1_S_ARVALID(0), m_axi_sg_arready => axi_interconnect_1_S_ARREADY(0), m_axi_sg_rdata => axi_interconnect_1_S_RDATA(31 downto 0), m_axi_sg_rresp => axi_interconnect_1_S_RRESP(1 downto 0), m_axi_sg_rlast => axi_interconnect_1_S_RLAST(0), m_axi_sg_rvalid => axi_interconnect_1_S_RVALID(0), m_axi_sg_rready => axi_interconnect_1_S_RREADY(0), m_axi_mm2s_araddr => axi_interconnect_1_S_ARADDR(63 downto 32), m_axi_mm2s_arlen => axi_interconnect_1_S_ARLEN(15 downto 8), m_axi_mm2s_arsize => axi_interconnect_1_S_ARSIZE(5 downto 3), m_axi_mm2s_arburst => axi_interconnect_1_S_ARBURST(3 downto 2), m_axi_mm2s_arprot => axi_interconnect_1_S_ARPROT(5 downto 3), m_axi_mm2s_arcache => axi_interconnect_1_S_ARCACHE(7 downto 4), m_axi_mm2s_aruser => axi_interconnect_1_S_ARUSER(7 downto 4), m_axi_mm2s_arvalid => axi_interconnect_1_S_ARVALID(1), m_axi_mm2s_arready => axi_interconnect_1_S_ARREADY(1), m_axi_mm2s_rdata => axi_interconnect_1_S_RDATA(95 downto 64), m_axi_mm2s_rresp => axi_interconnect_1_S_RRESP(3 downto 2), m_axi_mm2s_rlast => axi_interconnect_1_S_RLAST(1), m_axi_mm2s_rvalid => axi_interconnect_1_S_RVALID(1), m_axi_mm2s_rready => axi_interconnect_1_S_RREADY(1), mm2s_prmry_reset_out_n => open, m_axis_mm2s_tdata => axi_dma_0_M_AXIS_MM2S_TDATA, m_axis_mm2s_tkeep => open, m_axis_mm2s_tvalid => axi_dma_0_M_AXIS_MM2S_TVALID, m_axis_mm2s_tready => axi_dma_0_M_AXIS_MM2S_TREADY, m_axis_mm2s_tlast => axi_dma_0_M_AXIS_MM2S_TLAST, m_axis_mm2s_tuser => open, m_axis_mm2s_tid => open, m_axis_mm2s_tdest => open, mm2s_cntrl_reset_out_n => open, m_axis_mm2s_cntrl_tdata => open, m_axis_mm2s_cntrl_tkeep => open, m_axis_mm2s_cntrl_tvalid => open, m_axis_mm2s_cntrl_tready => net_gnd0, m_axis_mm2s_cntrl_tlast => open, m_axi_s2mm_awaddr => axi_interconnect_1_S_AWADDR(95 downto 64), m_axi_s2mm_awlen => axi_interconnect_1_S_AWLEN(23 downto 16), m_axi_s2mm_awsize => axi_interconnect_1_S_AWSIZE(8 downto 6), m_axi_s2mm_awburst => axi_interconnect_1_S_AWBURST(5 downto 4), m_axi_s2mm_awprot => axi_interconnect_1_S_AWPROT(8 downto 6), m_axi_s2mm_awcache => axi_interconnect_1_S_AWCACHE(11 downto 8), m_axi_s2mm_awuser => axi_interconnect_1_S_AWUSER(11 downto 8), m_axi_s2mm_awvalid => axi_interconnect_1_S_AWVALID(2), m_axi_s2mm_awready => axi_interconnect_1_S_AWREADY(2), m_axi_s2mm_wdata => axi_interconnect_1_S_WDATA(159 downto 128), m_axi_s2mm_wstrb => axi_interconnect_1_S_WSTRB(19 downto 16), m_axi_s2mm_wlast => axi_interconnect_1_S_WLAST(2), m_axi_s2mm_wvalid => axi_interconnect_1_S_WVALID(2), m_axi_s2mm_wready => axi_interconnect_1_S_WREADY(2), m_axi_s2mm_bresp => axi_interconnect_1_S_BRESP(5 downto 4), m_axi_s2mm_bvalid => axi_interconnect_1_S_BVALID(2), m_axi_s2mm_bready => axi_interconnect_1_S_BREADY(2), s2mm_prmry_reset_out_n => open, s_axis_s2mm_tdata => conware_0_M_AXIS_TDATA, s_axis_s2mm_tkeep => conware_0_M_AXIS_TKEEP, s_axis_s2mm_tvalid => conware_0_M_AXIS_TVALID, s_axis_s2mm_tready => conware_0_M_AXIS_TREADY, s_axis_s2mm_tlast => conware_0_M_AXIS_TLAST, s_axis_s2mm_tuser => net_gnd4, s_axis_s2mm_tid => net_gnd5, s_axis_s2mm_tdest => net_gnd5, s2mm_sts_reset_out_n => open, s_axis_s2mm_sts_tdata => net_gnd32, s_axis_s2mm_sts_tkeep => net_vcc4, s_axis_s2mm_sts_tvalid => net_gnd0, s_axis_s2mm_sts_tready => open, s_axis_s2mm_sts_tlast => net_gnd0, mm2s_introut => axi_dma_0_mm2s_introut, s2mm_introut => axi_dma_0_s2mm_introut, axi_dma_tstvec => open ); axi_interconnect_1 : system_axi_interconnect_1_wrapper port map ( INTERCONNECT_ACLK => processing_system7_0_FCLK_CLK0(0), INTERCONNECT_ARESETN => processing_system7_0_FCLK_RESET0_N_0, S_AXI_ARESET_OUT_N => open, M_AXI_ARESET_OUT_N => open, IRQ => open, S_AXI_ACLK => pgassign3, S_AXI_AWID => net_gnd6, S_AXI_AWADDR => axi_interconnect_1_S_AWADDR, S_AXI_AWLEN => axi_interconnect_1_S_AWLEN, S_AXI_AWSIZE => axi_interconnect_1_S_AWSIZE, S_AXI_AWBURST => axi_interconnect_1_S_AWBURST, S_AXI_AWLOCK => net_gnd6, S_AXI_AWCACHE => axi_interconnect_1_S_AWCACHE, S_AXI_AWPROT => axi_interconnect_1_S_AWPROT, S_AXI_AWQOS => net_gnd12, S_AXI_AWUSER => axi_interconnect_1_S_AWUSER, S_AXI_AWVALID => axi_interconnect_1_S_AWVALID, S_AXI_AWREADY => axi_interconnect_1_S_AWREADY, S_AXI_WID => net_gnd6, S_AXI_WDATA => axi_interconnect_1_S_WDATA, S_AXI_WSTRB => axi_interconnect_1_S_WSTRB, S_AXI_WLAST => axi_interconnect_1_S_WLAST, S_AXI_WUSER => net_gnd3, S_AXI_WVALID => axi_interconnect_1_S_WVALID, S_AXI_WREADY => axi_interconnect_1_S_WREADY, S_AXI_BID => open, S_AXI_BRESP => axi_interconnect_1_S_BRESP, S_AXI_BUSER => open, S_AXI_BVALID => axi_interconnect_1_S_BVALID, S_AXI_BREADY => axi_interconnect_1_S_BREADY, S_AXI_ARID => net_gnd6, S_AXI_ARADDR => axi_interconnect_1_S_ARADDR, S_AXI_ARLEN => axi_interconnect_1_S_ARLEN, S_AXI_ARSIZE => axi_interconnect_1_S_ARSIZE, S_AXI_ARBURST => axi_interconnect_1_S_ARBURST, S_AXI_ARLOCK => net_gnd6, S_AXI_ARCACHE => axi_interconnect_1_S_ARCACHE, S_AXI_ARPROT => axi_interconnect_1_S_ARPROT, S_AXI_ARQOS => net_gnd12, S_AXI_ARUSER => axi_interconnect_1_S_ARUSER, S_AXI_ARVALID => axi_interconnect_1_S_ARVALID, S_AXI_ARREADY => axi_interconnect_1_S_ARREADY, S_AXI_RID => open, S_AXI_RDATA => axi_interconnect_1_S_RDATA, S_AXI_RRESP => axi_interconnect_1_S_RRESP, S_AXI_RLAST => axi_interconnect_1_S_RLAST, S_AXI_RUSER => open, S_AXI_RVALID => axi_interconnect_1_S_RVALID, S_AXI_RREADY => axi_interconnect_1_S_RREADY, M_AXI_ACLK => processing_system7_0_FCLK_CLK0(0 to 0), M_AXI_AWID => axi_interconnect_1_M_AWID, M_AXI_AWADDR => axi_interconnect_1_M_AWADDR, M_AXI_AWLEN => axi_interconnect_1_M_AWLEN, M_AXI_AWSIZE => axi_interconnect_1_M_AWSIZE, M_AXI_AWBURST => axi_interconnect_1_M_AWBURST, M_AXI_AWLOCK => axi_interconnect_1_M_AWLOCK, M_AXI_AWCACHE => axi_interconnect_1_M_AWCACHE, M_AXI_AWPROT => axi_interconnect_1_M_AWPROT, M_AXI_AWREGION => open, M_AXI_AWQOS => axi_interconnect_1_M_AWQOS, M_AXI_AWUSER => open, M_AXI_AWVALID => axi_interconnect_1_M_AWVALID(0 to 0), M_AXI_AWREADY => axi_interconnect_1_M_AWREADY(0 to 0), M_AXI_WID => axi_interconnect_1_M_WID, M_AXI_WDATA => axi_interconnect_1_M_WDATA, M_AXI_WSTRB => axi_interconnect_1_M_WSTRB, M_AXI_WLAST => axi_interconnect_1_M_WLAST(0 to 0), M_AXI_WUSER => open, M_AXI_WVALID => axi_interconnect_1_M_WVALID(0 to 0), M_AXI_WREADY => axi_interconnect_1_M_WREADY(0 to 0), M_AXI_BID => axi_interconnect_1_M_BID, M_AXI_BRESP => axi_interconnect_1_M_BRESP, M_AXI_BUSER => net_gnd1(0 to 0), M_AXI_BVALID => axi_interconnect_1_M_BVALID(0 to 0), M_AXI_BREADY => axi_interconnect_1_M_BREADY(0 to 0), M_AXI_ARID => axi_interconnect_1_M_ARID, M_AXI_ARADDR => axi_interconnect_1_M_ARADDR, M_AXI_ARLEN => axi_interconnect_1_M_ARLEN, M_AXI_ARSIZE => axi_interconnect_1_M_ARSIZE, M_AXI_ARBURST => axi_interconnect_1_M_ARBURST, M_AXI_ARLOCK => axi_interconnect_1_M_ARLOCK, M_AXI_ARCACHE => axi_interconnect_1_M_ARCACHE, M_AXI_ARPROT => axi_interconnect_1_M_ARPROT, M_AXI_ARREGION => open, M_AXI_ARQOS => axi_interconnect_1_M_ARQOS, M_AXI_ARUSER => open, M_AXI_ARVALID => axi_interconnect_1_M_ARVALID(0 to 0), M_AXI_ARREADY => axi_interconnect_1_M_ARREADY(0 to 0), M_AXI_RID => axi_interconnect_1_M_RID, M_AXI_RDATA => axi_interconnect_1_M_RDATA, M_AXI_RRESP => axi_interconnect_1_M_RRESP, M_AXI_RLAST => axi_interconnect_1_M_RLAST(0 to 0), M_AXI_RUSER => net_gnd1(0 to 0), M_AXI_RVALID => axi_interconnect_1_M_RVALID(0 to 0), M_AXI_RREADY => axi_interconnect_1_M_RREADY(0 to 0), S_AXI_CTRL_AWADDR => net_gnd32, S_AXI_CTRL_AWVALID => net_gnd0, S_AXI_CTRL_AWREADY => open, S_AXI_CTRL_WDATA => net_gnd32, S_AXI_CTRL_WVALID => net_gnd0, S_AXI_CTRL_WREADY => open, S_AXI_CTRL_BRESP => open, S_AXI_CTRL_BVALID => open, S_AXI_CTRL_BREADY => net_gnd0, S_AXI_CTRL_ARADDR => net_gnd32, S_AXI_CTRL_ARVALID => net_gnd0, S_AXI_CTRL_ARREADY => open, S_AXI_CTRL_RDATA => open, S_AXI_CTRL_RRESP => open, S_AXI_CTRL_RVALID => open, S_AXI_CTRL_RREADY => net_gnd0, INTERCONNECT_ARESET_OUT_N => open, DEBUG_AW_TRANS_SEQ => open, DEBUG_AW_ARB_GRANT => open, DEBUG_AR_TRANS_SEQ => open, DEBUG_AR_ARB_GRANT => open, DEBUG_AW_TRANS_QUAL => open, DEBUG_AW_ACCEPT_CNT => open, DEBUG_AW_ACTIVE_THREAD => open, DEBUG_AW_ACTIVE_TARGET => open, DEBUG_AW_ACTIVE_REGION => open, DEBUG_AW_ERROR => open, DEBUG_AW_TARGET => open, DEBUG_AR_TRANS_QUAL => open, DEBUG_AR_ACCEPT_CNT => open, DEBUG_AR_ACTIVE_THREAD => open, DEBUG_AR_ACTIVE_TARGET => open, DEBUG_AR_ACTIVE_REGION => open, DEBUG_AR_ERROR => open, DEBUG_AR_TARGET => open, DEBUG_B_TRANS_SEQ => open, DEBUG_R_BEAT_CNT => open, DEBUG_R_TRANS_SEQ => open, DEBUG_AW_ISSUING_CNT => open, DEBUG_AR_ISSUING_CNT => open, DEBUG_W_BEAT_CNT => open, DEBUG_W_TRANS_SEQ => open, DEBUG_BID_TARGET => open, DEBUG_BID_ERROR => open, DEBUG_RID_TARGET => open, DEBUG_RID_ERROR => open, DEBUG_SR_SC_ARADDR => open, DEBUG_SR_SC_ARADDRCONTROL => open, DEBUG_SR_SC_AWADDR => open, DEBUG_SR_SC_AWADDRCONTROL => open, DEBUG_SR_SC_BRESP => open, DEBUG_SR_SC_RDATA => open, DEBUG_SR_SC_RDATACONTROL => open, DEBUG_SR_SC_WDATA => open, DEBUG_SR_SC_WDATACONTROL => open, DEBUG_SC_SF_ARADDR => open, DEBUG_SC_SF_ARADDRCONTROL => open, DEBUG_SC_SF_AWADDR => open, DEBUG_SC_SF_AWADDRCONTROL => open, DEBUG_SC_SF_BRESP => open, DEBUG_SC_SF_RDATA => open, DEBUG_SC_SF_RDATACONTROL => open, DEBUG_SC_SF_WDATA => open, DEBUG_SC_SF_WDATACONTROL => open, DEBUG_SF_CB_ARADDR => open, DEBUG_SF_CB_ARADDRCONTROL => open, DEBUG_SF_CB_AWADDR => open, DEBUG_SF_CB_AWADDRCONTROL => open, DEBUG_SF_CB_BRESP => open, DEBUG_SF_CB_RDATA => open, DEBUG_SF_CB_RDATACONTROL => open, DEBUG_SF_CB_WDATA => open, DEBUG_SF_CB_WDATACONTROL => open, DEBUG_CB_MF_ARADDR => open, DEBUG_CB_MF_ARADDRCONTROL => open, DEBUG_CB_MF_AWADDR => open, DEBUG_CB_MF_AWADDRCONTROL => open, DEBUG_CB_MF_BRESP => open, DEBUG_CB_MF_RDATA => open, DEBUG_CB_MF_RDATACONTROL => open, DEBUG_CB_MF_WDATA => open, DEBUG_CB_MF_WDATACONTROL => open, DEBUG_MF_MC_ARADDR => open, DEBUG_MF_MC_ARADDRCONTROL => open, DEBUG_MF_MC_AWADDR => open, DEBUG_MF_MC_AWADDRCONTROL => open, DEBUG_MF_MC_BRESP => open, DEBUG_MF_MC_RDATA => open, DEBUG_MF_MC_RDATACONTROL => open, DEBUG_MF_MC_WDATA => open, DEBUG_MF_MC_WDATACONTROL => open, DEBUG_MC_MP_ARADDR => open, DEBUG_MC_MP_ARADDRCONTROL => open, DEBUG_MC_MP_AWADDR => open, DEBUG_MC_MP_AWADDRCONTROL => open, DEBUG_MC_MP_BRESP => open, DEBUG_MC_MP_RDATA => open, DEBUG_MC_MP_RDATACONTROL => open, DEBUG_MC_MP_WDATA => open, DEBUG_MC_MP_WDATACONTROL => open, DEBUG_MP_MR_ARADDR => open, DEBUG_MP_MR_ARADDRCONTROL => open, DEBUG_MP_MR_AWADDR => open, DEBUG_MP_MR_AWADDRCONTROL => open, DEBUG_MP_MR_BRESP => open, DEBUG_MP_MR_RDATA => open, DEBUG_MP_MR_RDATACONTROL => open, DEBUG_MP_MR_WDATA => open, DEBUG_MP_MR_WDATACONTROL => open ); conware_0 : system_conware_0_wrapper port map ( ACLK => processing_system7_0_FCLK_CLK0(0), ARESETN => processing_system7_0_FCLK_RESET0_N_0, S_AXIS_TREADY => axi_dma_0_M_AXIS_MM2S_TREADY, S_AXIS_TDATA => axi_dma_0_M_AXIS_MM2S_TDATA, S_AXIS_TLAST => axi_dma_0_M_AXIS_MM2S_TLAST, S_AXIS_TVALID => axi_dma_0_M_AXIS_MM2S_TVALID, M_AXIS_TVALID => conware_0_M_AXIS_TVALID, M_AXIS_TDATA => conware_0_M_AXIS_TDATA, M_AXIS_TLAST => conware_0_M_AXIS_TLAST, M_AXIS_TREADY => conware_0_M_AXIS_TREADY, M_AXIS_TKEEP => conware_0_M_AXIS_TKEEP, M_AXIS_TSTRB => open, in_states => conware_0_in_states, out_states => conware_0_out_states, num_reads => conware_0_num_reads, num_writes => conware_0_num_writes, read_ctr => conware_0_read_ctr, write_ctr => conware_0_write_ctr ); cownare_ctl_0 : system_cownare_ctl_0_wrapper port map ( S_AXI_ACLK => processing_system7_0_FCLK_CLK0(0), S_AXI_ARESETN => axi4lite_0_M_ARESETN(3), S_AXI_AWADDR => axi4lite_0_M_AWADDR(127 downto 96), S_AXI_AWVALID => axi4lite_0_M_AWVALID(3), S_AXI_WDATA => axi4lite_0_M_WDATA(127 downto 96), S_AXI_WSTRB => axi4lite_0_M_WSTRB(15 downto 12), S_AXI_WVALID => axi4lite_0_M_WVALID(3), S_AXI_BREADY => axi4lite_0_M_BREADY(3), S_AXI_ARADDR => axi4lite_0_M_ARADDR(127 downto 96), S_AXI_ARVALID => axi4lite_0_M_ARVALID(3), S_AXI_RREADY => axi4lite_0_M_RREADY(3), S_AXI_ARREADY => axi4lite_0_M_ARREADY(3), S_AXI_RDATA => axi4lite_0_M_RDATA(127 downto 96), S_AXI_RRESP => axi4lite_0_M_RRESP(7 downto 6), S_AXI_RVALID => axi4lite_0_M_RVALID(3), S_AXI_WREADY => axi4lite_0_M_WREADY(3), S_AXI_BRESP => axi4lite_0_M_BRESP(7 downto 6), S_AXI_BVALID => axi4lite_0_M_BVALID(3), S_AXI_AWREADY => axi4lite_0_M_AWREADY(3), in_states => conware_0_in_states, out_states => conware_0_out_states, num_reads => conware_0_num_reads, num_writes => conware_0_num_writes, read_ctr => conware_0_read_ctr, write_ctr => conware_0_write_ctr ); iobuf_0 : IOBUF port map ( I => SWs_8Bits_TRI_IO_O(7), IO => SWs_8Bits_TRI_IO(7), O => SWs_8Bits_TRI_IO_I(7), T => SWs_8Bits_TRI_IO_T(7) ); iobuf_1 : IOBUF port map ( I => SWs_8Bits_TRI_IO_O(6), IO => SWs_8Bits_TRI_IO(6), O => SWs_8Bits_TRI_IO_I(6), T => SWs_8Bits_TRI_IO_T(6) ); iobuf_2 : IOBUF port map ( I => SWs_8Bits_TRI_IO_O(5), IO => SWs_8Bits_TRI_IO(5), O => SWs_8Bits_TRI_IO_I(5), T => SWs_8Bits_TRI_IO_T(5) ); iobuf_3 : IOBUF port map ( I => SWs_8Bits_TRI_IO_O(4), IO => SWs_8Bits_TRI_IO(4), O => SWs_8Bits_TRI_IO_I(4), T => SWs_8Bits_TRI_IO_T(4) ); iobuf_4 : IOBUF port map ( I => SWs_8Bits_TRI_IO_O(3), IO => SWs_8Bits_TRI_IO(3), O => SWs_8Bits_TRI_IO_I(3), T => SWs_8Bits_TRI_IO_T(3) ); iobuf_5 : IOBUF port map ( I => SWs_8Bits_TRI_IO_O(2), IO => SWs_8Bits_TRI_IO(2), O => SWs_8Bits_TRI_IO_I(2), T => SWs_8Bits_TRI_IO_T(2) ); iobuf_6 : IOBUF port map ( I => SWs_8Bits_TRI_IO_O(1), IO => SWs_8Bits_TRI_IO(1), O => SWs_8Bits_TRI_IO_I(1), T => SWs_8Bits_TRI_IO_T(1) ); iobuf_7 : IOBUF port map ( I => SWs_8Bits_TRI_IO_O(0), IO => SWs_8Bits_TRI_IO(0), O => SWs_8Bits_TRI_IO_I(0), T => SWs_8Bits_TRI_IO_T(0) ); iobuf_8 : IOBUF port map ( I => BTNs_5Bits_TRI_IO_O(4), IO => BTNs_5Bits_TRI_IO(4), O => BTNs_5Bits_TRI_IO_I(4), T => BTNs_5Bits_TRI_IO_T(4) ); iobuf_9 : IOBUF port map ( I => BTNs_5Bits_TRI_IO_O(3), IO => BTNs_5Bits_TRI_IO(3), O => BTNs_5Bits_TRI_IO_I(3), T => BTNs_5Bits_TRI_IO_T(3) ); iobuf_10 : IOBUF port map ( I => BTNs_5Bits_TRI_IO_O(2), IO => BTNs_5Bits_TRI_IO(2), O => BTNs_5Bits_TRI_IO_I(2), T => BTNs_5Bits_TRI_IO_T(2) ); iobuf_11 : IOBUF port map ( I => BTNs_5Bits_TRI_IO_O(1), IO => BTNs_5Bits_TRI_IO(1), O => BTNs_5Bits_TRI_IO_I(1), T => BTNs_5Bits_TRI_IO_T(1) ); iobuf_12 : IOBUF port map ( I => BTNs_5Bits_TRI_IO_O(0), IO => BTNs_5Bits_TRI_IO(0), O => BTNs_5Bits_TRI_IO_I(0), T => BTNs_5Bits_TRI_IO_T(0) ); end architecture STRUCTURE;
mit
medav/conware
conware_final/system/implementation/system_axi_dma_0_wrapper_fifo_generator_v9_3_3/example_design/system_axi_dma_0_wrapper_fifo_generator_v9_3_3_exdes.vhd
1
5695
-------------------------------------------------------------------------------- -- -- FIFO Generator Core - core top file for implementation -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_dma_0_wrapper_fifo_generator_v9_3_3_exdes.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity system_axi_dma_0_wrapper_fifo_generator_v9_3_3_exdes is PORT ( CLK : IN std_logic; DATA_COUNT : OUT std_logic_vector(7-1 DOWNTO 0); WR_ACK : OUT std_logic; VALID : OUT std_logic; ALMOST_EMPTY : OUT std_logic; SRST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(38-1 DOWNTO 0); DOUT : OUT std_logic_vector(38-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end system_axi_dma_0_wrapper_fifo_generator_v9_3_3_exdes; architecture xilinx of system_axi_dma_0_wrapper_fifo_generator_v9_3_3_exdes is signal clk_i : std_logic; component system_axi_dma_0_wrapper_fifo_generator_v9_3_3 is PORT ( CLK : IN std_logic; DATA_COUNT : OUT std_logic_vector(7-1 DOWNTO 0); WR_ACK : OUT std_logic; VALID : OUT std_logic; ALMOST_EMPTY : OUT std_logic; SRST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(38-1 DOWNTO 0); DOUT : OUT std_logic_vector(38-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin clk_buf: bufg PORT map( i => CLK, o => clk_i ); exdes_inst : system_axi_dma_0_wrapper_fifo_generator_v9_3_3 PORT MAP ( CLK => clk_i, DATA_COUNT => data_count, WR_ACK => wr_ack, VALID => valid, ALMOST_EMPTY => almost_empty, SRST => srst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;
mit
meninge/dauphin
test_bench/test_fifo_recode_fifo.vhd
1
7966
---------------------------------------------------------------- -- uut: -- recode.vhd -- circbuf_fast.vhd -- description: -- simple test_bench to verify recode behavior in normal conditions -- with a fifo just before -- and a fifo just after -- expected result: -- recode should be configured in weight configuration mode -- in normal mode, recode should act as: -- output = (input < 0) ? 0 : input + cst[addr] -- recode should correctly interact with input and output fifos ---------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; Library UNISIM; use UNISIM.vcomponents.all; library UNIMACRO; use unimacro.Vcomponents.all; use ieee.numeric_std.all; -- entity declaration for your testbench.Dont declare any ports here ENTITY test_fifo_recode_fifo IS END test_fifo_recode_fifo; ARCHITECTURE behavior OF test_fifo_recode_fifo IS -- add component under test -- Parameters for the neurons constant WDATA : natural := 32; constant WOUT : natural := WDATA; constant WWEIGHT : natural := 16; constant WACCU : natural := 32; -- Parameters for frame and number of neurons constant FSIZE : natural := 4; constant NBNEU : natural := 4; constant DATAW : natural := 32; constant DEPTH : natural := 8; constant CNTW : natural := 16; component recode generic( WDATA : natural := WDATA; WWEIGHT : natural := WWEIGHT; WOUT : natural := WOUT; FSIZE : natural := NBNEU -- warning, this is NB_NEU ); port( clk : in std_logic; -- Ports for address control addr_clear : in std_logic; -- Ports for Write into memory write_mode : in std_logic; write_data : in std_logic_vector(WDATA - 1 downto 0); write_enable : in std_logic; write_ready : out std_logic; -- The user-specified number of neurons user_nbneu : in std_logic_vector(15 downto 0); -- Data input data_in : in std_logic_vector(WDATA-1 downto 0); data_in_valid : in std_logic; data_in_ready : out std_logic; -- Data output data_out : out std_logic_vector(WOUT-1 downto 0); data_out_valid : out std_logic; -- The output data enters a FIFO. This indicates the available room. out_fifo_room : in std_logic_vector(15 downto 0) ); end component; component circbuf_fast is generic ( DATAW : natural := DATAW; DEPTH : natural := DEPTH; CNTW : natural := CNTW ); port ( reset : in std_logic; clk : in std_logic; fifo_in_data : in std_logic_vector(DATAW-1 downto 0); fifo_in_rdy : out std_logic; fifo_in_ack : in std_logic; fifo_in_cnt : out std_logic_vector(CNTW-1 downto 0); fifo_out_data : out std_logic_vector(DATAW-1 downto 0); fifo_out_rdy : out std_logic; fifo_out_ack : in std_logic; fifo_out_cnt : out std_logic_vector(CNTW-1 downto 0) ); end component; -- clock period definition constant clk_period : time := 1 ns; signal clear : std_logic := '0'; signal clk : std_logic := '0'; -- recode signals signal addr_clear : std_logic; signal write_mode : std_logic; signal write_data : std_logic_vector(WDATA - 1 downto 0); signal write_enable : std_logic; signal write_ready : std_logic; signal user_nbneu : std_logic_vector(15 downto 0); signal data_in : std_logic_vector(WDATA-1 downto 0); signal data_in_valid : std_logic; signal data_in_ready : std_logic; signal data_out : std_logic_vector(WOUT-1 downto 0); signal data_out_valid : std_logic; signal out_fifo_room : std_logic_vector(15 downto 0); -- for the fifo_1 signal fifo_in_data_1 : std_logic_vector(DATAW-1 downto 0); signal fifo_in_rdy_1 : std_logic; signal fifo_in_ack_1 : std_logic; signal fifo_in_cnt_1 : std_logic_vector(CNTW-1 downto 0); signal fifo_out_data_1 : std_logic_vector(DATAW-1 downto 0); signal fifo_out_rdy_1 : std_logic; signal fifo_out_ack_1 : std_logic; signal fifo_out_cnt_1 : std_logic_vector(CNTW-1 downto 0); -- for the fifo_2 signal fifo_in_data_2 : std_logic_vector(DATAW-1 downto 0); signal fifo_in_rdy_2 : std_logic; signal fifo_in_ack_2 : std_logic; signal fifo_in_cnt_2 : std_logic_vector(CNTW-1 downto 0); signal fifo_out_data_2 : std_logic_vector(DATAW-1 downto 0); signal fifo_out_rdy_2 : std_logic; signal fifo_out_ack_2 : std_logic; signal fifo_out_cnt_2 : std_logic_vector(CNTW-1 downto 0); begin fifo_1: circbuf_fast port map ( reset => clear, clk => clk, fifo_in_data => fifo_in_data_1, fifo_in_rdy => fifo_in_rdy_1, fifo_in_ack => fifo_in_ack_1, fifo_in_cnt => fifo_in_cnt_1, fifo_out_data => fifo_out_data_1, fifo_out_rdy => fifo_out_rdy_1, fifo_out_ack => fifo_out_ack_1, fifo_out_cnt => fifo_out_cnt_1 ); fifo_2: circbuf_fast port map ( reset => clear, clk => clk, fifo_in_data => fifo_in_data_2, fifo_in_rdy => fifo_in_rdy_2, fifo_in_ack => fifo_in_ack_2, fifo_in_cnt => fifo_in_cnt_2, fifo_out_data => fifo_out_data_2, fifo_out_rdy => fifo_out_rdy_2, fifo_out_ack => fifo_out_ack_2, fifo_out_cnt => fifo_out_cnt_2 ); recode_1 : recode port map ( clk => clk, addr_clear => addr_clear, write_mode => write_mode, write_data => write_data, write_enable => write_enable, write_ready => write_ready, user_nbneu => user_nbneu, data_in => data_in, data_in_valid => data_in_valid, data_in_ready => data_in_ready, data_out => data_out, data_out_valid => data_out_valid, out_fifo_room => out_fifo_room ); write_data <= fifo_out_data_1; write_enable <= fifo_out_rdy_1; data_in <= fifo_out_data_1; data_in_valid <= fifo_out_rdy_1; --data_in_ready <= fifo_out_ack; fifo_out_ack_1 <= data_in_ready or write_ready; fifo_in_ack_2 <= data_out_valid; fifo_in_data_2 <= data_out; out_fifo_room <= fifo_in_cnt_2; -- Clock process definitions( clock with 50% duty cycle is generated here. clk_process : process begin clk <= '1'; wait for clk_period/2; --for 0.5 ns signal is '1'. clk <= '0'; wait for clk_period/2; --for next 0.5 ns signal is '0'. end process; stim_proc: process variable counter : integer := 0; variable neurons : integer := 0; begin ------------------------------- -- TEST CHARGEMENT DES POIDS -- ------------------------------- -- reset clear <= '1'; fifo_in_data_1 <= std_logic_vector(to_unsigned(0, 32)); fifo_in_ack_1 <= '0'; wait for 3*clk_period; clear <= '0'; write_mode <= '1'; -- load weights -- load data into the fifo fifo_in_data_1 <= std_logic_vector(to_unsigned(3, 32)); fifo_in_ack_1 <= '1'; --while neurons < NBNEU loop counter := 0; neurons := neurons + 1; wait for clk_period; wait for clk_period; counter := 0; fifo_in_data_1 <= std_logic_vector(to_signed(4, 32)); fifo_in_ack_1 <= '1'; neurons := neurons +1; wait for clk_period; counter := 0; fifo_in_data_1 <= std_logic_vector(to_signed(5, 32)); fifo_in_ack_1 <= '1'; neurons := neurons +1; wait for clk_period; counter := 0; fifo_in_data_1 <= std_logic_vector(to_signed(1, 32)); fifo_in_ack_1 <= '1'; neurons := neurons +1; wait for clk_period; fifo_in_data_1 <= std_logic_vector(to_signed(10, 32)); fifo_in_ack_1 <= '0'; --end loop; wait for clk_period; wait for clk_period; wait for clk_period; wait for clk_period; wait for clk_period; write_mode <= '0'; -- accu add fifo_in_ack_1 <= '1'; wait for clk_period; ---------------------------- -- TEST MODE ACCUMULATION -- ---------------------------- write_mode <= '0'; -- accu add fifo_in_data_1 <= std_logic_vector(to_unsigned(64, 32)); counter := 0; while (counter < FSIZE) loop wait for clk_period; fifo_in_data_1 <= std_logic_vector(to_unsigned(128, 32)); counter := counter + 1; wait for clk_period; fifo_in_data_1 <= std_logic_vector(to_unsigned(64, 32)); end loop; wait; end process; END;
mit
medav/conware
conware_final/system/implementation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1/simulation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_dverif.vhd
2
5736
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_dverif.vhd -- -- Description: -- Used for FIFO read interface stimulus generation and data checking -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_pkg.ALL; ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE fg_dv_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_dverif IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT EXTRA_WIDTH : INTEGER := if_then_else(C_CH_TYPE = 2,1,0); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH+EXTRA_WIDTH,8); SIGNAL expected_dout : STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL data_chk : STD_LOGIC := '1'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 downto 0); SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL pr_r_en : STD_LOGIC := '0'; SIGNAL rd_en_d1 : STD_LOGIC := '1'; BEGIN DOUT_CHK <= data_chk; RD_EN <= rd_en_i; rd_en_i <= PRC_RD_EN; rd_en_d1 <= '1'; data_fifo_chk:IF(C_CH_TYPE /=2) GENERATE ------------------------------------------------------- -- Expected data generation and checking for data_fifo ------------------------------------------------------- pr_r_en <= rd_en_i AND NOT EMPTY AND rd_en_d1; expected_dout <= rand_num(C_DOUT_WIDTH-1 DOWNTO 0); gen_num:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst2:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_1_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => RD_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_r_en ); END GENERATE; PROCESS (RD_CLK,RESET) BEGIN IF(RESET = '1') THEN data_chk <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN IF(EMPTY = '0') THEN IF(DATA_OUT = expected_dout) THEN data_chk <= '0'; ELSE data_chk <= '1'; END IF; END IF; END IF; END PROCESS; END GENERATE data_fifo_chk; END ARCHITECTURE;
mit
medav/conware
conware_final/system/implementation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3/simulation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_dgen.vhd
1
4760
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_pkg.ALL; ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 50 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_3_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
mit
Vladilit/fpga-multi-effect
ip_repo/VL_user_MCLK_gen_1.0/MCLK_gen.vhd
1
684
library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MCLK_gen is Port ( clk_in : in STD_LOGIC; clk_out : out STD_LOGIC); end MCLK_gen; architecture Behavioral of MCLK_gen is signal clk_sig: std_logic; begin divide_by_2: process(clk_in) begin if rising_edge(clk_in) then clk_sig <= not clk_sig; end if; end process; clk_out <= clk_sig; end Behavioral;
mit
medav/conware
conware_test/system/hdl/system_cownare_ctl_0_wrapper.vhd
1
4569
------------------------------------------------------------------------------- -- system_cownare_ctl_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library cownare_ctl_v1_00_a; use cownare_ctl_v1_00_a.all; entity system_cownare_ctl_0_wrapper is port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(31 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(31 downto 0); S_AXI_WSTRB : in std_logic_vector(3 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(31 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(31 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic; in_states : in std_logic_vector(7 downto 0); out_states : in std_logic_vector(7 downto 0); num_reads : in std_logic_vector(31 downto 0); num_writes : in std_logic_vector(31 downto 0); read_ctr : in std_logic_vector(7 downto 0); write_ctr : in std_logic_vector(7 downto 0) ); end system_cownare_ctl_0_wrapper; architecture STRUCTURE of system_cownare_ctl_0_wrapper is component cownare_ctl is generic ( C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_MIN_SIZE : std_logic_vector; C_USE_WSTRB : INTEGER; C_DPHASE_TIMEOUT : INTEGER; C_BASEADDR : std_logic_vector; C_HIGHADDR : std_logic_vector; C_FAMILY : STRING; C_NUM_REG : INTEGER; C_NUM_MEM : INTEGER; C_SLV_AWIDTH : INTEGER; C_SLV_DWIDTH : INTEGER ); port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0); S_AXI_WSTRB : in std_logic_vector(((C_S_AXI_DATA_WIDTH/8)-1) downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic; in_states : in std_logic_vector(7 downto 0); out_states : in std_logic_vector(7 downto 0); num_reads : in std_logic_vector(31 downto 0); num_writes : in std_logic_vector(31 downto 0); read_ctr : in std_logic_vector(7 downto 0); write_ctr : in std_logic_vector(7 downto 0) ); end component; begin cownare_ctl_0 : cownare_ctl generic map ( C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ADDR_WIDTH => 32, C_S_AXI_MIN_SIZE => X"000001ff", C_USE_WSTRB => 0, C_DPHASE_TIMEOUT => 8, C_BASEADDR => X"74400000", C_HIGHADDR => X"7440ffff", C_FAMILY => "zynq", C_NUM_REG => 1, C_NUM_MEM => 1, C_SLV_AWIDTH => 32, C_SLV_DWIDTH => 32 ) port map ( S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_RREADY => S_AXI_RREADY, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_AWREADY => S_AXI_AWREADY, in_states => in_states, out_states => out_states, num_reads => num_reads, num_writes => num_writes, read_ctr => read_ctr, write_ctr => write_ctr ); end architecture STRUCTURE;
mit
medav/conware
conware_final/system/implementation/system_axi_dma_0_wrapper_fifo_generator_v9_3_2/simulation/system_axi_dma_0_wrapper_fifo_generator_v9_3_2_dgen.vhd
1
4715
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_dma_0_wrapper_fifo_generator_v9_3_2_dgen.vhd -- -- Description: -- Used for write interface stimulus generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_dma_0_wrapper_fifo_generator_v9_3_2_pkg.ALL; ENTITY system_axi_dma_0_wrapper_fifo_generator_v9_3_2_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_dg_arch OF system_axi_dma_0_wrapper_fifo_generator_v9_3_2_dgen IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); SIGNAL pr_w_en : STD_LOGIC := '0'; SIGNAL rand_num : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL wr_data_i : STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); BEGIN WR_EN <= PRC_WR_EN ; WR_DATA <= wr_data_i AFTER 50 ns; ---------------------------------------------- -- Generation of DATA ---------------------------------------------- gen_stim:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE rd_gen_inst1:system_axi_dma_0_wrapper_fifo_generator_v9_3_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+N ) PORT MAP( CLK => WR_CLK, RESET => RESET, RANDOM_NUM => rand_num(8*(N+1)-1 downto 8*N), ENABLE => pr_w_en ); END GENERATE; pr_w_en <= PRC_WR_EN AND NOT FULL; wr_data_i <= rand_num(C_DIN_WIDTH-1 DOWNTO 0); END ARCHITECTURE;
mit
medav/conware
conware_final/system/implementation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4/simulation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pkg.vhd
1
17482
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pkg.vhd -- -- Description: -- This is the demo testbench package file for FIFO Generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( S_ACLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_exdes IS PORT ( S_ARESETN : IN std_logic; M_AXI_AWID : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_AWADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_AWLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_AWVALID : OUT std_logic; M_AXI_AWREADY : IN std_logic; M_AXI_WID : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_WDATA : OUT std_logic_vector(64-1 DOWNTO 0); M_AXI_WSTRB : OUT std_logic_vector(64/8-1 DOWNTO 0); M_AXI_WLAST : OUT std_logic; M_AXI_WVALID : OUT std_logic; M_AXI_WREADY : IN std_logic; M_AXI_BID : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_BVALID : IN std_logic; M_AXI_BREADY : OUT std_logic; S_AXI_AWID : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_AWADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_AWVALID : IN std_logic; S_AXI_AWREADY : OUT std_logic; S_AXI_WID : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_WDATA : IN std_logic_vector(64-1 DOWNTO 0); S_AXI_WSTRB : IN std_logic_vector(64/8-1 DOWNTO 0); S_AXI_WLAST : IN std_logic; S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BID : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_BVALID : OUT std_logic; S_AXI_BREADY : IN std_logic; M_AXI_ARID : OUT std_logic_vector(1-1 DOWNTO 0); M_AXI_ARADDR : OUT std_logic_vector(32-1 DOWNTO 0); M_AXI_ARLEN : OUT std_logic_vector(8-1 DOWNTO 0); M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARLOCK : OUT std_logic_vector(2-1 DOWNTO 0); M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0); M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0); M_AXI_ARVALID : OUT std_logic; M_AXI_ARREADY : IN std_logic; M_AXI_RID : IN std_logic_vector(1-1 DOWNTO 0); M_AXI_RDATA : IN std_logic_vector(64-1 DOWNTO 0); M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0); M_AXI_RLAST : IN std_logic; M_AXI_RVALID : IN std_logic; M_AXI_RREADY : OUT std_logic; S_AXI_ARID : IN std_logic_vector(1-1 DOWNTO 0); S_AXI_ARADDR : IN std_logic_vector(32-1 DOWNTO 0); S_AXI_ARLEN : IN std_logic_vector(8-1 DOWNTO 0); S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARLOCK : IN std_logic_vector(2-1 DOWNTO 0); S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0); S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0); S_AXI_ARVALID : IN std_logic; S_AXI_ARREADY : OUT std_logic; S_AXI_RID : OUT std_logic_vector(1-1 DOWNTO 0); S_AXI_RDATA : OUT std_logic_vector(64-1 DOWNTO 0); S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0); S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic; AXI_AW_PROG_FULL : OUT std_logic; AXI_AW_PROG_EMPTY : OUT std_logic; AXI_W_PROG_FULL : OUT std_logic; AXI_W_PROG_EMPTY : OUT std_logic; AXI_B_PROG_FULL : OUT std_logic; AXI_B_PROG_EMPTY : OUT std_logic; AXI_AR_PROG_FULL : OUT std_logic; AXI_AR_PROG_EMPTY : OUT std_logic; AXI_R_PROG_FULL : OUT std_logic; AXI_R_PROG_EMPTY : OUT std_logic; S_ACLK : IN std_logic); END COMPONENT; ------------------------ END system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pkg; PACKAGE BODY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END system_axi_interconnect_1_wrapper_fifo_generator_v9_1_4_pkg;
mit
medav/conware
conware_final/system/implementation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2/simulation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl.vhd
2
15657
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl.vhd -- -- Description: -- Used for protocol control on write and read interface stimulus and status generation -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY work; USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pkg.ALL; ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl IS GENERIC( AXI_CHANNEL : STRING :="NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE fg_pc_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl IS CONSTANT C_DATA_WIDTH : INTEGER := if_then_else(C_DIN_WIDTH > C_DOUT_WIDTH,C_DIN_WIDTH,C_DOUT_WIDTH); CONSTANT LOOP_COUNT : INTEGER := divroundup(C_DATA_WIDTH,8); CONSTANT D_WIDTH_DIFF : INTEGER := log2roundup(C_DOUT_WIDTH/C_DIN_WIDTH); SIGNAL data_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL full_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL empty_chk_i : STD_LOGIC := if_then_else(C_CH_TYPE /= 2,'1','0'); SIGNAL status_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL status_d1_i : STD_LOGIC_VECTOR(4 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL rd_en_gen : STD_LOGIC_VECTOR(7 DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_cntr : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL full_as_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL full_ds_timeout : STD_LOGIC_VECTOR(C_WR_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL rd_cntr : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH-2 DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_as_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0) := (OTHERS => '0'); SIGNAL empty_ds_timeout : STD_LOGIC_VECTOR(C_RD_PNTR_WIDTH DOWNTO 0):= (OTHERS => '0'); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL state : STD_LOGIC := '0'; SIGNAL wr_control : STD_LOGIC := '0'; SIGNAL rd_control : STD_LOGIC := '0'; SIGNAL stop_on_err : STD_LOGIC := '0'; SIGNAL sim_stop_cntr : STD_LOGIC_VECTOR(7 DOWNTO 0):= conv_std_logic_vector(if_then_else(C_CH_TYPE=2,64,TB_STOP_CNT),8); SIGNAL sim_done_i : STD_LOGIC := '0'; SIGNAL rdw_gt_wrw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL wrw_gt_rdw : STD_LOGIC_VECTOR(D_WIDTH_DIFF-1 DOWNTO 0) := (OTHERS => '1'); SIGNAL rd_activ_cont : STD_LOGIC_VECTOR(25 downto 0):= (OTHERS => '0'); SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL reset_en_i : STD_LOGIC := '0'; SIGNAL state_d1 : STD_LOGIC := '0'; SIGNAL post_rst_dly_wr : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); SIGNAL post_rst_dly_rd : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '1'); BEGIN status_i <= data_chk_i & full_chk_i & empty_chk_i & '0' & '0'; STATUS <= status_d1_i & '0' & '0' & rd_activ_cont(rd_activ_cont'high); prc_we_i <= wr_en_i WHEN sim_done_i = '0' ELSE '0'; prc_re_i <= rd_en_i WHEN sim_done_i = '0' ELSE '0'; SIM_DONE <= sim_done_i; rdw_gt_wrw <= (OTHERS => '1'); wrw_gt_rdw <= (OTHERS => '1'); PROCESS(RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(prc_re_i = '1') THEN rd_activ_cont <= rd_activ_cont + "1"; END IF; END IF; END PROCESS; PROCESS(sim_done_i) BEGIN assert sim_done_i = '0' report "Simulation Complete for:" & AXI_CHANNEL severity note; END PROCESS; ----------------------------------------------------- -- SIM_DONE SIGNAL GENERATION ----------------------------------------------------- PROCESS (RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN --sim_done_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF((OR_REDUCE(sim_stop_cntr) = '0' AND TB_STOP_CNT /= 0) OR stop_on_err = '1') THEN sim_done_i <= '1'; END IF; END IF; END PROCESS; -- TB Timeout/Stop fifo_tb_stop_run:IF(TB_STOP_CNT /= 0) GENERATE PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(state = '0' AND state_d1 = '1') THEN sim_stop_cntr <= sim_stop_cntr - "1"; END IF; END IF; END PROCESS; END GENERATE fifo_tb_stop_run; -- Stop when error found PROCESS (RD_CLK) BEGIN IF (RD_CLK'event AND RD_CLK='1') THEN IF(sim_done_i = '0') THEN status_d1_i <= status_i OR status_d1_i; END IF; IF(FREEZEON_ERROR = 1 AND status_i /= "0") THEN stop_on_err <= '1'; END IF; END IF; END PROCESS; ----------------------------------------------------- ----------------------------------------------------- -- CHECKS FOR FIFO ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN post_rst_dly_rd <= (OTHERS => '1'); ELSIF (RD_CLK'event AND RD_CLK='1') THEN post_rst_dly_rd <= post_rst_dly_rd-post_rst_dly_rd(4); END IF; END PROCESS; PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN post_rst_dly_wr <= (OTHERS => '1'); ELSIF (WR_CLK'event AND WR_CLK='1') THEN post_rst_dly_wr <= post_rst_dly_wr-post_rst_dly_wr(4); END IF; END PROCESS; -- FULL de-assert Counter PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_ds_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(rd_en_i = '1' AND wr_en_i = '0' AND FULL = '1' AND AND_REDUCE(wrw_gt_rdw) = '1') THEN full_ds_timeout <= full_ds_timeout + '1'; END IF; ELSE full_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- EMPTY deassert counter PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_ds_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(wr_en_i = '1' AND rd_en_i = '0' AND EMPTY = '1' AND AND_REDUCE(rdw_gt_wrw) = '1') THEN empty_ds_timeout <= empty_ds_timeout + '1'; END IF; ELSE empty_ds_timeout <= (OTHERS => '0'); END IF; END IF; END PROCESS; -- Full check signal generation PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN full_chk_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN full_chk_i <= '0'; ELSE full_chk_i <= AND_REDUCE(full_as_timeout) OR AND_REDUCE(full_ds_timeout); END IF; END IF; END PROCESS; -- Empty checks PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN empty_chk_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(C_APPLICATION_TYPE = 1 AND (AXI_CHANNEL = "WACH" OR AXI_CHANNEL = "RACH" OR AXI_CHANNEL = "AXI4_Stream")) THEN empty_chk_i <= '0'; ELSE empty_chk_i <= AND_REDUCE(empty_as_timeout) OR AND_REDUCE(empty_ds_timeout); END IF; END IF; END PROCESS; fifo_d_chk:IF(C_CH_TYPE /= 2) GENERATE PRC_WR_EN <= prc_we_i AFTER 50 ns; PRC_RD_EN <= prc_re_i AFTER 50 ns; data_chk_i <= dout_chk; END GENERATE fifo_d_chk; ----------------------------------------------------- RESET_EN <= reset_en_i; PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN state_d1 <= '0'; ELSIF (RD_CLK'event AND RD_CLK='1') THEN state_d1 <= state; END IF; END PROCESS; data_fifo_en:IF(C_CH_TYPE /= 2) GENERATE ----------------------------------------------------- -- WR_EN GENERATION ----------------------------------------------------- gen_rand_wr_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED+1 ) PORT MAP( CLK => WR_CLK, RESET => RESET_WR, RANDOM_NUM => wr_en_gen, ENABLE => '1' ); PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN wr_en_i <= wr_en_gen(0) AND wr_en_gen(7) AND wr_en_gen(2) AND wr_control; ELSE wr_en_i <= (wr_en_gen(3) OR wr_en_gen(4) OR wr_en_gen(2)) AND (NOT post_rst_dly_wr(4)); END IF; END IF; END PROCESS; ----------------------------------------------------- -- WR_EN CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN wr_cntr <= (OTHERS => '0'); wr_control <= '1'; full_as_timeout <= (OTHERS => '0'); ELSIF(WR_CLK'event AND WR_CLK='1') THEN IF(state = '1') THEN IF(wr_en_i = '1') THEN wr_cntr <= wr_cntr + "1"; END IF; full_as_timeout <= (OTHERS => '0'); ELSE wr_cntr <= (OTHERS => '0'); IF(rd_en_i = '0') THEN IF(wr_en_i = '1') THEN full_as_timeout <= full_as_timeout + "1"; END IF; ELSE full_as_timeout <= (OTHERS => '0'); END IF; END IF; wr_control <= NOT wr_cntr(wr_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- RD_EN GENERATION ----------------------------------------------------- gen_rand_rd_en:system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_rng GENERIC MAP( WIDTH => 8, SEED => TB_SEED ) PORT MAP( CLK => RD_CLK, RESET => RESET_RD, RANDOM_NUM => rd_en_gen, ENABLE => '1' ); PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_en_i <= '0'; ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN rd_en_i <= rd_en_gen(1) AND rd_en_gen(5) AND rd_en_gen(3) AND rd_control AND (NOT post_rst_dly_rd(4)); ELSE rd_en_i <= rd_en_gen(0) OR rd_en_gen(6); END IF; END IF; END PROCESS; ----------------------------------------------------- -- RD_EN CONTROL ----------------------------------------------------- PROCESS(RD_CLK,RESET_RD) BEGIN IF(RESET_RD = '1') THEN rd_cntr <= (OTHERS => '0'); rd_control <= '1'; empty_as_timeout <= (OTHERS => '0'); ELSIF(RD_CLK'event AND RD_CLK='1') THEN IF(state = '0') THEN IF(rd_en_i = '1') THEN rd_cntr <= rd_cntr + "1"; END IF; empty_as_timeout <= (OTHERS => '0'); ELSE rd_cntr <= (OTHERS => '0'); IF(wr_en_i = '0') THEN IF(rd_en_i = '1') THEN empty_as_timeout <= empty_as_timeout + "1"; END IF; ELSE empty_as_timeout <= (OTHERS => '0'); END IF; END IF; rd_control <= NOT rd_cntr(rd_cntr'high); END IF; END PROCESS; ----------------------------------------------------- -- STIMULUS CONTROL ----------------------------------------------------- PROCESS(WR_CLK,RESET_WR) BEGIN IF(RESET_WR = '1') THEN state <= '0'; reset_en_i <= '0'; ELSIF(WR_CLK'event AND WR_CLK='1') THEN CASE state IS WHEN '0' => IF(FULL = '1' AND EMPTY = '0') THEN state <= '1'; reset_en_i <= '0'; END IF; WHEN '1' => IF(EMPTY = '1' AND FULL = '0') THEN state <= '0'; reset_en_i <= '1'; END IF; WHEN OTHERS => state <= state; END CASE; END IF; END PROCESS; END GENERATE data_fifo_en; END ARCHITECTURE;
mit
medav/conware
conware_final/system/implementation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2/simulation/system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_synth.vhd
1
10215
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY work; USE work.system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_synth IS -- FIFO interface signal declarations SIGNAL clk_i : STD_LOGIC; SIGNAL rst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(5-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(5-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(5-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(5-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_rd3 OR rst_s_rd; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(clk_i'event AND clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- clk_i <= CLK; ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; fg_dg_nv: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_dgen GENERIC MAP ( C_DIN_WIDTH => 5, C_DOUT_WIDTH => 5, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_dverif GENERIC MAP ( C_DOUT_WIDTH => 5, C_DIN_WIDTH => 5, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 5, C_DIN_WIDTH => 5, C_WR_PNTR_WIDTH => 5, C_RD_PNTR_WIDTH => 5, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => clk_i, RD_CLK => clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_inst : system_axi_interconnect_1_wrapper_fifo_generator_v9_1_2_exdes PORT MAP ( CLK => clk_i, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
mit
Vladilit/fpga-multi-effect
ip_repo/VL_user_PL_to_PS_1.0/hdl/PL_to_PS_v1_0_S00_AXI.vhd
1
15744
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity PL_to_PS_v1_0_S00_AXI is generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Width of S_AXI data bus C_S_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI address bus C_S_AXI_ADDR_WIDTH : integer := 4 ); port ( -- Users to add ports here -- User ports ends -- Do not modify the ports beyond this line -- Global Clock Signal S_AXI_ACLK : in std_logic; -- Global Reset Signal. This Signal is Active LOW S_AXI_ARESETN : in std_logic; -- Write address (issued by master, acceped by Slave) S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Write channel Protection type. This signal indicates the -- privilege and security level of the transaction, and whether -- the transaction is a data access or an instruction access. S_AXI_AWPROT : in std_logic_vector(2 downto 0); -- Write address valid. This signal indicates that the master signaling -- valid write address and control information. S_AXI_AWVALID : in std_logic; -- Write address ready. This signal indicates that the slave is ready -- to accept an address and associated control signals. S_AXI_AWREADY : out std_logic; -- Write data (issued by master, acceped by Slave) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. This signal indicates which byte lanes hold -- valid data. There is one write strobe bit for each eight -- bits of the write data bus. S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write valid. This signal indicates that valid write -- data and strobes are available. S_AXI_WVALID : in std_logic; -- Write ready. This signal indicates that the slave -- can accept the write data. S_AXI_WREADY : out std_logic; -- Write response. This signal indicates the status -- of the write transaction. S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Write response valid. This signal indicates that the channel -- is signaling a valid write response. S_AXI_BVALID : out std_logic; -- Response ready. This signal indicates that the master -- can accept a write response. S_AXI_BREADY : in std_logic; -- Read address (issued by master, acceped by Slave) S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether the -- transaction is a data access or an instruction access. S_AXI_ARPROT : in std_logic_vector(2 downto 0); -- Read address valid. This signal indicates that the channel -- is signaling valid read address and control information. S_AXI_ARVALID : in std_logic; -- Read address ready. This signal indicates that the slave is -- ready to accept an address and associated control signals. S_AXI_ARREADY : out std_logic; -- Read data (issued by slave) S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of the -- read transfer. S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read valid. This signal indicates that the channel is -- signaling the required read data. S_AXI_RVALID : out std_logic; -- Read ready. This signal indicates that the master can -- accept the read data and response information. S_AXI_RREADY : in std_logic ); end PL_to_PS_v1_0_S00_AXI; architecture arch_imp of PL_to_PS_v1_0_S00_AXI is -- AXI4LITE signals signal axi_wstrb_const : std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0) ; --vl - my addition signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_awready : std_logic; signal axi_wready : std_logic; signal axi_bresp : std_logic_vector(1 downto 0); signal axi_bvalid : std_logic; signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_arready : std_logic; signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal axi_rresp : std_logic_vector(1 downto 0); signal axi_rvalid : std_logic; -- Example-specific design signals -- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH -- ADDR_LSB is used for addressing 32/64 bit registers/memories -- ADDR_LSB = 2 for 32 bits (n downto 2) -- ADDR_LSB = 3 for 64 bits (n downto 3) constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1; constant OPT_MEM_ADDR_BITS : integer := 1; ------------------------------------------------ ---- Signals for user logic register space example -------------------------------------------------- ---- Number of Slave Registers 4 signal slv_reg0 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg1 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg2 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg3 :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_reg_rden : std_logic; signal slv_reg_wren : std_logic; signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal byte_index : integer; begin -- I/O Connections assignments S_AXI_AWREADY <= axi_awready; S_AXI_WREADY <= axi_wready; S_AXI_BRESP <= axi_bresp; S_AXI_BVALID <= axi_bvalid; S_AXI_ARREADY <= axi_arready; S_AXI_RDATA <= axi_rdata; S_AXI_RRESP <= axi_rresp; S_AXI_RVALID <= axi_rvalid; -- Implement axi_awready generation -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awready <= '0'; else if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- slave is ready to accept write address when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_awready <= '1'; else axi_awready <= '0'; end if; end if; end if; end process; -- Implement axi_awaddr latching -- This process is used to latch the address when both -- S_AXI_AWVALID and S_AXI_WVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awaddr <= (others => '0'); else if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- Write Address latching axi_awaddr <= S_AXI_AWADDR; end if; end if; end if; end process; -- Implement axi_wready generation -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_wready <= '0'; else if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then -- slave is ready to accept write data when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_wready <= '1'; else axi_wready <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and write logic generation -- The write data is accepted and written to memory mapped registers when -- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to -- select byte enables of slave registers while writing. -- These registers are cleared when reset (active low) is applied. -- Slave register write enable is asserted when valid address and data are available -- and the slave is ready to accept the write address and write data. --slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ; axi_awaddr <= "0000"; --vl - 0000 is slv_reg0- the address range for AXI lite in this code is from 0000 to 1111 (the last 4 bits of the actual address). -- constant state of write-enable slv_reg_wren <= '1' ;--vl axi_wstrb_const <= "1111"; --vl process (S_AXI_ACLK) variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); slv_reg3 <= (others => '0'); else loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB); if (slv_reg_wren = '1') then case loc_addr is when b"00" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( axi_wstrb_const(byte_index) = '1' ) then --vl -- Respective byte enables are asserted as per write strobes -- slave registor 0 slv_reg0(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"01" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 1 slv_reg1(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"10" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 2 slv_reg2(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"11" => for byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) loop if ( S_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 3 slv_reg3(byte_index*8+7 downto byte_index*8) <= S_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when others => slv_reg0 <= slv_reg0; slv_reg1 <= slv_reg1; slv_reg2 <= slv_reg2; slv_reg3 <= slv_reg3; end case; end if; end if; end if; end process; -- Implement write response logic generation -- The write response and response valid signals are asserted by the slave -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. -- This marks the acceptance of address and indicates the status of -- write transaction. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_bvalid <= '0'; axi_bresp <= "00"; --need to work more on the responses else if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then axi_bvalid <= '1'; axi_bresp <= "00"; elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high) axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high) end if; end if; end if; end process; -- Implement axi_arready generation -- axi_arready is asserted for one S_AXI_ACLK clock cycle when -- S_AXI_ARVALID is asserted. axi_awready is -- de-asserted when reset (active low) is asserted. -- The read address is also latched when S_AXI_ARVALID is -- asserted. axi_araddr is reset to zero on reset assertion. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_arready <= '0'; axi_araddr <= (others => '1'); else if (axi_arready = '0' and S_AXI_ARVALID = '1') then -- indicates that the slave has acceped the valid read address axi_arready <= '1'; -- Read Address latching axi_araddr <= S_AXI_ARADDR; else axi_arready <= '0'; end if; end if; end if; end process; -- Implement axi_arvalid generation -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_ARVALID and axi_arready are asserted. The slave registers -- data are available on the axi_rdata bus at this instance. The -- assertion of axi_rvalid marks the validity of read data on the -- bus and axi_rresp indicates the status of read transaction.axi_rvalid -- is deasserted on reset (active low). axi_rresp and axi_rdata are -- cleared to zero on reset (active low). process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_rvalid <= '0'; axi_rresp <= "00"; else if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then -- Valid read data is available at the read data bus axi_rvalid <= '1'; axi_rresp <= "00"; -- 'OKAY' response elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then -- Read data is accepted by the master axi_rvalid <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and read logic generation -- Slave register read enable is asserted when valid address is available -- and the slave is ready to accept the read address. slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ; process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, axi_araddr, S_AXI_ARESETN, slv_reg_rden) variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); begin -- Address decoding for reading registers loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB); case loc_addr is when b"00" => reg_data_out <= slv_reg0; when b"01" => reg_data_out <= slv_reg1; when b"10" => reg_data_out <= slv_reg2; when b"11" => reg_data_out <= slv_reg3; when others => reg_data_out <= (others => '0'); end case; end process; -- Output register or memory read data process( S_AXI_ACLK ) is begin if (rising_edge (S_AXI_ACLK)) then if ( S_AXI_ARESETN = '0' ) then axi_rdata <= (others => '0'); else if (slv_reg_rden = '1') then -- When there is a valid read address (S_AXI_ARVALID) with -- acceptance of read address by the slave (axi_arready), -- output the read dada -- Read address mux axi_rdata <= reg_data_out; -- register read data end if; end if; end if; end process; -- Add user logic here -- User logic ends end arch_imp;
mit
medav/conware
conware_final/system/implementation/system_axi_dma_0_wrapper_fifo_generator_v9_3_3/simulation/system_axi_dma_0_wrapper_fifo_generator_v9_3_3_rng.vhd
1
4001
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: system_axi_dma_0_wrapper_fifo_generator_v9_3_3_rng.vhd -- -- Description: -- Used for generation of pseudo random numbers -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; ENTITY system_axi_dma_0_wrapper_fifo_generator_v9_3_3_rng IS GENERIC ( WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0)); END ENTITY; ARCHITECTURE rg_arch OF system_axi_dma_0_wrapper_fifo_generator_v9_3_3_rng IS BEGIN PROCESS (CLK,RESET) VARIABLE rand_temp : STD_LOGIC_VECTOR(width-1 DOWNTO 0):=conv_std_logic_vector(SEED,width); VARIABLE temp : STD_LOGIC := '0'; BEGIN IF(RESET = '1') THEN rand_temp := conv_std_logic_vector(SEED,width); temp := '0'; ELSIF (CLK'event AND CLK = '1') THEN IF (ENABLE = '1') THEN temp := rand_temp(width-1) xnor rand_temp(width-3) xnor rand_temp(width-4) xnor rand_temp(width-5); rand_temp(width-1 DOWNTO 1) := rand_temp(width-2 DOWNTO 0); rand_temp(0) := temp; END IF; END IF; RANDOM_NUM <= rand_temp; END PROCESS; END ARCHITECTURE;
mit
hgGeorg/file-icons
examples/vhdl.vhd
12226531
0
mit
egk696/InterNoC
InterNoC.ip_user_files/bd/DemoInterconnect/sim/DemoInterconnect.vhd
3
231236
--Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2017.3 (win64) Build 2018833 Wed Oct 4 19:58:22 MDT 2017 --Date : Fri Nov 17 16:04:47 2017 --Host : egk-pc running 64-bit major release (build 9200) --Command : generate_target DemoInterconnect.bd --Design : DemoInterconnect --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m00_couplers_imp_4EB6IN is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m00_couplers_imp_4EB6IN; architecture STRUCTURE of m00_couplers_imp_4EB6IN is signal m00_couplers_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m00_couplers_to_m00_couplers_ARREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_ARVALID : STD_LOGIC; signal m00_couplers_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m00_couplers_to_m00_couplers_AWREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_AWVALID : STD_LOGIC; signal m00_couplers_to_m00_couplers_BREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_m00_couplers_BVALID : STD_LOGIC; signal m00_couplers_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_RREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_m00_couplers_RVALID : STD_LOGIC; signal m00_couplers_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_WREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m00_couplers_to_m00_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m00_couplers_to_m00_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= m00_couplers_to_m00_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m00_couplers_to_m00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m00_couplers_to_m00_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= m00_couplers_to_m00_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m00_couplers_to_m00_couplers_AWVALID; M_AXI_bready <= m00_couplers_to_m00_couplers_BREADY; M_AXI_rready <= m00_couplers_to_m00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m00_couplers_to_m00_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m00_couplers_to_m00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m00_couplers_to_m00_couplers_WVALID; S_AXI_arready <= m00_couplers_to_m00_couplers_ARREADY; S_AXI_awready <= m00_couplers_to_m00_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m00_couplers_to_m00_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m00_couplers_to_m00_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m00_couplers_to_m00_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m00_couplers_to_m00_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m00_couplers_to_m00_couplers_RVALID; S_AXI_wready <= m00_couplers_to_m00_couplers_WREADY; m00_couplers_to_m00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m00_couplers_to_m00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m00_couplers_to_m00_couplers_ARREADY <= M_AXI_arready; m00_couplers_to_m00_couplers_ARVALID <= S_AXI_arvalid; m00_couplers_to_m00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m00_couplers_to_m00_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m00_couplers_to_m00_couplers_AWREADY <= M_AXI_awready; m00_couplers_to_m00_couplers_AWVALID <= S_AXI_awvalid; m00_couplers_to_m00_couplers_BREADY <= S_AXI_bready; m00_couplers_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m00_couplers_to_m00_couplers_BVALID <= M_AXI_bvalid; m00_couplers_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m00_couplers_to_m00_couplers_RREADY <= S_AXI_rready; m00_couplers_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m00_couplers_to_m00_couplers_RVALID <= M_AXI_rvalid; m00_couplers_to_m00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m00_couplers_to_m00_couplers_WREADY <= M_AXI_wready; m00_couplers_to_m00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m00_couplers_to_m00_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m01_couplers_imp_1SL2GIW is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m01_couplers_imp_1SL2GIW; architecture STRUCTURE of m01_couplers_imp_1SL2GIW is signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m01_couplers_to_m01_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= m01_couplers_to_m01_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m01_couplers_to_m01_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m01_couplers_to_m01_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= m01_couplers_to_m01_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m01_couplers_to_m01_couplers_AWVALID; M_AXI_bready <= m01_couplers_to_m01_couplers_BREADY; M_AXI_rready <= m01_couplers_to_m01_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m01_couplers_to_m01_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m01_couplers_to_m01_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m01_couplers_to_m01_couplers_WVALID; S_AXI_arready <= m01_couplers_to_m01_couplers_ARREADY; S_AXI_awready <= m01_couplers_to_m01_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m01_couplers_to_m01_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m01_couplers_to_m01_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m01_couplers_to_m01_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m01_couplers_to_m01_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m01_couplers_to_m01_couplers_RVALID; S_AXI_wready <= m01_couplers_to_m01_couplers_WREADY; m01_couplers_to_m01_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m01_couplers_to_m01_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m01_couplers_to_m01_couplers_ARREADY <= M_AXI_arready; m01_couplers_to_m01_couplers_ARVALID <= S_AXI_arvalid; m01_couplers_to_m01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m01_couplers_to_m01_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m01_couplers_to_m01_couplers_AWREADY <= M_AXI_awready; m01_couplers_to_m01_couplers_AWVALID <= S_AXI_awvalid; m01_couplers_to_m01_couplers_BREADY <= S_AXI_bready; m01_couplers_to_m01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m01_couplers_to_m01_couplers_BVALID <= M_AXI_bvalid; m01_couplers_to_m01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m01_couplers_to_m01_couplers_RREADY <= S_AXI_rready; m01_couplers_to_m01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m01_couplers_to_m01_couplers_RVALID <= M_AXI_rvalid; m01_couplers_to_m01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m01_couplers_to_m01_couplers_WREADY <= M_AXI_wready; m01_couplers_to_m01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m01_couplers_to_m01_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m02_couplers_imp_7DG2C0 is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m02_couplers_imp_7DG2C0; architecture STRUCTURE of m02_couplers_imp_7DG2C0 is signal m02_couplers_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m02_couplers_to_m02_couplers_ARREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_ARVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m02_couplers_to_m02_couplers_AWREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_AWVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_BREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_m02_couplers_BVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_RREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_m02_couplers_RVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_WREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m02_couplers_to_m02_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m02_couplers_to_m02_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= m02_couplers_to_m02_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m02_couplers_to_m02_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m02_couplers_to_m02_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= m02_couplers_to_m02_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m02_couplers_to_m02_couplers_AWVALID; M_AXI_bready <= m02_couplers_to_m02_couplers_BREADY; M_AXI_rready <= m02_couplers_to_m02_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m02_couplers_to_m02_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m02_couplers_to_m02_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m02_couplers_to_m02_couplers_WVALID; S_AXI_arready <= m02_couplers_to_m02_couplers_ARREADY; S_AXI_awready <= m02_couplers_to_m02_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m02_couplers_to_m02_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m02_couplers_to_m02_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m02_couplers_to_m02_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m02_couplers_to_m02_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m02_couplers_to_m02_couplers_RVALID; S_AXI_wready <= m02_couplers_to_m02_couplers_WREADY; m02_couplers_to_m02_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m02_couplers_to_m02_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m02_couplers_to_m02_couplers_ARREADY <= M_AXI_arready; m02_couplers_to_m02_couplers_ARVALID <= S_AXI_arvalid; m02_couplers_to_m02_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m02_couplers_to_m02_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m02_couplers_to_m02_couplers_AWREADY <= M_AXI_awready; m02_couplers_to_m02_couplers_AWVALID <= S_AXI_awvalid; m02_couplers_to_m02_couplers_BREADY <= S_AXI_bready; m02_couplers_to_m02_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m02_couplers_to_m02_couplers_BVALID <= M_AXI_bvalid; m02_couplers_to_m02_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m02_couplers_to_m02_couplers_RREADY <= S_AXI_rready; m02_couplers_to_m02_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m02_couplers_to_m02_couplers_RVALID <= M_AXI_rvalid; m02_couplers_to_m02_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m02_couplers_to_m02_couplers_WREADY <= M_AXI_wready; m02_couplers_to_m02_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m02_couplers_to_m02_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m03_couplers_imp_1YCPS1Z is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m03_couplers_imp_1YCPS1Z; architecture STRUCTURE of m03_couplers_imp_1YCPS1Z is signal m03_couplers_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_m03_couplers_ARREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_ARVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_m03_couplers_AWREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_AWVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_BREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_m03_couplers_BVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_RREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_m03_couplers_RVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_WREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m03_couplers_to_m03_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m03_couplers_to_m03_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= m03_couplers_to_m03_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m03_couplers_to_m03_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m03_couplers_to_m03_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= m03_couplers_to_m03_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m03_couplers_to_m03_couplers_AWVALID; M_AXI_bready <= m03_couplers_to_m03_couplers_BREADY; M_AXI_rready <= m03_couplers_to_m03_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m03_couplers_to_m03_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m03_couplers_to_m03_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m03_couplers_to_m03_couplers_WVALID; S_AXI_arready <= m03_couplers_to_m03_couplers_ARREADY; S_AXI_awready <= m03_couplers_to_m03_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m03_couplers_to_m03_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m03_couplers_to_m03_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m03_couplers_to_m03_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m03_couplers_to_m03_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m03_couplers_to_m03_couplers_RVALID; S_AXI_wready <= m03_couplers_to_m03_couplers_WREADY; m03_couplers_to_m03_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m03_couplers_to_m03_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m03_couplers_to_m03_couplers_ARREADY <= M_AXI_arready; m03_couplers_to_m03_couplers_ARVALID <= S_AXI_arvalid; m03_couplers_to_m03_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m03_couplers_to_m03_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m03_couplers_to_m03_couplers_AWREADY <= M_AXI_awready; m03_couplers_to_m03_couplers_AWVALID <= S_AXI_awvalid; m03_couplers_to_m03_couplers_BREADY <= S_AXI_bready; m03_couplers_to_m03_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m03_couplers_to_m03_couplers_BVALID <= M_AXI_bvalid; m03_couplers_to_m03_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m03_couplers_to_m03_couplers_RREADY <= S_AXI_rready; m03_couplers_to_m03_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m03_couplers_to_m03_couplers_RVALID <= M_AXI_rvalid; m03_couplers_to_m03_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m03_couplers_to_m03_couplers_WREADY <= M_AXI_wready; m03_couplers_to_m03_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m03_couplers_to_m03_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m04_couplers_imp_ACM7VL is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m04_couplers_imp_ACM7VL; architecture STRUCTURE of m04_couplers_imp_ACM7VL is signal m04_couplers_to_m04_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_m04_couplers_ARREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_ARVALID : STD_LOGIC; signal m04_couplers_to_m04_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_m04_couplers_AWREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_AWVALID : STD_LOGIC; signal m04_couplers_to_m04_couplers_BREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m04_couplers_to_m04_couplers_BVALID : STD_LOGIC; signal m04_couplers_to_m04_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_m04_couplers_RREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m04_couplers_to_m04_couplers_RVALID : STD_LOGIC; signal m04_couplers_to_m04_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_m04_couplers_WREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m04_couplers_to_m04_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m04_couplers_to_m04_couplers_ARADDR(31 downto 0); M_AXI_arvalid <= m04_couplers_to_m04_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m04_couplers_to_m04_couplers_AWADDR(31 downto 0); M_AXI_awvalid <= m04_couplers_to_m04_couplers_AWVALID; M_AXI_bready <= m04_couplers_to_m04_couplers_BREADY; M_AXI_rready <= m04_couplers_to_m04_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m04_couplers_to_m04_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m04_couplers_to_m04_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m04_couplers_to_m04_couplers_WVALID; S_AXI_arready <= m04_couplers_to_m04_couplers_ARREADY; S_AXI_awready <= m04_couplers_to_m04_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m04_couplers_to_m04_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m04_couplers_to_m04_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m04_couplers_to_m04_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m04_couplers_to_m04_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m04_couplers_to_m04_couplers_RVALID; S_AXI_wready <= m04_couplers_to_m04_couplers_WREADY; m04_couplers_to_m04_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m04_couplers_to_m04_couplers_ARREADY <= M_AXI_arready; m04_couplers_to_m04_couplers_ARVALID <= S_AXI_arvalid; m04_couplers_to_m04_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m04_couplers_to_m04_couplers_AWREADY <= M_AXI_awready; m04_couplers_to_m04_couplers_AWVALID <= S_AXI_awvalid; m04_couplers_to_m04_couplers_BREADY <= S_AXI_bready; m04_couplers_to_m04_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m04_couplers_to_m04_couplers_BVALID <= M_AXI_bvalid; m04_couplers_to_m04_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m04_couplers_to_m04_couplers_RREADY <= S_AXI_rready; m04_couplers_to_m04_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m04_couplers_to_m04_couplers_RVALID <= M_AXI_rvalid; m04_couplers_to_m04_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m04_couplers_to_m04_couplers_WREADY <= M_AXI_wready; m04_couplers_to_m04_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m04_couplers_to_m04_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m05_couplers_imp_1HWY5FA is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m05_couplers_imp_1HWY5FA; architecture STRUCTURE of m05_couplers_imp_1HWY5FA is signal m05_couplers_to_m05_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_m05_couplers_ARREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_ARVALID : STD_LOGIC; signal m05_couplers_to_m05_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_m05_couplers_AWREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_AWVALID : STD_LOGIC; signal m05_couplers_to_m05_couplers_BREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m05_couplers_to_m05_couplers_BVALID : STD_LOGIC; signal m05_couplers_to_m05_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_m05_couplers_RREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m05_couplers_to_m05_couplers_RVALID : STD_LOGIC; signal m05_couplers_to_m05_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_m05_couplers_WREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m05_couplers_to_m05_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m05_couplers_to_m05_couplers_ARADDR(31 downto 0); M_AXI_arvalid <= m05_couplers_to_m05_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m05_couplers_to_m05_couplers_AWADDR(31 downto 0); M_AXI_awvalid <= m05_couplers_to_m05_couplers_AWVALID; M_AXI_bready <= m05_couplers_to_m05_couplers_BREADY; M_AXI_rready <= m05_couplers_to_m05_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m05_couplers_to_m05_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m05_couplers_to_m05_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m05_couplers_to_m05_couplers_WVALID; S_AXI_arready <= m05_couplers_to_m05_couplers_ARREADY; S_AXI_awready <= m05_couplers_to_m05_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m05_couplers_to_m05_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m05_couplers_to_m05_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m05_couplers_to_m05_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m05_couplers_to_m05_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m05_couplers_to_m05_couplers_RVALID; S_AXI_wready <= m05_couplers_to_m05_couplers_WREADY; m05_couplers_to_m05_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m05_couplers_to_m05_couplers_ARREADY <= M_AXI_arready; m05_couplers_to_m05_couplers_ARVALID <= S_AXI_arvalid; m05_couplers_to_m05_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m05_couplers_to_m05_couplers_AWREADY <= M_AXI_awready; m05_couplers_to_m05_couplers_AWVALID <= S_AXI_awvalid; m05_couplers_to_m05_couplers_BREADY <= S_AXI_bready; m05_couplers_to_m05_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m05_couplers_to_m05_couplers_BVALID <= M_AXI_bvalid; m05_couplers_to_m05_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m05_couplers_to_m05_couplers_RREADY <= S_AXI_rready; m05_couplers_to_m05_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m05_couplers_to_m05_couplers_RVALID <= M_AXI_rvalid; m05_couplers_to_m05_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m05_couplers_to_m05_couplers_WREADY <= M_AXI_wready; m05_couplers_to_m05_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m05_couplers_to_m05_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m06_couplers_imp_DBR4EM is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m06_couplers_imp_DBR4EM; architecture STRUCTURE of m06_couplers_imp_DBR4EM is signal m06_couplers_to_m06_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_m06_couplers_ARREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_ARVALID : STD_LOGIC; signal m06_couplers_to_m06_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_m06_couplers_AWREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_AWVALID : STD_LOGIC; signal m06_couplers_to_m06_couplers_BREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m06_couplers_to_m06_couplers_BVALID : STD_LOGIC; signal m06_couplers_to_m06_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_m06_couplers_RREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m06_couplers_to_m06_couplers_RVALID : STD_LOGIC; signal m06_couplers_to_m06_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_m06_couplers_WREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m06_couplers_to_m06_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m06_couplers_to_m06_couplers_ARADDR(31 downto 0); M_AXI_arvalid <= m06_couplers_to_m06_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m06_couplers_to_m06_couplers_AWADDR(31 downto 0); M_AXI_awvalid <= m06_couplers_to_m06_couplers_AWVALID; M_AXI_bready <= m06_couplers_to_m06_couplers_BREADY; M_AXI_rready <= m06_couplers_to_m06_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m06_couplers_to_m06_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m06_couplers_to_m06_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m06_couplers_to_m06_couplers_WVALID; S_AXI_arready <= m06_couplers_to_m06_couplers_ARREADY; S_AXI_awready <= m06_couplers_to_m06_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m06_couplers_to_m06_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m06_couplers_to_m06_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m06_couplers_to_m06_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m06_couplers_to_m06_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m06_couplers_to_m06_couplers_RVALID; S_AXI_wready <= m06_couplers_to_m06_couplers_WREADY; m06_couplers_to_m06_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m06_couplers_to_m06_couplers_ARREADY <= M_AXI_arready; m06_couplers_to_m06_couplers_ARVALID <= S_AXI_arvalid; m06_couplers_to_m06_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m06_couplers_to_m06_couplers_AWREADY <= M_AXI_awready; m06_couplers_to_m06_couplers_AWVALID <= S_AXI_awvalid; m06_couplers_to_m06_couplers_BREADY <= S_AXI_bready; m06_couplers_to_m06_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m06_couplers_to_m06_couplers_BVALID <= M_AXI_bvalid; m06_couplers_to_m06_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m06_couplers_to_m06_couplers_RREADY <= S_AXI_rready; m06_couplers_to_m06_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m06_couplers_to_m06_couplers_RVALID <= M_AXI_rvalid; m06_couplers_to_m06_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m06_couplers_to_m06_couplers_WREADY <= M_AXI_wready; m06_couplers_to_m06_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m06_couplers_to_m06_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s00_couplers_imp_7XIH8P is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s00_couplers_imp_7XIH8P; architecture STRUCTURE of s00_couplers_imp_7XIH8P is signal s00_couplers_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_s00_couplers_ARREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_ARVALID : STD_LOGIC; signal s00_couplers_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_s00_couplers_AWREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_AWVALID : STD_LOGIC; signal s00_couplers_to_s00_couplers_BREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_s00_couplers_BVALID : STD_LOGIC; signal s00_couplers_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_s00_couplers_RREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_s00_couplers_RVALID : STD_LOGIC; signal s00_couplers_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_s00_couplers_WREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_s00_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= s00_couplers_to_s00_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= s00_couplers_to_s00_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= s00_couplers_to_s00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= s00_couplers_to_s00_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= s00_couplers_to_s00_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= s00_couplers_to_s00_couplers_AWVALID; M_AXI_bready <= s00_couplers_to_s00_couplers_BREADY; M_AXI_rready <= s00_couplers_to_s00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= s00_couplers_to_s00_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= s00_couplers_to_s00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= s00_couplers_to_s00_couplers_WVALID; S_AXI_arready <= s00_couplers_to_s00_couplers_ARREADY; S_AXI_awready <= s00_couplers_to_s00_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= s00_couplers_to_s00_couplers_BRESP(1 downto 0); S_AXI_bvalid <= s00_couplers_to_s00_couplers_BVALID; S_AXI_rdata(31 downto 0) <= s00_couplers_to_s00_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= s00_couplers_to_s00_couplers_RRESP(1 downto 0); S_AXI_rvalid <= s00_couplers_to_s00_couplers_RVALID; S_AXI_wready <= s00_couplers_to_s00_couplers_WREADY; s00_couplers_to_s00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s00_couplers_to_s00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s00_couplers_to_s00_couplers_ARREADY <= M_AXI_arready; s00_couplers_to_s00_couplers_ARVALID <= S_AXI_arvalid; s00_couplers_to_s00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s00_couplers_to_s00_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s00_couplers_to_s00_couplers_AWREADY <= M_AXI_awready; s00_couplers_to_s00_couplers_AWVALID <= S_AXI_awvalid; s00_couplers_to_s00_couplers_BREADY <= S_AXI_bready; s00_couplers_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); s00_couplers_to_s00_couplers_BVALID <= M_AXI_bvalid; s00_couplers_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); s00_couplers_to_s00_couplers_RREADY <= S_AXI_rready; s00_couplers_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); s00_couplers_to_s00_couplers_RVALID <= M_AXI_rvalid; s00_couplers_to_s00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s00_couplers_to_s00_couplers_WREADY <= M_AXI_wready; s00_couplers_to_s00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s00_couplers_to_s00_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s01_couplers_imp_1XSI6OU is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s01_couplers_imp_1XSI6OU; architecture STRUCTURE of s01_couplers_imp_1XSI6OU is signal s01_couplers_to_s01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_s01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s01_couplers_to_s01_couplers_ARREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_ARVALID : STD_LOGIC; signal s01_couplers_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s01_couplers_to_s01_couplers_AWREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_AWVALID : STD_LOGIC; signal s01_couplers_to_s01_couplers_BREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s01_couplers_to_s01_couplers_BVALID : STD_LOGIC; signal s01_couplers_to_s01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_s01_couplers_RREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s01_couplers_to_s01_couplers_RVALID : STD_LOGIC; signal s01_couplers_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_s01_couplers_WREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s01_couplers_to_s01_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= s01_couplers_to_s01_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= s01_couplers_to_s01_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= s01_couplers_to_s01_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= s01_couplers_to_s01_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= s01_couplers_to_s01_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= s01_couplers_to_s01_couplers_AWVALID; M_AXI_bready <= s01_couplers_to_s01_couplers_BREADY; M_AXI_rready <= s01_couplers_to_s01_couplers_RREADY; M_AXI_wdata(31 downto 0) <= s01_couplers_to_s01_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= s01_couplers_to_s01_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= s01_couplers_to_s01_couplers_WVALID; S_AXI_arready <= s01_couplers_to_s01_couplers_ARREADY; S_AXI_awready <= s01_couplers_to_s01_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= s01_couplers_to_s01_couplers_BRESP(1 downto 0); S_AXI_bvalid <= s01_couplers_to_s01_couplers_BVALID; S_AXI_rdata(31 downto 0) <= s01_couplers_to_s01_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= s01_couplers_to_s01_couplers_RRESP(1 downto 0); S_AXI_rvalid <= s01_couplers_to_s01_couplers_RVALID; S_AXI_wready <= s01_couplers_to_s01_couplers_WREADY; s01_couplers_to_s01_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s01_couplers_to_s01_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s01_couplers_to_s01_couplers_ARREADY <= M_AXI_arready; s01_couplers_to_s01_couplers_ARVALID <= S_AXI_arvalid; s01_couplers_to_s01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s01_couplers_to_s01_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s01_couplers_to_s01_couplers_AWREADY <= M_AXI_awready; s01_couplers_to_s01_couplers_AWVALID <= S_AXI_awvalid; s01_couplers_to_s01_couplers_BREADY <= S_AXI_bready; s01_couplers_to_s01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); s01_couplers_to_s01_couplers_BVALID <= M_AXI_bvalid; s01_couplers_to_s01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); s01_couplers_to_s01_couplers_RREADY <= S_AXI_rready; s01_couplers_to_s01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); s01_couplers_to_s01_couplers_RVALID <= M_AXI_rvalid; s01_couplers_to_s01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s01_couplers_to_s01_couplers_WREADY <= M_AXI_wready; s01_couplers_to_s01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s01_couplers_to_s01_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s02_couplers_imp_2QLUHY is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_arlock : in STD_LOGIC; S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_awlock : in STD_LOGIC; S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awvalid : in STD_LOGIC; S_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_rlast : out STD_LOGIC; S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wlast : in STD_LOGIC; S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s02_couplers_imp_2QLUHY; architecture STRUCTURE of s02_couplers_imp_2QLUHY is component DemoInterconnect_auto_pc_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); end component DemoInterconnect_auto_pc_0; signal S_ACLK_1 : STD_LOGIC; signal S_ARESETN_1 : STD_LOGIC; signal auto_pc_to_s02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s02_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s02_couplers_ARREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_ARVALID : STD_LOGIC; signal auto_pc_to_s02_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s02_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s02_couplers_AWREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_AWVALID : STD_LOGIC; signal auto_pc_to_s02_couplers_BREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s02_couplers_BVALID : STD_LOGIC; signal auto_pc_to_s02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s02_couplers_RREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s02_couplers_RVALID : STD_LOGIC; signal auto_pc_to_s02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s02_couplers_WREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s02_couplers_WVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s02_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s02_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s02_couplers_to_auto_pc_ARLOCK : STD_LOGIC; signal s02_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_ARREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_auto_pc_ARVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s02_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s02_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s02_couplers_to_auto_pc_AWLOCK : STD_LOGIC; signal s02_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_AWREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_auto_pc_AWVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s02_couplers_to_auto_pc_BREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s02_couplers_to_auto_pc_BVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s02_couplers_to_auto_pc_RLAST : STD_LOGIC; signal s02_couplers_to_auto_pc_RREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s02_couplers_to_auto_pc_RVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_auto_pc_WLAST : STD_LOGIC; signal s02_couplers_to_auto_pc_WREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= auto_pc_to_s02_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= auto_pc_to_s02_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= auto_pc_to_s02_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= auto_pc_to_s02_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= auto_pc_to_s02_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= auto_pc_to_s02_couplers_AWVALID; M_AXI_bready <= auto_pc_to_s02_couplers_BREADY; M_AXI_rready <= auto_pc_to_s02_couplers_RREADY; M_AXI_wdata(31 downto 0) <= auto_pc_to_s02_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= auto_pc_to_s02_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= auto_pc_to_s02_couplers_WVALID; S_ACLK_1 <= S_ACLK; S_ARESETN_1 <= S_ARESETN; S_AXI_arready <= s02_couplers_to_auto_pc_ARREADY; S_AXI_awready <= s02_couplers_to_auto_pc_AWREADY; S_AXI_bid(0) <= s02_couplers_to_auto_pc_BID(0); S_AXI_bresp(1 downto 0) <= s02_couplers_to_auto_pc_BRESP(1 downto 0); S_AXI_bvalid <= s02_couplers_to_auto_pc_BVALID; S_AXI_rdata(31 downto 0) <= s02_couplers_to_auto_pc_RDATA(31 downto 0); S_AXI_rid(0) <= s02_couplers_to_auto_pc_RID(0); S_AXI_rlast <= s02_couplers_to_auto_pc_RLAST; S_AXI_rresp(1 downto 0) <= s02_couplers_to_auto_pc_RRESP(1 downto 0); S_AXI_rvalid <= s02_couplers_to_auto_pc_RVALID; S_AXI_wready <= s02_couplers_to_auto_pc_WREADY; auto_pc_to_s02_couplers_ARREADY <= M_AXI_arready; auto_pc_to_s02_couplers_AWREADY <= M_AXI_awready; auto_pc_to_s02_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); auto_pc_to_s02_couplers_BVALID <= M_AXI_bvalid; auto_pc_to_s02_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); auto_pc_to_s02_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); auto_pc_to_s02_couplers_RVALID <= M_AXI_rvalid; auto_pc_to_s02_couplers_WREADY <= M_AXI_wready; s02_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s02_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0); s02_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0); s02_couplers_to_auto_pc_ARID(0) <= S_AXI_arid(0); s02_couplers_to_auto_pc_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0); s02_couplers_to_auto_pc_ARLOCK <= S_AXI_arlock; s02_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s02_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0); s02_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0); s02_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid; s02_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s02_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0); s02_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0); s02_couplers_to_auto_pc_AWID(0) <= S_AXI_awid(0); s02_couplers_to_auto_pc_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0); s02_couplers_to_auto_pc_AWLOCK <= S_AXI_awlock; s02_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s02_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0); s02_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0); s02_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid; s02_couplers_to_auto_pc_BREADY <= S_AXI_bready; s02_couplers_to_auto_pc_RREADY <= S_AXI_rready; s02_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s02_couplers_to_auto_pc_WLAST <= S_AXI_wlast; s02_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s02_couplers_to_auto_pc_WVALID <= S_AXI_wvalid; auto_pc: component DemoInterconnect_auto_pc_0 port map ( aclk => S_ACLK_1, aresetn => S_ARESETN_1, m_axi_araddr(31 downto 0) => auto_pc_to_s02_couplers_ARADDR(31 downto 0), m_axi_arprot(2 downto 0) => auto_pc_to_s02_couplers_ARPROT(2 downto 0), m_axi_arready => auto_pc_to_s02_couplers_ARREADY, m_axi_arvalid => auto_pc_to_s02_couplers_ARVALID, m_axi_awaddr(31 downto 0) => auto_pc_to_s02_couplers_AWADDR(31 downto 0), m_axi_awprot(2 downto 0) => auto_pc_to_s02_couplers_AWPROT(2 downto 0), m_axi_awready => auto_pc_to_s02_couplers_AWREADY, m_axi_awvalid => auto_pc_to_s02_couplers_AWVALID, m_axi_bready => auto_pc_to_s02_couplers_BREADY, m_axi_bresp(1 downto 0) => auto_pc_to_s02_couplers_BRESP(1 downto 0), m_axi_bvalid => auto_pc_to_s02_couplers_BVALID, m_axi_rdata(31 downto 0) => auto_pc_to_s02_couplers_RDATA(31 downto 0), m_axi_rready => auto_pc_to_s02_couplers_RREADY, m_axi_rresp(1 downto 0) => auto_pc_to_s02_couplers_RRESP(1 downto 0), m_axi_rvalid => auto_pc_to_s02_couplers_RVALID, m_axi_wdata(31 downto 0) => auto_pc_to_s02_couplers_WDATA(31 downto 0), m_axi_wready => auto_pc_to_s02_couplers_WREADY, m_axi_wstrb(3 downto 0) => auto_pc_to_s02_couplers_WSTRB(3 downto 0), m_axi_wvalid => auto_pc_to_s02_couplers_WVALID, s_axi_araddr(31 downto 0) => s02_couplers_to_auto_pc_ARADDR(31 downto 0), s_axi_arburst(1 downto 0) => s02_couplers_to_auto_pc_ARBURST(1 downto 0), s_axi_arcache(3 downto 0) => s02_couplers_to_auto_pc_ARCACHE(3 downto 0), s_axi_arid(0) => s02_couplers_to_auto_pc_ARID(0), s_axi_arlen(7 downto 0) => s02_couplers_to_auto_pc_ARLEN(7 downto 0), s_axi_arlock(0) => s02_couplers_to_auto_pc_ARLOCK, s_axi_arprot(2 downto 0) => s02_couplers_to_auto_pc_ARPROT(2 downto 0), s_axi_arqos(3 downto 0) => s02_couplers_to_auto_pc_ARQOS(3 downto 0), s_axi_arready => s02_couplers_to_auto_pc_ARREADY, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => s02_couplers_to_auto_pc_ARSIZE(2 downto 0), s_axi_arvalid => s02_couplers_to_auto_pc_ARVALID, s_axi_awaddr(31 downto 0) => s02_couplers_to_auto_pc_AWADDR(31 downto 0), s_axi_awburst(1 downto 0) => s02_couplers_to_auto_pc_AWBURST(1 downto 0), s_axi_awcache(3 downto 0) => s02_couplers_to_auto_pc_AWCACHE(3 downto 0), s_axi_awid(0) => s02_couplers_to_auto_pc_AWID(0), s_axi_awlen(7 downto 0) => s02_couplers_to_auto_pc_AWLEN(7 downto 0), s_axi_awlock(0) => s02_couplers_to_auto_pc_AWLOCK, s_axi_awprot(2 downto 0) => s02_couplers_to_auto_pc_AWPROT(2 downto 0), s_axi_awqos(3 downto 0) => s02_couplers_to_auto_pc_AWQOS(3 downto 0), s_axi_awready => s02_couplers_to_auto_pc_AWREADY, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => s02_couplers_to_auto_pc_AWSIZE(2 downto 0), s_axi_awvalid => s02_couplers_to_auto_pc_AWVALID, s_axi_bid(0) => s02_couplers_to_auto_pc_BID(0), s_axi_bready => s02_couplers_to_auto_pc_BREADY, s_axi_bresp(1 downto 0) => s02_couplers_to_auto_pc_BRESP(1 downto 0), s_axi_bvalid => s02_couplers_to_auto_pc_BVALID, s_axi_rdata(31 downto 0) => s02_couplers_to_auto_pc_RDATA(31 downto 0), s_axi_rid(0) => s02_couplers_to_auto_pc_RID(0), s_axi_rlast => s02_couplers_to_auto_pc_RLAST, s_axi_rready => s02_couplers_to_auto_pc_RREADY, s_axi_rresp(1 downto 0) => s02_couplers_to_auto_pc_RRESP(1 downto 0), s_axi_rvalid => s02_couplers_to_auto_pc_RVALID, s_axi_wdata(31 downto 0) => s02_couplers_to_auto_pc_WDATA(31 downto 0), s_axi_wlast => s02_couplers_to_auto_pc_WLAST, s_axi_wready => s02_couplers_to_auto_pc_WREADY, s_axi_wstrb(3 downto 0) => s02_couplers_to_auto_pc_WSTRB(3 downto 0), s_axi_wvalid => s02_couplers_to_auto_pc_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity DemoInterconnect_axi_interconnect_0_0 is port ( ACLK : in STD_LOGIC; ARESETN : in STD_LOGIC; M00_ACLK : in STD_LOGIC; M00_ARESETN : in STD_LOGIC; M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M00_AXI_arready : in STD_LOGIC; M00_AXI_arvalid : out STD_LOGIC; M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M00_AXI_awready : in STD_LOGIC; M00_AXI_awvalid : out STD_LOGIC; M00_AXI_bready : out STD_LOGIC; M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_bvalid : in STD_LOGIC; M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_rready : out STD_LOGIC; M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_rvalid : in STD_LOGIC; M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_wready : in STD_LOGIC; M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_wvalid : out STD_LOGIC; M01_ACLK : in STD_LOGIC; M01_ARESETN : in STD_LOGIC; M01_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M01_AXI_arready : in STD_LOGIC; M01_AXI_arvalid : out STD_LOGIC; M01_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M01_AXI_awready : in STD_LOGIC; M01_AXI_awvalid : out STD_LOGIC; M01_AXI_bready : out STD_LOGIC; M01_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M01_AXI_bvalid : in STD_LOGIC; M01_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_rready : out STD_LOGIC; M01_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M01_AXI_rvalid : in STD_LOGIC; M01_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_wready : in STD_LOGIC; M01_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M01_AXI_wvalid : out STD_LOGIC; M02_ACLK : in STD_LOGIC; M02_ARESETN : in STD_LOGIC; M02_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M02_AXI_arready : in STD_LOGIC; M02_AXI_arvalid : out STD_LOGIC; M02_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M02_AXI_awready : in STD_LOGIC; M02_AXI_awvalid : out STD_LOGIC; M02_AXI_bready : out STD_LOGIC; M02_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M02_AXI_bvalid : in STD_LOGIC; M02_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_rready : out STD_LOGIC; M02_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M02_AXI_rvalid : in STD_LOGIC; M02_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_wready : in STD_LOGIC; M02_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M02_AXI_wvalid : out STD_LOGIC; M03_ACLK : in STD_LOGIC; M03_ARESETN : in STD_LOGIC; M03_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M03_AXI_arready : in STD_LOGIC; M03_AXI_arvalid : out STD_LOGIC; M03_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M03_AXI_awready : in STD_LOGIC; M03_AXI_awvalid : out STD_LOGIC; M03_AXI_bready : out STD_LOGIC; M03_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M03_AXI_bvalid : in STD_LOGIC; M03_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_rready : out STD_LOGIC; M03_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M03_AXI_rvalid : in STD_LOGIC; M03_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_wready : in STD_LOGIC; M03_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M03_AXI_wvalid : out STD_LOGIC; M04_ACLK : in STD_LOGIC; M04_ARESETN : in STD_LOGIC; M04_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M04_AXI_arready : in STD_LOGIC; M04_AXI_arvalid : out STD_LOGIC; M04_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M04_AXI_awready : in STD_LOGIC; M04_AXI_awvalid : out STD_LOGIC; M04_AXI_bready : out STD_LOGIC; M04_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M04_AXI_bvalid : in STD_LOGIC; M04_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M04_AXI_rready : out STD_LOGIC; M04_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M04_AXI_rvalid : in STD_LOGIC; M04_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M04_AXI_wready : in STD_LOGIC; M04_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M04_AXI_wvalid : out STD_LOGIC; M05_ACLK : in STD_LOGIC; M05_ARESETN : in STD_LOGIC; M05_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M05_AXI_arready : in STD_LOGIC; M05_AXI_arvalid : out STD_LOGIC; M05_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M05_AXI_awready : in STD_LOGIC; M05_AXI_awvalid : out STD_LOGIC; M05_AXI_bready : out STD_LOGIC; M05_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M05_AXI_bvalid : in STD_LOGIC; M05_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M05_AXI_rready : out STD_LOGIC; M05_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M05_AXI_rvalid : in STD_LOGIC; M05_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M05_AXI_wready : in STD_LOGIC; M05_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M05_AXI_wvalid : out STD_LOGIC; M06_ACLK : in STD_LOGIC; M06_ARESETN : in STD_LOGIC; M06_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M06_AXI_arready : in STD_LOGIC; M06_AXI_arvalid : out STD_LOGIC; M06_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M06_AXI_awready : in STD_LOGIC; M06_AXI_awvalid : out STD_LOGIC; M06_AXI_bready : out STD_LOGIC; M06_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M06_AXI_bvalid : in STD_LOGIC; M06_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M06_AXI_rready : out STD_LOGIC; M06_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M06_AXI_rvalid : in STD_LOGIC; M06_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M06_AXI_wready : in STD_LOGIC; M06_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M06_AXI_wvalid : out STD_LOGIC; S00_ACLK : in STD_LOGIC; S00_ARESETN : in STD_LOGIC; S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC; S01_ACLK : in STD_LOGIC; S01_ARESETN : in STD_LOGIC; S01_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S01_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S01_AXI_arready : out STD_LOGIC; S01_AXI_arvalid : in STD_LOGIC; S01_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S01_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S01_AXI_awready : out STD_LOGIC; S01_AXI_awvalid : in STD_LOGIC; S01_AXI_bready : in STD_LOGIC; S01_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S01_AXI_bvalid : out STD_LOGIC; S01_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S01_AXI_rready : in STD_LOGIC; S01_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S01_AXI_rvalid : out STD_LOGIC; S01_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S01_AXI_wready : out STD_LOGIC; S01_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S01_AXI_wvalid : in STD_LOGIC; S02_ACLK : in STD_LOGIC; S02_ARESETN : in STD_LOGIC; S02_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S02_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S02_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); S02_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S02_AXI_arlock : in STD_LOGIC; S02_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S02_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_arready : out STD_LOGIC; S02_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S02_AXI_arvalid : in STD_LOGIC; S02_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S02_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S02_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); S02_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S02_AXI_awlock : in STD_LOGIC; S02_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S02_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_awready : out STD_LOGIC; S02_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S02_AXI_awvalid : in STD_LOGIC; S02_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); S02_AXI_bready : in STD_LOGIC; S02_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S02_AXI_bvalid : out STD_LOGIC; S02_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S02_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); S02_AXI_rlast : out STD_LOGIC; S02_AXI_rready : in STD_LOGIC; S02_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S02_AXI_rvalid : out STD_LOGIC; S02_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S02_AXI_wlast : in STD_LOGIC; S02_AXI_wready : out STD_LOGIC; S02_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_wvalid : in STD_LOGIC ); end DemoInterconnect_axi_interconnect_0_0; architecture STRUCTURE of DemoInterconnect_axi_interconnect_0_0 is component DemoInterconnect_xbar_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 95 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 95 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 95 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 95 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 223 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 20 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 223 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 27 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 13 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 223 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 20 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 223 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 13 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 6 downto 0 ) ); end component DemoInterconnect_xbar_0; signal interconnect_ACLK_net : STD_LOGIC; signal interconnect_ARESETN_net : STD_LOGIC; signal interconnect_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s00_couplers_ARREADY : STD_LOGIC; signal interconnect_to_s00_couplers_ARVALID : STD_LOGIC; signal interconnect_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s00_couplers_AWREADY : STD_LOGIC; signal interconnect_to_s00_couplers_AWVALID : STD_LOGIC; signal interconnect_to_s00_couplers_BREADY : STD_LOGIC; signal interconnect_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s00_couplers_BVALID : STD_LOGIC; signal interconnect_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s00_couplers_RREADY : STD_LOGIC; signal interconnect_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s00_couplers_RVALID : STD_LOGIC; signal interconnect_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s00_couplers_WREADY : STD_LOGIC; signal interconnect_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s00_couplers_WVALID : STD_LOGIC; signal interconnect_to_s01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s01_couplers_ARREADY : STD_LOGIC; signal interconnect_to_s01_couplers_ARVALID : STD_LOGIC; signal interconnect_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s01_couplers_AWREADY : STD_LOGIC; signal interconnect_to_s01_couplers_AWVALID : STD_LOGIC; signal interconnect_to_s01_couplers_BREADY : STD_LOGIC; signal interconnect_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s01_couplers_BVALID : STD_LOGIC; signal interconnect_to_s01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s01_couplers_RREADY : STD_LOGIC; signal interconnect_to_s01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s01_couplers_RVALID : STD_LOGIC; signal interconnect_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s01_couplers_WREADY : STD_LOGIC; signal interconnect_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s01_couplers_WVALID : STD_LOGIC; signal interconnect_to_s02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s02_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s02_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 ); signal interconnect_to_s02_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal interconnect_to_s02_couplers_ARLOCK : STD_LOGIC; signal interconnect_to_s02_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s02_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_ARREADY : STD_LOGIC; signal interconnect_to_s02_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s02_couplers_ARVALID : STD_LOGIC; signal interconnect_to_s02_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s02_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s02_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 ); signal interconnect_to_s02_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal interconnect_to_s02_couplers_AWLOCK : STD_LOGIC; signal interconnect_to_s02_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s02_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_AWREADY : STD_LOGIC; signal interconnect_to_s02_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s02_couplers_AWVALID : STD_LOGIC; signal interconnect_to_s02_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 ); signal interconnect_to_s02_couplers_BREADY : STD_LOGIC; signal interconnect_to_s02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s02_couplers_BVALID : STD_LOGIC; signal interconnect_to_s02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s02_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 ); signal interconnect_to_s02_couplers_RLAST : STD_LOGIC; signal interconnect_to_s02_couplers_RREADY : STD_LOGIC; signal interconnect_to_s02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s02_couplers_RVALID : STD_LOGIC; signal interconnect_to_s02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s02_couplers_WLAST : STD_LOGIC; signal interconnect_to_s02_couplers_WREADY : STD_LOGIC; signal interconnect_to_s02_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_WVALID : STD_LOGIC; signal m00_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_interconnect_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m00_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m00_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m00_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_interconnect_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m00_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m00_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m00_couplers_to_interconnect_BREADY : STD_LOGIC; signal m00_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_interconnect_BVALID : STD_LOGIC; signal m00_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_interconnect_RREADY : STD_LOGIC; signal m00_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_interconnect_RVALID : STD_LOGIC; signal m00_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_interconnect_WREADY : STD_LOGIC; signal m00_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m00_couplers_to_interconnect_WVALID : STD_LOGIC; signal m01_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_interconnect_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m01_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m01_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m01_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_interconnect_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m01_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m01_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m01_couplers_to_interconnect_BREADY : STD_LOGIC; signal m01_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_interconnect_BVALID : STD_LOGIC; signal m01_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_interconnect_RREADY : STD_LOGIC; signal m01_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_interconnect_RVALID : STD_LOGIC; signal m01_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_interconnect_WREADY : STD_LOGIC; signal m01_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m01_couplers_to_interconnect_WVALID : STD_LOGIC; signal m02_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_interconnect_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m02_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m02_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m02_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_interconnect_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m02_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m02_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m02_couplers_to_interconnect_BREADY : STD_LOGIC; signal m02_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_interconnect_BVALID : STD_LOGIC; signal m02_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_interconnect_RREADY : STD_LOGIC; signal m02_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_interconnect_RVALID : STD_LOGIC; signal m02_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_interconnect_WREADY : STD_LOGIC; signal m02_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m02_couplers_to_interconnect_WVALID : STD_LOGIC; signal m03_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_interconnect_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m03_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m03_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_interconnect_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m03_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m03_couplers_to_interconnect_BREADY : STD_LOGIC; signal m03_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_interconnect_BVALID : STD_LOGIC; signal m03_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_interconnect_RREADY : STD_LOGIC; signal m03_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_interconnect_RVALID : STD_LOGIC; signal m03_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_interconnect_WREADY : STD_LOGIC; signal m03_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m03_couplers_to_interconnect_WVALID : STD_LOGIC; signal m04_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m04_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m04_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m04_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m04_couplers_to_interconnect_BREADY : STD_LOGIC; signal m04_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m04_couplers_to_interconnect_BVALID : STD_LOGIC; signal m04_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_interconnect_RREADY : STD_LOGIC; signal m04_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m04_couplers_to_interconnect_RVALID : STD_LOGIC; signal m04_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_interconnect_WREADY : STD_LOGIC; signal m04_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m04_couplers_to_interconnect_WVALID : STD_LOGIC; signal m05_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m05_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m05_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m05_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m05_couplers_to_interconnect_BREADY : STD_LOGIC; signal m05_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m05_couplers_to_interconnect_BVALID : STD_LOGIC; signal m05_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_interconnect_RREADY : STD_LOGIC; signal m05_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m05_couplers_to_interconnect_RVALID : STD_LOGIC; signal m05_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_interconnect_WREADY : STD_LOGIC; signal m05_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m05_couplers_to_interconnect_WVALID : STD_LOGIC; signal m06_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m06_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m06_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m06_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m06_couplers_to_interconnect_BREADY : STD_LOGIC; signal m06_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m06_couplers_to_interconnect_BVALID : STD_LOGIC; signal m06_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_interconnect_RREADY : STD_LOGIC; signal m06_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m06_couplers_to_interconnect_RVALID : STD_LOGIC; signal m06_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_interconnect_WREADY : STD_LOGIC; signal m06_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m06_couplers_to_interconnect_WVALID : STD_LOGIC; signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_ARVALID : STD_LOGIC; signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_AWVALID : STD_LOGIC; signal s00_couplers_to_xbar_BREADY : STD_LOGIC; signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_RREADY : STD_LOGIC; signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_xbar_WVALID : STD_LOGIC; signal s01_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s01_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_ARVALID : STD_LOGIC; signal s01_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s01_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_AWVALID : STD_LOGIC; signal s01_couplers_to_xbar_BREADY : STD_LOGIC; signal s01_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 3 downto 2 ); signal s01_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 63 downto 32 ); signal s01_couplers_to_xbar_RREADY : STD_LOGIC; signal s01_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 3 downto 2 ); signal s01_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s01_couplers_to_xbar_WVALID : STD_LOGIC; signal s02_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_ARVALID : STD_LOGIC; signal s02_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_AWVALID : STD_LOGIC; signal s02_couplers_to_xbar_BREADY : STD_LOGIC; signal s02_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 5 downto 4 ); signal s02_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 95 downto 64 ); signal s02_couplers_to_xbar_RREADY : STD_LOGIC; signal s02_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 5 downto 4 ); signal s02_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_xbar_WVALID : STD_LOGIC; signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal xbar_to_m00_couplers_ARREADY : STD_LOGIC; signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal xbar_to_m00_couplers_AWREADY : STD_LOGIC; signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m00_couplers_BVALID : STD_LOGIC; signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m00_couplers_RVALID : STD_LOGIC; signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_WREADY : STD_LOGIC; signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_ARPROT : STD_LOGIC_VECTOR ( 5 downto 3 ); signal xbar_to_m01_couplers_ARREADY : STD_LOGIC; signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_AWPROT : STD_LOGIC_VECTOR ( 5 downto 3 ); signal xbar_to_m01_couplers_AWREADY : STD_LOGIC; signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m01_couplers_BVALID : STD_LOGIC; signal xbar_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m01_couplers_RVALID : STD_LOGIC; signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_WREADY : STD_LOGIC; signal xbar_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 7 downto 4 ); signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_ARPROT : STD_LOGIC_VECTOR ( 8 downto 6 ); signal xbar_to_m02_couplers_ARREADY : STD_LOGIC; signal xbar_to_m02_couplers_ARVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_AWPROT : STD_LOGIC_VECTOR ( 8 downto 6 ); signal xbar_to_m02_couplers_AWREADY : STD_LOGIC; signal xbar_to_m02_couplers_AWVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_BREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m02_couplers_BVALID : STD_LOGIC; signal xbar_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m02_couplers_RREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m02_couplers_RVALID : STD_LOGIC; signal xbar_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_WREADY : STD_LOGIC; signal xbar_to_m02_couplers_WSTRB : STD_LOGIC_VECTOR ( 11 downto 8 ); signal xbar_to_m02_couplers_WVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 127 downto 96 ); signal xbar_to_m03_couplers_ARPROT : STD_LOGIC_VECTOR ( 11 downto 9 ); signal xbar_to_m03_couplers_ARREADY : STD_LOGIC; signal xbar_to_m03_couplers_ARVALID : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 127 downto 96 ); signal xbar_to_m03_couplers_AWPROT : STD_LOGIC_VECTOR ( 11 downto 9 ); signal xbar_to_m03_couplers_AWREADY : STD_LOGIC; signal xbar_to_m03_couplers_AWVALID : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_BREADY : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m03_couplers_BVALID : STD_LOGIC; signal xbar_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m03_couplers_RREADY : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m03_couplers_RVALID : STD_LOGIC; signal xbar_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 127 downto 96 ); signal xbar_to_m03_couplers_WREADY : STD_LOGIC; signal xbar_to_m03_couplers_WSTRB : STD_LOGIC_VECTOR ( 15 downto 12 ); signal xbar_to_m03_couplers_WVALID : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m04_couplers_ARADDR : STD_LOGIC_VECTOR ( 159 downto 128 ); signal xbar_to_m04_couplers_ARREADY : STD_LOGIC; signal xbar_to_m04_couplers_ARVALID : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m04_couplers_AWADDR : STD_LOGIC_VECTOR ( 159 downto 128 ); signal xbar_to_m04_couplers_AWREADY : STD_LOGIC; signal xbar_to_m04_couplers_AWVALID : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m04_couplers_BREADY : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m04_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m04_couplers_BVALID : STD_LOGIC; signal xbar_to_m04_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m04_couplers_RREADY : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m04_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m04_couplers_RVALID : STD_LOGIC; signal xbar_to_m04_couplers_WDATA : STD_LOGIC_VECTOR ( 159 downto 128 ); signal xbar_to_m04_couplers_WREADY : STD_LOGIC; signal xbar_to_m04_couplers_WSTRB : STD_LOGIC_VECTOR ( 19 downto 16 ); signal xbar_to_m04_couplers_WVALID : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m05_couplers_ARADDR : STD_LOGIC_VECTOR ( 191 downto 160 ); signal xbar_to_m05_couplers_ARREADY : STD_LOGIC; signal xbar_to_m05_couplers_ARVALID : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m05_couplers_AWADDR : STD_LOGIC_VECTOR ( 191 downto 160 ); signal xbar_to_m05_couplers_AWREADY : STD_LOGIC; signal xbar_to_m05_couplers_AWVALID : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m05_couplers_BREADY : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m05_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m05_couplers_BVALID : STD_LOGIC; signal xbar_to_m05_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m05_couplers_RREADY : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m05_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m05_couplers_RVALID : STD_LOGIC; signal xbar_to_m05_couplers_WDATA : STD_LOGIC_VECTOR ( 191 downto 160 ); signal xbar_to_m05_couplers_WREADY : STD_LOGIC; signal xbar_to_m05_couplers_WSTRB : STD_LOGIC_VECTOR ( 23 downto 20 ); signal xbar_to_m05_couplers_WVALID : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m06_couplers_ARADDR : STD_LOGIC_VECTOR ( 223 downto 192 ); signal xbar_to_m06_couplers_ARREADY : STD_LOGIC; signal xbar_to_m06_couplers_ARVALID : STD_LOGIC_VECTOR ( 6 to 6 ); signal xbar_to_m06_couplers_AWADDR : STD_LOGIC_VECTOR ( 223 downto 192 ); signal xbar_to_m06_couplers_AWREADY : STD_LOGIC; signal xbar_to_m06_couplers_AWVALID : STD_LOGIC_VECTOR ( 6 to 6 ); signal xbar_to_m06_couplers_BREADY : STD_LOGIC_VECTOR ( 6 to 6 ); signal xbar_to_m06_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m06_couplers_BVALID : STD_LOGIC; signal xbar_to_m06_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m06_couplers_RREADY : STD_LOGIC_VECTOR ( 6 to 6 ); signal xbar_to_m06_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m06_couplers_RVALID : STD_LOGIC; signal xbar_to_m06_couplers_WDATA : STD_LOGIC_VECTOR ( 223 downto 192 ); signal xbar_to_m06_couplers_WREADY : STD_LOGIC; signal xbar_to_m06_couplers_WSTRB : STD_LOGIC_VECTOR ( 27 downto 24 ); signal xbar_to_m06_couplers_WVALID : STD_LOGIC_VECTOR ( 6 to 6 ); signal NLW_xbar_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 20 downto 12 ); signal NLW_xbar_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 20 downto 12 ); begin M00_AXI_araddr(31 downto 0) <= m00_couplers_to_interconnect_ARADDR(31 downto 0); M00_AXI_arprot(2 downto 0) <= m00_couplers_to_interconnect_ARPROT(2 downto 0); M00_AXI_arvalid <= m00_couplers_to_interconnect_ARVALID; M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_interconnect_AWADDR(31 downto 0); M00_AXI_awprot(2 downto 0) <= m00_couplers_to_interconnect_AWPROT(2 downto 0); M00_AXI_awvalid <= m00_couplers_to_interconnect_AWVALID; M00_AXI_bready <= m00_couplers_to_interconnect_BREADY; M00_AXI_rready <= m00_couplers_to_interconnect_RREADY; M00_AXI_wdata(31 downto 0) <= m00_couplers_to_interconnect_WDATA(31 downto 0); M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_interconnect_WSTRB(3 downto 0); M00_AXI_wvalid <= m00_couplers_to_interconnect_WVALID; M01_AXI_araddr(31 downto 0) <= m01_couplers_to_interconnect_ARADDR(31 downto 0); M01_AXI_arprot(2 downto 0) <= m01_couplers_to_interconnect_ARPROT(2 downto 0); M01_AXI_arvalid <= m01_couplers_to_interconnect_ARVALID; M01_AXI_awaddr(31 downto 0) <= m01_couplers_to_interconnect_AWADDR(31 downto 0); M01_AXI_awprot(2 downto 0) <= m01_couplers_to_interconnect_AWPROT(2 downto 0); M01_AXI_awvalid <= m01_couplers_to_interconnect_AWVALID; M01_AXI_bready <= m01_couplers_to_interconnect_BREADY; M01_AXI_rready <= m01_couplers_to_interconnect_RREADY; M01_AXI_wdata(31 downto 0) <= m01_couplers_to_interconnect_WDATA(31 downto 0); M01_AXI_wstrb(3 downto 0) <= m01_couplers_to_interconnect_WSTRB(3 downto 0); M01_AXI_wvalid <= m01_couplers_to_interconnect_WVALID; M02_AXI_araddr(31 downto 0) <= m02_couplers_to_interconnect_ARADDR(31 downto 0); M02_AXI_arprot(2 downto 0) <= m02_couplers_to_interconnect_ARPROT(2 downto 0); M02_AXI_arvalid <= m02_couplers_to_interconnect_ARVALID; M02_AXI_awaddr(31 downto 0) <= m02_couplers_to_interconnect_AWADDR(31 downto 0); M02_AXI_awprot(2 downto 0) <= m02_couplers_to_interconnect_AWPROT(2 downto 0); M02_AXI_awvalid <= m02_couplers_to_interconnect_AWVALID; M02_AXI_bready <= m02_couplers_to_interconnect_BREADY; M02_AXI_rready <= m02_couplers_to_interconnect_RREADY; M02_AXI_wdata(31 downto 0) <= m02_couplers_to_interconnect_WDATA(31 downto 0); M02_AXI_wstrb(3 downto 0) <= m02_couplers_to_interconnect_WSTRB(3 downto 0); M02_AXI_wvalid <= m02_couplers_to_interconnect_WVALID; M03_AXI_araddr(31 downto 0) <= m03_couplers_to_interconnect_ARADDR(31 downto 0); M03_AXI_arprot(2 downto 0) <= m03_couplers_to_interconnect_ARPROT(2 downto 0); M03_AXI_arvalid <= m03_couplers_to_interconnect_ARVALID; M03_AXI_awaddr(31 downto 0) <= m03_couplers_to_interconnect_AWADDR(31 downto 0); M03_AXI_awprot(2 downto 0) <= m03_couplers_to_interconnect_AWPROT(2 downto 0); M03_AXI_awvalid <= m03_couplers_to_interconnect_AWVALID; M03_AXI_bready <= m03_couplers_to_interconnect_BREADY; M03_AXI_rready <= m03_couplers_to_interconnect_RREADY; M03_AXI_wdata(31 downto 0) <= m03_couplers_to_interconnect_WDATA(31 downto 0); M03_AXI_wstrb(3 downto 0) <= m03_couplers_to_interconnect_WSTRB(3 downto 0); M03_AXI_wvalid <= m03_couplers_to_interconnect_WVALID; M04_AXI_araddr(31 downto 0) <= m04_couplers_to_interconnect_ARADDR(31 downto 0); M04_AXI_arvalid <= m04_couplers_to_interconnect_ARVALID; M04_AXI_awaddr(31 downto 0) <= m04_couplers_to_interconnect_AWADDR(31 downto 0); M04_AXI_awvalid <= m04_couplers_to_interconnect_AWVALID; M04_AXI_bready <= m04_couplers_to_interconnect_BREADY; M04_AXI_rready <= m04_couplers_to_interconnect_RREADY; M04_AXI_wdata(31 downto 0) <= m04_couplers_to_interconnect_WDATA(31 downto 0); M04_AXI_wstrb(3 downto 0) <= m04_couplers_to_interconnect_WSTRB(3 downto 0); M04_AXI_wvalid <= m04_couplers_to_interconnect_WVALID; M05_AXI_araddr(31 downto 0) <= m05_couplers_to_interconnect_ARADDR(31 downto 0); M05_AXI_arvalid <= m05_couplers_to_interconnect_ARVALID; M05_AXI_awaddr(31 downto 0) <= m05_couplers_to_interconnect_AWADDR(31 downto 0); M05_AXI_awvalid <= m05_couplers_to_interconnect_AWVALID; M05_AXI_bready <= m05_couplers_to_interconnect_BREADY; M05_AXI_rready <= m05_couplers_to_interconnect_RREADY; M05_AXI_wdata(31 downto 0) <= m05_couplers_to_interconnect_WDATA(31 downto 0); M05_AXI_wstrb(3 downto 0) <= m05_couplers_to_interconnect_WSTRB(3 downto 0); M05_AXI_wvalid <= m05_couplers_to_interconnect_WVALID; M06_AXI_araddr(31 downto 0) <= m06_couplers_to_interconnect_ARADDR(31 downto 0); M06_AXI_arvalid <= m06_couplers_to_interconnect_ARVALID; M06_AXI_awaddr(31 downto 0) <= m06_couplers_to_interconnect_AWADDR(31 downto 0); M06_AXI_awvalid <= m06_couplers_to_interconnect_AWVALID; M06_AXI_bready <= m06_couplers_to_interconnect_BREADY; M06_AXI_rready <= m06_couplers_to_interconnect_RREADY; M06_AXI_wdata(31 downto 0) <= m06_couplers_to_interconnect_WDATA(31 downto 0); M06_AXI_wstrb(3 downto 0) <= m06_couplers_to_interconnect_WSTRB(3 downto 0); M06_AXI_wvalid <= m06_couplers_to_interconnect_WVALID; S00_AXI_arready <= interconnect_to_s00_couplers_ARREADY; S00_AXI_awready <= interconnect_to_s00_couplers_AWREADY; S00_AXI_bresp(1 downto 0) <= interconnect_to_s00_couplers_BRESP(1 downto 0); S00_AXI_bvalid <= interconnect_to_s00_couplers_BVALID; S00_AXI_rdata(31 downto 0) <= interconnect_to_s00_couplers_RDATA(31 downto 0); S00_AXI_rresp(1 downto 0) <= interconnect_to_s00_couplers_RRESP(1 downto 0); S00_AXI_rvalid <= interconnect_to_s00_couplers_RVALID; S00_AXI_wready <= interconnect_to_s00_couplers_WREADY; S01_AXI_arready <= interconnect_to_s01_couplers_ARREADY; S01_AXI_awready <= interconnect_to_s01_couplers_AWREADY; S01_AXI_bresp(1 downto 0) <= interconnect_to_s01_couplers_BRESP(1 downto 0); S01_AXI_bvalid <= interconnect_to_s01_couplers_BVALID; S01_AXI_rdata(31 downto 0) <= interconnect_to_s01_couplers_RDATA(31 downto 0); S01_AXI_rresp(1 downto 0) <= interconnect_to_s01_couplers_RRESP(1 downto 0); S01_AXI_rvalid <= interconnect_to_s01_couplers_RVALID; S01_AXI_wready <= interconnect_to_s01_couplers_WREADY; S02_AXI_arready <= interconnect_to_s02_couplers_ARREADY; S02_AXI_awready <= interconnect_to_s02_couplers_AWREADY; S02_AXI_bid(0) <= interconnect_to_s02_couplers_BID(0); S02_AXI_bresp(1 downto 0) <= interconnect_to_s02_couplers_BRESP(1 downto 0); S02_AXI_bvalid <= interconnect_to_s02_couplers_BVALID; S02_AXI_rdata(31 downto 0) <= interconnect_to_s02_couplers_RDATA(31 downto 0); S02_AXI_rid(0) <= interconnect_to_s02_couplers_RID(0); S02_AXI_rlast <= interconnect_to_s02_couplers_RLAST; S02_AXI_rresp(1 downto 0) <= interconnect_to_s02_couplers_RRESP(1 downto 0); S02_AXI_rvalid <= interconnect_to_s02_couplers_RVALID; S02_AXI_wready <= interconnect_to_s02_couplers_WREADY; interconnect_ACLK_net <= ACLK; interconnect_ARESETN_net <= ARESETN; interconnect_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0); interconnect_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0); interconnect_to_s00_couplers_ARVALID <= S00_AXI_arvalid; interconnect_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0); interconnect_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0); interconnect_to_s00_couplers_AWVALID <= S00_AXI_awvalid; interconnect_to_s00_couplers_BREADY <= S00_AXI_bready; interconnect_to_s00_couplers_RREADY <= S00_AXI_rready; interconnect_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0); interconnect_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0); interconnect_to_s00_couplers_WVALID <= S00_AXI_wvalid; interconnect_to_s01_couplers_ARADDR(31 downto 0) <= S01_AXI_araddr(31 downto 0); interconnect_to_s01_couplers_ARPROT(2 downto 0) <= S01_AXI_arprot(2 downto 0); interconnect_to_s01_couplers_ARVALID <= S01_AXI_arvalid; interconnect_to_s01_couplers_AWADDR(31 downto 0) <= S01_AXI_awaddr(31 downto 0); interconnect_to_s01_couplers_AWPROT(2 downto 0) <= S01_AXI_awprot(2 downto 0); interconnect_to_s01_couplers_AWVALID <= S01_AXI_awvalid; interconnect_to_s01_couplers_BREADY <= S01_AXI_bready; interconnect_to_s01_couplers_RREADY <= S01_AXI_rready; interconnect_to_s01_couplers_WDATA(31 downto 0) <= S01_AXI_wdata(31 downto 0); interconnect_to_s01_couplers_WSTRB(3 downto 0) <= S01_AXI_wstrb(3 downto 0); interconnect_to_s01_couplers_WVALID <= S01_AXI_wvalid; interconnect_to_s02_couplers_ARADDR(31 downto 0) <= S02_AXI_araddr(31 downto 0); interconnect_to_s02_couplers_ARBURST(1 downto 0) <= S02_AXI_arburst(1 downto 0); interconnect_to_s02_couplers_ARCACHE(3 downto 0) <= S02_AXI_arcache(3 downto 0); interconnect_to_s02_couplers_ARID(0) <= S02_AXI_arid(0); interconnect_to_s02_couplers_ARLEN(7 downto 0) <= S02_AXI_arlen(7 downto 0); interconnect_to_s02_couplers_ARLOCK <= S02_AXI_arlock; interconnect_to_s02_couplers_ARPROT(2 downto 0) <= S02_AXI_arprot(2 downto 0); interconnect_to_s02_couplers_ARQOS(3 downto 0) <= S02_AXI_arqos(3 downto 0); interconnect_to_s02_couplers_ARSIZE(2 downto 0) <= S02_AXI_arsize(2 downto 0); interconnect_to_s02_couplers_ARVALID <= S02_AXI_arvalid; interconnect_to_s02_couplers_AWADDR(31 downto 0) <= S02_AXI_awaddr(31 downto 0); interconnect_to_s02_couplers_AWBURST(1 downto 0) <= S02_AXI_awburst(1 downto 0); interconnect_to_s02_couplers_AWCACHE(3 downto 0) <= S02_AXI_awcache(3 downto 0); interconnect_to_s02_couplers_AWID(0) <= S02_AXI_awid(0); interconnect_to_s02_couplers_AWLEN(7 downto 0) <= S02_AXI_awlen(7 downto 0); interconnect_to_s02_couplers_AWLOCK <= S02_AXI_awlock; interconnect_to_s02_couplers_AWPROT(2 downto 0) <= S02_AXI_awprot(2 downto 0); interconnect_to_s02_couplers_AWQOS(3 downto 0) <= S02_AXI_awqos(3 downto 0); interconnect_to_s02_couplers_AWSIZE(2 downto 0) <= S02_AXI_awsize(2 downto 0); interconnect_to_s02_couplers_AWVALID <= S02_AXI_awvalid; interconnect_to_s02_couplers_BREADY <= S02_AXI_bready; interconnect_to_s02_couplers_RREADY <= S02_AXI_rready; interconnect_to_s02_couplers_WDATA(31 downto 0) <= S02_AXI_wdata(31 downto 0); interconnect_to_s02_couplers_WLAST <= S02_AXI_wlast; interconnect_to_s02_couplers_WSTRB(3 downto 0) <= S02_AXI_wstrb(3 downto 0); interconnect_to_s02_couplers_WVALID <= S02_AXI_wvalid; m00_couplers_to_interconnect_ARREADY <= M00_AXI_arready; m00_couplers_to_interconnect_AWREADY <= M00_AXI_awready; m00_couplers_to_interconnect_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); m00_couplers_to_interconnect_BVALID <= M00_AXI_bvalid; m00_couplers_to_interconnect_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); m00_couplers_to_interconnect_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); m00_couplers_to_interconnect_RVALID <= M00_AXI_rvalid; m00_couplers_to_interconnect_WREADY <= M00_AXI_wready; m01_couplers_to_interconnect_ARREADY <= M01_AXI_arready; m01_couplers_to_interconnect_AWREADY <= M01_AXI_awready; m01_couplers_to_interconnect_BRESP(1 downto 0) <= M01_AXI_bresp(1 downto 0); m01_couplers_to_interconnect_BVALID <= M01_AXI_bvalid; m01_couplers_to_interconnect_RDATA(31 downto 0) <= M01_AXI_rdata(31 downto 0); m01_couplers_to_interconnect_RRESP(1 downto 0) <= M01_AXI_rresp(1 downto 0); m01_couplers_to_interconnect_RVALID <= M01_AXI_rvalid; m01_couplers_to_interconnect_WREADY <= M01_AXI_wready; m02_couplers_to_interconnect_ARREADY <= M02_AXI_arready; m02_couplers_to_interconnect_AWREADY <= M02_AXI_awready; m02_couplers_to_interconnect_BRESP(1 downto 0) <= M02_AXI_bresp(1 downto 0); m02_couplers_to_interconnect_BVALID <= M02_AXI_bvalid; m02_couplers_to_interconnect_RDATA(31 downto 0) <= M02_AXI_rdata(31 downto 0); m02_couplers_to_interconnect_RRESP(1 downto 0) <= M02_AXI_rresp(1 downto 0); m02_couplers_to_interconnect_RVALID <= M02_AXI_rvalid; m02_couplers_to_interconnect_WREADY <= M02_AXI_wready; m03_couplers_to_interconnect_ARREADY <= M03_AXI_arready; m03_couplers_to_interconnect_AWREADY <= M03_AXI_awready; m03_couplers_to_interconnect_BRESP(1 downto 0) <= M03_AXI_bresp(1 downto 0); m03_couplers_to_interconnect_BVALID <= M03_AXI_bvalid; m03_couplers_to_interconnect_RDATA(31 downto 0) <= M03_AXI_rdata(31 downto 0); m03_couplers_to_interconnect_RRESP(1 downto 0) <= M03_AXI_rresp(1 downto 0); m03_couplers_to_interconnect_RVALID <= M03_AXI_rvalid; m03_couplers_to_interconnect_WREADY <= M03_AXI_wready; m04_couplers_to_interconnect_ARREADY <= M04_AXI_arready; m04_couplers_to_interconnect_AWREADY <= M04_AXI_awready; m04_couplers_to_interconnect_BRESP(1 downto 0) <= M04_AXI_bresp(1 downto 0); m04_couplers_to_interconnect_BVALID <= M04_AXI_bvalid; m04_couplers_to_interconnect_RDATA(31 downto 0) <= M04_AXI_rdata(31 downto 0); m04_couplers_to_interconnect_RRESP(1 downto 0) <= M04_AXI_rresp(1 downto 0); m04_couplers_to_interconnect_RVALID <= M04_AXI_rvalid; m04_couplers_to_interconnect_WREADY <= M04_AXI_wready; m05_couplers_to_interconnect_ARREADY <= M05_AXI_arready; m05_couplers_to_interconnect_AWREADY <= M05_AXI_awready; m05_couplers_to_interconnect_BRESP(1 downto 0) <= M05_AXI_bresp(1 downto 0); m05_couplers_to_interconnect_BVALID <= M05_AXI_bvalid; m05_couplers_to_interconnect_RDATA(31 downto 0) <= M05_AXI_rdata(31 downto 0); m05_couplers_to_interconnect_RRESP(1 downto 0) <= M05_AXI_rresp(1 downto 0); m05_couplers_to_interconnect_RVALID <= M05_AXI_rvalid; m05_couplers_to_interconnect_WREADY <= M05_AXI_wready; m06_couplers_to_interconnect_ARREADY <= M06_AXI_arready; m06_couplers_to_interconnect_AWREADY <= M06_AXI_awready; m06_couplers_to_interconnect_BRESP(1 downto 0) <= M06_AXI_bresp(1 downto 0); m06_couplers_to_interconnect_BVALID <= M06_AXI_bvalid; m06_couplers_to_interconnect_RDATA(31 downto 0) <= M06_AXI_rdata(31 downto 0); m06_couplers_to_interconnect_RRESP(1 downto 0) <= M06_AXI_rresp(1 downto 0); m06_couplers_to_interconnect_RVALID <= M06_AXI_rvalid; m06_couplers_to_interconnect_WREADY <= M06_AXI_wready; m00_couplers: entity work.m00_couplers_imp_4EB6IN port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m00_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => m00_couplers_to_interconnect_ARPROT(2 downto 0), M_AXI_arready => m00_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m00_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m00_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => m00_couplers_to_interconnect_AWPROT(2 downto 0), M_AXI_awready => m00_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m00_couplers_to_interconnect_AWVALID, M_AXI_bready => m00_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m00_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m00_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m00_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m00_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m00_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m00_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m00_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m00_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m00_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m00_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), S_AXI_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0), S_AXI_arready => xbar_to_m00_couplers_ARREADY, S_AXI_arvalid => xbar_to_m00_couplers_ARVALID(0), S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), S_AXI_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0), S_AXI_awready => xbar_to_m00_couplers_AWREADY, S_AXI_awvalid => xbar_to_m00_couplers_AWVALID(0), S_AXI_bready => xbar_to_m00_couplers_BREADY(0), S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m00_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m00_couplers_RREADY(0), S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m00_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), S_AXI_wready => xbar_to_m00_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => xbar_to_m00_couplers_WVALID(0) ); m01_couplers: entity work.m01_couplers_imp_1SL2GIW port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m01_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => m01_couplers_to_interconnect_ARPROT(2 downto 0), M_AXI_arready => m01_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m01_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m01_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => m01_couplers_to_interconnect_AWPROT(2 downto 0), M_AXI_awready => m01_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m01_couplers_to_interconnect_AWVALID, M_AXI_bready => m01_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m01_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m01_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m01_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m01_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m01_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m01_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m01_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m01_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m01_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m01_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m01_couplers_ARADDR(63 downto 32), S_AXI_arprot(2 downto 0) => xbar_to_m01_couplers_ARPROT(5 downto 3), S_AXI_arready => xbar_to_m01_couplers_ARREADY, S_AXI_arvalid => xbar_to_m01_couplers_ARVALID(1), S_AXI_awaddr(31 downto 0) => xbar_to_m01_couplers_AWADDR(63 downto 32), S_AXI_awprot(2 downto 0) => xbar_to_m01_couplers_AWPROT(5 downto 3), S_AXI_awready => xbar_to_m01_couplers_AWREADY, S_AXI_awvalid => xbar_to_m01_couplers_AWVALID(1), S_AXI_bready => xbar_to_m01_couplers_BREADY(1), S_AXI_bresp(1 downto 0) => xbar_to_m01_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m01_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m01_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m01_couplers_RREADY(1), S_AXI_rresp(1 downto 0) => xbar_to_m01_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m01_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m01_couplers_WDATA(63 downto 32), S_AXI_wready => xbar_to_m01_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m01_couplers_WSTRB(7 downto 4), S_AXI_wvalid => xbar_to_m01_couplers_WVALID(1) ); m02_couplers: entity work.m02_couplers_imp_7DG2C0 port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m02_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => m02_couplers_to_interconnect_ARPROT(2 downto 0), M_AXI_arready => m02_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m02_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m02_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => m02_couplers_to_interconnect_AWPROT(2 downto 0), M_AXI_awready => m02_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m02_couplers_to_interconnect_AWVALID, M_AXI_bready => m02_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m02_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m02_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m02_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m02_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m02_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m02_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m02_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m02_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m02_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m02_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m02_couplers_ARADDR(95 downto 64), S_AXI_arprot(2 downto 0) => xbar_to_m02_couplers_ARPROT(8 downto 6), S_AXI_arready => xbar_to_m02_couplers_ARREADY, S_AXI_arvalid => xbar_to_m02_couplers_ARVALID(2), S_AXI_awaddr(31 downto 0) => xbar_to_m02_couplers_AWADDR(95 downto 64), S_AXI_awprot(2 downto 0) => xbar_to_m02_couplers_AWPROT(8 downto 6), S_AXI_awready => xbar_to_m02_couplers_AWREADY, S_AXI_awvalid => xbar_to_m02_couplers_AWVALID(2), S_AXI_bready => xbar_to_m02_couplers_BREADY(2), S_AXI_bresp(1 downto 0) => xbar_to_m02_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m02_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m02_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m02_couplers_RREADY(2), S_AXI_rresp(1 downto 0) => xbar_to_m02_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m02_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m02_couplers_WDATA(95 downto 64), S_AXI_wready => xbar_to_m02_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m02_couplers_WSTRB(11 downto 8), S_AXI_wvalid => xbar_to_m02_couplers_WVALID(2) ); m03_couplers: entity work.m03_couplers_imp_1YCPS1Z port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m03_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => m03_couplers_to_interconnect_ARPROT(2 downto 0), M_AXI_arready => m03_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m03_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m03_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => m03_couplers_to_interconnect_AWPROT(2 downto 0), M_AXI_awready => m03_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m03_couplers_to_interconnect_AWVALID, M_AXI_bready => m03_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m03_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m03_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m03_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m03_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m03_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m03_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m03_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m03_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m03_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m03_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m03_couplers_ARADDR(127 downto 96), S_AXI_arprot(2 downto 0) => xbar_to_m03_couplers_ARPROT(11 downto 9), S_AXI_arready => xbar_to_m03_couplers_ARREADY, S_AXI_arvalid => xbar_to_m03_couplers_ARVALID(3), S_AXI_awaddr(31 downto 0) => xbar_to_m03_couplers_AWADDR(127 downto 96), S_AXI_awprot(2 downto 0) => xbar_to_m03_couplers_AWPROT(11 downto 9), S_AXI_awready => xbar_to_m03_couplers_AWREADY, S_AXI_awvalid => xbar_to_m03_couplers_AWVALID(3), S_AXI_bready => xbar_to_m03_couplers_BREADY(3), S_AXI_bresp(1 downto 0) => xbar_to_m03_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m03_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m03_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m03_couplers_RREADY(3), S_AXI_rresp(1 downto 0) => xbar_to_m03_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m03_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m03_couplers_WDATA(127 downto 96), S_AXI_wready => xbar_to_m03_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m03_couplers_WSTRB(15 downto 12), S_AXI_wvalid => xbar_to_m03_couplers_WVALID(3) ); m04_couplers: entity work.m04_couplers_imp_ACM7VL port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m04_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arready => m04_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m04_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m04_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awready => m04_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m04_couplers_to_interconnect_AWVALID, M_AXI_bready => m04_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m04_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m04_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m04_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m04_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m04_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m04_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m04_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m04_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m04_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m04_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m04_couplers_ARADDR(159 downto 128), S_AXI_arready => xbar_to_m04_couplers_ARREADY, S_AXI_arvalid => xbar_to_m04_couplers_ARVALID(4), S_AXI_awaddr(31 downto 0) => xbar_to_m04_couplers_AWADDR(159 downto 128), S_AXI_awready => xbar_to_m04_couplers_AWREADY, S_AXI_awvalid => xbar_to_m04_couplers_AWVALID(4), S_AXI_bready => xbar_to_m04_couplers_BREADY(4), S_AXI_bresp(1 downto 0) => xbar_to_m04_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m04_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m04_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m04_couplers_RREADY(4), S_AXI_rresp(1 downto 0) => xbar_to_m04_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m04_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m04_couplers_WDATA(159 downto 128), S_AXI_wready => xbar_to_m04_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m04_couplers_WSTRB(19 downto 16), S_AXI_wvalid => xbar_to_m04_couplers_WVALID(4) ); m05_couplers: entity work.m05_couplers_imp_1HWY5FA port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m05_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arready => m05_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m05_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m05_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awready => m05_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m05_couplers_to_interconnect_AWVALID, M_AXI_bready => m05_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m05_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m05_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m05_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m05_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m05_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m05_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m05_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m05_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m05_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m05_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m05_couplers_ARADDR(191 downto 160), S_AXI_arready => xbar_to_m05_couplers_ARREADY, S_AXI_arvalid => xbar_to_m05_couplers_ARVALID(5), S_AXI_awaddr(31 downto 0) => xbar_to_m05_couplers_AWADDR(191 downto 160), S_AXI_awready => xbar_to_m05_couplers_AWREADY, S_AXI_awvalid => xbar_to_m05_couplers_AWVALID(5), S_AXI_bready => xbar_to_m05_couplers_BREADY(5), S_AXI_bresp(1 downto 0) => xbar_to_m05_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m05_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m05_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m05_couplers_RREADY(5), S_AXI_rresp(1 downto 0) => xbar_to_m05_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m05_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m05_couplers_WDATA(191 downto 160), S_AXI_wready => xbar_to_m05_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m05_couplers_WSTRB(23 downto 20), S_AXI_wvalid => xbar_to_m05_couplers_WVALID(5) ); m06_couplers: entity work.m06_couplers_imp_DBR4EM port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m06_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arready => m06_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m06_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m06_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awready => m06_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m06_couplers_to_interconnect_AWVALID, M_AXI_bready => m06_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m06_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m06_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m06_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m06_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m06_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m06_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m06_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m06_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m06_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m06_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m06_couplers_ARADDR(223 downto 192), S_AXI_arready => xbar_to_m06_couplers_ARREADY, S_AXI_arvalid => xbar_to_m06_couplers_ARVALID(6), S_AXI_awaddr(31 downto 0) => xbar_to_m06_couplers_AWADDR(223 downto 192), S_AXI_awready => xbar_to_m06_couplers_AWREADY, S_AXI_awvalid => xbar_to_m06_couplers_AWVALID(6), S_AXI_bready => xbar_to_m06_couplers_BREADY(6), S_AXI_bresp(1 downto 0) => xbar_to_m06_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m06_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m06_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m06_couplers_RREADY(6), S_AXI_rresp(1 downto 0) => xbar_to_m06_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m06_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m06_couplers_WDATA(223 downto 192), S_AXI_wready => xbar_to_m06_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m06_couplers_WSTRB(27 downto 24), S_AXI_wvalid => xbar_to_m06_couplers_WVALID(6) ); s00_couplers: entity work.s00_couplers_imp_7XIH8P port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), M_AXI_arready => s00_couplers_to_xbar_ARREADY(0), M_AXI_arvalid => s00_couplers_to_xbar_ARVALID, M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), M_AXI_awready => s00_couplers_to_xbar_AWREADY(0), M_AXI_awvalid => s00_couplers_to_xbar_AWVALID, M_AXI_bready => s00_couplers_to_xbar_BREADY, M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), M_AXI_bvalid => s00_couplers_to_xbar_BVALID(0), M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), M_AXI_rready => s00_couplers_to_xbar_RREADY, M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), M_AXI_rvalid => s00_couplers_to_xbar_RVALID(0), M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), M_AXI_wready => s00_couplers_to_xbar_WREADY(0), M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), M_AXI_wvalid => s00_couplers_to_xbar_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => interconnect_to_s00_couplers_ARADDR(31 downto 0), S_AXI_arprot(2 downto 0) => interconnect_to_s00_couplers_ARPROT(2 downto 0), S_AXI_arready => interconnect_to_s00_couplers_ARREADY, S_AXI_arvalid => interconnect_to_s00_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => interconnect_to_s00_couplers_AWADDR(31 downto 0), S_AXI_awprot(2 downto 0) => interconnect_to_s00_couplers_AWPROT(2 downto 0), S_AXI_awready => interconnect_to_s00_couplers_AWREADY, S_AXI_awvalid => interconnect_to_s00_couplers_AWVALID, S_AXI_bready => interconnect_to_s00_couplers_BREADY, S_AXI_bresp(1 downto 0) => interconnect_to_s00_couplers_BRESP(1 downto 0), S_AXI_bvalid => interconnect_to_s00_couplers_BVALID, S_AXI_rdata(31 downto 0) => interconnect_to_s00_couplers_RDATA(31 downto 0), S_AXI_rready => interconnect_to_s00_couplers_RREADY, S_AXI_rresp(1 downto 0) => interconnect_to_s00_couplers_RRESP(1 downto 0), S_AXI_rvalid => interconnect_to_s00_couplers_RVALID, S_AXI_wdata(31 downto 0) => interconnect_to_s00_couplers_WDATA(31 downto 0), S_AXI_wready => interconnect_to_s00_couplers_WREADY, S_AXI_wstrb(3 downto 0) => interconnect_to_s00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => interconnect_to_s00_couplers_WVALID ); s01_couplers: entity work.s01_couplers_imp_1XSI6OU port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => s01_couplers_to_xbar_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => s01_couplers_to_xbar_ARPROT(2 downto 0), M_AXI_arready => s01_couplers_to_xbar_ARREADY(1), M_AXI_arvalid => s01_couplers_to_xbar_ARVALID, M_AXI_awaddr(31 downto 0) => s01_couplers_to_xbar_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => s01_couplers_to_xbar_AWPROT(2 downto 0), M_AXI_awready => s01_couplers_to_xbar_AWREADY(1), M_AXI_awvalid => s01_couplers_to_xbar_AWVALID, M_AXI_bready => s01_couplers_to_xbar_BREADY, M_AXI_bresp(1 downto 0) => s01_couplers_to_xbar_BRESP(3 downto 2), M_AXI_bvalid => s01_couplers_to_xbar_BVALID(1), M_AXI_rdata(31 downto 0) => s01_couplers_to_xbar_RDATA(63 downto 32), M_AXI_rready => s01_couplers_to_xbar_RREADY, M_AXI_rresp(1 downto 0) => s01_couplers_to_xbar_RRESP(3 downto 2), M_AXI_rvalid => s01_couplers_to_xbar_RVALID(1), M_AXI_wdata(31 downto 0) => s01_couplers_to_xbar_WDATA(31 downto 0), M_AXI_wready => s01_couplers_to_xbar_WREADY(1), M_AXI_wstrb(3 downto 0) => s01_couplers_to_xbar_WSTRB(3 downto 0), M_AXI_wvalid => s01_couplers_to_xbar_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => interconnect_to_s01_couplers_ARADDR(31 downto 0), S_AXI_arprot(2 downto 0) => interconnect_to_s01_couplers_ARPROT(2 downto 0), S_AXI_arready => interconnect_to_s01_couplers_ARREADY, S_AXI_arvalid => interconnect_to_s01_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => interconnect_to_s01_couplers_AWADDR(31 downto 0), S_AXI_awprot(2 downto 0) => interconnect_to_s01_couplers_AWPROT(2 downto 0), S_AXI_awready => interconnect_to_s01_couplers_AWREADY, S_AXI_awvalid => interconnect_to_s01_couplers_AWVALID, S_AXI_bready => interconnect_to_s01_couplers_BREADY, S_AXI_bresp(1 downto 0) => interconnect_to_s01_couplers_BRESP(1 downto 0), S_AXI_bvalid => interconnect_to_s01_couplers_BVALID, S_AXI_rdata(31 downto 0) => interconnect_to_s01_couplers_RDATA(31 downto 0), S_AXI_rready => interconnect_to_s01_couplers_RREADY, S_AXI_rresp(1 downto 0) => interconnect_to_s01_couplers_RRESP(1 downto 0), S_AXI_rvalid => interconnect_to_s01_couplers_RVALID, S_AXI_wdata(31 downto 0) => interconnect_to_s01_couplers_WDATA(31 downto 0), S_AXI_wready => interconnect_to_s01_couplers_WREADY, S_AXI_wstrb(3 downto 0) => interconnect_to_s01_couplers_WSTRB(3 downto 0), S_AXI_wvalid => interconnect_to_s01_couplers_WVALID ); s02_couplers: entity work.s02_couplers_imp_2QLUHY port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => s02_couplers_to_xbar_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => s02_couplers_to_xbar_ARPROT(2 downto 0), M_AXI_arready => s02_couplers_to_xbar_ARREADY(2), M_AXI_arvalid => s02_couplers_to_xbar_ARVALID, M_AXI_awaddr(31 downto 0) => s02_couplers_to_xbar_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => s02_couplers_to_xbar_AWPROT(2 downto 0), M_AXI_awready => s02_couplers_to_xbar_AWREADY(2), M_AXI_awvalid => s02_couplers_to_xbar_AWVALID, M_AXI_bready => s02_couplers_to_xbar_BREADY, M_AXI_bresp(1 downto 0) => s02_couplers_to_xbar_BRESP(5 downto 4), M_AXI_bvalid => s02_couplers_to_xbar_BVALID(2), M_AXI_rdata(31 downto 0) => s02_couplers_to_xbar_RDATA(95 downto 64), M_AXI_rready => s02_couplers_to_xbar_RREADY, M_AXI_rresp(1 downto 0) => s02_couplers_to_xbar_RRESP(5 downto 4), M_AXI_rvalid => s02_couplers_to_xbar_RVALID(2), M_AXI_wdata(31 downto 0) => s02_couplers_to_xbar_WDATA(31 downto 0), M_AXI_wready => s02_couplers_to_xbar_WREADY(2), M_AXI_wstrb(3 downto 0) => s02_couplers_to_xbar_WSTRB(3 downto 0), M_AXI_wvalid => s02_couplers_to_xbar_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => interconnect_to_s02_couplers_ARADDR(31 downto 0), S_AXI_arburst(1 downto 0) => interconnect_to_s02_couplers_ARBURST(1 downto 0), S_AXI_arcache(3 downto 0) => interconnect_to_s02_couplers_ARCACHE(3 downto 0), S_AXI_arid(0) => interconnect_to_s02_couplers_ARID(0), S_AXI_arlen(7 downto 0) => interconnect_to_s02_couplers_ARLEN(7 downto 0), S_AXI_arlock => interconnect_to_s02_couplers_ARLOCK, S_AXI_arprot(2 downto 0) => interconnect_to_s02_couplers_ARPROT(2 downto 0), S_AXI_arqos(3 downto 0) => interconnect_to_s02_couplers_ARQOS(3 downto 0), S_AXI_arready => interconnect_to_s02_couplers_ARREADY, S_AXI_arsize(2 downto 0) => interconnect_to_s02_couplers_ARSIZE(2 downto 0), S_AXI_arvalid => interconnect_to_s02_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => interconnect_to_s02_couplers_AWADDR(31 downto 0), S_AXI_awburst(1 downto 0) => interconnect_to_s02_couplers_AWBURST(1 downto 0), S_AXI_awcache(3 downto 0) => interconnect_to_s02_couplers_AWCACHE(3 downto 0), S_AXI_awid(0) => interconnect_to_s02_couplers_AWID(0), S_AXI_awlen(7 downto 0) => interconnect_to_s02_couplers_AWLEN(7 downto 0), S_AXI_awlock => interconnect_to_s02_couplers_AWLOCK, S_AXI_awprot(2 downto 0) => interconnect_to_s02_couplers_AWPROT(2 downto 0), S_AXI_awqos(3 downto 0) => interconnect_to_s02_couplers_AWQOS(3 downto 0), S_AXI_awready => interconnect_to_s02_couplers_AWREADY, S_AXI_awsize(2 downto 0) => interconnect_to_s02_couplers_AWSIZE(2 downto 0), S_AXI_awvalid => interconnect_to_s02_couplers_AWVALID, S_AXI_bid(0) => interconnect_to_s02_couplers_BID(0), S_AXI_bready => interconnect_to_s02_couplers_BREADY, S_AXI_bresp(1 downto 0) => interconnect_to_s02_couplers_BRESP(1 downto 0), S_AXI_bvalid => interconnect_to_s02_couplers_BVALID, S_AXI_rdata(31 downto 0) => interconnect_to_s02_couplers_RDATA(31 downto 0), S_AXI_rid(0) => interconnect_to_s02_couplers_RID(0), S_AXI_rlast => interconnect_to_s02_couplers_RLAST, S_AXI_rready => interconnect_to_s02_couplers_RREADY, S_AXI_rresp(1 downto 0) => interconnect_to_s02_couplers_RRESP(1 downto 0), S_AXI_rvalid => interconnect_to_s02_couplers_RVALID, S_AXI_wdata(31 downto 0) => interconnect_to_s02_couplers_WDATA(31 downto 0), S_AXI_wlast => interconnect_to_s02_couplers_WLAST, S_AXI_wready => interconnect_to_s02_couplers_WREADY, S_AXI_wstrb(3 downto 0) => interconnect_to_s02_couplers_WSTRB(3 downto 0), S_AXI_wvalid => interconnect_to_s02_couplers_WVALID ); xbar: component DemoInterconnect_xbar_0 port map ( aclk => interconnect_ACLK_net, aresetn => interconnect_ARESETN_net, m_axi_araddr(223 downto 192) => xbar_to_m06_couplers_ARADDR(223 downto 192), m_axi_araddr(191 downto 160) => xbar_to_m05_couplers_ARADDR(191 downto 160), m_axi_araddr(159 downto 128) => xbar_to_m04_couplers_ARADDR(159 downto 128), m_axi_araddr(127 downto 96) => xbar_to_m03_couplers_ARADDR(127 downto 96), m_axi_araddr(95 downto 64) => xbar_to_m02_couplers_ARADDR(95 downto 64), m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32), m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), m_axi_arprot(20 downto 12) => NLW_xbar_m_axi_arprot_UNCONNECTED(20 downto 12), m_axi_arprot(11 downto 9) => xbar_to_m03_couplers_ARPROT(11 downto 9), m_axi_arprot(8 downto 6) => xbar_to_m02_couplers_ARPROT(8 downto 6), m_axi_arprot(5 downto 3) => xbar_to_m01_couplers_ARPROT(5 downto 3), m_axi_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0), m_axi_arready(6) => xbar_to_m06_couplers_ARREADY, m_axi_arready(5) => xbar_to_m05_couplers_ARREADY, m_axi_arready(4) => xbar_to_m04_couplers_ARREADY, m_axi_arready(3) => xbar_to_m03_couplers_ARREADY, m_axi_arready(2) => xbar_to_m02_couplers_ARREADY, m_axi_arready(1) => xbar_to_m01_couplers_ARREADY, m_axi_arready(0) => xbar_to_m00_couplers_ARREADY, m_axi_arvalid(6) => xbar_to_m06_couplers_ARVALID(6), m_axi_arvalid(5) => xbar_to_m05_couplers_ARVALID(5), m_axi_arvalid(4) => xbar_to_m04_couplers_ARVALID(4), m_axi_arvalid(3) => xbar_to_m03_couplers_ARVALID(3), m_axi_arvalid(2) => xbar_to_m02_couplers_ARVALID(2), m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1), m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0), m_axi_awaddr(223 downto 192) => xbar_to_m06_couplers_AWADDR(223 downto 192), m_axi_awaddr(191 downto 160) => xbar_to_m05_couplers_AWADDR(191 downto 160), m_axi_awaddr(159 downto 128) => xbar_to_m04_couplers_AWADDR(159 downto 128), m_axi_awaddr(127 downto 96) => xbar_to_m03_couplers_AWADDR(127 downto 96), m_axi_awaddr(95 downto 64) => xbar_to_m02_couplers_AWADDR(95 downto 64), m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32), m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), m_axi_awprot(20 downto 12) => NLW_xbar_m_axi_awprot_UNCONNECTED(20 downto 12), m_axi_awprot(11 downto 9) => xbar_to_m03_couplers_AWPROT(11 downto 9), m_axi_awprot(8 downto 6) => xbar_to_m02_couplers_AWPROT(8 downto 6), m_axi_awprot(5 downto 3) => xbar_to_m01_couplers_AWPROT(5 downto 3), m_axi_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0), m_axi_awready(6) => xbar_to_m06_couplers_AWREADY, m_axi_awready(5) => xbar_to_m05_couplers_AWREADY, m_axi_awready(4) => xbar_to_m04_couplers_AWREADY, m_axi_awready(3) => xbar_to_m03_couplers_AWREADY, m_axi_awready(2) => xbar_to_m02_couplers_AWREADY, m_axi_awready(1) => xbar_to_m01_couplers_AWREADY, m_axi_awready(0) => xbar_to_m00_couplers_AWREADY, m_axi_awvalid(6) => xbar_to_m06_couplers_AWVALID(6), m_axi_awvalid(5) => xbar_to_m05_couplers_AWVALID(5), m_axi_awvalid(4) => xbar_to_m04_couplers_AWVALID(4), m_axi_awvalid(3) => xbar_to_m03_couplers_AWVALID(3), m_axi_awvalid(2) => xbar_to_m02_couplers_AWVALID(2), m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1), m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0), m_axi_bready(6) => xbar_to_m06_couplers_BREADY(6), m_axi_bready(5) => xbar_to_m05_couplers_BREADY(5), m_axi_bready(4) => xbar_to_m04_couplers_BREADY(4), m_axi_bready(3) => xbar_to_m03_couplers_BREADY(3), m_axi_bready(2) => xbar_to_m02_couplers_BREADY(2), m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1), m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0), m_axi_bresp(13 downto 12) => xbar_to_m06_couplers_BRESP(1 downto 0), m_axi_bresp(11 downto 10) => xbar_to_m05_couplers_BRESP(1 downto 0), m_axi_bresp(9 downto 8) => xbar_to_m04_couplers_BRESP(1 downto 0), m_axi_bresp(7 downto 6) => xbar_to_m03_couplers_BRESP(1 downto 0), m_axi_bresp(5 downto 4) => xbar_to_m02_couplers_BRESP(1 downto 0), m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0), m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), m_axi_bvalid(6) => xbar_to_m06_couplers_BVALID, m_axi_bvalid(5) => xbar_to_m05_couplers_BVALID, m_axi_bvalid(4) => xbar_to_m04_couplers_BVALID, m_axi_bvalid(3) => xbar_to_m03_couplers_BVALID, m_axi_bvalid(2) => xbar_to_m02_couplers_BVALID, m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID, m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID, m_axi_rdata(223 downto 192) => xbar_to_m06_couplers_RDATA(31 downto 0), m_axi_rdata(191 downto 160) => xbar_to_m05_couplers_RDATA(31 downto 0), m_axi_rdata(159 downto 128) => xbar_to_m04_couplers_RDATA(31 downto 0), m_axi_rdata(127 downto 96) => xbar_to_m03_couplers_RDATA(31 downto 0), m_axi_rdata(95 downto 64) => xbar_to_m02_couplers_RDATA(31 downto 0), m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0), m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), m_axi_rready(6) => xbar_to_m06_couplers_RREADY(6), m_axi_rready(5) => xbar_to_m05_couplers_RREADY(5), m_axi_rready(4) => xbar_to_m04_couplers_RREADY(4), m_axi_rready(3) => xbar_to_m03_couplers_RREADY(3), m_axi_rready(2) => xbar_to_m02_couplers_RREADY(2), m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1), m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0), m_axi_rresp(13 downto 12) => xbar_to_m06_couplers_RRESP(1 downto 0), m_axi_rresp(11 downto 10) => xbar_to_m05_couplers_RRESP(1 downto 0), m_axi_rresp(9 downto 8) => xbar_to_m04_couplers_RRESP(1 downto 0), m_axi_rresp(7 downto 6) => xbar_to_m03_couplers_RRESP(1 downto 0), m_axi_rresp(5 downto 4) => xbar_to_m02_couplers_RRESP(1 downto 0), m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0), m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), m_axi_rvalid(6) => xbar_to_m06_couplers_RVALID, m_axi_rvalid(5) => xbar_to_m05_couplers_RVALID, m_axi_rvalid(4) => xbar_to_m04_couplers_RVALID, m_axi_rvalid(3) => xbar_to_m03_couplers_RVALID, m_axi_rvalid(2) => xbar_to_m02_couplers_RVALID, m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID, m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID, m_axi_wdata(223 downto 192) => xbar_to_m06_couplers_WDATA(223 downto 192), m_axi_wdata(191 downto 160) => xbar_to_m05_couplers_WDATA(191 downto 160), m_axi_wdata(159 downto 128) => xbar_to_m04_couplers_WDATA(159 downto 128), m_axi_wdata(127 downto 96) => xbar_to_m03_couplers_WDATA(127 downto 96), m_axi_wdata(95 downto 64) => xbar_to_m02_couplers_WDATA(95 downto 64), m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32), m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), m_axi_wready(6) => xbar_to_m06_couplers_WREADY, m_axi_wready(5) => xbar_to_m05_couplers_WREADY, m_axi_wready(4) => xbar_to_m04_couplers_WREADY, m_axi_wready(3) => xbar_to_m03_couplers_WREADY, m_axi_wready(2) => xbar_to_m02_couplers_WREADY, m_axi_wready(1) => xbar_to_m01_couplers_WREADY, m_axi_wready(0) => xbar_to_m00_couplers_WREADY, m_axi_wstrb(27 downto 24) => xbar_to_m06_couplers_WSTRB(27 downto 24), m_axi_wstrb(23 downto 20) => xbar_to_m05_couplers_WSTRB(23 downto 20), m_axi_wstrb(19 downto 16) => xbar_to_m04_couplers_WSTRB(19 downto 16), m_axi_wstrb(15 downto 12) => xbar_to_m03_couplers_WSTRB(15 downto 12), m_axi_wstrb(11 downto 8) => xbar_to_m02_couplers_WSTRB(11 downto 8), m_axi_wstrb(7 downto 4) => xbar_to_m01_couplers_WSTRB(7 downto 4), m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), m_axi_wvalid(6) => xbar_to_m06_couplers_WVALID(6), m_axi_wvalid(5) => xbar_to_m05_couplers_WVALID(5), m_axi_wvalid(4) => xbar_to_m04_couplers_WVALID(4), m_axi_wvalid(3) => xbar_to_m03_couplers_WVALID(3), m_axi_wvalid(2) => xbar_to_m02_couplers_WVALID(2), m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1), m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0), s_axi_araddr(95 downto 64) => s02_couplers_to_xbar_ARADDR(31 downto 0), s_axi_araddr(63 downto 32) => s01_couplers_to_xbar_ARADDR(31 downto 0), s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), s_axi_arprot(8 downto 6) => s02_couplers_to_xbar_ARPROT(2 downto 0), s_axi_arprot(5 downto 3) => s01_couplers_to_xbar_ARPROT(2 downto 0), s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), s_axi_arready(2) => s02_couplers_to_xbar_ARREADY(2), s_axi_arready(1) => s01_couplers_to_xbar_ARREADY(1), s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0), s_axi_arvalid(2) => s02_couplers_to_xbar_ARVALID, s_axi_arvalid(1) => s01_couplers_to_xbar_ARVALID, s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID, s_axi_awaddr(95 downto 64) => s02_couplers_to_xbar_AWADDR(31 downto 0), s_axi_awaddr(63 downto 32) => s01_couplers_to_xbar_AWADDR(31 downto 0), s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), s_axi_awprot(8 downto 6) => s02_couplers_to_xbar_AWPROT(2 downto 0), s_axi_awprot(5 downto 3) => s01_couplers_to_xbar_AWPROT(2 downto 0), s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), s_axi_awready(2) => s02_couplers_to_xbar_AWREADY(2), s_axi_awready(1) => s01_couplers_to_xbar_AWREADY(1), s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0), s_axi_awvalid(2) => s02_couplers_to_xbar_AWVALID, s_axi_awvalid(1) => s01_couplers_to_xbar_AWVALID, s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID, s_axi_bready(2) => s02_couplers_to_xbar_BREADY, s_axi_bready(1) => s01_couplers_to_xbar_BREADY, s_axi_bready(0) => s00_couplers_to_xbar_BREADY, s_axi_bresp(5 downto 4) => s02_couplers_to_xbar_BRESP(5 downto 4), s_axi_bresp(3 downto 2) => s01_couplers_to_xbar_BRESP(3 downto 2), s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), s_axi_bvalid(2) => s02_couplers_to_xbar_BVALID(2), s_axi_bvalid(1) => s01_couplers_to_xbar_BVALID(1), s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0), s_axi_rdata(95 downto 64) => s02_couplers_to_xbar_RDATA(95 downto 64), s_axi_rdata(63 downto 32) => s01_couplers_to_xbar_RDATA(63 downto 32), s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), s_axi_rready(2) => s02_couplers_to_xbar_RREADY, s_axi_rready(1) => s01_couplers_to_xbar_RREADY, s_axi_rready(0) => s00_couplers_to_xbar_RREADY, s_axi_rresp(5 downto 4) => s02_couplers_to_xbar_RRESP(5 downto 4), s_axi_rresp(3 downto 2) => s01_couplers_to_xbar_RRESP(3 downto 2), s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), s_axi_rvalid(2) => s02_couplers_to_xbar_RVALID(2), s_axi_rvalid(1) => s01_couplers_to_xbar_RVALID(1), s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0), s_axi_wdata(95 downto 64) => s02_couplers_to_xbar_WDATA(31 downto 0), s_axi_wdata(63 downto 32) => s01_couplers_to_xbar_WDATA(31 downto 0), s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), s_axi_wready(2) => s02_couplers_to_xbar_WREADY(2), s_axi_wready(1) => s01_couplers_to_xbar_WREADY(1), s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0), s_axi_wstrb(11 downto 8) => s02_couplers_to_xbar_WSTRB(3 downto 0), s_axi_wstrb(7 downto 4) => s01_couplers_to_xbar_WSTRB(3 downto 0), s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), s_axi_wvalid(2) => s02_couplers_to_xbar_WVALID, s_axi_wvalid(1) => s01_couplers_to_xbar_WVALID, s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity DemoInterconnect is port ( LED0_pll_aclk : out STD_LOGIC; LED1_pll_uart : out STD_LOGIC; LED2_pll_lock : out STD_LOGIC; UART_RX_0 : in STD_LOGIC; UART_RX_1 : in STD_LOGIC; UART_TX_0 : out STD_LOGIC; UART_TX_1 : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_miso_1 : in STD_LOGIC; m_spi_miso_2 : in STD_LOGIC; m_spi_miso_3 : in STD_LOGIC; m_spi_mosi : out STD_LOGIC; m_spi_mosi_1 : out STD_LOGIC; m_spi_mosi_2 : out STD_LOGIC; m_spi_mosi_3 : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; m_spi_sclk_1 : out STD_LOGIC; m_spi_sclk_2 : out STD_LOGIC; m_spi_sclk_3 : out STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_ss_1 : out STD_LOGIC; m_spi_ss_2 : out STD_LOGIC; m_spi_ss_3 : out STD_LOGIC; sys_clk : in STD_LOGIC; sys_reset : in STD_LOGIC ); attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of DemoInterconnect : entity is "DemoInterconnect,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=DemoInterconnect,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=25,numReposBlks=14,numNonXlnxBlks=8,numHierBlks=11,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=6,da_board_cnt=5,synth_mode=OOC_per_IP}"; attribute HW_HANDOFF : string; attribute HW_HANDOFF of DemoInterconnect : entity is "DemoInterconnect.hwdef"; end DemoInterconnect; architecture STRUCTURE of DemoInterconnect is component DemoInterconnect_clk_wiz_0_0 is port ( reset : in STD_LOGIC; clk_in1 : in STD_LOGIC; aclk : out STD_LOGIC; uart : out STD_LOGIC; locked : out STD_LOGIC ); end component DemoInterconnect_clk_wiz_0_0; component DemoInterconnect_jtag_axi_0_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC; m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC; m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); end component DemoInterconnect_jtag_axi_0_0; component DemoInterconnect_mutex_0_0 is port ( S0_AXI_ACLK : in STD_LOGIC; S0_AXI_ARESETN : in STD_LOGIC; S0_AXI_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S0_AXI_AWVALID : in STD_LOGIC; S0_AXI_AWREADY : out STD_LOGIC; S0_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S0_AXI_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S0_AXI_WVALID : in STD_LOGIC; S0_AXI_WREADY : out STD_LOGIC; S0_AXI_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S0_AXI_BVALID : out STD_LOGIC; S0_AXI_BREADY : in STD_LOGIC; S0_AXI_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S0_AXI_ARVALID : in STD_LOGIC; S0_AXI_ARREADY : out STD_LOGIC; S0_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S0_AXI_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S0_AXI_RVALID : out STD_LOGIC; S0_AXI_RREADY : in STD_LOGIC; S1_AXI_ACLK : in STD_LOGIC; S1_AXI_ARESETN : in STD_LOGIC; S1_AXI_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S1_AXI_AWVALID : in STD_LOGIC; S1_AXI_AWREADY : out STD_LOGIC; S1_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S1_AXI_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S1_AXI_WVALID : in STD_LOGIC; S1_AXI_WREADY : out STD_LOGIC; S1_AXI_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S1_AXI_BVALID : out STD_LOGIC; S1_AXI_BREADY : in STD_LOGIC; S1_AXI_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S1_AXI_ARVALID : in STD_LOGIC; S1_AXI_ARREADY : out STD_LOGIC; S1_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S1_AXI_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S1_AXI_RVALID : out STD_LOGIC; S1_AXI_RREADY : in STD_LOGIC; S2_AXI_ACLK : in STD_LOGIC; S2_AXI_ARESETN : in STD_LOGIC; S2_AXI_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S2_AXI_AWVALID : in STD_LOGIC; S2_AXI_AWREADY : out STD_LOGIC; S2_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S2_AXI_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S2_AXI_WVALID : in STD_LOGIC; S2_AXI_WREADY : out STD_LOGIC; S2_AXI_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S2_AXI_BVALID : out STD_LOGIC; S2_AXI_BREADY : in STD_LOGIC; S2_AXI_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S2_AXI_ARVALID : in STD_LOGIC; S2_AXI_ARREADY : out STD_LOGIC; S2_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S2_AXI_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S2_AXI_RVALID : out STD_LOGIC; S2_AXI_RREADY : in STD_LOGIC ); end component DemoInterconnect_mutex_0_0; component DemoInterconnect_uart_transceiver_0_0 is port ( i_Clk : in STD_LOGIC; i_RX_Serial : in STD_LOGIC; o_RX_Done : out STD_LOGIC; o_RX_Byte : out STD_LOGIC_VECTOR ( 7 downto 0 ); i_TX_Load : in STD_LOGIC; i_TX_Byte : in STD_LOGIC_VECTOR ( 7 downto 0 ); o_TX_Active : out STD_LOGIC; o_TX_Serial : out STD_LOGIC; o_TX_Done : out STD_LOGIC ); end component DemoInterconnect_uart_transceiver_0_0; component DemoInterconnect_uart_transceiver_0_1 is port ( i_Clk : in STD_LOGIC; i_RX_Serial : in STD_LOGIC; o_RX_Done : out STD_LOGIC; o_RX_Byte : out STD_LOGIC_VECTOR ( 7 downto 0 ); i_TX_Load : in STD_LOGIC; i_TX_Byte : in STD_LOGIC_VECTOR ( 7 downto 0 ); o_TX_Active : out STD_LOGIC; o_TX_Serial : out STD_LOGIC; o_TX_Done : out STD_LOGIC ); end component DemoInterconnect_uart_transceiver_0_1; component DemoInterconnect_axi_spi_master_0_0 is port ( m_spi_mosi : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_axi_spi_master_0_0; component DemoInterconnect_axi_spi_master_0_1 is port ( m_spi_mosi : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_axi_spi_master_0_1; component DemoInterconnect_axi_spi_master_1_0 is port ( m_spi_mosi : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_axi_spi_master_1_0; component DemoInterconnect_axi_spi_master_1_1 is port ( m_spi_mosi : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_axi_spi_master_1_1; component DemoInterconnect_ila_0_0 is port ( clk : in STD_LOGIC; probe0 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe1 : in STD_LOGIC_VECTOR ( 7 downto 0 ); probe2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe3 : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); end component DemoInterconnect_ila_0_0; component DemoInterconnect_internoc_ni_axi_master_0_0 is port ( if00_data_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); if00_load_in : in STD_LOGIC; if00_data_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); if00_load_out : out STD_LOGIC; if00_send_done : in STD_LOGIC; if00_send_busy : in STD_LOGIC; m00_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m00_axi_awvalid : out STD_LOGIC; m00_axi_awready : in STD_LOGIC; m00_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m00_axi_wvalid : out STD_LOGIC; m00_axi_wready : in STD_LOGIC; m00_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m00_axi_bvalid : in STD_LOGIC; m00_axi_bready : out STD_LOGIC; m00_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m00_axi_arvalid : out STD_LOGIC; m00_axi_arready : in STD_LOGIC; m00_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m00_axi_rvalid : in STD_LOGIC; m00_axi_rready : out STD_LOGIC; m00_axi_aclk : in STD_LOGIC; m00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_internoc_ni_axi_master_0_0; component DemoInterconnect_internoc_ni_axi_master_1_0 is port ( if00_data_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); if00_load_in : in STD_LOGIC; if00_data_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); if00_load_out : out STD_LOGIC; if00_send_done : in STD_LOGIC; if00_send_busy : in STD_LOGIC; m00_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m00_axi_awvalid : out STD_LOGIC; m00_axi_awready : in STD_LOGIC; m00_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m00_axi_wvalid : out STD_LOGIC; m00_axi_wready : in STD_LOGIC; m00_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m00_axi_bvalid : in STD_LOGIC; m00_axi_bready : out STD_LOGIC; m00_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m00_axi_arvalid : out STD_LOGIC; m00_axi_arready : in STD_LOGIC; m00_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m00_axi_rvalid : in STD_LOGIC; m00_axi_rready : out STD_LOGIC; m00_axi_aclk : in STD_LOGIC; m00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_internoc_ni_axi_master_1_0; signal UART_RX_0_1 : STD_LOGIC; signal UART_RX_1_1 : STD_LOGIC; signal axi_interconnect_0_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M00_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M00_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M00_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M00_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M01_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M01_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M01_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M01_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M01_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M01_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M01_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M01_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M01_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M02_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M02_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M02_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M02_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M02_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M02_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M02_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M02_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M02_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M03_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M03_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M03_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M03_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M03_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M03_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M03_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M03_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M03_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M04_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M04_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M04_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M04_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M04_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M04_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M04_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M05_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M05_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M05_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M05_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M05_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M05_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M05_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M06_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M06_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M06_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M06_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M06_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M06_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M06_AXI_WVALID : STD_LOGIC; signal axi_spi_master_0_m_spi_mosi : STD_LOGIC; signal axi_spi_master_0_m_spi_sclk : STD_LOGIC; signal axi_spi_master_0_m_spi_ss : STD_LOGIC; signal axi_spi_master_1_m_spi_mosi : STD_LOGIC; signal axi_spi_master_1_m_spi_sclk : STD_LOGIC; signal axi_spi_master_1_m_spi_ss : STD_LOGIC; signal axi_spi_master_2_m_spi_mosi : STD_LOGIC; signal axi_spi_master_2_m_spi_sclk : STD_LOGIC; signal axi_spi_master_2_m_spi_ss : STD_LOGIC; signal axi_spi_master_3_m_spi_mosi : STD_LOGIC; signal axi_spi_master_3_m_spi_sclk : STD_LOGIC; signal axi_spi_master_3_m_spi_ss : STD_LOGIC; signal clk_wiz_0_clk_out1 : STD_LOGIC; signal clk_wiz_0_locked : STD_LOGIC; signal clk_wiz_0_uart : STD_LOGIC; signal interface_axi_master_0_if00_data_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal interface_axi_master_0_if00_load_out : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_ARREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_ARVALID : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_AWREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_AWVALID : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_BREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_BVALID : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_RREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_RVALID : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_WREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_WVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_ARREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_ARVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_AWREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_AWVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_BREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_BVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_RREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_RVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_WREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_WVALID : STD_LOGIC; signal internoc_ni_axi_master_1_if00_data_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal internoc_ni_axi_master_1_if00_load_out : STD_LOGIC; signal jtag_axi_0_M_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal jtag_axi_0_M_AXI_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal jtag_axi_0_M_AXI_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_ARID : STD_LOGIC_VECTOR ( 0 to 0 ); signal jtag_axi_0_M_AXI_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal jtag_axi_0_M_AXI_ARLOCK : STD_LOGIC; signal jtag_axi_0_M_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal jtag_axi_0_M_AXI_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_ARREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal jtag_axi_0_M_AXI_ARVALID : STD_LOGIC; signal jtag_axi_0_M_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal jtag_axi_0_M_AXI_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal jtag_axi_0_M_AXI_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_AWID : STD_LOGIC_VECTOR ( 0 to 0 ); signal jtag_axi_0_M_AXI_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal jtag_axi_0_M_AXI_AWLOCK : STD_LOGIC; signal jtag_axi_0_M_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal jtag_axi_0_M_AXI_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_AWREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal jtag_axi_0_M_AXI_AWVALID : STD_LOGIC; signal jtag_axi_0_M_AXI_BID : STD_LOGIC_VECTOR ( 0 to 0 ); signal jtag_axi_0_M_AXI_BREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal jtag_axi_0_M_AXI_BVALID : STD_LOGIC; signal jtag_axi_0_M_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal jtag_axi_0_M_AXI_RID : STD_LOGIC_VECTOR ( 0 to 0 ); signal jtag_axi_0_M_AXI_RLAST : STD_LOGIC; signal jtag_axi_0_M_AXI_RREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal jtag_axi_0_M_AXI_RVALID : STD_LOGIC; signal jtag_axi_0_M_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal jtag_axi_0_M_AXI_WLAST : STD_LOGIC; signal jtag_axi_0_M_AXI_WREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_WVALID : STD_LOGIC; signal \^m_spi_miso_1\ : STD_LOGIC; signal m_spi_miso_1_1 : STD_LOGIC; signal m_spi_miso_2_1 : STD_LOGIC; signal m_spi_miso_3_1 : STD_LOGIC; signal sys_clk_1 : STD_LOGIC; signal uart_transceiver_0_o_RX_Byte : STD_LOGIC_VECTOR ( 7 downto 0 ); signal uart_transceiver_0_o_RX_Done : STD_LOGIC; signal uart_transceiver_0_o_TX_Active : STD_LOGIC; signal uart_transceiver_0_o_TX_Done : STD_LOGIC; signal uart_transceiver_0_o_TX_Serial : STD_LOGIC; signal uart_transceiver_1_o_RX_Byte : STD_LOGIC_VECTOR ( 7 downto 0 ); signal uart_transceiver_1_o_RX_Done : STD_LOGIC; signal uart_transceiver_1_o_TX_Active : STD_LOGIC; signal uart_transceiver_1_o_TX_Done : STD_LOGIC; signal uart_transceiver_1_o_TX_Serial : STD_LOGIC; attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of UART_RX_0 : signal is "xilinx.com:signal:data:1.0 DATA.UART_RX_0 DATA"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of UART_RX_0 : signal is "XIL_INTERFACENAME DATA.UART_RX_0, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of UART_RX_1 : signal is "xilinx.com:signal:data:1.0 DATA.UART_RX_1 DATA"; attribute X_INTERFACE_PARAMETER of UART_RX_1 : signal is "XIL_INTERFACENAME DATA.UART_RX_1, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of UART_TX_1 : signal is "xilinx.com:signal:data:1.0 DATA.UART_TX_1 DATA"; attribute X_INTERFACE_PARAMETER of UART_TX_1 : signal is "XIL_INTERFACENAME DATA.UART_TX_1, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_miso : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MISO DATA"; attribute X_INTERFACE_PARAMETER of m_spi_miso : signal is "XIL_INTERFACENAME DATA.M_SPI_MISO, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_miso_1 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MISO_1 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_miso_1 : signal is "XIL_INTERFACENAME DATA.M_SPI_MISO_1, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_miso_2 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MISO_2 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_miso_2 : signal is "XIL_INTERFACENAME DATA.M_SPI_MISO_2, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_miso_3 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MISO_3 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_miso_3 : signal is "XIL_INTERFACENAME DATA.M_SPI_MISO_3, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_mosi : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MOSI DATA"; attribute X_INTERFACE_PARAMETER of m_spi_mosi : signal is "XIL_INTERFACENAME DATA.M_SPI_MOSI, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_mosi_1 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MOSI_1 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_mosi_1 : signal is "XIL_INTERFACENAME DATA.M_SPI_MOSI_1, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_mosi_2 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MOSI_2 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_mosi_2 : signal is "XIL_INTERFACENAME DATA.M_SPI_MOSI_2, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_mosi_3 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MOSI_3 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_mosi_3 : signal is "XIL_INTERFACENAME DATA.M_SPI_MOSI_3, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_sclk : signal is "xilinx.com:signal:clock:1.0 CLK.M_SPI_SCLK CLK"; attribute X_INTERFACE_PARAMETER of m_spi_sclk : signal is "XIL_INTERFACENAME CLK.M_SPI_SCLK, CLK_DOMAIN DemoInterconnect_axi_spi_master_0_0_m_spi_sclk, FREQ_HZ 100000000, PHASE 0.000"; attribute X_INTERFACE_INFO of m_spi_sclk_1 : signal is "xilinx.com:signal:clock:1.0 CLK.M_SPI_SCLK_1 CLK"; attribute X_INTERFACE_PARAMETER of m_spi_sclk_1 : signal is "XIL_INTERFACENAME CLK.M_SPI_SCLK_1, CLK_DOMAIN DemoInterconnect_axi_spi_master_0_1_m_spi_sclk, FREQ_HZ 100000000, PHASE 0.000"; attribute X_INTERFACE_INFO of m_spi_sclk_2 : signal is "xilinx.com:signal:clock:1.0 CLK.M_SPI_SCLK_2 CLK"; attribute X_INTERFACE_PARAMETER of m_spi_sclk_2 : signal is "XIL_INTERFACENAME CLK.M_SPI_SCLK_2, CLK_DOMAIN DemoInterconnect_axi_spi_master_1_0_m_spi_sclk, FREQ_HZ 100000000, PHASE 0.000"; attribute X_INTERFACE_INFO of m_spi_sclk_3 : signal is "xilinx.com:signal:clock:1.0 CLK.M_SPI_SCLK_3 CLK"; attribute X_INTERFACE_PARAMETER of m_spi_sclk_3 : signal is "XIL_INTERFACENAME CLK.M_SPI_SCLK_3, CLK_DOMAIN DemoInterconnect_axi_spi_master_1_1_m_spi_sclk, FREQ_HZ 100000000, PHASE 0.000"; attribute X_INTERFACE_INFO of m_spi_ss : signal is "xilinx.com:signal:clockenable:1.0 CE.M_SPI_SS CE"; attribute X_INTERFACE_PARAMETER of m_spi_ss : signal is "XIL_INTERFACENAME CE.M_SPI_SS, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of m_spi_ss_1 : signal is "xilinx.com:signal:clockenable:1.0 CE.M_SPI_SS_1 CE"; attribute X_INTERFACE_PARAMETER of m_spi_ss_1 : signal is "XIL_INTERFACENAME CE.M_SPI_SS_1, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of m_spi_ss_2 : signal is "xilinx.com:signal:clockenable:1.0 CE.M_SPI_SS_2 CE"; attribute X_INTERFACE_PARAMETER of m_spi_ss_2 : signal is "XIL_INTERFACENAME CE.M_SPI_SS_2, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of m_spi_ss_3 : signal is "xilinx.com:signal:clockenable:1.0 CE.M_SPI_SS_3 CE"; attribute X_INTERFACE_PARAMETER of m_spi_ss_3 : signal is "XIL_INTERFACENAME CE.M_SPI_SS_3, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of sys_clk : signal is "xilinx.com:signal:clock:1.0 CLK.SYS_CLK CLK"; attribute X_INTERFACE_PARAMETER of sys_clk : signal is "XIL_INTERFACENAME CLK.SYS_CLK, ASSOCIATED_RESET sys_reset, CLK_DOMAIN DemoInterconnect_sys_clk, FREQ_HZ 12000000, PHASE 0.000"; attribute X_INTERFACE_INFO of sys_reset : signal is "xilinx.com:signal:reset:1.0 RST.SYS_RESET RST"; attribute X_INTERFACE_PARAMETER of sys_reset : signal is "XIL_INTERFACENAME RST.SYS_RESET, POLARITY ACTIVE_HIGH"; begin LED0_pll_aclk <= clk_wiz_0_clk_out1; LED1_pll_uart <= clk_wiz_0_uart; LED2_pll_lock <= clk_wiz_0_locked; UART_RX_0_1 <= UART_RX_0; UART_RX_1_1 <= UART_RX_1; UART_TX_0 <= uart_transceiver_0_o_TX_Serial; UART_TX_1 <= uart_transceiver_1_o_TX_Serial; \^m_spi_miso_1\ <= m_spi_miso; m_spi_miso_1_1 <= m_spi_miso_1; m_spi_miso_2_1 <= m_spi_miso_2; m_spi_miso_3_1 <= m_spi_miso_3; m_spi_mosi <= axi_spi_master_0_m_spi_mosi; m_spi_mosi_1 <= axi_spi_master_1_m_spi_mosi; m_spi_mosi_2 <= axi_spi_master_2_m_spi_mosi; m_spi_mosi_3 <= axi_spi_master_3_m_spi_mosi; m_spi_sclk <= axi_spi_master_0_m_spi_sclk; m_spi_sclk_1 <= axi_spi_master_1_m_spi_sclk; m_spi_sclk_2 <= axi_spi_master_2_m_spi_sclk; m_spi_sclk_3 <= axi_spi_master_3_m_spi_sclk; m_spi_ss <= axi_spi_master_0_m_spi_ss; m_spi_ss_1 <= axi_spi_master_1_m_spi_ss; m_spi_ss_2 <= axi_spi_master_2_m_spi_ss; m_spi_ss_3 <= axi_spi_master_3_m_spi_ss; sys_clk_1 <= sys_clk; axi_spi_master_0: component DemoInterconnect_axi_spi_master_0_0 port map ( m_spi_miso => \^m_spi_miso_1\, m_spi_mosi => axi_spi_master_0_m_spi_mosi, m_spi_sclk => axi_spi_master_0_m_spi_sclk, m_spi_ss => axi_spi_master_0_m_spi_ss, s00_axi_aclk => clk_wiz_0_clk_out1, s00_axi_araddr(3 downto 0) => axi_interconnect_0_M00_AXI_ARADDR(3 downto 0), s00_axi_aresetn => clk_wiz_0_locked, s00_axi_arprot(2 downto 0) => axi_interconnect_0_M00_AXI_ARPROT(2 downto 0), s00_axi_arready => axi_interconnect_0_M00_AXI_ARREADY, s00_axi_arvalid => axi_interconnect_0_M00_AXI_ARVALID, s00_axi_awaddr(3 downto 0) => axi_interconnect_0_M00_AXI_AWADDR(3 downto 0), s00_axi_awprot(2 downto 0) => axi_interconnect_0_M00_AXI_AWPROT(2 downto 0), s00_axi_awready => axi_interconnect_0_M00_AXI_AWREADY, s00_axi_awvalid => axi_interconnect_0_M00_AXI_AWVALID, s00_axi_bready => axi_interconnect_0_M00_AXI_BREADY, s00_axi_bresp(1 downto 0) => axi_interconnect_0_M00_AXI_BRESP(1 downto 0), s00_axi_bvalid => axi_interconnect_0_M00_AXI_BVALID, s00_axi_rdata(31 downto 0) => axi_interconnect_0_M00_AXI_RDATA(31 downto 0), s00_axi_rready => axi_interconnect_0_M00_AXI_RREADY, s00_axi_rresp(1 downto 0) => axi_interconnect_0_M00_AXI_RRESP(1 downto 0), s00_axi_rvalid => axi_interconnect_0_M00_AXI_RVALID, s00_axi_wdata(31 downto 0) => axi_interconnect_0_M00_AXI_WDATA(31 downto 0), s00_axi_wready => axi_interconnect_0_M00_AXI_WREADY, s00_axi_wstrb(3 downto 0) => axi_interconnect_0_M00_AXI_WSTRB(3 downto 0), s00_axi_wvalid => axi_interconnect_0_M00_AXI_WVALID ); axi_spi_master_1: component DemoInterconnect_axi_spi_master_0_1 port map ( m_spi_miso => m_spi_miso_1_1, m_spi_mosi => axi_spi_master_1_m_spi_mosi, m_spi_sclk => axi_spi_master_1_m_spi_sclk, m_spi_ss => axi_spi_master_1_m_spi_ss, s00_axi_aclk => clk_wiz_0_clk_out1, s00_axi_araddr(3 downto 0) => axi_interconnect_0_M01_AXI_ARADDR(3 downto 0), s00_axi_aresetn => clk_wiz_0_locked, s00_axi_arprot(2 downto 0) => axi_interconnect_0_M01_AXI_ARPROT(2 downto 0), s00_axi_arready => axi_interconnect_0_M01_AXI_ARREADY, s00_axi_arvalid => axi_interconnect_0_M01_AXI_ARVALID, s00_axi_awaddr(3 downto 0) => axi_interconnect_0_M01_AXI_AWADDR(3 downto 0), s00_axi_awprot(2 downto 0) => axi_interconnect_0_M01_AXI_AWPROT(2 downto 0), s00_axi_awready => axi_interconnect_0_M01_AXI_AWREADY, s00_axi_awvalid => axi_interconnect_0_M01_AXI_AWVALID, s00_axi_bready => axi_interconnect_0_M01_AXI_BREADY, s00_axi_bresp(1 downto 0) => axi_interconnect_0_M01_AXI_BRESP(1 downto 0), s00_axi_bvalid => axi_interconnect_0_M01_AXI_BVALID, s00_axi_rdata(31 downto 0) => axi_interconnect_0_M01_AXI_RDATA(31 downto 0), s00_axi_rready => axi_interconnect_0_M01_AXI_RREADY, s00_axi_rresp(1 downto 0) => axi_interconnect_0_M01_AXI_RRESP(1 downto 0), s00_axi_rvalid => axi_interconnect_0_M01_AXI_RVALID, s00_axi_wdata(31 downto 0) => axi_interconnect_0_M01_AXI_WDATA(31 downto 0), s00_axi_wready => axi_interconnect_0_M01_AXI_WREADY, s00_axi_wstrb(3 downto 0) => axi_interconnect_0_M01_AXI_WSTRB(3 downto 0), s00_axi_wvalid => axi_interconnect_0_M01_AXI_WVALID ); axi_spi_master_2: component DemoInterconnect_axi_spi_master_1_0 port map ( m_spi_miso => m_spi_miso_2_1, m_spi_mosi => axi_spi_master_2_m_spi_mosi, m_spi_sclk => axi_spi_master_2_m_spi_sclk, m_spi_ss => axi_spi_master_2_m_spi_ss, s00_axi_aclk => clk_wiz_0_clk_out1, s00_axi_araddr(3 downto 0) => axi_interconnect_0_M02_AXI_ARADDR(3 downto 0), s00_axi_aresetn => clk_wiz_0_locked, s00_axi_arprot(2 downto 0) => axi_interconnect_0_M02_AXI_ARPROT(2 downto 0), s00_axi_arready => axi_interconnect_0_M02_AXI_ARREADY, s00_axi_arvalid => axi_interconnect_0_M02_AXI_ARVALID, s00_axi_awaddr(3 downto 0) => axi_interconnect_0_M02_AXI_AWADDR(3 downto 0), s00_axi_awprot(2 downto 0) => axi_interconnect_0_M02_AXI_AWPROT(2 downto 0), s00_axi_awready => axi_interconnect_0_M02_AXI_AWREADY, s00_axi_awvalid => axi_interconnect_0_M02_AXI_AWVALID, s00_axi_bready => axi_interconnect_0_M02_AXI_BREADY, s00_axi_bresp(1 downto 0) => axi_interconnect_0_M02_AXI_BRESP(1 downto 0), s00_axi_bvalid => axi_interconnect_0_M02_AXI_BVALID, s00_axi_rdata(31 downto 0) => axi_interconnect_0_M02_AXI_RDATA(31 downto 0), s00_axi_rready => axi_interconnect_0_M02_AXI_RREADY, s00_axi_rresp(1 downto 0) => axi_interconnect_0_M02_AXI_RRESP(1 downto 0), s00_axi_rvalid => axi_interconnect_0_M02_AXI_RVALID, s00_axi_wdata(31 downto 0) => axi_interconnect_0_M02_AXI_WDATA(31 downto 0), s00_axi_wready => axi_interconnect_0_M02_AXI_WREADY, s00_axi_wstrb(3 downto 0) => axi_interconnect_0_M02_AXI_WSTRB(3 downto 0), s00_axi_wvalid => axi_interconnect_0_M02_AXI_WVALID ); axi_spi_master_3: component DemoInterconnect_axi_spi_master_1_1 port map ( m_spi_miso => m_spi_miso_3_1, m_spi_mosi => axi_spi_master_3_m_spi_mosi, m_spi_sclk => axi_spi_master_3_m_spi_sclk, m_spi_ss => axi_spi_master_3_m_spi_ss, s00_axi_aclk => clk_wiz_0_clk_out1, s00_axi_araddr(3 downto 0) => axi_interconnect_0_M03_AXI_ARADDR(3 downto 0), s00_axi_aresetn => clk_wiz_0_locked, s00_axi_arprot(2 downto 0) => axi_interconnect_0_M03_AXI_ARPROT(2 downto 0), s00_axi_arready => axi_interconnect_0_M03_AXI_ARREADY, s00_axi_arvalid => axi_interconnect_0_M03_AXI_ARVALID, s00_axi_awaddr(3 downto 0) => axi_interconnect_0_M03_AXI_AWADDR(3 downto 0), s00_axi_awprot(2 downto 0) => axi_interconnect_0_M03_AXI_AWPROT(2 downto 0), s00_axi_awready => axi_interconnect_0_M03_AXI_AWREADY, s00_axi_awvalid => axi_interconnect_0_M03_AXI_AWVALID, s00_axi_bready => axi_interconnect_0_M03_AXI_BREADY, s00_axi_bresp(1 downto 0) => axi_interconnect_0_M03_AXI_BRESP(1 downto 0), s00_axi_bvalid => axi_interconnect_0_M03_AXI_BVALID, s00_axi_rdata(31 downto 0) => axi_interconnect_0_M03_AXI_RDATA(31 downto 0), s00_axi_rready => axi_interconnect_0_M03_AXI_RREADY, s00_axi_rresp(1 downto 0) => axi_interconnect_0_M03_AXI_RRESP(1 downto 0), s00_axi_rvalid => axi_interconnect_0_M03_AXI_RVALID, s00_axi_wdata(31 downto 0) => axi_interconnect_0_M03_AXI_WDATA(31 downto 0), s00_axi_wready => axi_interconnect_0_M03_AXI_WREADY, s00_axi_wstrb(3 downto 0) => axi_interconnect_0_M03_AXI_WSTRB(3 downto 0), s00_axi_wvalid => axi_interconnect_0_M03_AXI_WVALID ); clk_wiz_0: component DemoInterconnect_clk_wiz_0_0 port map ( aclk => clk_wiz_0_clk_out1, clk_in1 => sys_clk_1, locked => clk_wiz_0_locked, reset => sys_reset, uart => clk_wiz_0_uart ); ila_0: component DemoInterconnect_ila_0_0 port map ( clk => clk_wiz_0_clk_out1, probe0(0) => uart_transceiver_0_o_RX_Done, probe1(7 downto 0) => uart_transceiver_0_o_RX_Byte(7 downto 0), probe2(0) => interface_axi_master_0_if00_load_out, probe3(7 downto 0) => interface_axi_master_0_if00_data_out(7 downto 0) ); interconnect: entity work.DemoInterconnect_axi_interconnect_0_0 port map ( ACLK => clk_wiz_0_clk_out1, ARESETN => clk_wiz_0_locked, M00_ACLK => clk_wiz_0_clk_out1, M00_ARESETN => clk_wiz_0_locked, M00_AXI_araddr(31 downto 0) => axi_interconnect_0_M00_AXI_ARADDR(31 downto 0), M00_AXI_arprot(2 downto 0) => axi_interconnect_0_M00_AXI_ARPROT(2 downto 0), M00_AXI_arready => axi_interconnect_0_M00_AXI_ARREADY, M00_AXI_arvalid => axi_interconnect_0_M00_AXI_ARVALID, M00_AXI_awaddr(31 downto 0) => axi_interconnect_0_M00_AXI_AWADDR(31 downto 0), M00_AXI_awprot(2 downto 0) => axi_interconnect_0_M00_AXI_AWPROT(2 downto 0), M00_AXI_awready => axi_interconnect_0_M00_AXI_AWREADY, M00_AXI_awvalid => axi_interconnect_0_M00_AXI_AWVALID, M00_AXI_bready => axi_interconnect_0_M00_AXI_BREADY, M00_AXI_bresp(1 downto 0) => axi_interconnect_0_M00_AXI_BRESP(1 downto 0), M00_AXI_bvalid => axi_interconnect_0_M00_AXI_BVALID, M00_AXI_rdata(31 downto 0) => axi_interconnect_0_M00_AXI_RDATA(31 downto 0), M00_AXI_rready => axi_interconnect_0_M00_AXI_RREADY, M00_AXI_rresp(1 downto 0) => axi_interconnect_0_M00_AXI_RRESP(1 downto 0), M00_AXI_rvalid => axi_interconnect_0_M00_AXI_RVALID, M00_AXI_wdata(31 downto 0) => axi_interconnect_0_M00_AXI_WDATA(31 downto 0), M00_AXI_wready => axi_interconnect_0_M00_AXI_WREADY, M00_AXI_wstrb(3 downto 0) => axi_interconnect_0_M00_AXI_WSTRB(3 downto 0), M00_AXI_wvalid => axi_interconnect_0_M00_AXI_WVALID, M01_ACLK => clk_wiz_0_clk_out1, M01_ARESETN => clk_wiz_0_locked, M01_AXI_araddr(31 downto 0) => axi_interconnect_0_M01_AXI_ARADDR(31 downto 0), M01_AXI_arprot(2 downto 0) => axi_interconnect_0_M01_AXI_ARPROT(2 downto 0), M01_AXI_arready => axi_interconnect_0_M01_AXI_ARREADY, M01_AXI_arvalid => axi_interconnect_0_M01_AXI_ARVALID, M01_AXI_awaddr(31 downto 0) => axi_interconnect_0_M01_AXI_AWADDR(31 downto 0), M01_AXI_awprot(2 downto 0) => axi_interconnect_0_M01_AXI_AWPROT(2 downto 0), M01_AXI_awready => axi_interconnect_0_M01_AXI_AWREADY, M01_AXI_awvalid => axi_interconnect_0_M01_AXI_AWVALID, M01_AXI_bready => axi_interconnect_0_M01_AXI_BREADY, M01_AXI_bresp(1 downto 0) => axi_interconnect_0_M01_AXI_BRESP(1 downto 0), M01_AXI_bvalid => axi_interconnect_0_M01_AXI_BVALID, M01_AXI_rdata(31 downto 0) => axi_interconnect_0_M01_AXI_RDATA(31 downto 0), M01_AXI_rready => axi_interconnect_0_M01_AXI_RREADY, M01_AXI_rresp(1 downto 0) => axi_interconnect_0_M01_AXI_RRESP(1 downto 0), M01_AXI_rvalid => axi_interconnect_0_M01_AXI_RVALID, M01_AXI_wdata(31 downto 0) => axi_interconnect_0_M01_AXI_WDATA(31 downto 0), M01_AXI_wready => axi_interconnect_0_M01_AXI_WREADY, M01_AXI_wstrb(3 downto 0) => axi_interconnect_0_M01_AXI_WSTRB(3 downto 0), M01_AXI_wvalid => axi_interconnect_0_M01_AXI_WVALID, M02_ACLK => clk_wiz_0_clk_out1, M02_ARESETN => clk_wiz_0_locked, M02_AXI_araddr(31 downto 0) => axi_interconnect_0_M02_AXI_ARADDR(31 downto 0), M02_AXI_arprot(2 downto 0) => axi_interconnect_0_M02_AXI_ARPROT(2 downto 0), M02_AXI_arready => axi_interconnect_0_M02_AXI_ARREADY, M02_AXI_arvalid => axi_interconnect_0_M02_AXI_ARVALID, M02_AXI_awaddr(31 downto 0) => axi_interconnect_0_M02_AXI_AWADDR(31 downto 0), M02_AXI_awprot(2 downto 0) => axi_interconnect_0_M02_AXI_AWPROT(2 downto 0), M02_AXI_awready => axi_interconnect_0_M02_AXI_AWREADY, M02_AXI_awvalid => axi_interconnect_0_M02_AXI_AWVALID, M02_AXI_bready => axi_interconnect_0_M02_AXI_BREADY, M02_AXI_bresp(1 downto 0) => axi_interconnect_0_M02_AXI_BRESP(1 downto 0), M02_AXI_bvalid => axi_interconnect_0_M02_AXI_BVALID, M02_AXI_rdata(31 downto 0) => axi_interconnect_0_M02_AXI_RDATA(31 downto 0), M02_AXI_rready => axi_interconnect_0_M02_AXI_RREADY, M02_AXI_rresp(1 downto 0) => axi_interconnect_0_M02_AXI_RRESP(1 downto 0), M02_AXI_rvalid => axi_interconnect_0_M02_AXI_RVALID, M02_AXI_wdata(31 downto 0) => axi_interconnect_0_M02_AXI_WDATA(31 downto 0), M02_AXI_wready => axi_interconnect_0_M02_AXI_WREADY, M02_AXI_wstrb(3 downto 0) => axi_interconnect_0_M02_AXI_WSTRB(3 downto 0), M02_AXI_wvalid => axi_interconnect_0_M02_AXI_WVALID, M03_ACLK => clk_wiz_0_clk_out1, M03_ARESETN => clk_wiz_0_locked, M03_AXI_araddr(31 downto 0) => axi_interconnect_0_M03_AXI_ARADDR(31 downto 0), M03_AXI_arprot(2 downto 0) => axi_interconnect_0_M03_AXI_ARPROT(2 downto 0), M03_AXI_arready => axi_interconnect_0_M03_AXI_ARREADY, M03_AXI_arvalid => axi_interconnect_0_M03_AXI_ARVALID, M03_AXI_awaddr(31 downto 0) => axi_interconnect_0_M03_AXI_AWADDR(31 downto 0), M03_AXI_awprot(2 downto 0) => axi_interconnect_0_M03_AXI_AWPROT(2 downto 0), M03_AXI_awready => axi_interconnect_0_M03_AXI_AWREADY, M03_AXI_awvalid => axi_interconnect_0_M03_AXI_AWVALID, M03_AXI_bready => axi_interconnect_0_M03_AXI_BREADY, M03_AXI_bresp(1 downto 0) => axi_interconnect_0_M03_AXI_BRESP(1 downto 0), M03_AXI_bvalid => axi_interconnect_0_M03_AXI_BVALID, M03_AXI_rdata(31 downto 0) => axi_interconnect_0_M03_AXI_RDATA(31 downto 0), M03_AXI_rready => axi_interconnect_0_M03_AXI_RREADY, M03_AXI_rresp(1 downto 0) => axi_interconnect_0_M03_AXI_RRESP(1 downto 0), M03_AXI_rvalid => axi_interconnect_0_M03_AXI_RVALID, M03_AXI_wdata(31 downto 0) => axi_interconnect_0_M03_AXI_WDATA(31 downto 0), M03_AXI_wready => axi_interconnect_0_M03_AXI_WREADY, M03_AXI_wstrb(3 downto 0) => axi_interconnect_0_M03_AXI_WSTRB(3 downto 0), M03_AXI_wvalid => axi_interconnect_0_M03_AXI_WVALID, M04_ACLK => clk_wiz_0_clk_out1, M04_ARESETN => clk_wiz_0_locked, M04_AXI_araddr(31 downto 0) => axi_interconnect_0_M04_AXI_ARADDR(31 downto 0), M04_AXI_arready => axi_interconnect_0_M04_AXI_ARREADY, M04_AXI_arvalid => axi_interconnect_0_M04_AXI_ARVALID, M04_AXI_awaddr(31 downto 0) => axi_interconnect_0_M04_AXI_AWADDR(31 downto 0), M04_AXI_awready => axi_interconnect_0_M04_AXI_AWREADY, M04_AXI_awvalid => axi_interconnect_0_M04_AXI_AWVALID, M04_AXI_bready => axi_interconnect_0_M04_AXI_BREADY, M04_AXI_bresp(1 downto 0) => axi_interconnect_0_M04_AXI_BRESP(1 downto 0), M04_AXI_bvalid => axi_interconnect_0_M04_AXI_BVALID, M04_AXI_rdata(31 downto 0) => axi_interconnect_0_M04_AXI_RDATA(31 downto 0), M04_AXI_rready => axi_interconnect_0_M04_AXI_RREADY, M04_AXI_rresp(1 downto 0) => axi_interconnect_0_M04_AXI_RRESP(1 downto 0), M04_AXI_rvalid => axi_interconnect_0_M04_AXI_RVALID, M04_AXI_wdata(31 downto 0) => axi_interconnect_0_M04_AXI_WDATA(31 downto 0), M04_AXI_wready => axi_interconnect_0_M04_AXI_WREADY, M04_AXI_wstrb(3 downto 0) => axi_interconnect_0_M04_AXI_WSTRB(3 downto 0), M04_AXI_wvalid => axi_interconnect_0_M04_AXI_WVALID, M05_ACLK => clk_wiz_0_clk_out1, M05_ARESETN => clk_wiz_0_locked, M05_AXI_araddr(31 downto 0) => axi_interconnect_0_M05_AXI_ARADDR(31 downto 0), M05_AXI_arready => axi_interconnect_0_M05_AXI_ARREADY, M05_AXI_arvalid => axi_interconnect_0_M05_AXI_ARVALID, M05_AXI_awaddr(31 downto 0) => axi_interconnect_0_M05_AXI_AWADDR(31 downto 0), M05_AXI_awready => axi_interconnect_0_M05_AXI_AWREADY, M05_AXI_awvalid => axi_interconnect_0_M05_AXI_AWVALID, M05_AXI_bready => axi_interconnect_0_M05_AXI_BREADY, M05_AXI_bresp(1 downto 0) => axi_interconnect_0_M05_AXI_BRESP(1 downto 0), M05_AXI_bvalid => axi_interconnect_0_M05_AXI_BVALID, M05_AXI_rdata(31 downto 0) => axi_interconnect_0_M05_AXI_RDATA(31 downto 0), M05_AXI_rready => axi_interconnect_0_M05_AXI_RREADY, M05_AXI_rresp(1 downto 0) => axi_interconnect_0_M05_AXI_RRESP(1 downto 0), M05_AXI_rvalid => axi_interconnect_0_M05_AXI_RVALID, M05_AXI_wdata(31 downto 0) => axi_interconnect_0_M05_AXI_WDATA(31 downto 0), M05_AXI_wready => axi_interconnect_0_M05_AXI_WREADY, M05_AXI_wstrb(3 downto 0) => axi_interconnect_0_M05_AXI_WSTRB(3 downto 0), M05_AXI_wvalid => axi_interconnect_0_M05_AXI_WVALID, M06_ACLK => clk_wiz_0_clk_out1, M06_ARESETN => clk_wiz_0_locked, M06_AXI_araddr(31 downto 0) => axi_interconnect_0_M06_AXI_ARADDR(31 downto 0), M06_AXI_arready => axi_interconnect_0_M06_AXI_ARREADY, M06_AXI_arvalid => axi_interconnect_0_M06_AXI_ARVALID, M06_AXI_awaddr(31 downto 0) => axi_interconnect_0_M06_AXI_AWADDR(31 downto 0), M06_AXI_awready => axi_interconnect_0_M06_AXI_AWREADY, M06_AXI_awvalid => axi_interconnect_0_M06_AXI_AWVALID, M06_AXI_bready => axi_interconnect_0_M06_AXI_BREADY, M06_AXI_bresp(1 downto 0) => axi_interconnect_0_M06_AXI_BRESP(1 downto 0), M06_AXI_bvalid => axi_interconnect_0_M06_AXI_BVALID, M06_AXI_rdata(31 downto 0) => axi_interconnect_0_M06_AXI_RDATA(31 downto 0), M06_AXI_rready => axi_interconnect_0_M06_AXI_RREADY, M06_AXI_rresp(1 downto 0) => axi_interconnect_0_M06_AXI_RRESP(1 downto 0), M06_AXI_rvalid => axi_interconnect_0_M06_AXI_RVALID, M06_AXI_wdata(31 downto 0) => axi_interconnect_0_M06_AXI_WDATA(31 downto 0), M06_AXI_wready => axi_interconnect_0_M06_AXI_WREADY, M06_AXI_wstrb(3 downto 0) => axi_interconnect_0_M06_AXI_WSTRB(3 downto 0), M06_AXI_wvalid => axi_interconnect_0_M06_AXI_WVALID, S00_ACLK => clk_wiz_0_clk_out1, S00_ARESETN => clk_wiz_0_locked, S00_AXI_araddr(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_ARADDR(31 downto 0), S00_AXI_arprot(2 downto 0) => internoc_ni_axi_master_0_M00_AXI_ARPROT(2 downto 0), S00_AXI_arready => internoc_ni_axi_master_0_M00_AXI_ARREADY, S00_AXI_arvalid => internoc_ni_axi_master_0_M00_AXI_ARVALID, S00_AXI_awaddr(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_AWADDR(31 downto 0), S00_AXI_awprot(2 downto 0) => internoc_ni_axi_master_0_M00_AXI_AWPROT(2 downto 0), S00_AXI_awready => internoc_ni_axi_master_0_M00_AXI_AWREADY, S00_AXI_awvalid => internoc_ni_axi_master_0_M00_AXI_AWVALID, S00_AXI_bready => internoc_ni_axi_master_0_M00_AXI_BREADY, S00_AXI_bresp(1 downto 0) => internoc_ni_axi_master_0_M00_AXI_BRESP(1 downto 0), S00_AXI_bvalid => internoc_ni_axi_master_0_M00_AXI_BVALID, S00_AXI_rdata(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_RDATA(31 downto 0), S00_AXI_rready => internoc_ni_axi_master_0_M00_AXI_RREADY, S00_AXI_rresp(1 downto 0) => internoc_ni_axi_master_0_M00_AXI_RRESP(1 downto 0), S00_AXI_rvalid => internoc_ni_axi_master_0_M00_AXI_RVALID, S00_AXI_wdata(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_WDATA(31 downto 0), S00_AXI_wready => internoc_ni_axi_master_0_M00_AXI_WREADY, S00_AXI_wstrb(3 downto 0) => internoc_ni_axi_master_0_M00_AXI_WSTRB(3 downto 0), S00_AXI_wvalid => internoc_ni_axi_master_0_M00_AXI_WVALID, S01_ACLK => clk_wiz_0_clk_out1, S01_ARESETN => clk_wiz_0_locked, S01_AXI_araddr(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_ARADDR(31 downto 0), S01_AXI_arprot(2 downto 0) => internoc_ni_axi_master_1_M00_AXI_ARPROT(2 downto 0), S01_AXI_arready => internoc_ni_axi_master_1_M00_AXI_ARREADY, S01_AXI_arvalid => internoc_ni_axi_master_1_M00_AXI_ARVALID, S01_AXI_awaddr(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_AWADDR(31 downto 0), S01_AXI_awprot(2 downto 0) => internoc_ni_axi_master_1_M00_AXI_AWPROT(2 downto 0), S01_AXI_awready => internoc_ni_axi_master_1_M00_AXI_AWREADY, S01_AXI_awvalid => internoc_ni_axi_master_1_M00_AXI_AWVALID, S01_AXI_bready => internoc_ni_axi_master_1_M00_AXI_BREADY, S01_AXI_bresp(1 downto 0) => internoc_ni_axi_master_1_M00_AXI_BRESP(1 downto 0), S01_AXI_bvalid => internoc_ni_axi_master_1_M00_AXI_BVALID, S01_AXI_rdata(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_RDATA(31 downto 0), S01_AXI_rready => internoc_ni_axi_master_1_M00_AXI_RREADY, S01_AXI_rresp(1 downto 0) => internoc_ni_axi_master_1_M00_AXI_RRESP(1 downto 0), S01_AXI_rvalid => internoc_ni_axi_master_1_M00_AXI_RVALID, S01_AXI_wdata(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_WDATA(31 downto 0), S01_AXI_wready => internoc_ni_axi_master_1_M00_AXI_WREADY, S01_AXI_wstrb(3 downto 0) => internoc_ni_axi_master_1_M00_AXI_WSTRB(3 downto 0), S01_AXI_wvalid => internoc_ni_axi_master_1_M00_AXI_WVALID, S02_ACLK => clk_wiz_0_clk_out1, S02_ARESETN => clk_wiz_0_locked, S02_AXI_araddr(31 downto 0) => jtag_axi_0_M_AXI_ARADDR(31 downto 0), S02_AXI_arburst(1 downto 0) => jtag_axi_0_M_AXI_ARBURST(1 downto 0), S02_AXI_arcache(3 downto 0) => jtag_axi_0_M_AXI_ARCACHE(3 downto 0), S02_AXI_arid(0) => jtag_axi_0_M_AXI_ARID(0), S02_AXI_arlen(7 downto 0) => jtag_axi_0_M_AXI_ARLEN(7 downto 0), S02_AXI_arlock => jtag_axi_0_M_AXI_ARLOCK, S02_AXI_arprot(2 downto 0) => jtag_axi_0_M_AXI_ARPROT(2 downto 0), S02_AXI_arqos(3 downto 0) => jtag_axi_0_M_AXI_ARQOS(3 downto 0), S02_AXI_arready => jtag_axi_0_M_AXI_ARREADY, S02_AXI_arsize(2 downto 0) => jtag_axi_0_M_AXI_ARSIZE(2 downto 0), S02_AXI_arvalid => jtag_axi_0_M_AXI_ARVALID, S02_AXI_awaddr(31 downto 0) => jtag_axi_0_M_AXI_AWADDR(31 downto 0), S02_AXI_awburst(1 downto 0) => jtag_axi_0_M_AXI_AWBURST(1 downto 0), S02_AXI_awcache(3 downto 0) => jtag_axi_0_M_AXI_AWCACHE(3 downto 0), S02_AXI_awid(0) => jtag_axi_0_M_AXI_AWID(0), S02_AXI_awlen(7 downto 0) => jtag_axi_0_M_AXI_AWLEN(7 downto 0), S02_AXI_awlock => jtag_axi_0_M_AXI_AWLOCK, S02_AXI_awprot(2 downto 0) => jtag_axi_0_M_AXI_AWPROT(2 downto 0), S02_AXI_awqos(3 downto 0) => jtag_axi_0_M_AXI_AWQOS(3 downto 0), S02_AXI_awready => jtag_axi_0_M_AXI_AWREADY, S02_AXI_awsize(2 downto 0) => jtag_axi_0_M_AXI_AWSIZE(2 downto 0), S02_AXI_awvalid => jtag_axi_0_M_AXI_AWVALID, S02_AXI_bid(0) => jtag_axi_0_M_AXI_BID(0), S02_AXI_bready => jtag_axi_0_M_AXI_BREADY, S02_AXI_bresp(1 downto 0) => jtag_axi_0_M_AXI_BRESP(1 downto 0), S02_AXI_bvalid => jtag_axi_0_M_AXI_BVALID, S02_AXI_rdata(31 downto 0) => jtag_axi_0_M_AXI_RDATA(31 downto 0), S02_AXI_rid(0) => jtag_axi_0_M_AXI_RID(0), S02_AXI_rlast => jtag_axi_0_M_AXI_RLAST, S02_AXI_rready => jtag_axi_0_M_AXI_RREADY, S02_AXI_rresp(1 downto 0) => jtag_axi_0_M_AXI_RRESP(1 downto 0), S02_AXI_rvalid => jtag_axi_0_M_AXI_RVALID, S02_AXI_wdata(31 downto 0) => jtag_axi_0_M_AXI_WDATA(31 downto 0), S02_AXI_wlast => jtag_axi_0_M_AXI_WLAST, S02_AXI_wready => jtag_axi_0_M_AXI_WREADY, S02_AXI_wstrb(3 downto 0) => jtag_axi_0_M_AXI_WSTRB(3 downto 0), S02_AXI_wvalid => jtag_axi_0_M_AXI_WVALID ); internoc_ni_axi_master_0: component DemoInterconnect_internoc_ni_axi_master_0_0 port map ( if00_data_in(7 downto 0) => uart_transceiver_0_o_RX_Byte(7 downto 0), if00_data_out(7 downto 0) => interface_axi_master_0_if00_data_out(7 downto 0), if00_load_in => uart_transceiver_0_o_RX_Done, if00_load_out => interface_axi_master_0_if00_load_out, if00_send_busy => uart_transceiver_0_o_TX_Active, if00_send_done => uart_transceiver_0_o_TX_Done, m00_axi_aclk => clk_wiz_0_clk_out1, m00_axi_araddr(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_ARADDR(31 downto 0), m00_axi_aresetn => clk_wiz_0_locked, m00_axi_arprot(2 downto 0) => internoc_ni_axi_master_0_M00_AXI_ARPROT(2 downto 0), m00_axi_arready => internoc_ni_axi_master_0_M00_AXI_ARREADY, m00_axi_arvalid => internoc_ni_axi_master_0_M00_AXI_ARVALID, m00_axi_awaddr(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_AWADDR(31 downto 0), m00_axi_awprot(2 downto 0) => internoc_ni_axi_master_0_M00_AXI_AWPROT(2 downto 0), m00_axi_awready => internoc_ni_axi_master_0_M00_AXI_AWREADY, m00_axi_awvalid => internoc_ni_axi_master_0_M00_AXI_AWVALID, m00_axi_bready => internoc_ni_axi_master_0_M00_AXI_BREADY, m00_axi_bresp(1 downto 0) => internoc_ni_axi_master_0_M00_AXI_BRESP(1 downto 0), m00_axi_bvalid => internoc_ni_axi_master_0_M00_AXI_BVALID, m00_axi_rdata(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_RDATA(31 downto 0), m00_axi_rready => internoc_ni_axi_master_0_M00_AXI_RREADY, m00_axi_rresp(1 downto 0) => internoc_ni_axi_master_0_M00_AXI_RRESP(1 downto 0), m00_axi_rvalid => internoc_ni_axi_master_0_M00_AXI_RVALID, m00_axi_wdata(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_WDATA(31 downto 0), m00_axi_wready => internoc_ni_axi_master_0_M00_AXI_WREADY, m00_axi_wstrb(3 downto 0) => internoc_ni_axi_master_0_M00_AXI_WSTRB(3 downto 0), m00_axi_wvalid => internoc_ni_axi_master_0_M00_AXI_WVALID ); internoc_ni_axi_master_1: component DemoInterconnect_internoc_ni_axi_master_1_0 port map ( if00_data_in(7 downto 0) => uart_transceiver_1_o_RX_Byte(7 downto 0), if00_data_out(7 downto 0) => internoc_ni_axi_master_1_if00_data_out(7 downto 0), if00_load_in => uart_transceiver_1_o_RX_Done, if00_load_out => internoc_ni_axi_master_1_if00_load_out, if00_send_busy => uart_transceiver_1_o_TX_Active, if00_send_done => uart_transceiver_1_o_TX_Done, m00_axi_aclk => clk_wiz_0_clk_out1, m00_axi_araddr(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_ARADDR(31 downto 0), m00_axi_aresetn => clk_wiz_0_locked, m00_axi_arprot(2 downto 0) => internoc_ni_axi_master_1_M00_AXI_ARPROT(2 downto 0), m00_axi_arready => internoc_ni_axi_master_1_M00_AXI_ARREADY, m00_axi_arvalid => internoc_ni_axi_master_1_M00_AXI_ARVALID, m00_axi_awaddr(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_AWADDR(31 downto 0), m00_axi_awprot(2 downto 0) => internoc_ni_axi_master_1_M00_AXI_AWPROT(2 downto 0), m00_axi_awready => internoc_ni_axi_master_1_M00_AXI_AWREADY, m00_axi_awvalid => internoc_ni_axi_master_1_M00_AXI_AWVALID, m00_axi_bready => internoc_ni_axi_master_1_M00_AXI_BREADY, m00_axi_bresp(1 downto 0) => internoc_ni_axi_master_1_M00_AXI_BRESP(1 downto 0), m00_axi_bvalid => internoc_ni_axi_master_1_M00_AXI_BVALID, m00_axi_rdata(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_RDATA(31 downto 0), m00_axi_rready => internoc_ni_axi_master_1_M00_AXI_RREADY, m00_axi_rresp(1 downto 0) => internoc_ni_axi_master_1_M00_AXI_RRESP(1 downto 0), m00_axi_rvalid => internoc_ni_axi_master_1_M00_AXI_RVALID, m00_axi_wdata(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_WDATA(31 downto 0), m00_axi_wready => internoc_ni_axi_master_1_M00_AXI_WREADY, m00_axi_wstrb(3 downto 0) => internoc_ni_axi_master_1_M00_AXI_WSTRB(3 downto 0), m00_axi_wvalid => internoc_ni_axi_master_1_M00_AXI_WVALID ); jtag_axi_0: component DemoInterconnect_jtag_axi_0_0 port map ( aclk => clk_wiz_0_clk_out1, aresetn => clk_wiz_0_locked, m_axi_araddr(31 downto 0) => jtag_axi_0_M_AXI_ARADDR(31 downto 0), m_axi_arburst(1 downto 0) => jtag_axi_0_M_AXI_ARBURST(1 downto 0), m_axi_arcache(3 downto 0) => jtag_axi_0_M_AXI_ARCACHE(3 downto 0), m_axi_arid(0) => jtag_axi_0_M_AXI_ARID(0), m_axi_arlen(7 downto 0) => jtag_axi_0_M_AXI_ARLEN(7 downto 0), m_axi_arlock => jtag_axi_0_M_AXI_ARLOCK, m_axi_arprot(2 downto 0) => jtag_axi_0_M_AXI_ARPROT(2 downto 0), m_axi_arqos(3 downto 0) => jtag_axi_0_M_AXI_ARQOS(3 downto 0), m_axi_arready => jtag_axi_0_M_AXI_ARREADY, m_axi_arsize(2 downto 0) => jtag_axi_0_M_AXI_ARSIZE(2 downto 0), m_axi_arvalid => jtag_axi_0_M_AXI_ARVALID, m_axi_awaddr(31 downto 0) => jtag_axi_0_M_AXI_AWADDR(31 downto 0), m_axi_awburst(1 downto 0) => jtag_axi_0_M_AXI_AWBURST(1 downto 0), m_axi_awcache(3 downto 0) => jtag_axi_0_M_AXI_AWCACHE(3 downto 0), m_axi_awid(0) => jtag_axi_0_M_AXI_AWID(0), m_axi_awlen(7 downto 0) => jtag_axi_0_M_AXI_AWLEN(7 downto 0), m_axi_awlock => jtag_axi_0_M_AXI_AWLOCK, m_axi_awprot(2 downto 0) => jtag_axi_0_M_AXI_AWPROT(2 downto 0), m_axi_awqos(3 downto 0) => jtag_axi_0_M_AXI_AWQOS(3 downto 0), m_axi_awready => jtag_axi_0_M_AXI_AWREADY, m_axi_awsize(2 downto 0) => jtag_axi_0_M_AXI_AWSIZE(2 downto 0), m_axi_awvalid => jtag_axi_0_M_AXI_AWVALID, m_axi_bid(0) => jtag_axi_0_M_AXI_BID(0), m_axi_bready => jtag_axi_0_M_AXI_BREADY, m_axi_bresp(1 downto 0) => jtag_axi_0_M_AXI_BRESP(1 downto 0), m_axi_bvalid => jtag_axi_0_M_AXI_BVALID, m_axi_rdata(31 downto 0) => jtag_axi_0_M_AXI_RDATA(31 downto 0), m_axi_rid(0) => jtag_axi_0_M_AXI_RID(0), m_axi_rlast => jtag_axi_0_M_AXI_RLAST, m_axi_rready => jtag_axi_0_M_AXI_RREADY, m_axi_rresp(1 downto 0) => jtag_axi_0_M_AXI_RRESP(1 downto 0), m_axi_rvalid => jtag_axi_0_M_AXI_RVALID, m_axi_wdata(31 downto 0) => jtag_axi_0_M_AXI_WDATA(31 downto 0), m_axi_wlast => jtag_axi_0_M_AXI_WLAST, m_axi_wready => jtag_axi_0_M_AXI_WREADY, m_axi_wstrb(3 downto 0) => jtag_axi_0_M_AXI_WSTRB(3 downto 0), m_axi_wvalid => jtag_axi_0_M_AXI_WVALID ); master_comm_mutex: component DemoInterconnect_mutex_0_0 port map ( S0_AXI_ACLK => clk_wiz_0_clk_out1, S0_AXI_ARADDR(31 downto 0) => axi_interconnect_0_M04_AXI_ARADDR(31 downto 0), S0_AXI_ARESETN => clk_wiz_0_locked, S0_AXI_ARREADY => axi_interconnect_0_M04_AXI_ARREADY, S0_AXI_ARVALID => axi_interconnect_0_M04_AXI_ARVALID, S0_AXI_AWADDR(31 downto 0) => axi_interconnect_0_M04_AXI_AWADDR(31 downto 0), S0_AXI_AWREADY => axi_interconnect_0_M04_AXI_AWREADY, S0_AXI_AWVALID => axi_interconnect_0_M04_AXI_AWVALID, S0_AXI_BREADY => axi_interconnect_0_M04_AXI_BREADY, S0_AXI_BRESP(1 downto 0) => axi_interconnect_0_M04_AXI_BRESP(1 downto 0), S0_AXI_BVALID => axi_interconnect_0_M04_AXI_BVALID, S0_AXI_RDATA(31 downto 0) => axi_interconnect_0_M04_AXI_RDATA(31 downto 0), S0_AXI_RREADY => axi_interconnect_0_M04_AXI_RREADY, S0_AXI_RRESP(1 downto 0) => axi_interconnect_0_M04_AXI_RRESP(1 downto 0), S0_AXI_RVALID => axi_interconnect_0_M04_AXI_RVALID, S0_AXI_WDATA(31 downto 0) => axi_interconnect_0_M04_AXI_WDATA(31 downto 0), S0_AXI_WREADY => axi_interconnect_0_M04_AXI_WREADY, S0_AXI_WSTRB(3 downto 0) => axi_interconnect_0_M04_AXI_WSTRB(3 downto 0), S0_AXI_WVALID => axi_interconnect_0_M04_AXI_WVALID, S1_AXI_ACLK => clk_wiz_0_clk_out1, S1_AXI_ARADDR(31 downto 0) => axi_interconnect_0_M05_AXI_ARADDR(31 downto 0), S1_AXI_ARESETN => clk_wiz_0_locked, S1_AXI_ARREADY => axi_interconnect_0_M05_AXI_ARREADY, S1_AXI_ARVALID => axi_interconnect_0_M05_AXI_ARVALID, S1_AXI_AWADDR(31 downto 0) => axi_interconnect_0_M05_AXI_AWADDR(31 downto 0), S1_AXI_AWREADY => axi_interconnect_0_M05_AXI_AWREADY, S1_AXI_AWVALID => axi_interconnect_0_M05_AXI_AWVALID, S1_AXI_BREADY => axi_interconnect_0_M05_AXI_BREADY, S1_AXI_BRESP(1 downto 0) => axi_interconnect_0_M05_AXI_BRESP(1 downto 0), S1_AXI_BVALID => axi_interconnect_0_M05_AXI_BVALID, S1_AXI_RDATA(31 downto 0) => axi_interconnect_0_M05_AXI_RDATA(31 downto 0), S1_AXI_RREADY => axi_interconnect_0_M05_AXI_RREADY, S1_AXI_RRESP(1 downto 0) => axi_interconnect_0_M05_AXI_RRESP(1 downto 0), S1_AXI_RVALID => axi_interconnect_0_M05_AXI_RVALID, S1_AXI_WDATA(31 downto 0) => axi_interconnect_0_M05_AXI_WDATA(31 downto 0), S1_AXI_WREADY => axi_interconnect_0_M05_AXI_WREADY, S1_AXI_WSTRB(3 downto 0) => axi_interconnect_0_M05_AXI_WSTRB(3 downto 0), S1_AXI_WVALID => axi_interconnect_0_M05_AXI_WVALID, S2_AXI_ACLK => clk_wiz_0_clk_out1, S2_AXI_ARADDR(31 downto 0) => axi_interconnect_0_M06_AXI_ARADDR(31 downto 0), S2_AXI_ARESETN => clk_wiz_0_locked, S2_AXI_ARREADY => axi_interconnect_0_M06_AXI_ARREADY, S2_AXI_ARVALID => axi_interconnect_0_M06_AXI_ARVALID, S2_AXI_AWADDR(31 downto 0) => axi_interconnect_0_M06_AXI_AWADDR(31 downto 0), S2_AXI_AWREADY => axi_interconnect_0_M06_AXI_AWREADY, S2_AXI_AWVALID => axi_interconnect_0_M06_AXI_AWVALID, S2_AXI_BREADY => axi_interconnect_0_M06_AXI_BREADY, S2_AXI_BRESP(1 downto 0) => axi_interconnect_0_M06_AXI_BRESP(1 downto 0), S2_AXI_BVALID => axi_interconnect_0_M06_AXI_BVALID, S2_AXI_RDATA(31 downto 0) => axi_interconnect_0_M06_AXI_RDATA(31 downto 0), S2_AXI_RREADY => axi_interconnect_0_M06_AXI_RREADY, S2_AXI_RRESP(1 downto 0) => axi_interconnect_0_M06_AXI_RRESP(1 downto 0), S2_AXI_RVALID => axi_interconnect_0_M06_AXI_RVALID, S2_AXI_WDATA(31 downto 0) => axi_interconnect_0_M06_AXI_WDATA(31 downto 0), S2_AXI_WREADY => axi_interconnect_0_M06_AXI_WREADY, S2_AXI_WSTRB(3 downto 0) => axi_interconnect_0_M06_AXI_WSTRB(3 downto 0), S2_AXI_WVALID => axi_interconnect_0_M06_AXI_WVALID ); uart_transceiver_0: component DemoInterconnect_uart_transceiver_0_0 port map ( i_Clk => clk_wiz_0_uart, i_RX_Serial => UART_RX_0_1, i_TX_Byte(7 downto 0) => interface_axi_master_0_if00_data_out(7 downto 0), i_TX_Load => interface_axi_master_0_if00_load_out, o_RX_Byte(7 downto 0) => uart_transceiver_0_o_RX_Byte(7 downto 0), o_RX_Done => uart_transceiver_0_o_RX_Done, o_TX_Active => uart_transceiver_0_o_TX_Active, o_TX_Done => uart_transceiver_0_o_TX_Done, o_TX_Serial => uart_transceiver_0_o_TX_Serial ); uart_transceiver_1: component DemoInterconnect_uart_transceiver_0_1 port map ( i_Clk => clk_wiz_0_uart, i_RX_Serial => UART_RX_1_1, i_TX_Byte(7 downto 0) => internoc_ni_axi_master_1_if00_data_out(7 downto 0), i_TX_Load => internoc_ni_axi_master_1_if00_load_out, o_RX_Byte(7 downto 0) => uart_transceiver_1_o_RX_Byte(7 downto 0), o_RX_Done => uart_transceiver_1_o_RX_Done, o_TX_Active => uart_transceiver_1_o_TX_Active, o_TX_Done => uart_transceiver_1_o_TX_Done, o_TX_Serial => uart_transceiver_1_o_TX_Serial ); end STRUCTURE;
mit
egk696/InterNoC
InterNoC.srcs/sources_1/bd/DemoInterconnect/synth/DemoInterconnect.vhd
3
231236
--Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2017.3 (win64) Build 2018833 Wed Oct 4 19:58:22 MDT 2017 --Date : Fri Nov 17 16:04:47 2017 --Host : egk-pc running 64-bit major release (build 9200) --Command : generate_target DemoInterconnect.bd --Design : DemoInterconnect --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m00_couplers_imp_4EB6IN is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m00_couplers_imp_4EB6IN; architecture STRUCTURE of m00_couplers_imp_4EB6IN is signal m00_couplers_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m00_couplers_to_m00_couplers_ARREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_ARVALID : STD_LOGIC; signal m00_couplers_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m00_couplers_to_m00_couplers_AWREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_AWVALID : STD_LOGIC; signal m00_couplers_to_m00_couplers_BREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_m00_couplers_BVALID : STD_LOGIC; signal m00_couplers_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_RREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_m00_couplers_RVALID : STD_LOGIC; signal m00_couplers_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_m00_couplers_WREADY : STD_LOGIC; signal m00_couplers_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m00_couplers_to_m00_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m00_couplers_to_m00_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= m00_couplers_to_m00_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m00_couplers_to_m00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m00_couplers_to_m00_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= m00_couplers_to_m00_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m00_couplers_to_m00_couplers_AWVALID; M_AXI_bready <= m00_couplers_to_m00_couplers_BREADY; M_AXI_rready <= m00_couplers_to_m00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m00_couplers_to_m00_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m00_couplers_to_m00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m00_couplers_to_m00_couplers_WVALID; S_AXI_arready <= m00_couplers_to_m00_couplers_ARREADY; S_AXI_awready <= m00_couplers_to_m00_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m00_couplers_to_m00_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m00_couplers_to_m00_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m00_couplers_to_m00_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m00_couplers_to_m00_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m00_couplers_to_m00_couplers_RVALID; S_AXI_wready <= m00_couplers_to_m00_couplers_WREADY; m00_couplers_to_m00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m00_couplers_to_m00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m00_couplers_to_m00_couplers_ARREADY <= M_AXI_arready; m00_couplers_to_m00_couplers_ARVALID <= S_AXI_arvalid; m00_couplers_to_m00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m00_couplers_to_m00_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m00_couplers_to_m00_couplers_AWREADY <= M_AXI_awready; m00_couplers_to_m00_couplers_AWVALID <= S_AXI_awvalid; m00_couplers_to_m00_couplers_BREADY <= S_AXI_bready; m00_couplers_to_m00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m00_couplers_to_m00_couplers_BVALID <= M_AXI_bvalid; m00_couplers_to_m00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m00_couplers_to_m00_couplers_RREADY <= S_AXI_rready; m00_couplers_to_m00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m00_couplers_to_m00_couplers_RVALID <= M_AXI_rvalid; m00_couplers_to_m00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m00_couplers_to_m00_couplers_WREADY <= M_AXI_wready; m00_couplers_to_m00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m00_couplers_to_m00_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m01_couplers_imp_1SL2GIW is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m01_couplers_imp_1SL2GIW; architecture STRUCTURE of m01_couplers_imp_1SL2GIW is signal m01_couplers_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m01_couplers_to_m01_couplers_ARREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_ARVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m01_couplers_to_m01_couplers_AWREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_AWVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_BREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_m01_couplers_BVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_RREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_m01_couplers_RVALID : STD_LOGIC; signal m01_couplers_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_m01_couplers_WREADY : STD_LOGIC; signal m01_couplers_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m01_couplers_to_m01_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m01_couplers_to_m01_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= m01_couplers_to_m01_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m01_couplers_to_m01_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m01_couplers_to_m01_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= m01_couplers_to_m01_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m01_couplers_to_m01_couplers_AWVALID; M_AXI_bready <= m01_couplers_to_m01_couplers_BREADY; M_AXI_rready <= m01_couplers_to_m01_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m01_couplers_to_m01_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m01_couplers_to_m01_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m01_couplers_to_m01_couplers_WVALID; S_AXI_arready <= m01_couplers_to_m01_couplers_ARREADY; S_AXI_awready <= m01_couplers_to_m01_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m01_couplers_to_m01_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m01_couplers_to_m01_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m01_couplers_to_m01_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m01_couplers_to_m01_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m01_couplers_to_m01_couplers_RVALID; S_AXI_wready <= m01_couplers_to_m01_couplers_WREADY; m01_couplers_to_m01_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m01_couplers_to_m01_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m01_couplers_to_m01_couplers_ARREADY <= M_AXI_arready; m01_couplers_to_m01_couplers_ARVALID <= S_AXI_arvalid; m01_couplers_to_m01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m01_couplers_to_m01_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m01_couplers_to_m01_couplers_AWREADY <= M_AXI_awready; m01_couplers_to_m01_couplers_AWVALID <= S_AXI_awvalid; m01_couplers_to_m01_couplers_BREADY <= S_AXI_bready; m01_couplers_to_m01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m01_couplers_to_m01_couplers_BVALID <= M_AXI_bvalid; m01_couplers_to_m01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m01_couplers_to_m01_couplers_RREADY <= S_AXI_rready; m01_couplers_to_m01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m01_couplers_to_m01_couplers_RVALID <= M_AXI_rvalid; m01_couplers_to_m01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m01_couplers_to_m01_couplers_WREADY <= M_AXI_wready; m01_couplers_to_m01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m01_couplers_to_m01_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m02_couplers_imp_7DG2C0 is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m02_couplers_imp_7DG2C0; architecture STRUCTURE of m02_couplers_imp_7DG2C0 is signal m02_couplers_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m02_couplers_to_m02_couplers_ARREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_ARVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m02_couplers_to_m02_couplers_AWREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_AWVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_BREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_m02_couplers_BVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_RREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_m02_couplers_RVALID : STD_LOGIC; signal m02_couplers_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_m02_couplers_WREADY : STD_LOGIC; signal m02_couplers_to_m02_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m02_couplers_to_m02_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m02_couplers_to_m02_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= m02_couplers_to_m02_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m02_couplers_to_m02_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m02_couplers_to_m02_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= m02_couplers_to_m02_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m02_couplers_to_m02_couplers_AWVALID; M_AXI_bready <= m02_couplers_to_m02_couplers_BREADY; M_AXI_rready <= m02_couplers_to_m02_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m02_couplers_to_m02_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m02_couplers_to_m02_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m02_couplers_to_m02_couplers_WVALID; S_AXI_arready <= m02_couplers_to_m02_couplers_ARREADY; S_AXI_awready <= m02_couplers_to_m02_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m02_couplers_to_m02_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m02_couplers_to_m02_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m02_couplers_to_m02_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m02_couplers_to_m02_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m02_couplers_to_m02_couplers_RVALID; S_AXI_wready <= m02_couplers_to_m02_couplers_WREADY; m02_couplers_to_m02_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m02_couplers_to_m02_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m02_couplers_to_m02_couplers_ARREADY <= M_AXI_arready; m02_couplers_to_m02_couplers_ARVALID <= S_AXI_arvalid; m02_couplers_to_m02_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m02_couplers_to_m02_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m02_couplers_to_m02_couplers_AWREADY <= M_AXI_awready; m02_couplers_to_m02_couplers_AWVALID <= S_AXI_awvalid; m02_couplers_to_m02_couplers_BREADY <= S_AXI_bready; m02_couplers_to_m02_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m02_couplers_to_m02_couplers_BVALID <= M_AXI_bvalid; m02_couplers_to_m02_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m02_couplers_to_m02_couplers_RREADY <= S_AXI_rready; m02_couplers_to_m02_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m02_couplers_to_m02_couplers_RVALID <= M_AXI_rvalid; m02_couplers_to_m02_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m02_couplers_to_m02_couplers_WREADY <= M_AXI_wready; m02_couplers_to_m02_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m02_couplers_to_m02_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m03_couplers_imp_1YCPS1Z is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m03_couplers_imp_1YCPS1Z; architecture STRUCTURE of m03_couplers_imp_1YCPS1Z is signal m03_couplers_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_m03_couplers_ARREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_ARVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_m03_couplers_AWREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_AWVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_BREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_m03_couplers_BVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_RREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_m03_couplers_RVALID : STD_LOGIC; signal m03_couplers_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_m03_couplers_WREADY : STD_LOGIC; signal m03_couplers_to_m03_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m03_couplers_to_m03_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m03_couplers_to_m03_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= m03_couplers_to_m03_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= m03_couplers_to_m03_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m03_couplers_to_m03_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= m03_couplers_to_m03_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= m03_couplers_to_m03_couplers_AWVALID; M_AXI_bready <= m03_couplers_to_m03_couplers_BREADY; M_AXI_rready <= m03_couplers_to_m03_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m03_couplers_to_m03_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m03_couplers_to_m03_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m03_couplers_to_m03_couplers_WVALID; S_AXI_arready <= m03_couplers_to_m03_couplers_ARREADY; S_AXI_awready <= m03_couplers_to_m03_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m03_couplers_to_m03_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m03_couplers_to_m03_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m03_couplers_to_m03_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m03_couplers_to_m03_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m03_couplers_to_m03_couplers_RVALID; S_AXI_wready <= m03_couplers_to_m03_couplers_WREADY; m03_couplers_to_m03_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m03_couplers_to_m03_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); m03_couplers_to_m03_couplers_ARREADY <= M_AXI_arready; m03_couplers_to_m03_couplers_ARVALID <= S_AXI_arvalid; m03_couplers_to_m03_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m03_couplers_to_m03_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); m03_couplers_to_m03_couplers_AWREADY <= M_AXI_awready; m03_couplers_to_m03_couplers_AWVALID <= S_AXI_awvalid; m03_couplers_to_m03_couplers_BREADY <= S_AXI_bready; m03_couplers_to_m03_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m03_couplers_to_m03_couplers_BVALID <= M_AXI_bvalid; m03_couplers_to_m03_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m03_couplers_to_m03_couplers_RREADY <= S_AXI_rready; m03_couplers_to_m03_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m03_couplers_to_m03_couplers_RVALID <= M_AXI_rvalid; m03_couplers_to_m03_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m03_couplers_to_m03_couplers_WREADY <= M_AXI_wready; m03_couplers_to_m03_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m03_couplers_to_m03_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m04_couplers_imp_ACM7VL is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m04_couplers_imp_ACM7VL; architecture STRUCTURE of m04_couplers_imp_ACM7VL is signal m04_couplers_to_m04_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_m04_couplers_ARREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_ARVALID : STD_LOGIC; signal m04_couplers_to_m04_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_m04_couplers_AWREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_AWVALID : STD_LOGIC; signal m04_couplers_to_m04_couplers_BREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m04_couplers_to_m04_couplers_BVALID : STD_LOGIC; signal m04_couplers_to_m04_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_m04_couplers_RREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m04_couplers_to_m04_couplers_RVALID : STD_LOGIC; signal m04_couplers_to_m04_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_m04_couplers_WREADY : STD_LOGIC; signal m04_couplers_to_m04_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m04_couplers_to_m04_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m04_couplers_to_m04_couplers_ARADDR(31 downto 0); M_AXI_arvalid <= m04_couplers_to_m04_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m04_couplers_to_m04_couplers_AWADDR(31 downto 0); M_AXI_awvalid <= m04_couplers_to_m04_couplers_AWVALID; M_AXI_bready <= m04_couplers_to_m04_couplers_BREADY; M_AXI_rready <= m04_couplers_to_m04_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m04_couplers_to_m04_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m04_couplers_to_m04_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m04_couplers_to_m04_couplers_WVALID; S_AXI_arready <= m04_couplers_to_m04_couplers_ARREADY; S_AXI_awready <= m04_couplers_to_m04_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m04_couplers_to_m04_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m04_couplers_to_m04_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m04_couplers_to_m04_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m04_couplers_to_m04_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m04_couplers_to_m04_couplers_RVALID; S_AXI_wready <= m04_couplers_to_m04_couplers_WREADY; m04_couplers_to_m04_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m04_couplers_to_m04_couplers_ARREADY <= M_AXI_arready; m04_couplers_to_m04_couplers_ARVALID <= S_AXI_arvalid; m04_couplers_to_m04_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m04_couplers_to_m04_couplers_AWREADY <= M_AXI_awready; m04_couplers_to_m04_couplers_AWVALID <= S_AXI_awvalid; m04_couplers_to_m04_couplers_BREADY <= S_AXI_bready; m04_couplers_to_m04_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m04_couplers_to_m04_couplers_BVALID <= M_AXI_bvalid; m04_couplers_to_m04_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m04_couplers_to_m04_couplers_RREADY <= S_AXI_rready; m04_couplers_to_m04_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m04_couplers_to_m04_couplers_RVALID <= M_AXI_rvalid; m04_couplers_to_m04_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m04_couplers_to_m04_couplers_WREADY <= M_AXI_wready; m04_couplers_to_m04_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m04_couplers_to_m04_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m05_couplers_imp_1HWY5FA is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m05_couplers_imp_1HWY5FA; architecture STRUCTURE of m05_couplers_imp_1HWY5FA is signal m05_couplers_to_m05_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_m05_couplers_ARREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_ARVALID : STD_LOGIC; signal m05_couplers_to_m05_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_m05_couplers_AWREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_AWVALID : STD_LOGIC; signal m05_couplers_to_m05_couplers_BREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m05_couplers_to_m05_couplers_BVALID : STD_LOGIC; signal m05_couplers_to_m05_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_m05_couplers_RREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m05_couplers_to_m05_couplers_RVALID : STD_LOGIC; signal m05_couplers_to_m05_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_m05_couplers_WREADY : STD_LOGIC; signal m05_couplers_to_m05_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m05_couplers_to_m05_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m05_couplers_to_m05_couplers_ARADDR(31 downto 0); M_AXI_arvalid <= m05_couplers_to_m05_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m05_couplers_to_m05_couplers_AWADDR(31 downto 0); M_AXI_awvalid <= m05_couplers_to_m05_couplers_AWVALID; M_AXI_bready <= m05_couplers_to_m05_couplers_BREADY; M_AXI_rready <= m05_couplers_to_m05_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m05_couplers_to_m05_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m05_couplers_to_m05_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m05_couplers_to_m05_couplers_WVALID; S_AXI_arready <= m05_couplers_to_m05_couplers_ARREADY; S_AXI_awready <= m05_couplers_to_m05_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m05_couplers_to_m05_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m05_couplers_to_m05_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m05_couplers_to_m05_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m05_couplers_to_m05_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m05_couplers_to_m05_couplers_RVALID; S_AXI_wready <= m05_couplers_to_m05_couplers_WREADY; m05_couplers_to_m05_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m05_couplers_to_m05_couplers_ARREADY <= M_AXI_arready; m05_couplers_to_m05_couplers_ARVALID <= S_AXI_arvalid; m05_couplers_to_m05_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m05_couplers_to_m05_couplers_AWREADY <= M_AXI_awready; m05_couplers_to_m05_couplers_AWVALID <= S_AXI_awvalid; m05_couplers_to_m05_couplers_BREADY <= S_AXI_bready; m05_couplers_to_m05_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m05_couplers_to_m05_couplers_BVALID <= M_AXI_bvalid; m05_couplers_to_m05_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m05_couplers_to_m05_couplers_RREADY <= S_AXI_rready; m05_couplers_to_m05_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m05_couplers_to_m05_couplers_RVALID <= M_AXI_rvalid; m05_couplers_to_m05_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m05_couplers_to_m05_couplers_WREADY <= M_AXI_wready; m05_couplers_to_m05_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m05_couplers_to_m05_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity m06_couplers_imp_DBR4EM is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end m06_couplers_imp_DBR4EM; architecture STRUCTURE of m06_couplers_imp_DBR4EM is signal m06_couplers_to_m06_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_m06_couplers_ARREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_ARVALID : STD_LOGIC; signal m06_couplers_to_m06_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_m06_couplers_AWREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_AWVALID : STD_LOGIC; signal m06_couplers_to_m06_couplers_BREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m06_couplers_to_m06_couplers_BVALID : STD_LOGIC; signal m06_couplers_to_m06_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_m06_couplers_RREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m06_couplers_to_m06_couplers_RVALID : STD_LOGIC; signal m06_couplers_to_m06_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_m06_couplers_WREADY : STD_LOGIC; signal m06_couplers_to_m06_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m06_couplers_to_m06_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= m06_couplers_to_m06_couplers_ARADDR(31 downto 0); M_AXI_arvalid <= m06_couplers_to_m06_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= m06_couplers_to_m06_couplers_AWADDR(31 downto 0); M_AXI_awvalid <= m06_couplers_to_m06_couplers_AWVALID; M_AXI_bready <= m06_couplers_to_m06_couplers_BREADY; M_AXI_rready <= m06_couplers_to_m06_couplers_RREADY; M_AXI_wdata(31 downto 0) <= m06_couplers_to_m06_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= m06_couplers_to_m06_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= m06_couplers_to_m06_couplers_WVALID; S_AXI_arready <= m06_couplers_to_m06_couplers_ARREADY; S_AXI_awready <= m06_couplers_to_m06_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= m06_couplers_to_m06_couplers_BRESP(1 downto 0); S_AXI_bvalid <= m06_couplers_to_m06_couplers_BVALID; S_AXI_rdata(31 downto 0) <= m06_couplers_to_m06_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= m06_couplers_to_m06_couplers_RRESP(1 downto 0); S_AXI_rvalid <= m06_couplers_to_m06_couplers_RVALID; S_AXI_wready <= m06_couplers_to_m06_couplers_WREADY; m06_couplers_to_m06_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); m06_couplers_to_m06_couplers_ARREADY <= M_AXI_arready; m06_couplers_to_m06_couplers_ARVALID <= S_AXI_arvalid; m06_couplers_to_m06_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); m06_couplers_to_m06_couplers_AWREADY <= M_AXI_awready; m06_couplers_to_m06_couplers_AWVALID <= S_AXI_awvalid; m06_couplers_to_m06_couplers_BREADY <= S_AXI_bready; m06_couplers_to_m06_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); m06_couplers_to_m06_couplers_BVALID <= M_AXI_bvalid; m06_couplers_to_m06_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); m06_couplers_to_m06_couplers_RREADY <= S_AXI_rready; m06_couplers_to_m06_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); m06_couplers_to_m06_couplers_RVALID <= M_AXI_rvalid; m06_couplers_to_m06_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); m06_couplers_to_m06_couplers_WREADY <= M_AXI_wready; m06_couplers_to_m06_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); m06_couplers_to_m06_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s00_couplers_imp_7XIH8P is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s00_couplers_imp_7XIH8P; architecture STRUCTURE of s00_couplers_imp_7XIH8P is signal s00_couplers_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_s00_couplers_ARREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_ARVALID : STD_LOGIC; signal s00_couplers_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_s00_couplers_AWREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_AWVALID : STD_LOGIC; signal s00_couplers_to_s00_couplers_BREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_s00_couplers_BVALID : STD_LOGIC; signal s00_couplers_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_s00_couplers_RREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_s00_couplers_RVALID : STD_LOGIC; signal s00_couplers_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_s00_couplers_WREADY : STD_LOGIC; signal s00_couplers_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_s00_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= s00_couplers_to_s00_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= s00_couplers_to_s00_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= s00_couplers_to_s00_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= s00_couplers_to_s00_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= s00_couplers_to_s00_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= s00_couplers_to_s00_couplers_AWVALID; M_AXI_bready <= s00_couplers_to_s00_couplers_BREADY; M_AXI_rready <= s00_couplers_to_s00_couplers_RREADY; M_AXI_wdata(31 downto 0) <= s00_couplers_to_s00_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= s00_couplers_to_s00_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= s00_couplers_to_s00_couplers_WVALID; S_AXI_arready <= s00_couplers_to_s00_couplers_ARREADY; S_AXI_awready <= s00_couplers_to_s00_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= s00_couplers_to_s00_couplers_BRESP(1 downto 0); S_AXI_bvalid <= s00_couplers_to_s00_couplers_BVALID; S_AXI_rdata(31 downto 0) <= s00_couplers_to_s00_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= s00_couplers_to_s00_couplers_RRESP(1 downto 0); S_AXI_rvalid <= s00_couplers_to_s00_couplers_RVALID; S_AXI_wready <= s00_couplers_to_s00_couplers_WREADY; s00_couplers_to_s00_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s00_couplers_to_s00_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s00_couplers_to_s00_couplers_ARREADY <= M_AXI_arready; s00_couplers_to_s00_couplers_ARVALID <= S_AXI_arvalid; s00_couplers_to_s00_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s00_couplers_to_s00_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s00_couplers_to_s00_couplers_AWREADY <= M_AXI_awready; s00_couplers_to_s00_couplers_AWVALID <= S_AXI_awvalid; s00_couplers_to_s00_couplers_BREADY <= S_AXI_bready; s00_couplers_to_s00_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); s00_couplers_to_s00_couplers_BVALID <= M_AXI_bvalid; s00_couplers_to_s00_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); s00_couplers_to_s00_couplers_RREADY <= S_AXI_rready; s00_couplers_to_s00_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); s00_couplers_to_s00_couplers_RVALID <= M_AXI_rvalid; s00_couplers_to_s00_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s00_couplers_to_s00_couplers_WREADY <= M_AXI_wready; s00_couplers_to_s00_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s00_couplers_to_s00_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s01_couplers_imp_1XSI6OU is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awvalid : in STD_LOGIC; S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s01_couplers_imp_1XSI6OU; architecture STRUCTURE of s01_couplers_imp_1XSI6OU is signal s01_couplers_to_s01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_s01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s01_couplers_to_s01_couplers_ARREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_ARVALID : STD_LOGIC; signal s01_couplers_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s01_couplers_to_s01_couplers_AWREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_AWVALID : STD_LOGIC; signal s01_couplers_to_s01_couplers_BREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s01_couplers_to_s01_couplers_BVALID : STD_LOGIC; signal s01_couplers_to_s01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_s01_couplers_RREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s01_couplers_to_s01_couplers_RVALID : STD_LOGIC; signal s01_couplers_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_s01_couplers_WREADY : STD_LOGIC; signal s01_couplers_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s01_couplers_to_s01_couplers_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= s01_couplers_to_s01_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= s01_couplers_to_s01_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= s01_couplers_to_s01_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= s01_couplers_to_s01_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= s01_couplers_to_s01_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= s01_couplers_to_s01_couplers_AWVALID; M_AXI_bready <= s01_couplers_to_s01_couplers_BREADY; M_AXI_rready <= s01_couplers_to_s01_couplers_RREADY; M_AXI_wdata(31 downto 0) <= s01_couplers_to_s01_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= s01_couplers_to_s01_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= s01_couplers_to_s01_couplers_WVALID; S_AXI_arready <= s01_couplers_to_s01_couplers_ARREADY; S_AXI_awready <= s01_couplers_to_s01_couplers_AWREADY; S_AXI_bresp(1 downto 0) <= s01_couplers_to_s01_couplers_BRESP(1 downto 0); S_AXI_bvalid <= s01_couplers_to_s01_couplers_BVALID; S_AXI_rdata(31 downto 0) <= s01_couplers_to_s01_couplers_RDATA(31 downto 0); S_AXI_rresp(1 downto 0) <= s01_couplers_to_s01_couplers_RRESP(1 downto 0); S_AXI_rvalid <= s01_couplers_to_s01_couplers_RVALID; S_AXI_wready <= s01_couplers_to_s01_couplers_WREADY; s01_couplers_to_s01_couplers_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s01_couplers_to_s01_couplers_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s01_couplers_to_s01_couplers_ARREADY <= M_AXI_arready; s01_couplers_to_s01_couplers_ARVALID <= S_AXI_arvalid; s01_couplers_to_s01_couplers_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s01_couplers_to_s01_couplers_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s01_couplers_to_s01_couplers_AWREADY <= M_AXI_awready; s01_couplers_to_s01_couplers_AWVALID <= S_AXI_awvalid; s01_couplers_to_s01_couplers_BREADY <= S_AXI_bready; s01_couplers_to_s01_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); s01_couplers_to_s01_couplers_BVALID <= M_AXI_bvalid; s01_couplers_to_s01_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); s01_couplers_to_s01_couplers_RREADY <= S_AXI_rready; s01_couplers_to_s01_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); s01_couplers_to_s01_couplers_RVALID <= M_AXI_rvalid; s01_couplers_to_s01_couplers_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s01_couplers_to_s01_couplers_WREADY <= M_AXI_wready; s01_couplers_to_s01_couplers_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s01_couplers_to_s01_couplers_WVALID <= S_AXI_wvalid; end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity s02_couplers_imp_2QLUHY is port ( M_ACLK : in STD_LOGIC; M_ARESETN : in STD_LOGIC; M_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_arready : in STD_LOGIC; M_AXI_arvalid : out STD_LOGIC; M_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_awready : in STD_LOGIC; M_AXI_awvalid : out STD_LOGIC; M_AXI_bready : out STD_LOGIC; M_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_bvalid : in STD_LOGIC; M_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_rready : out STD_LOGIC; M_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_rvalid : in STD_LOGIC; M_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_wready : in STD_LOGIC; M_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_wvalid : out STD_LOGIC; S_ACLK : in STD_LOGIC; S_ARESETN : in STD_LOGIC; S_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_arlock : in STD_LOGIC; S_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_arready : out STD_LOGIC; S_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_arvalid : in STD_LOGIC; S_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_awlock : in STD_LOGIC; S_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_awready : out STD_LOGIC; S_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_awvalid : in STD_LOGIC; S_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_bready : in STD_LOGIC; S_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_bvalid : out STD_LOGIC; S_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); S_AXI_rlast : out STD_LOGIC; S_AXI_rready : in STD_LOGIC; S_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_rvalid : out STD_LOGIC; S_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_wlast : in STD_LOGIC; S_AXI_wready : out STD_LOGIC; S_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_wvalid : in STD_LOGIC ); end s02_couplers_imp_2QLUHY; architecture STRUCTURE of s02_couplers_imp_2QLUHY is component DemoInterconnect_auto_pc_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); end component DemoInterconnect_auto_pc_0; signal S_ACLK_1 : STD_LOGIC; signal S_ARESETN_1 : STD_LOGIC; signal auto_pc_to_s02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s02_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s02_couplers_ARREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_ARVALID : STD_LOGIC; signal auto_pc_to_s02_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s02_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal auto_pc_to_s02_couplers_AWREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_AWVALID : STD_LOGIC; signal auto_pc_to_s02_couplers_BREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s02_couplers_BVALID : STD_LOGIC; signal auto_pc_to_s02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s02_couplers_RREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal auto_pc_to_s02_couplers_RVALID : STD_LOGIC; signal auto_pc_to_s02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal auto_pc_to_s02_couplers_WREADY : STD_LOGIC; signal auto_pc_to_s02_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal auto_pc_to_s02_couplers_WVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_auto_pc_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s02_couplers_to_auto_pc_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_ARID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s02_couplers_to_auto_pc_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s02_couplers_to_auto_pc_ARLOCK : STD_LOGIC; signal s02_couplers_to_auto_pc_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_auto_pc_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_ARREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_auto_pc_ARVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_auto_pc_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s02_couplers_to_auto_pc_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_AWID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s02_couplers_to_auto_pc_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s02_couplers_to_auto_pc_AWLOCK : STD_LOGIC; signal s02_couplers_to_auto_pc_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_auto_pc_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_AWREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_auto_pc_AWVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_BID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s02_couplers_to_auto_pc_BREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s02_couplers_to_auto_pc_BVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_auto_pc_RID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s02_couplers_to_auto_pc_RLAST : STD_LOGIC; signal s02_couplers_to_auto_pc_RREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s02_couplers_to_auto_pc_RVALID : STD_LOGIC; signal s02_couplers_to_auto_pc_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_auto_pc_WLAST : STD_LOGIC; signal s02_couplers_to_auto_pc_WREADY : STD_LOGIC; signal s02_couplers_to_auto_pc_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_auto_pc_WVALID : STD_LOGIC; begin M_AXI_araddr(31 downto 0) <= auto_pc_to_s02_couplers_ARADDR(31 downto 0); M_AXI_arprot(2 downto 0) <= auto_pc_to_s02_couplers_ARPROT(2 downto 0); M_AXI_arvalid <= auto_pc_to_s02_couplers_ARVALID; M_AXI_awaddr(31 downto 0) <= auto_pc_to_s02_couplers_AWADDR(31 downto 0); M_AXI_awprot(2 downto 0) <= auto_pc_to_s02_couplers_AWPROT(2 downto 0); M_AXI_awvalid <= auto_pc_to_s02_couplers_AWVALID; M_AXI_bready <= auto_pc_to_s02_couplers_BREADY; M_AXI_rready <= auto_pc_to_s02_couplers_RREADY; M_AXI_wdata(31 downto 0) <= auto_pc_to_s02_couplers_WDATA(31 downto 0); M_AXI_wstrb(3 downto 0) <= auto_pc_to_s02_couplers_WSTRB(3 downto 0); M_AXI_wvalid <= auto_pc_to_s02_couplers_WVALID; S_ACLK_1 <= S_ACLK; S_ARESETN_1 <= S_ARESETN; S_AXI_arready <= s02_couplers_to_auto_pc_ARREADY; S_AXI_awready <= s02_couplers_to_auto_pc_AWREADY; S_AXI_bid(0) <= s02_couplers_to_auto_pc_BID(0); S_AXI_bresp(1 downto 0) <= s02_couplers_to_auto_pc_BRESP(1 downto 0); S_AXI_bvalid <= s02_couplers_to_auto_pc_BVALID; S_AXI_rdata(31 downto 0) <= s02_couplers_to_auto_pc_RDATA(31 downto 0); S_AXI_rid(0) <= s02_couplers_to_auto_pc_RID(0); S_AXI_rlast <= s02_couplers_to_auto_pc_RLAST; S_AXI_rresp(1 downto 0) <= s02_couplers_to_auto_pc_RRESP(1 downto 0); S_AXI_rvalid <= s02_couplers_to_auto_pc_RVALID; S_AXI_wready <= s02_couplers_to_auto_pc_WREADY; auto_pc_to_s02_couplers_ARREADY <= M_AXI_arready; auto_pc_to_s02_couplers_AWREADY <= M_AXI_awready; auto_pc_to_s02_couplers_BRESP(1 downto 0) <= M_AXI_bresp(1 downto 0); auto_pc_to_s02_couplers_BVALID <= M_AXI_bvalid; auto_pc_to_s02_couplers_RDATA(31 downto 0) <= M_AXI_rdata(31 downto 0); auto_pc_to_s02_couplers_RRESP(1 downto 0) <= M_AXI_rresp(1 downto 0); auto_pc_to_s02_couplers_RVALID <= M_AXI_rvalid; auto_pc_to_s02_couplers_WREADY <= M_AXI_wready; s02_couplers_to_auto_pc_ARADDR(31 downto 0) <= S_AXI_araddr(31 downto 0); s02_couplers_to_auto_pc_ARBURST(1 downto 0) <= S_AXI_arburst(1 downto 0); s02_couplers_to_auto_pc_ARCACHE(3 downto 0) <= S_AXI_arcache(3 downto 0); s02_couplers_to_auto_pc_ARID(0) <= S_AXI_arid(0); s02_couplers_to_auto_pc_ARLEN(7 downto 0) <= S_AXI_arlen(7 downto 0); s02_couplers_to_auto_pc_ARLOCK <= S_AXI_arlock; s02_couplers_to_auto_pc_ARPROT(2 downto 0) <= S_AXI_arprot(2 downto 0); s02_couplers_to_auto_pc_ARQOS(3 downto 0) <= S_AXI_arqos(3 downto 0); s02_couplers_to_auto_pc_ARSIZE(2 downto 0) <= S_AXI_arsize(2 downto 0); s02_couplers_to_auto_pc_ARVALID <= S_AXI_arvalid; s02_couplers_to_auto_pc_AWADDR(31 downto 0) <= S_AXI_awaddr(31 downto 0); s02_couplers_to_auto_pc_AWBURST(1 downto 0) <= S_AXI_awburst(1 downto 0); s02_couplers_to_auto_pc_AWCACHE(3 downto 0) <= S_AXI_awcache(3 downto 0); s02_couplers_to_auto_pc_AWID(0) <= S_AXI_awid(0); s02_couplers_to_auto_pc_AWLEN(7 downto 0) <= S_AXI_awlen(7 downto 0); s02_couplers_to_auto_pc_AWLOCK <= S_AXI_awlock; s02_couplers_to_auto_pc_AWPROT(2 downto 0) <= S_AXI_awprot(2 downto 0); s02_couplers_to_auto_pc_AWQOS(3 downto 0) <= S_AXI_awqos(3 downto 0); s02_couplers_to_auto_pc_AWSIZE(2 downto 0) <= S_AXI_awsize(2 downto 0); s02_couplers_to_auto_pc_AWVALID <= S_AXI_awvalid; s02_couplers_to_auto_pc_BREADY <= S_AXI_bready; s02_couplers_to_auto_pc_RREADY <= S_AXI_rready; s02_couplers_to_auto_pc_WDATA(31 downto 0) <= S_AXI_wdata(31 downto 0); s02_couplers_to_auto_pc_WLAST <= S_AXI_wlast; s02_couplers_to_auto_pc_WSTRB(3 downto 0) <= S_AXI_wstrb(3 downto 0); s02_couplers_to_auto_pc_WVALID <= S_AXI_wvalid; auto_pc: component DemoInterconnect_auto_pc_0 port map ( aclk => S_ACLK_1, aresetn => S_ARESETN_1, m_axi_araddr(31 downto 0) => auto_pc_to_s02_couplers_ARADDR(31 downto 0), m_axi_arprot(2 downto 0) => auto_pc_to_s02_couplers_ARPROT(2 downto 0), m_axi_arready => auto_pc_to_s02_couplers_ARREADY, m_axi_arvalid => auto_pc_to_s02_couplers_ARVALID, m_axi_awaddr(31 downto 0) => auto_pc_to_s02_couplers_AWADDR(31 downto 0), m_axi_awprot(2 downto 0) => auto_pc_to_s02_couplers_AWPROT(2 downto 0), m_axi_awready => auto_pc_to_s02_couplers_AWREADY, m_axi_awvalid => auto_pc_to_s02_couplers_AWVALID, m_axi_bready => auto_pc_to_s02_couplers_BREADY, m_axi_bresp(1 downto 0) => auto_pc_to_s02_couplers_BRESP(1 downto 0), m_axi_bvalid => auto_pc_to_s02_couplers_BVALID, m_axi_rdata(31 downto 0) => auto_pc_to_s02_couplers_RDATA(31 downto 0), m_axi_rready => auto_pc_to_s02_couplers_RREADY, m_axi_rresp(1 downto 0) => auto_pc_to_s02_couplers_RRESP(1 downto 0), m_axi_rvalid => auto_pc_to_s02_couplers_RVALID, m_axi_wdata(31 downto 0) => auto_pc_to_s02_couplers_WDATA(31 downto 0), m_axi_wready => auto_pc_to_s02_couplers_WREADY, m_axi_wstrb(3 downto 0) => auto_pc_to_s02_couplers_WSTRB(3 downto 0), m_axi_wvalid => auto_pc_to_s02_couplers_WVALID, s_axi_araddr(31 downto 0) => s02_couplers_to_auto_pc_ARADDR(31 downto 0), s_axi_arburst(1 downto 0) => s02_couplers_to_auto_pc_ARBURST(1 downto 0), s_axi_arcache(3 downto 0) => s02_couplers_to_auto_pc_ARCACHE(3 downto 0), s_axi_arid(0) => s02_couplers_to_auto_pc_ARID(0), s_axi_arlen(7 downto 0) => s02_couplers_to_auto_pc_ARLEN(7 downto 0), s_axi_arlock(0) => s02_couplers_to_auto_pc_ARLOCK, s_axi_arprot(2 downto 0) => s02_couplers_to_auto_pc_ARPROT(2 downto 0), s_axi_arqos(3 downto 0) => s02_couplers_to_auto_pc_ARQOS(3 downto 0), s_axi_arready => s02_couplers_to_auto_pc_ARREADY, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => s02_couplers_to_auto_pc_ARSIZE(2 downto 0), s_axi_arvalid => s02_couplers_to_auto_pc_ARVALID, s_axi_awaddr(31 downto 0) => s02_couplers_to_auto_pc_AWADDR(31 downto 0), s_axi_awburst(1 downto 0) => s02_couplers_to_auto_pc_AWBURST(1 downto 0), s_axi_awcache(3 downto 0) => s02_couplers_to_auto_pc_AWCACHE(3 downto 0), s_axi_awid(0) => s02_couplers_to_auto_pc_AWID(0), s_axi_awlen(7 downto 0) => s02_couplers_to_auto_pc_AWLEN(7 downto 0), s_axi_awlock(0) => s02_couplers_to_auto_pc_AWLOCK, s_axi_awprot(2 downto 0) => s02_couplers_to_auto_pc_AWPROT(2 downto 0), s_axi_awqos(3 downto 0) => s02_couplers_to_auto_pc_AWQOS(3 downto 0), s_axi_awready => s02_couplers_to_auto_pc_AWREADY, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => s02_couplers_to_auto_pc_AWSIZE(2 downto 0), s_axi_awvalid => s02_couplers_to_auto_pc_AWVALID, s_axi_bid(0) => s02_couplers_to_auto_pc_BID(0), s_axi_bready => s02_couplers_to_auto_pc_BREADY, s_axi_bresp(1 downto 0) => s02_couplers_to_auto_pc_BRESP(1 downto 0), s_axi_bvalid => s02_couplers_to_auto_pc_BVALID, s_axi_rdata(31 downto 0) => s02_couplers_to_auto_pc_RDATA(31 downto 0), s_axi_rid(0) => s02_couplers_to_auto_pc_RID(0), s_axi_rlast => s02_couplers_to_auto_pc_RLAST, s_axi_rready => s02_couplers_to_auto_pc_RREADY, s_axi_rresp(1 downto 0) => s02_couplers_to_auto_pc_RRESP(1 downto 0), s_axi_rvalid => s02_couplers_to_auto_pc_RVALID, s_axi_wdata(31 downto 0) => s02_couplers_to_auto_pc_WDATA(31 downto 0), s_axi_wlast => s02_couplers_to_auto_pc_WLAST, s_axi_wready => s02_couplers_to_auto_pc_WREADY, s_axi_wstrb(3 downto 0) => s02_couplers_to_auto_pc_WSTRB(3 downto 0), s_axi_wvalid => s02_couplers_to_auto_pc_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity DemoInterconnect_axi_interconnect_0_0 is port ( ACLK : in STD_LOGIC; ARESETN : in STD_LOGIC; M00_ACLK : in STD_LOGIC; M00_ARESETN : in STD_LOGIC; M00_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M00_AXI_arready : in STD_LOGIC; M00_AXI_arvalid : out STD_LOGIC; M00_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M00_AXI_awready : in STD_LOGIC; M00_AXI_awvalid : out STD_LOGIC; M00_AXI_bready : out STD_LOGIC; M00_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_bvalid : in STD_LOGIC; M00_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_rready : out STD_LOGIC; M00_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M00_AXI_rvalid : in STD_LOGIC; M00_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M00_AXI_wready : in STD_LOGIC; M00_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M00_AXI_wvalid : out STD_LOGIC; M01_ACLK : in STD_LOGIC; M01_ARESETN : in STD_LOGIC; M01_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M01_AXI_arready : in STD_LOGIC; M01_AXI_arvalid : out STD_LOGIC; M01_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M01_AXI_awready : in STD_LOGIC; M01_AXI_awvalid : out STD_LOGIC; M01_AXI_bready : out STD_LOGIC; M01_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M01_AXI_bvalid : in STD_LOGIC; M01_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_rready : out STD_LOGIC; M01_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M01_AXI_rvalid : in STD_LOGIC; M01_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M01_AXI_wready : in STD_LOGIC; M01_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M01_AXI_wvalid : out STD_LOGIC; M02_ACLK : in STD_LOGIC; M02_ARESETN : in STD_LOGIC; M02_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M02_AXI_arready : in STD_LOGIC; M02_AXI_arvalid : out STD_LOGIC; M02_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M02_AXI_awready : in STD_LOGIC; M02_AXI_awvalid : out STD_LOGIC; M02_AXI_bready : out STD_LOGIC; M02_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M02_AXI_bvalid : in STD_LOGIC; M02_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_rready : out STD_LOGIC; M02_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M02_AXI_rvalid : in STD_LOGIC; M02_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M02_AXI_wready : in STD_LOGIC; M02_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M02_AXI_wvalid : out STD_LOGIC; M03_ACLK : in STD_LOGIC; M03_ARESETN : in STD_LOGIC; M03_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M03_AXI_arready : in STD_LOGIC; M03_AXI_arvalid : out STD_LOGIC; M03_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); M03_AXI_awready : in STD_LOGIC; M03_AXI_awvalid : out STD_LOGIC; M03_AXI_bready : out STD_LOGIC; M03_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M03_AXI_bvalid : in STD_LOGIC; M03_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_rready : out STD_LOGIC; M03_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M03_AXI_rvalid : in STD_LOGIC; M03_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M03_AXI_wready : in STD_LOGIC; M03_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M03_AXI_wvalid : out STD_LOGIC; M04_ACLK : in STD_LOGIC; M04_ARESETN : in STD_LOGIC; M04_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M04_AXI_arready : in STD_LOGIC; M04_AXI_arvalid : out STD_LOGIC; M04_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M04_AXI_awready : in STD_LOGIC; M04_AXI_awvalid : out STD_LOGIC; M04_AXI_bready : out STD_LOGIC; M04_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M04_AXI_bvalid : in STD_LOGIC; M04_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M04_AXI_rready : out STD_LOGIC; M04_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M04_AXI_rvalid : in STD_LOGIC; M04_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M04_AXI_wready : in STD_LOGIC; M04_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M04_AXI_wvalid : out STD_LOGIC; M05_ACLK : in STD_LOGIC; M05_ARESETN : in STD_LOGIC; M05_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M05_AXI_arready : in STD_LOGIC; M05_AXI_arvalid : out STD_LOGIC; M05_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M05_AXI_awready : in STD_LOGIC; M05_AXI_awvalid : out STD_LOGIC; M05_AXI_bready : out STD_LOGIC; M05_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M05_AXI_bvalid : in STD_LOGIC; M05_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M05_AXI_rready : out STD_LOGIC; M05_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M05_AXI_rvalid : in STD_LOGIC; M05_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M05_AXI_wready : in STD_LOGIC; M05_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M05_AXI_wvalid : out STD_LOGIC; M06_ACLK : in STD_LOGIC; M06_ARESETN : in STD_LOGIC; M06_AXI_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M06_AXI_arready : in STD_LOGIC; M06_AXI_arvalid : out STD_LOGIC; M06_AXI_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); M06_AXI_awready : in STD_LOGIC; M06_AXI_awvalid : out STD_LOGIC; M06_AXI_bready : out STD_LOGIC; M06_AXI_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M06_AXI_bvalid : in STD_LOGIC; M06_AXI_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); M06_AXI_rready : out STD_LOGIC; M06_AXI_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); M06_AXI_rvalid : in STD_LOGIC; M06_AXI_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); M06_AXI_wready : in STD_LOGIC; M06_AXI_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); M06_AXI_wvalid : out STD_LOGIC; S00_ACLK : in STD_LOGIC; S00_ARESETN : in STD_LOGIC; S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC; S01_ACLK : in STD_LOGIC; S01_ARESETN : in STD_LOGIC; S01_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S01_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S01_AXI_arready : out STD_LOGIC; S01_AXI_arvalid : in STD_LOGIC; S01_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S01_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S01_AXI_awready : out STD_LOGIC; S01_AXI_awvalid : in STD_LOGIC; S01_AXI_bready : in STD_LOGIC; S01_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S01_AXI_bvalid : out STD_LOGIC; S01_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S01_AXI_rready : in STD_LOGIC; S01_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S01_AXI_rvalid : out STD_LOGIC; S01_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S01_AXI_wready : out STD_LOGIC; S01_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S01_AXI_wvalid : in STD_LOGIC; S02_ACLK : in STD_LOGIC; S02_ARESETN : in STD_LOGIC; S02_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S02_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S02_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); S02_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S02_AXI_arlock : in STD_LOGIC; S02_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S02_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_arready : out STD_LOGIC; S02_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S02_AXI_arvalid : in STD_LOGIC; S02_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S02_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S02_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); S02_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S02_AXI_awlock : in STD_LOGIC; S02_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S02_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_awready : out STD_LOGIC; S02_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S02_AXI_awvalid : in STD_LOGIC; S02_AXI_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); S02_AXI_bready : in STD_LOGIC; S02_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S02_AXI_bvalid : out STD_LOGIC; S02_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S02_AXI_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); S02_AXI_rlast : out STD_LOGIC; S02_AXI_rready : in STD_LOGIC; S02_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S02_AXI_rvalid : out STD_LOGIC; S02_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S02_AXI_wlast : in STD_LOGIC; S02_AXI_wready : out STD_LOGIC; S02_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S02_AXI_wvalid : in STD_LOGIC ); end DemoInterconnect_axi_interconnect_0_0; architecture STRUCTURE of DemoInterconnect_axi_interconnect_0_0 is component DemoInterconnect_xbar_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 95 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awready : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 95 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wready : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_bvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_bready : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 95 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arready : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 95 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_rvalid : out STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_rready : in STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 223 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 20 downto 0 ); m_axi_awvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_awready : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 223 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 27 downto 0 ); m_axi_wvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_wready : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 13 downto 0 ); m_axi_bvalid : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_bready : out STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 223 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 20 downto 0 ); m_axi_arvalid : out STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_arready : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 223 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 13 downto 0 ); m_axi_rvalid : in STD_LOGIC_VECTOR ( 6 downto 0 ); m_axi_rready : out STD_LOGIC_VECTOR ( 6 downto 0 ) ); end component DemoInterconnect_xbar_0; signal interconnect_ACLK_net : STD_LOGIC; signal interconnect_ARESETN_net : STD_LOGIC; signal interconnect_to_s00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s00_couplers_ARREADY : STD_LOGIC; signal interconnect_to_s00_couplers_ARVALID : STD_LOGIC; signal interconnect_to_s00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s00_couplers_AWREADY : STD_LOGIC; signal interconnect_to_s00_couplers_AWVALID : STD_LOGIC; signal interconnect_to_s00_couplers_BREADY : STD_LOGIC; signal interconnect_to_s00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s00_couplers_BVALID : STD_LOGIC; signal interconnect_to_s00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s00_couplers_RREADY : STD_LOGIC; signal interconnect_to_s00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s00_couplers_RVALID : STD_LOGIC; signal interconnect_to_s00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s00_couplers_WREADY : STD_LOGIC; signal interconnect_to_s00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s00_couplers_WVALID : STD_LOGIC; signal interconnect_to_s01_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s01_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s01_couplers_ARREADY : STD_LOGIC; signal interconnect_to_s01_couplers_ARVALID : STD_LOGIC; signal interconnect_to_s01_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s01_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s01_couplers_AWREADY : STD_LOGIC; signal interconnect_to_s01_couplers_AWVALID : STD_LOGIC; signal interconnect_to_s01_couplers_BREADY : STD_LOGIC; signal interconnect_to_s01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s01_couplers_BVALID : STD_LOGIC; signal interconnect_to_s01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s01_couplers_RREADY : STD_LOGIC; signal interconnect_to_s01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s01_couplers_RVALID : STD_LOGIC; signal interconnect_to_s01_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s01_couplers_WREADY : STD_LOGIC; signal interconnect_to_s01_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s01_couplers_WVALID : STD_LOGIC; signal interconnect_to_s02_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s02_couplers_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s02_couplers_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_ARID : STD_LOGIC_VECTOR ( 0 to 0 ); signal interconnect_to_s02_couplers_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal interconnect_to_s02_couplers_ARLOCK : STD_LOGIC; signal interconnect_to_s02_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s02_couplers_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_ARREADY : STD_LOGIC; signal interconnect_to_s02_couplers_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s02_couplers_ARVALID : STD_LOGIC; signal interconnect_to_s02_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s02_couplers_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s02_couplers_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_AWID : STD_LOGIC_VECTOR ( 0 to 0 ); signal interconnect_to_s02_couplers_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal interconnect_to_s02_couplers_AWLOCK : STD_LOGIC; signal interconnect_to_s02_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s02_couplers_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_AWREADY : STD_LOGIC; signal interconnect_to_s02_couplers_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal interconnect_to_s02_couplers_AWVALID : STD_LOGIC; signal interconnect_to_s02_couplers_BID : STD_LOGIC_VECTOR ( 0 to 0 ); signal interconnect_to_s02_couplers_BREADY : STD_LOGIC; signal interconnect_to_s02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s02_couplers_BVALID : STD_LOGIC; signal interconnect_to_s02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s02_couplers_RID : STD_LOGIC_VECTOR ( 0 to 0 ); signal interconnect_to_s02_couplers_RLAST : STD_LOGIC; signal interconnect_to_s02_couplers_RREADY : STD_LOGIC; signal interconnect_to_s02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal interconnect_to_s02_couplers_RVALID : STD_LOGIC; signal interconnect_to_s02_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal interconnect_to_s02_couplers_WLAST : STD_LOGIC; signal interconnect_to_s02_couplers_WREADY : STD_LOGIC; signal interconnect_to_s02_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal interconnect_to_s02_couplers_WVALID : STD_LOGIC; signal m00_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_interconnect_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m00_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m00_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m00_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_interconnect_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m00_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m00_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m00_couplers_to_interconnect_BREADY : STD_LOGIC; signal m00_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_interconnect_BVALID : STD_LOGIC; signal m00_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_interconnect_RREADY : STD_LOGIC; signal m00_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m00_couplers_to_interconnect_RVALID : STD_LOGIC; signal m00_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m00_couplers_to_interconnect_WREADY : STD_LOGIC; signal m00_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m00_couplers_to_interconnect_WVALID : STD_LOGIC; signal m01_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_interconnect_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m01_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m01_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m01_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_interconnect_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m01_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m01_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m01_couplers_to_interconnect_BREADY : STD_LOGIC; signal m01_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_interconnect_BVALID : STD_LOGIC; signal m01_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_interconnect_RREADY : STD_LOGIC; signal m01_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m01_couplers_to_interconnect_RVALID : STD_LOGIC; signal m01_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m01_couplers_to_interconnect_WREADY : STD_LOGIC; signal m01_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m01_couplers_to_interconnect_WVALID : STD_LOGIC; signal m02_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_interconnect_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m02_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m02_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m02_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_interconnect_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m02_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m02_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m02_couplers_to_interconnect_BREADY : STD_LOGIC; signal m02_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_interconnect_BVALID : STD_LOGIC; signal m02_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_interconnect_RREADY : STD_LOGIC; signal m02_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m02_couplers_to_interconnect_RVALID : STD_LOGIC; signal m02_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m02_couplers_to_interconnect_WREADY : STD_LOGIC; signal m02_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m02_couplers_to_interconnect_WVALID : STD_LOGIC; signal m03_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_interconnect_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m03_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m03_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_interconnect_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal m03_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m03_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m03_couplers_to_interconnect_BREADY : STD_LOGIC; signal m03_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_interconnect_BVALID : STD_LOGIC; signal m03_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_interconnect_RREADY : STD_LOGIC; signal m03_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m03_couplers_to_interconnect_RVALID : STD_LOGIC; signal m03_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m03_couplers_to_interconnect_WREADY : STD_LOGIC; signal m03_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m03_couplers_to_interconnect_WVALID : STD_LOGIC; signal m04_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m04_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m04_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m04_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m04_couplers_to_interconnect_BREADY : STD_LOGIC; signal m04_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m04_couplers_to_interconnect_BVALID : STD_LOGIC; signal m04_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_interconnect_RREADY : STD_LOGIC; signal m04_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m04_couplers_to_interconnect_RVALID : STD_LOGIC; signal m04_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m04_couplers_to_interconnect_WREADY : STD_LOGIC; signal m04_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m04_couplers_to_interconnect_WVALID : STD_LOGIC; signal m05_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m05_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m05_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m05_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m05_couplers_to_interconnect_BREADY : STD_LOGIC; signal m05_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m05_couplers_to_interconnect_BVALID : STD_LOGIC; signal m05_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_interconnect_RREADY : STD_LOGIC; signal m05_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m05_couplers_to_interconnect_RVALID : STD_LOGIC; signal m05_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m05_couplers_to_interconnect_WREADY : STD_LOGIC; signal m05_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m05_couplers_to_interconnect_WVALID : STD_LOGIC; signal m06_couplers_to_interconnect_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_interconnect_ARREADY : STD_LOGIC; signal m06_couplers_to_interconnect_ARVALID : STD_LOGIC; signal m06_couplers_to_interconnect_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_interconnect_AWREADY : STD_LOGIC; signal m06_couplers_to_interconnect_AWVALID : STD_LOGIC; signal m06_couplers_to_interconnect_BREADY : STD_LOGIC; signal m06_couplers_to_interconnect_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m06_couplers_to_interconnect_BVALID : STD_LOGIC; signal m06_couplers_to_interconnect_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_interconnect_RREADY : STD_LOGIC; signal m06_couplers_to_interconnect_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal m06_couplers_to_interconnect_RVALID : STD_LOGIC; signal m06_couplers_to_interconnect_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal m06_couplers_to_interconnect_WREADY : STD_LOGIC; signal m06_couplers_to_interconnect_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal m06_couplers_to_interconnect_WVALID : STD_LOGIC; signal s00_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_ARVALID : STD_LOGIC; signal s00_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s00_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_AWVALID : STD_LOGIC; signal s00_couplers_to_xbar_BREADY : STD_LOGIC; signal s00_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_RREADY : STD_LOGIC; signal s00_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal s00_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s00_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal s00_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s00_couplers_to_xbar_WVALID : STD_LOGIC; signal s01_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s01_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_ARVALID : STD_LOGIC; signal s01_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s01_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_AWVALID : STD_LOGIC; signal s01_couplers_to_xbar_BREADY : STD_LOGIC; signal s01_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 3 downto 2 ); signal s01_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 63 downto 32 ); signal s01_couplers_to_xbar_RREADY : STD_LOGIC; signal s01_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 3 downto 2 ); signal s01_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s01_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal s01_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s01_couplers_to_xbar_WVALID : STD_LOGIC; signal s02_couplers_to_xbar_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_xbar_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_xbar_ARREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_ARVALID : STD_LOGIC; signal s02_couplers_to_xbar_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_xbar_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal s02_couplers_to_xbar_AWREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_AWVALID : STD_LOGIC; signal s02_couplers_to_xbar_BREADY : STD_LOGIC; signal s02_couplers_to_xbar_BRESP : STD_LOGIC_VECTOR ( 5 downto 4 ); signal s02_couplers_to_xbar_BVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_RDATA : STD_LOGIC_VECTOR ( 95 downto 64 ); signal s02_couplers_to_xbar_RREADY : STD_LOGIC; signal s02_couplers_to_xbar_RRESP : STD_LOGIC_VECTOR ( 5 downto 4 ); signal s02_couplers_to_xbar_RVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal s02_couplers_to_xbar_WREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal s02_couplers_to_xbar_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal s02_couplers_to_xbar_WVALID : STD_LOGIC; signal xbar_to_m00_couplers_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal xbar_to_m00_couplers_ARREADY : STD_LOGIC; signal xbar_to_m00_couplers_ARVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal xbar_to_m00_couplers_AWREADY : STD_LOGIC; signal xbar_to_m00_couplers_AWVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_BREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m00_couplers_BVALID : STD_LOGIC; signal xbar_to_m00_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_RREADY : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m00_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m00_couplers_RVALID : STD_LOGIC; signal xbar_to_m00_couplers_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m00_couplers_WREADY : STD_LOGIC; signal xbar_to_m00_couplers_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal xbar_to_m00_couplers_WVALID : STD_LOGIC_VECTOR ( 0 to 0 ); signal xbar_to_m01_couplers_ARADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_ARPROT : STD_LOGIC_VECTOR ( 5 downto 3 ); signal xbar_to_m01_couplers_ARREADY : STD_LOGIC; signal xbar_to_m01_couplers_ARVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_AWADDR : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_AWPROT : STD_LOGIC_VECTOR ( 5 downto 3 ); signal xbar_to_m01_couplers_AWREADY : STD_LOGIC; signal xbar_to_m01_couplers_AWVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_BREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m01_couplers_BVALID : STD_LOGIC; signal xbar_to_m01_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m01_couplers_RREADY : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m01_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m01_couplers_RVALID : STD_LOGIC; signal xbar_to_m01_couplers_WDATA : STD_LOGIC_VECTOR ( 63 downto 32 ); signal xbar_to_m01_couplers_WREADY : STD_LOGIC; signal xbar_to_m01_couplers_WSTRB : STD_LOGIC_VECTOR ( 7 downto 4 ); signal xbar_to_m01_couplers_WVALID : STD_LOGIC_VECTOR ( 1 to 1 ); signal xbar_to_m02_couplers_ARADDR : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_ARPROT : STD_LOGIC_VECTOR ( 8 downto 6 ); signal xbar_to_m02_couplers_ARREADY : STD_LOGIC; signal xbar_to_m02_couplers_ARVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_AWADDR : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_AWPROT : STD_LOGIC_VECTOR ( 8 downto 6 ); signal xbar_to_m02_couplers_AWREADY : STD_LOGIC; signal xbar_to_m02_couplers_AWVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_BREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m02_couplers_BVALID : STD_LOGIC; signal xbar_to_m02_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m02_couplers_RREADY : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m02_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m02_couplers_RVALID : STD_LOGIC; signal xbar_to_m02_couplers_WDATA : STD_LOGIC_VECTOR ( 95 downto 64 ); signal xbar_to_m02_couplers_WREADY : STD_LOGIC; signal xbar_to_m02_couplers_WSTRB : STD_LOGIC_VECTOR ( 11 downto 8 ); signal xbar_to_m02_couplers_WVALID : STD_LOGIC_VECTOR ( 2 to 2 ); signal xbar_to_m03_couplers_ARADDR : STD_LOGIC_VECTOR ( 127 downto 96 ); signal xbar_to_m03_couplers_ARPROT : STD_LOGIC_VECTOR ( 11 downto 9 ); signal xbar_to_m03_couplers_ARREADY : STD_LOGIC; signal xbar_to_m03_couplers_ARVALID : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_AWADDR : STD_LOGIC_VECTOR ( 127 downto 96 ); signal xbar_to_m03_couplers_AWPROT : STD_LOGIC_VECTOR ( 11 downto 9 ); signal xbar_to_m03_couplers_AWREADY : STD_LOGIC; signal xbar_to_m03_couplers_AWVALID : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_BREADY : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m03_couplers_BVALID : STD_LOGIC; signal xbar_to_m03_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m03_couplers_RREADY : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m03_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m03_couplers_RVALID : STD_LOGIC; signal xbar_to_m03_couplers_WDATA : STD_LOGIC_VECTOR ( 127 downto 96 ); signal xbar_to_m03_couplers_WREADY : STD_LOGIC; signal xbar_to_m03_couplers_WSTRB : STD_LOGIC_VECTOR ( 15 downto 12 ); signal xbar_to_m03_couplers_WVALID : STD_LOGIC_VECTOR ( 3 to 3 ); signal xbar_to_m04_couplers_ARADDR : STD_LOGIC_VECTOR ( 159 downto 128 ); signal xbar_to_m04_couplers_ARREADY : STD_LOGIC; signal xbar_to_m04_couplers_ARVALID : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m04_couplers_AWADDR : STD_LOGIC_VECTOR ( 159 downto 128 ); signal xbar_to_m04_couplers_AWREADY : STD_LOGIC; signal xbar_to_m04_couplers_AWVALID : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m04_couplers_BREADY : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m04_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m04_couplers_BVALID : STD_LOGIC; signal xbar_to_m04_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m04_couplers_RREADY : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m04_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m04_couplers_RVALID : STD_LOGIC; signal xbar_to_m04_couplers_WDATA : STD_LOGIC_VECTOR ( 159 downto 128 ); signal xbar_to_m04_couplers_WREADY : STD_LOGIC; signal xbar_to_m04_couplers_WSTRB : STD_LOGIC_VECTOR ( 19 downto 16 ); signal xbar_to_m04_couplers_WVALID : STD_LOGIC_VECTOR ( 4 to 4 ); signal xbar_to_m05_couplers_ARADDR : STD_LOGIC_VECTOR ( 191 downto 160 ); signal xbar_to_m05_couplers_ARREADY : STD_LOGIC; signal xbar_to_m05_couplers_ARVALID : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m05_couplers_AWADDR : STD_LOGIC_VECTOR ( 191 downto 160 ); signal xbar_to_m05_couplers_AWREADY : STD_LOGIC; signal xbar_to_m05_couplers_AWVALID : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m05_couplers_BREADY : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m05_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m05_couplers_BVALID : STD_LOGIC; signal xbar_to_m05_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m05_couplers_RREADY : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m05_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m05_couplers_RVALID : STD_LOGIC; signal xbar_to_m05_couplers_WDATA : STD_LOGIC_VECTOR ( 191 downto 160 ); signal xbar_to_m05_couplers_WREADY : STD_LOGIC; signal xbar_to_m05_couplers_WSTRB : STD_LOGIC_VECTOR ( 23 downto 20 ); signal xbar_to_m05_couplers_WVALID : STD_LOGIC_VECTOR ( 5 to 5 ); signal xbar_to_m06_couplers_ARADDR : STD_LOGIC_VECTOR ( 223 downto 192 ); signal xbar_to_m06_couplers_ARREADY : STD_LOGIC; signal xbar_to_m06_couplers_ARVALID : STD_LOGIC_VECTOR ( 6 to 6 ); signal xbar_to_m06_couplers_AWADDR : STD_LOGIC_VECTOR ( 223 downto 192 ); signal xbar_to_m06_couplers_AWREADY : STD_LOGIC; signal xbar_to_m06_couplers_AWVALID : STD_LOGIC_VECTOR ( 6 to 6 ); signal xbar_to_m06_couplers_BREADY : STD_LOGIC_VECTOR ( 6 to 6 ); signal xbar_to_m06_couplers_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m06_couplers_BVALID : STD_LOGIC; signal xbar_to_m06_couplers_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal xbar_to_m06_couplers_RREADY : STD_LOGIC_VECTOR ( 6 to 6 ); signal xbar_to_m06_couplers_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal xbar_to_m06_couplers_RVALID : STD_LOGIC; signal xbar_to_m06_couplers_WDATA : STD_LOGIC_VECTOR ( 223 downto 192 ); signal xbar_to_m06_couplers_WREADY : STD_LOGIC; signal xbar_to_m06_couplers_WSTRB : STD_LOGIC_VECTOR ( 27 downto 24 ); signal xbar_to_m06_couplers_WVALID : STD_LOGIC_VECTOR ( 6 to 6 ); signal NLW_xbar_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 20 downto 12 ); signal NLW_xbar_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 20 downto 12 ); begin M00_AXI_araddr(31 downto 0) <= m00_couplers_to_interconnect_ARADDR(31 downto 0); M00_AXI_arprot(2 downto 0) <= m00_couplers_to_interconnect_ARPROT(2 downto 0); M00_AXI_arvalid <= m00_couplers_to_interconnect_ARVALID; M00_AXI_awaddr(31 downto 0) <= m00_couplers_to_interconnect_AWADDR(31 downto 0); M00_AXI_awprot(2 downto 0) <= m00_couplers_to_interconnect_AWPROT(2 downto 0); M00_AXI_awvalid <= m00_couplers_to_interconnect_AWVALID; M00_AXI_bready <= m00_couplers_to_interconnect_BREADY; M00_AXI_rready <= m00_couplers_to_interconnect_RREADY; M00_AXI_wdata(31 downto 0) <= m00_couplers_to_interconnect_WDATA(31 downto 0); M00_AXI_wstrb(3 downto 0) <= m00_couplers_to_interconnect_WSTRB(3 downto 0); M00_AXI_wvalid <= m00_couplers_to_interconnect_WVALID; M01_AXI_araddr(31 downto 0) <= m01_couplers_to_interconnect_ARADDR(31 downto 0); M01_AXI_arprot(2 downto 0) <= m01_couplers_to_interconnect_ARPROT(2 downto 0); M01_AXI_arvalid <= m01_couplers_to_interconnect_ARVALID; M01_AXI_awaddr(31 downto 0) <= m01_couplers_to_interconnect_AWADDR(31 downto 0); M01_AXI_awprot(2 downto 0) <= m01_couplers_to_interconnect_AWPROT(2 downto 0); M01_AXI_awvalid <= m01_couplers_to_interconnect_AWVALID; M01_AXI_bready <= m01_couplers_to_interconnect_BREADY; M01_AXI_rready <= m01_couplers_to_interconnect_RREADY; M01_AXI_wdata(31 downto 0) <= m01_couplers_to_interconnect_WDATA(31 downto 0); M01_AXI_wstrb(3 downto 0) <= m01_couplers_to_interconnect_WSTRB(3 downto 0); M01_AXI_wvalid <= m01_couplers_to_interconnect_WVALID; M02_AXI_araddr(31 downto 0) <= m02_couplers_to_interconnect_ARADDR(31 downto 0); M02_AXI_arprot(2 downto 0) <= m02_couplers_to_interconnect_ARPROT(2 downto 0); M02_AXI_arvalid <= m02_couplers_to_interconnect_ARVALID; M02_AXI_awaddr(31 downto 0) <= m02_couplers_to_interconnect_AWADDR(31 downto 0); M02_AXI_awprot(2 downto 0) <= m02_couplers_to_interconnect_AWPROT(2 downto 0); M02_AXI_awvalid <= m02_couplers_to_interconnect_AWVALID; M02_AXI_bready <= m02_couplers_to_interconnect_BREADY; M02_AXI_rready <= m02_couplers_to_interconnect_RREADY; M02_AXI_wdata(31 downto 0) <= m02_couplers_to_interconnect_WDATA(31 downto 0); M02_AXI_wstrb(3 downto 0) <= m02_couplers_to_interconnect_WSTRB(3 downto 0); M02_AXI_wvalid <= m02_couplers_to_interconnect_WVALID; M03_AXI_araddr(31 downto 0) <= m03_couplers_to_interconnect_ARADDR(31 downto 0); M03_AXI_arprot(2 downto 0) <= m03_couplers_to_interconnect_ARPROT(2 downto 0); M03_AXI_arvalid <= m03_couplers_to_interconnect_ARVALID; M03_AXI_awaddr(31 downto 0) <= m03_couplers_to_interconnect_AWADDR(31 downto 0); M03_AXI_awprot(2 downto 0) <= m03_couplers_to_interconnect_AWPROT(2 downto 0); M03_AXI_awvalid <= m03_couplers_to_interconnect_AWVALID; M03_AXI_bready <= m03_couplers_to_interconnect_BREADY; M03_AXI_rready <= m03_couplers_to_interconnect_RREADY; M03_AXI_wdata(31 downto 0) <= m03_couplers_to_interconnect_WDATA(31 downto 0); M03_AXI_wstrb(3 downto 0) <= m03_couplers_to_interconnect_WSTRB(3 downto 0); M03_AXI_wvalid <= m03_couplers_to_interconnect_WVALID; M04_AXI_araddr(31 downto 0) <= m04_couplers_to_interconnect_ARADDR(31 downto 0); M04_AXI_arvalid <= m04_couplers_to_interconnect_ARVALID; M04_AXI_awaddr(31 downto 0) <= m04_couplers_to_interconnect_AWADDR(31 downto 0); M04_AXI_awvalid <= m04_couplers_to_interconnect_AWVALID; M04_AXI_bready <= m04_couplers_to_interconnect_BREADY; M04_AXI_rready <= m04_couplers_to_interconnect_RREADY; M04_AXI_wdata(31 downto 0) <= m04_couplers_to_interconnect_WDATA(31 downto 0); M04_AXI_wstrb(3 downto 0) <= m04_couplers_to_interconnect_WSTRB(3 downto 0); M04_AXI_wvalid <= m04_couplers_to_interconnect_WVALID; M05_AXI_araddr(31 downto 0) <= m05_couplers_to_interconnect_ARADDR(31 downto 0); M05_AXI_arvalid <= m05_couplers_to_interconnect_ARVALID; M05_AXI_awaddr(31 downto 0) <= m05_couplers_to_interconnect_AWADDR(31 downto 0); M05_AXI_awvalid <= m05_couplers_to_interconnect_AWVALID; M05_AXI_bready <= m05_couplers_to_interconnect_BREADY; M05_AXI_rready <= m05_couplers_to_interconnect_RREADY; M05_AXI_wdata(31 downto 0) <= m05_couplers_to_interconnect_WDATA(31 downto 0); M05_AXI_wstrb(3 downto 0) <= m05_couplers_to_interconnect_WSTRB(3 downto 0); M05_AXI_wvalid <= m05_couplers_to_interconnect_WVALID; M06_AXI_araddr(31 downto 0) <= m06_couplers_to_interconnect_ARADDR(31 downto 0); M06_AXI_arvalid <= m06_couplers_to_interconnect_ARVALID; M06_AXI_awaddr(31 downto 0) <= m06_couplers_to_interconnect_AWADDR(31 downto 0); M06_AXI_awvalid <= m06_couplers_to_interconnect_AWVALID; M06_AXI_bready <= m06_couplers_to_interconnect_BREADY; M06_AXI_rready <= m06_couplers_to_interconnect_RREADY; M06_AXI_wdata(31 downto 0) <= m06_couplers_to_interconnect_WDATA(31 downto 0); M06_AXI_wstrb(3 downto 0) <= m06_couplers_to_interconnect_WSTRB(3 downto 0); M06_AXI_wvalid <= m06_couplers_to_interconnect_WVALID; S00_AXI_arready <= interconnect_to_s00_couplers_ARREADY; S00_AXI_awready <= interconnect_to_s00_couplers_AWREADY; S00_AXI_bresp(1 downto 0) <= interconnect_to_s00_couplers_BRESP(1 downto 0); S00_AXI_bvalid <= interconnect_to_s00_couplers_BVALID; S00_AXI_rdata(31 downto 0) <= interconnect_to_s00_couplers_RDATA(31 downto 0); S00_AXI_rresp(1 downto 0) <= interconnect_to_s00_couplers_RRESP(1 downto 0); S00_AXI_rvalid <= interconnect_to_s00_couplers_RVALID; S00_AXI_wready <= interconnect_to_s00_couplers_WREADY; S01_AXI_arready <= interconnect_to_s01_couplers_ARREADY; S01_AXI_awready <= interconnect_to_s01_couplers_AWREADY; S01_AXI_bresp(1 downto 0) <= interconnect_to_s01_couplers_BRESP(1 downto 0); S01_AXI_bvalid <= interconnect_to_s01_couplers_BVALID; S01_AXI_rdata(31 downto 0) <= interconnect_to_s01_couplers_RDATA(31 downto 0); S01_AXI_rresp(1 downto 0) <= interconnect_to_s01_couplers_RRESP(1 downto 0); S01_AXI_rvalid <= interconnect_to_s01_couplers_RVALID; S01_AXI_wready <= interconnect_to_s01_couplers_WREADY; S02_AXI_arready <= interconnect_to_s02_couplers_ARREADY; S02_AXI_awready <= interconnect_to_s02_couplers_AWREADY; S02_AXI_bid(0) <= interconnect_to_s02_couplers_BID(0); S02_AXI_bresp(1 downto 0) <= interconnect_to_s02_couplers_BRESP(1 downto 0); S02_AXI_bvalid <= interconnect_to_s02_couplers_BVALID; S02_AXI_rdata(31 downto 0) <= interconnect_to_s02_couplers_RDATA(31 downto 0); S02_AXI_rid(0) <= interconnect_to_s02_couplers_RID(0); S02_AXI_rlast <= interconnect_to_s02_couplers_RLAST; S02_AXI_rresp(1 downto 0) <= interconnect_to_s02_couplers_RRESP(1 downto 0); S02_AXI_rvalid <= interconnect_to_s02_couplers_RVALID; S02_AXI_wready <= interconnect_to_s02_couplers_WREADY; interconnect_ACLK_net <= ACLK; interconnect_ARESETN_net <= ARESETN; interconnect_to_s00_couplers_ARADDR(31 downto 0) <= S00_AXI_araddr(31 downto 0); interconnect_to_s00_couplers_ARPROT(2 downto 0) <= S00_AXI_arprot(2 downto 0); interconnect_to_s00_couplers_ARVALID <= S00_AXI_arvalid; interconnect_to_s00_couplers_AWADDR(31 downto 0) <= S00_AXI_awaddr(31 downto 0); interconnect_to_s00_couplers_AWPROT(2 downto 0) <= S00_AXI_awprot(2 downto 0); interconnect_to_s00_couplers_AWVALID <= S00_AXI_awvalid; interconnect_to_s00_couplers_BREADY <= S00_AXI_bready; interconnect_to_s00_couplers_RREADY <= S00_AXI_rready; interconnect_to_s00_couplers_WDATA(31 downto 0) <= S00_AXI_wdata(31 downto 0); interconnect_to_s00_couplers_WSTRB(3 downto 0) <= S00_AXI_wstrb(3 downto 0); interconnect_to_s00_couplers_WVALID <= S00_AXI_wvalid; interconnect_to_s01_couplers_ARADDR(31 downto 0) <= S01_AXI_araddr(31 downto 0); interconnect_to_s01_couplers_ARPROT(2 downto 0) <= S01_AXI_arprot(2 downto 0); interconnect_to_s01_couplers_ARVALID <= S01_AXI_arvalid; interconnect_to_s01_couplers_AWADDR(31 downto 0) <= S01_AXI_awaddr(31 downto 0); interconnect_to_s01_couplers_AWPROT(2 downto 0) <= S01_AXI_awprot(2 downto 0); interconnect_to_s01_couplers_AWVALID <= S01_AXI_awvalid; interconnect_to_s01_couplers_BREADY <= S01_AXI_bready; interconnect_to_s01_couplers_RREADY <= S01_AXI_rready; interconnect_to_s01_couplers_WDATA(31 downto 0) <= S01_AXI_wdata(31 downto 0); interconnect_to_s01_couplers_WSTRB(3 downto 0) <= S01_AXI_wstrb(3 downto 0); interconnect_to_s01_couplers_WVALID <= S01_AXI_wvalid; interconnect_to_s02_couplers_ARADDR(31 downto 0) <= S02_AXI_araddr(31 downto 0); interconnect_to_s02_couplers_ARBURST(1 downto 0) <= S02_AXI_arburst(1 downto 0); interconnect_to_s02_couplers_ARCACHE(3 downto 0) <= S02_AXI_arcache(3 downto 0); interconnect_to_s02_couplers_ARID(0) <= S02_AXI_arid(0); interconnect_to_s02_couplers_ARLEN(7 downto 0) <= S02_AXI_arlen(7 downto 0); interconnect_to_s02_couplers_ARLOCK <= S02_AXI_arlock; interconnect_to_s02_couplers_ARPROT(2 downto 0) <= S02_AXI_arprot(2 downto 0); interconnect_to_s02_couplers_ARQOS(3 downto 0) <= S02_AXI_arqos(3 downto 0); interconnect_to_s02_couplers_ARSIZE(2 downto 0) <= S02_AXI_arsize(2 downto 0); interconnect_to_s02_couplers_ARVALID <= S02_AXI_arvalid; interconnect_to_s02_couplers_AWADDR(31 downto 0) <= S02_AXI_awaddr(31 downto 0); interconnect_to_s02_couplers_AWBURST(1 downto 0) <= S02_AXI_awburst(1 downto 0); interconnect_to_s02_couplers_AWCACHE(3 downto 0) <= S02_AXI_awcache(3 downto 0); interconnect_to_s02_couplers_AWID(0) <= S02_AXI_awid(0); interconnect_to_s02_couplers_AWLEN(7 downto 0) <= S02_AXI_awlen(7 downto 0); interconnect_to_s02_couplers_AWLOCK <= S02_AXI_awlock; interconnect_to_s02_couplers_AWPROT(2 downto 0) <= S02_AXI_awprot(2 downto 0); interconnect_to_s02_couplers_AWQOS(3 downto 0) <= S02_AXI_awqos(3 downto 0); interconnect_to_s02_couplers_AWSIZE(2 downto 0) <= S02_AXI_awsize(2 downto 0); interconnect_to_s02_couplers_AWVALID <= S02_AXI_awvalid; interconnect_to_s02_couplers_BREADY <= S02_AXI_bready; interconnect_to_s02_couplers_RREADY <= S02_AXI_rready; interconnect_to_s02_couplers_WDATA(31 downto 0) <= S02_AXI_wdata(31 downto 0); interconnect_to_s02_couplers_WLAST <= S02_AXI_wlast; interconnect_to_s02_couplers_WSTRB(3 downto 0) <= S02_AXI_wstrb(3 downto 0); interconnect_to_s02_couplers_WVALID <= S02_AXI_wvalid; m00_couplers_to_interconnect_ARREADY <= M00_AXI_arready; m00_couplers_to_interconnect_AWREADY <= M00_AXI_awready; m00_couplers_to_interconnect_BRESP(1 downto 0) <= M00_AXI_bresp(1 downto 0); m00_couplers_to_interconnect_BVALID <= M00_AXI_bvalid; m00_couplers_to_interconnect_RDATA(31 downto 0) <= M00_AXI_rdata(31 downto 0); m00_couplers_to_interconnect_RRESP(1 downto 0) <= M00_AXI_rresp(1 downto 0); m00_couplers_to_interconnect_RVALID <= M00_AXI_rvalid; m00_couplers_to_interconnect_WREADY <= M00_AXI_wready; m01_couplers_to_interconnect_ARREADY <= M01_AXI_arready; m01_couplers_to_interconnect_AWREADY <= M01_AXI_awready; m01_couplers_to_interconnect_BRESP(1 downto 0) <= M01_AXI_bresp(1 downto 0); m01_couplers_to_interconnect_BVALID <= M01_AXI_bvalid; m01_couplers_to_interconnect_RDATA(31 downto 0) <= M01_AXI_rdata(31 downto 0); m01_couplers_to_interconnect_RRESP(1 downto 0) <= M01_AXI_rresp(1 downto 0); m01_couplers_to_interconnect_RVALID <= M01_AXI_rvalid; m01_couplers_to_interconnect_WREADY <= M01_AXI_wready; m02_couplers_to_interconnect_ARREADY <= M02_AXI_arready; m02_couplers_to_interconnect_AWREADY <= M02_AXI_awready; m02_couplers_to_interconnect_BRESP(1 downto 0) <= M02_AXI_bresp(1 downto 0); m02_couplers_to_interconnect_BVALID <= M02_AXI_bvalid; m02_couplers_to_interconnect_RDATA(31 downto 0) <= M02_AXI_rdata(31 downto 0); m02_couplers_to_interconnect_RRESP(1 downto 0) <= M02_AXI_rresp(1 downto 0); m02_couplers_to_interconnect_RVALID <= M02_AXI_rvalid; m02_couplers_to_interconnect_WREADY <= M02_AXI_wready; m03_couplers_to_interconnect_ARREADY <= M03_AXI_arready; m03_couplers_to_interconnect_AWREADY <= M03_AXI_awready; m03_couplers_to_interconnect_BRESP(1 downto 0) <= M03_AXI_bresp(1 downto 0); m03_couplers_to_interconnect_BVALID <= M03_AXI_bvalid; m03_couplers_to_interconnect_RDATA(31 downto 0) <= M03_AXI_rdata(31 downto 0); m03_couplers_to_interconnect_RRESP(1 downto 0) <= M03_AXI_rresp(1 downto 0); m03_couplers_to_interconnect_RVALID <= M03_AXI_rvalid; m03_couplers_to_interconnect_WREADY <= M03_AXI_wready; m04_couplers_to_interconnect_ARREADY <= M04_AXI_arready; m04_couplers_to_interconnect_AWREADY <= M04_AXI_awready; m04_couplers_to_interconnect_BRESP(1 downto 0) <= M04_AXI_bresp(1 downto 0); m04_couplers_to_interconnect_BVALID <= M04_AXI_bvalid; m04_couplers_to_interconnect_RDATA(31 downto 0) <= M04_AXI_rdata(31 downto 0); m04_couplers_to_interconnect_RRESP(1 downto 0) <= M04_AXI_rresp(1 downto 0); m04_couplers_to_interconnect_RVALID <= M04_AXI_rvalid; m04_couplers_to_interconnect_WREADY <= M04_AXI_wready; m05_couplers_to_interconnect_ARREADY <= M05_AXI_arready; m05_couplers_to_interconnect_AWREADY <= M05_AXI_awready; m05_couplers_to_interconnect_BRESP(1 downto 0) <= M05_AXI_bresp(1 downto 0); m05_couplers_to_interconnect_BVALID <= M05_AXI_bvalid; m05_couplers_to_interconnect_RDATA(31 downto 0) <= M05_AXI_rdata(31 downto 0); m05_couplers_to_interconnect_RRESP(1 downto 0) <= M05_AXI_rresp(1 downto 0); m05_couplers_to_interconnect_RVALID <= M05_AXI_rvalid; m05_couplers_to_interconnect_WREADY <= M05_AXI_wready; m06_couplers_to_interconnect_ARREADY <= M06_AXI_arready; m06_couplers_to_interconnect_AWREADY <= M06_AXI_awready; m06_couplers_to_interconnect_BRESP(1 downto 0) <= M06_AXI_bresp(1 downto 0); m06_couplers_to_interconnect_BVALID <= M06_AXI_bvalid; m06_couplers_to_interconnect_RDATA(31 downto 0) <= M06_AXI_rdata(31 downto 0); m06_couplers_to_interconnect_RRESP(1 downto 0) <= M06_AXI_rresp(1 downto 0); m06_couplers_to_interconnect_RVALID <= M06_AXI_rvalid; m06_couplers_to_interconnect_WREADY <= M06_AXI_wready; m00_couplers: entity work.m00_couplers_imp_4EB6IN port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m00_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => m00_couplers_to_interconnect_ARPROT(2 downto 0), M_AXI_arready => m00_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m00_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m00_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => m00_couplers_to_interconnect_AWPROT(2 downto 0), M_AXI_awready => m00_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m00_couplers_to_interconnect_AWVALID, M_AXI_bready => m00_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m00_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m00_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m00_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m00_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m00_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m00_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m00_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m00_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m00_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m00_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), S_AXI_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0), S_AXI_arready => xbar_to_m00_couplers_ARREADY, S_AXI_arvalid => xbar_to_m00_couplers_ARVALID(0), S_AXI_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), S_AXI_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0), S_AXI_awready => xbar_to_m00_couplers_AWREADY, S_AXI_awvalid => xbar_to_m00_couplers_AWVALID(0), S_AXI_bready => xbar_to_m00_couplers_BREADY(0), S_AXI_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m00_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m00_couplers_RREADY(0), S_AXI_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m00_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), S_AXI_wready => xbar_to_m00_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => xbar_to_m00_couplers_WVALID(0) ); m01_couplers: entity work.m01_couplers_imp_1SL2GIW port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m01_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => m01_couplers_to_interconnect_ARPROT(2 downto 0), M_AXI_arready => m01_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m01_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m01_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => m01_couplers_to_interconnect_AWPROT(2 downto 0), M_AXI_awready => m01_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m01_couplers_to_interconnect_AWVALID, M_AXI_bready => m01_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m01_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m01_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m01_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m01_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m01_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m01_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m01_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m01_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m01_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m01_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m01_couplers_ARADDR(63 downto 32), S_AXI_arprot(2 downto 0) => xbar_to_m01_couplers_ARPROT(5 downto 3), S_AXI_arready => xbar_to_m01_couplers_ARREADY, S_AXI_arvalid => xbar_to_m01_couplers_ARVALID(1), S_AXI_awaddr(31 downto 0) => xbar_to_m01_couplers_AWADDR(63 downto 32), S_AXI_awprot(2 downto 0) => xbar_to_m01_couplers_AWPROT(5 downto 3), S_AXI_awready => xbar_to_m01_couplers_AWREADY, S_AXI_awvalid => xbar_to_m01_couplers_AWVALID(1), S_AXI_bready => xbar_to_m01_couplers_BREADY(1), S_AXI_bresp(1 downto 0) => xbar_to_m01_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m01_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m01_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m01_couplers_RREADY(1), S_AXI_rresp(1 downto 0) => xbar_to_m01_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m01_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m01_couplers_WDATA(63 downto 32), S_AXI_wready => xbar_to_m01_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m01_couplers_WSTRB(7 downto 4), S_AXI_wvalid => xbar_to_m01_couplers_WVALID(1) ); m02_couplers: entity work.m02_couplers_imp_7DG2C0 port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m02_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => m02_couplers_to_interconnect_ARPROT(2 downto 0), M_AXI_arready => m02_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m02_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m02_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => m02_couplers_to_interconnect_AWPROT(2 downto 0), M_AXI_awready => m02_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m02_couplers_to_interconnect_AWVALID, M_AXI_bready => m02_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m02_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m02_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m02_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m02_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m02_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m02_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m02_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m02_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m02_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m02_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m02_couplers_ARADDR(95 downto 64), S_AXI_arprot(2 downto 0) => xbar_to_m02_couplers_ARPROT(8 downto 6), S_AXI_arready => xbar_to_m02_couplers_ARREADY, S_AXI_arvalid => xbar_to_m02_couplers_ARVALID(2), S_AXI_awaddr(31 downto 0) => xbar_to_m02_couplers_AWADDR(95 downto 64), S_AXI_awprot(2 downto 0) => xbar_to_m02_couplers_AWPROT(8 downto 6), S_AXI_awready => xbar_to_m02_couplers_AWREADY, S_AXI_awvalid => xbar_to_m02_couplers_AWVALID(2), S_AXI_bready => xbar_to_m02_couplers_BREADY(2), S_AXI_bresp(1 downto 0) => xbar_to_m02_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m02_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m02_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m02_couplers_RREADY(2), S_AXI_rresp(1 downto 0) => xbar_to_m02_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m02_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m02_couplers_WDATA(95 downto 64), S_AXI_wready => xbar_to_m02_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m02_couplers_WSTRB(11 downto 8), S_AXI_wvalid => xbar_to_m02_couplers_WVALID(2) ); m03_couplers: entity work.m03_couplers_imp_1YCPS1Z port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m03_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => m03_couplers_to_interconnect_ARPROT(2 downto 0), M_AXI_arready => m03_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m03_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m03_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => m03_couplers_to_interconnect_AWPROT(2 downto 0), M_AXI_awready => m03_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m03_couplers_to_interconnect_AWVALID, M_AXI_bready => m03_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m03_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m03_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m03_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m03_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m03_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m03_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m03_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m03_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m03_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m03_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m03_couplers_ARADDR(127 downto 96), S_AXI_arprot(2 downto 0) => xbar_to_m03_couplers_ARPROT(11 downto 9), S_AXI_arready => xbar_to_m03_couplers_ARREADY, S_AXI_arvalid => xbar_to_m03_couplers_ARVALID(3), S_AXI_awaddr(31 downto 0) => xbar_to_m03_couplers_AWADDR(127 downto 96), S_AXI_awprot(2 downto 0) => xbar_to_m03_couplers_AWPROT(11 downto 9), S_AXI_awready => xbar_to_m03_couplers_AWREADY, S_AXI_awvalid => xbar_to_m03_couplers_AWVALID(3), S_AXI_bready => xbar_to_m03_couplers_BREADY(3), S_AXI_bresp(1 downto 0) => xbar_to_m03_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m03_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m03_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m03_couplers_RREADY(3), S_AXI_rresp(1 downto 0) => xbar_to_m03_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m03_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m03_couplers_WDATA(127 downto 96), S_AXI_wready => xbar_to_m03_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m03_couplers_WSTRB(15 downto 12), S_AXI_wvalid => xbar_to_m03_couplers_WVALID(3) ); m04_couplers: entity work.m04_couplers_imp_ACM7VL port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m04_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arready => m04_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m04_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m04_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awready => m04_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m04_couplers_to_interconnect_AWVALID, M_AXI_bready => m04_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m04_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m04_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m04_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m04_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m04_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m04_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m04_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m04_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m04_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m04_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m04_couplers_ARADDR(159 downto 128), S_AXI_arready => xbar_to_m04_couplers_ARREADY, S_AXI_arvalid => xbar_to_m04_couplers_ARVALID(4), S_AXI_awaddr(31 downto 0) => xbar_to_m04_couplers_AWADDR(159 downto 128), S_AXI_awready => xbar_to_m04_couplers_AWREADY, S_AXI_awvalid => xbar_to_m04_couplers_AWVALID(4), S_AXI_bready => xbar_to_m04_couplers_BREADY(4), S_AXI_bresp(1 downto 0) => xbar_to_m04_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m04_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m04_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m04_couplers_RREADY(4), S_AXI_rresp(1 downto 0) => xbar_to_m04_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m04_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m04_couplers_WDATA(159 downto 128), S_AXI_wready => xbar_to_m04_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m04_couplers_WSTRB(19 downto 16), S_AXI_wvalid => xbar_to_m04_couplers_WVALID(4) ); m05_couplers: entity work.m05_couplers_imp_1HWY5FA port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m05_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arready => m05_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m05_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m05_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awready => m05_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m05_couplers_to_interconnect_AWVALID, M_AXI_bready => m05_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m05_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m05_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m05_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m05_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m05_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m05_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m05_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m05_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m05_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m05_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m05_couplers_ARADDR(191 downto 160), S_AXI_arready => xbar_to_m05_couplers_ARREADY, S_AXI_arvalid => xbar_to_m05_couplers_ARVALID(5), S_AXI_awaddr(31 downto 0) => xbar_to_m05_couplers_AWADDR(191 downto 160), S_AXI_awready => xbar_to_m05_couplers_AWREADY, S_AXI_awvalid => xbar_to_m05_couplers_AWVALID(5), S_AXI_bready => xbar_to_m05_couplers_BREADY(5), S_AXI_bresp(1 downto 0) => xbar_to_m05_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m05_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m05_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m05_couplers_RREADY(5), S_AXI_rresp(1 downto 0) => xbar_to_m05_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m05_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m05_couplers_WDATA(191 downto 160), S_AXI_wready => xbar_to_m05_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m05_couplers_WSTRB(23 downto 20), S_AXI_wvalid => xbar_to_m05_couplers_WVALID(5) ); m06_couplers: entity work.m06_couplers_imp_DBR4EM port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => m06_couplers_to_interconnect_ARADDR(31 downto 0), M_AXI_arready => m06_couplers_to_interconnect_ARREADY, M_AXI_arvalid => m06_couplers_to_interconnect_ARVALID, M_AXI_awaddr(31 downto 0) => m06_couplers_to_interconnect_AWADDR(31 downto 0), M_AXI_awready => m06_couplers_to_interconnect_AWREADY, M_AXI_awvalid => m06_couplers_to_interconnect_AWVALID, M_AXI_bready => m06_couplers_to_interconnect_BREADY, M_AXI_bresp(1 downto 0) => m06_couplers_to_interconnect_BRESP(1 downto 0), M_AXI_bvalid => m06_couplers_to_interconnect_BVALID, M_AXI_rdata(31 downto 0) => m06_couplers_to_interconnect_RDATA(31 downto 0), M_AXI_rready => m06_couplers_to_interconnect_RREADY, M_AXI_rresp(1 downto 0) => m06_couplers_to_interconnect_RRESP(1 downto 0), M_AXI_rvalid => m06_couplers_to_interconnect_RVALID, M_AXI_wdata(31 downto 0) => m06_couplers_to_interconnect_WDATA(31 downto 0), M_AXI_wready => m06_couplers_to_interconnect_WREADY, M_AXI_wstrb(3 downto 0) => m06_couplers_to_interconnect_WSTRB(3 downto 0), M_AXI_wvalid => m06_couplers_to_interconnect_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => xbar_to_m06_couplers_ARADDR(223 downto 192), S_AXI_arready => xbar_to_m06_couplers_ARREADY, S_AXI_arvalid => xbar_to_m06_couplers_ARVALID(6), S_AXI_awaddr(31 downto 0) => xbar_to_m06_couplers_AWADDR(223 downto 192), S_AXI_awready => xbar_to_m06_couplers_AWREADY, S_AXI_awvalid => xbar_to_m06_couplers_AWVALID(6), S_AXI_bready => xbar_to_m06_couplers_BREADY(6), S_AXI_bresp(1 downto 0) => xbar_to_m06_couplers_BRESP(1 downto 0), S_AXI_bvalid => xbar_to_m06_couplers_BVALID, S_AXI_rdata(31 downto 0) => xbar_to_m06_couplers_RDATA(31 downto 0), S_AXI_rready => xbar_to_m06_couplers_RREADY(6), S_AXI_rresp(1 downto 0) => xbar_to_m06_couplers_RRESP(1 downto 0), S_AXI_rvalid => xbar_to_m06_couplers_RVALID, S_AXI_wdata(31 downto 0) => xbar_to_m06_couplers_WDATA(223 downto 192), S_AXI_wready => xbar_to_m06_couplers_WREADY, S_AXI_wstrb(3 downto 0) => xbar_to_m06_couplers_WSTRB(27 downto 24), S_AXI_wvalid => xbar_to_m06_couplers_WVALID(6) ); s00_couplers: entity work.s00_couplers_imp_7XIH8P port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), M_AXI_arready => s00_couplers_to_xbar_ARREADY(0), M_AXI_arvalid => s00_couplers_to_xbar_ARVALID, M_AXI_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), M_AXI_awready => s00_couplers_to_xbar_AWREADY(0), M_AXI_awvalid => s00_couplers_to_xbar_AWVALID, M_AXI_bready => s00_couplers_to_xbar_BREADY, M_AXI_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), M_AXI_bvalid => s00_couplers_to_xbar_BVALID(0), M_AXI_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), M_AXI_rready => s00_couplers_to_xbar_RREADY, M_AXI_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), M_AXI_rvalid => s00_couplers_to_xbar_RVALID(0), M_AXI_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), M_AXI_wready => s00_couplers_to_xbar_WREADY(0), M_AXI_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), M_AXI_wvalid => s00_couplers_to_xbar_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => interconnect_to_s00_couplers_ARADDR(31 downto 0), S_AXI_arprot(2 downto 0) => interconnect_to_s00_couplers_ARPROT(2 downto 0), S_AXI_arready => interconnect_to_s00_couplers_ARREADY, S_AXI_arvalid => interconnect_to_s00_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => interconnect_to_s00_couplers_AWADDR(31 downto 0), S_AXI_awprot(2 downto 0) => interconnect_to_s00_couplers_AWPROT(2 downto 0), S_AXI_awready => interconnect_to_s00_couplers_AWREADY, S_AXI_awvalid => interconnect_to_s00_couplers_AWVALID, S_AXI_bready => interconnect_to_s00_couplers_BREADY, S_AXI_bresp(1 downto 0) => interconnect_to_s00_couplers_BRESP(1 downto 0), S_AXI_bvalid => interconnect_to_s00_couplers_BVALID, S_AXI_rdata(31 downto 0) => interconnect_to_s00_couplers_RDATA(31 downto 0), S_AXI_rready => interconnect_to_s00_couplers_RREADY, S_AXI_rresp(1 downto 0) => interconnect_to_s00_couplers_RRESP(1 downto 0), S_AXI_rvalid => interconnect_to_s00_couplers_RVALID, S_AXI_wdata(31 downto 0) => interconnect_to_s00_couplers_WDATA(31 downto 0), S_AXI_wready => interconnect_to_s00_couplers_WREADY, S_AXI_wstrb(3 downto 0) => interconnect_to_s00_couplers_WSTRB(3 downto 0), S_AXI_wvalid => interconnect_to_s00_couplers_WVALID ); s01_couplers: entity work.s01_couplers_imp_1XSI6OU port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => s01_couplers_to_xbar_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => s01_couplers_to_xbar_ARPROT(2 downto 0), M_AXI_arready => s01_couplers_to_xbar_ARREADY(1), M_AXI_arvalid => s01_couplers_to_xbar_ARVALID, M_AXI_awaddr(31 downto 0) => s01_couplers_to_xbar_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => s01_couplers_to_xbar_AWPROT(2 downto 0), M_AXI_awready => s01_couplers_to_xbar_AWREADY(1), M_AXI_awvalid => s01_couplers_to_xbar_AWVALID, M_AXI_bready => s01_couplers_to_xbar_BREADY, M_AXI_bresp(1 downto 0) => s01_couplers_to_xbar_BRESP(3 downto 2), M_AXI_bvalid => s01_couplers_to_xbar_BVALID(1), M_AXI_rdata(31 downto 0) => s01_couplers_to_xbar_RDATA(63 downto 32), M_AXI_rready => s01_couplers_to_xbar_RREADY, M_AXI_rresp(1 downto 0) => s01_couplers_to_xbar_RRESP(3 downto 2), M_AXI_rvalid => s01_couplers_to_xbar_RVALID(1), M_AXI_wdata(31 downto 0) => s01_couplers_to_xbar_WDATA(31 downto 0), M_AXI_wready => s01_couplers_to_xbar_WREADY(1), M_AXI_wstrb(3 downto 0) => s01_couplers_to_xbar_WSTRB(3 downto 0), M_AXI_wvalid => s01_couplers_to_xbar_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => interconnect_to_s01_couplers_ARADDR(31 downto 0), S_AXI_arprot(2 downto 0) => interconnect_to_s01_couplers_ARPROT(2 downto 0), S_AXI_arready => interconnect_to_s01_couplers_ARREADY, S_AXI_arvalid => interconnect_to_s01_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => interconnect_to_s01_couplers_AWADDR(31 downto 0), S_AXI_awprot(2 downto 0) => interconnect_to_s01_couplers_AWPROT(2 downto 0), S_AXI_awready => interconnect_to_s01_couplers_AWREADY, S_AXI_awvalid => interconnect_to_s01_couplers_AWVALID, S_AXI_bready => interconnect_to_s01_couplers_BREADY, S_AXI_bresp(1 downto 0) => interconnect_to_s01_couplers_BRESP(1 downto 0), S_AXI_bvalid => interconnect_to_s01_couplers_BVALID, S_AXI_rdata(31 downto 0) => interconnect_to_s01_couplers_RDATA(31 downto 0), S_AXI_rready => interconnect_to_s01_couplers_RREADY, S_AXI_rresp(1 downto 0) => interconnect_to_s01_couplers_RRESP(1 downto 0), S_AXI_rvalid => interconnect_to_s01_couplers_RVALID, S_AXI_wdata(31 downto 0) => interconnect_to_s01_couplers_WDATA(31 downto 0), S_AXI_wready => interconnect_to_s01_couplers_WREADY, S_AXI_wstrb(3 downto 0) => interconnect_to_s01_couplers_WSTRB(3 downto 0), S_AXI_wvalid => interconnect_to_s01_couplers_WVALID ); s02_couplers: entity work.s02_couplers_imp_2QLUHY port map ( M_ACLK => interconnect_ACLK_net, M_ARESETN => interconnect_ARESETN_net, M_AXI_araddr(31 downto 0) => s02_couplers_to_xbar_ARADDR(31 downto 0), M_AXI_arprot(2 downto 0) => s02_couplers_to_xbar_ARPROT(2 downto 0), M_AXI_arready => s02_couplers_to_xbar_ARREADY(2), M_AXI_arvalid => s02_couplers_to_xbar_ARVALID, M_AXI_awaddr(31 downto 0) => s02_couplers_to_xbar_AWADDR(31 downto 0), M_AXI_awprot(2 downto 0) => s02_couplers_to_xbar_AWPROT(2 downto 0), M_AXI_awready => s02_couplers_to_xbar_AWREADY(2), M_AXI_awvalid => s02_couplers_to_xbar_AWVALID, M_AXI_bready => s02_couplers_to_xbar_BREADY, M_AXI_bresp(1 downto 0) => s02_couplers_to_xbar_BRESP(5 downto 4), M_AXI_bvalid => s02_couplers_to_xbar_BVALID(2), M_AXI_rdata(31 downto 0) => s02_couplers_to_xbar_RDATA(95 downto 64), M_AXI_rready => s02_couplers_to_xbar_RREADY, M_AXI_rresp(1 downto 0) => s02_couplers_to_xbar_RRESP(5 downto 4), M_AXI_rvalid => s02_couplers_to_xbar_RVALID(2), M_AXI_wdata(31 downto 0) => s02_couplers_to_xbar_WDATA(31 downto 0), M_AXI_wready => s02_couplers_to_xbar_WREADY(2), M_AXI_wstrb(3 downto 0) => s02_couplers_to_xbar_WSTRB(3 downto 0), M_AXI_wvalid => s02_couplers_to_xbar_WVALID, S_ACLK => interconnect_ACLK_net, S_ARESETN => interconnect_ARESETN_net, S_AXI_araddr(31 downto 0) => interconnect_to_s02_couplers_ARADDR(31 downto 0), S_AXI_arburst(1 downto 0) => interconnect_to_s02_couplers_ARBURST(1 downto 0), S_AXI_arcache(3 downto 0) => interconnect_to_s02_couplers_ARCACHE(3 downto 0), S_AXI_arid(0) => interconnect_to_s02_couplers_ARID(0), S_AXI_arlen(7 downto 0) => interconnect_to_s02_couplers_ARLEN(7 downto 0), S_AXI_arlock => interconnect_to_s02_couplers_ARLOCK, S_AXI_arprot(2 downto 0) => interconnect_to_s02_couplers_ARPROT(2 downto 0), S_AXI_arqos(3 downto 0) => interconnect_to_s02_couplers_ARQOS(3 downto 0), S_AXI_arready => interconnect_to_s02_couplers_ARREADY, S_AXI_arsize(2 downto 0) => interconnect_to_s02_couplers_ARSIZE(2 downto 0), S_AXI_arvalid => interconnect_to_s02_couplers_ARVALID, S_AXI_awaddr(31 downto 0) => interconnect_to_s02_couplers_AWADDR(31 downto 0), S_AXI_awburst(1 downto 0) => interconnect_to_s02_couplers_AWBURST(1 downto 0), S_AXI_awcache(3 downto 0) => interconnect_to_s02_couplers_AWCACHE(3 downto 0), S_AXI_awid(0) => interconnect_to_s02_couplers_AWID(0), S_AXI_awlen(7 downto 0) => interconnect_to_s02_couplers_AWLEN(7 downto 0), S_AXI_awlock => interconnect_to_s02_couplers_AWLOCK, S_AXI_awprot(2 downto 0) => interconnect_to_s02_couplers_AWPROT(2 downto 0), S_AXI_awqos(3 downto 0) => interconnect_to_s02_couplers_AWQOS(3 downto 0), S_AXI_awready => interconnect_to_s02_couplers_AWREADY, S_AXI_awsize(2 downto 0) => interconnect_to_s02_couplers_AWSIZE(2 downto 0), S_AXI_awvalid => interconnect_to_s02_couplers_AWVALID, S_AXI_bid(0) => interconnect_to_s02_couplers_BID(0), S_AXI_bready => interconnect_to_s02_couplers_BREADY, S_AXI_bresp(1 downto 0) => interconnect_to_s02_couplers_BRESP(1 downto 0), S_AXI_bvalid => interconnect_to_s02_couplers_BVALID, S_AXI_rdata(31 downto 0) => interconnect_to_s02_couplers_RDATA(31 downto 0), S_AXI_rid(0) => interconnect_to_s02_couplers_RID(0), S_AXI_rlast => interconnect_to_s02_couplers_RLAST, S_AXI_rready => interconnect_to_s02_couplers_RREADY, S_AXI_rresp(1 downto 0) => interconnect_to_s02_couplers_RRESP(1 downto 0), S_AXI_rvalid => interconnect_to_s02_couplers_RVALID, S_AXI_wdata(31 downto 0) => interconnect_to_s02_couplers_WDATA(31 downto 0), S_AXI_wlast => interconnect_to_s02_couplers_WLAST, S_AXI_wready => interconnect_to_s02_couplers_WREADY, S_AXI_wstrb(3 downto 0) => interconnect_to_s02_couplers_WSTRB(3 downto 0), S_AXI_wvalid => interconnect_to_s02_couplers_WVALID ); xbar: component DemoInterconnect_xbar_0 port map ( aclk => interconnect_ACLK_net, aresetn => interconnect_ARESETN_net, m_axi_araddr(223 downto 192) => xbar_to_m06_couplers_ARADDR(223 downto 192), m_axi_araddr(191 downto 160) => xbar_to_m05_couplers_ARADDR(191 downto 160), m_axi_araddr(159 downto 128) => xbar_to_m04_couplers_ARADDR(159 downto 128), m_axi_araddr(127 downto 96) => xbar_to_m03_couplers_ARADDR(127 downto 96), m_axi_araddr(95 downto 64) => xbar_to_m02_couplers_ARADDR(95 downto 64), m_axi_araddr(63 downto 32) => xbar_to_m01_couplers_ARADDR(63 downto 32), m_axi_araddr(31 downto 0) => xbar_to_m00_couplers_ARADDR(31 downto 0), m_axi_arprot(20 downto 12) => NLW_xbar_m_axi_arprot_UNCONNECTED(20 downto 12), m_axi_arprot(11 downto 9) => xbar_to_m03_couplers_ARPROT(11 downto 9), m_axi_arprot(8 downto 6) => xbar_to_m02_couplers_ARPROT(8 downto 6), m_axi_arprot(5 downto 3) => xbar_to_m01_couplers_ARPROT(5 downto 3), m_axi_arprot(2 downto 0) => xbar_to_m00_couplers_ARPROT(2 downto 0), m_axi_arready(6) => xbar_to_m06_couplers_ARREADY, m_axi_arready(5) => xbar_to_m05_couplers_ARREADY, m_axi_arready(4) => xbar_to_m04_couplers_ARREADY, m_axi_arready(3) => xbar_to_m03_couplers_ARREADY, m_axi_arready(2) => xbar_to_m02_couplers_ARREADY, m_axi_arready(1) => xbar_to_m01_couplers_ARREADY, m_axi_arready(0) => xbar_to_m00_couplers_ARREADY, m_axi_arvalid(6) => xbar_to_m06_couplers_ARVALID(6), m_axi_arvalid(5) => xbar_to_m05_couplers_ARVALID(5), m_axi_arvalid(4) => xbar_to_m04_couplers_ARVALID(4), m_axi_arvalid(3) => xbar_to_m03_couplers_ARVALID(3), m_axi_arvalid(2) => xbar_to_m02_couplers_ARVALID(2), m_axi_arvalid(1) => xbar_to_m01_couplers_ARVALID(1), m_axi_arvalid(0) => xbar_to_m00_couplers_ARVALID(0), m_axi_awaddr(223 downto 192) => xbar_to_m06_couplers_AWADDR(223 downto 192), m_axi_awaddr(191 downto 160) => xbar_to_m05_couplers_AWADDR(191 downto 160), m_axi_awaddr(159 downto 128) => xbar_to_m04_couplers_AWADDR(159 downto 128), m_axi_awaddr(127 downto 96) => xbar_to_m03_couplers_AWADDR(127 downto 96), m_axi_awaddr(95 downto 64) => xbar_to_m02_couplers_AWADDR(95 downto 64), m_axi_awaddr(63 downto 32) => xbar_to_m01_couplers_AWADDR(63 downto 32), m_axi_awaddr(31 downto 0) => xbar_to_m00_couplers_AWADDR(31 downto 0), m_axi_awprot(20 downto 12) => NLW_xbar_m_axi_awprot_UNCONNECTED(20 downto 12), m_axi_awprot(11 downto 9) => xbar_to_m03_couplers_AWPROT(11 downto 9), m_axi_awprot(8 downto 6) => xbar_to_m02_couplers_AWPROT(8 downto 6), m_axi_awprot(5 downto 3) => xbar_to_m01_couplers_AWPROT(5 downto 3), m_axi_awprot(2 downto 0) => xbar_to_m00_couplers_AWPROT(2 downto 0), m_axi_awready(6) => xbar_to_m06_couplers_AWREADY, m_axi_awready(5) => xbar_to_m05_couplers_AWREADY, m_axi_awready(4) => xbar_to_m04_couplers_AWREADY, m_axi_awready(3) => xbar_to_m03_couplers_AWREADY, m_axi_awready(2) => xbar_to_m02_couplers_AWREADY, m_axi_awready(1) => xbar_to_m01_couplers_AWREADY, m_axi_awready(0) => xbar_to_m00_couplers_AWREADY, m_axi_awvalid(6) => xbar_to_m06_couplers_AWVALID(6), m_axi_awvalid(5) => xbar_to_m05_couplers_AWVALID(5), m_axi_awvalid(4) => xbar_to_m04_couplers_AWVALID(4), m_axi_awvalid(3) => xbar_to_m03_couplers_AWVALID(3), m_axi_awvalid(2) => xbar_to_m02_couplers_AWVALID(2), m_axi_awvalid(1) => xbar_to_m01_couplers_AWVALID(1), m_axi_awvalid(0) => xbar_to_m00_couplers_AWVALID(0), m_axi_bready(6) => xbar_to_m06_couplers_BREADY(6), m_axi_bready(5) => xbar_to_m05_couplers_BREADY(5), m_axi_bready(4) => xbar_to_m04_couplers_BREADY(4), m_axi_bready(3) => xbar_to_m03_couplers_BREADY(3), m_axi_bready(2) => xbar_to_m02_couplers_BREADY(2), m_axi_bready(1) => xbar_to_m01_couplers_BREADY(1), m_axi_bready(0) => xbar_to_m00_couplers_BREADY(0), m_axi_bresp(13 downto 12) => xbar_to_m06_couplers_BRESP(1 downto 0), m_axi_bresp(11 downto 10) => xbar_to_m05_couplers_BRESP(1 downto 0), m_axi_bresp(9 downto 8) => xbar_to_m04_couplers_BRESP(1 downto 0), m_axi_bresp(7 downto 6) => xbar_to_m03_couplers_BRESP(1 downto 0), m_axi_bresp(5 downto 4) => xbar_to_m02_couplers_BRESP(1 downto 0), m_axi_bresp(3 downto 2) => xbar_to_m01_couplers_BRESP(1 downto 0), m_axi_bresp(1 downto 0) => xbar_to_m00_couplers_BRESP(1 downto 0), m_axi_bvalid(6) => xbar_to_m06_couplers_BVALID, m_axi_bvalid(5) => xbar_to_m05_couplers_BVALID, m_axi_bvalid(4) => xbar_to_m04_couplers_BVALID, m_axi_bvalid(3) => xbar_to_m03_couplers_BVALID, m_axi_bvalid(2) => xbar_to_m02_couplers_BVALID, m_axi_bvalid(1) => xbar_to_m01_couplers_BVALID, m_axi_bvalid(0) => xbar_to_m00_couplers_BVALID, m_axi_rdata(223 downto 192) => xbar_to_m06_couplers_RDATA(31 downto 0), m_axi_rdata(191 downto 160) => xbar_to_m05_couplers_RDATA(31 downto 0), m_axi_rdata(159 downto 128) => xbar_to_m04_couplers_RDATA(31 downto 0), m_axi_rdata(127 downto 96) => xbar_to_m03_couplers_RDATA(31 downto 0), m_axi_rdata(95 downto 64) => xbar_to_m02_couplers_RDATA(31 downto 0), m_axi_rdata(63 downto 32) => xbar_to_m01_couplers_RDATA(31 downto 0), m_axi_rdata(31 downto 0) => xbar_to_m00_couplers_RDATA(31 downto 0), m_axi_rready(6) => xbar_to_m06_couplers_RREADY(6), m_axi_rready(5) => xbar_to_m05_couplers_RREADY(5), m_axi_rready(4) => xbar_to_m04_couplers_RREADY(4), m_axi_rready(3) => xbar_to_m03_couplers_RREADY(3), m_axi_rready(2) => xbar_to_m02_couplers_RREADY(2), m_axi_rready(1) => xbar_to_m01_couplers_RREADY(1), m_axi_rready(0) => xbar_to_m00_couplers_RREADY(0), m_axi_rresp(13 downto 12) => xbar_to_m06_couplers_RRESP(1 downto 0), m_axi_rresp(11 downto 10) => xbar_to_m05_couplers_RRESP(1 downto 0), m_axi_rresp(9 downto 8) => xbar_to_m04_couplers_RRESP(1 downto 0), m_axi_rresp(7 downto 6) => xbar_to_m03_couplers_RRESP(1 downto 0), m_axi_rresp(5 downto 4) => xbar_to_m02_couplers_RRESP(1 downto 0), m_axi_rresp(3 downto 2) => xbar_to_m01_couplers_RRESP(1 downto 0), m_axi_rresp(1 downto 0) => xbar_to_m00_couplers_RRESP(1 downto 0), m_axi_rvalid(6) => xbar_to_m06_couplers_RVALID, m_axi_rvalid(5) => xbar_to_m05_couplers_RVALID, m_axi_rvalid(4) => xbar_to_m04_couplers_RVALID, m_axi_rvalid(3) => xbar_to_m03_couplers_RVALID, m_axi_rvalid(2) => xbar_to_m02_couplers_RVALID, m_axi_rvalid(1) => xbar_to_m01_couplers_RVALID, m_axi_rvalid(0) => xbar_to_m00_couplers_RVALID, m_axi_wdata(223 downto 192) => xbar_to_m06_couplers_WDATA(223 downto 192), m_axi_wdata(191 downto 160) => xbar_to_m05_couplers_WDATA(191 downto 160), m_axi_wdata(159 downto 128) => xbar_to_m04_couplers_WDATA(159 downto 128), m_axi_wdata(127 downto 96) => xbar_to_m03_couplers_WDATA(127 downto 96), m_axi_wdata(95 downto 64) => xbar_to_m02_couplers_WDATA(95 downto 64), m_axi_wdata(63 downto 32) => xbar_to_m01_couplers_WDATA(63 downto 32), m_axi_wdata(31 downto 0) => xbar_to_m00_couplers_WDATA(31 downto 0), m_axi_wready(6) => xbar_to_m06_couplers_WREADY, m_axi_wready(5) => xbar_to_m05_couplers_WREADY, m_axi_wready(4) => xbar_to_m04_couplers_WREADY, m_axi_wready(3) => xbar_to_m03_couplers_WREADY, m_axi_wready(2) => xbar_to_m02_couplers_WREADY, m_axi_wready(1) => xbar_to_m01_couplers_WREADY, m_axi_wready(0) => xbar_to_m00_couplers_WREADY, m_axi_wstrb(27 downto 24) => xbar_to_m06_couplers_WSTRB(27 downto 24), m_axi_wstrb(23 downto 20) => xbar_to_m05_couplers_WSTRB(23 downto 20), m_axi_wstrb(19 downto 16) => xbar_to_m04_couplers_WSTRB(19 downto 16), m_axi_wstrb(15 downto 12) => xbar_to_m03_couplers_WSTRB(15 downto 12), m_axi_wstrb(11 downto 8) => xbar_to_m02_couplers_WSTRB(11 downto 8), m_axi_wstrb(7 downto 4) => xbar_to_m01_couplers_WSTRB(7 downto 4), m_axi_wstrb(3 downto 0) => xbar_to_m00_couplers_WSTRB(3 downto 0), m_axi_wvalid(6) => xbar_to_m06_couplers_WVALID(6), m_axi_wvalid(5) => xbar_to_m05_couplers_WVALID(5), m_axi_wvalid(4) => xbar_to_m04_couplers_WVALID(4), m_axi_wvalid(3) => xbar_to_m03_couplers_WVALID(3), m_axi_wvalid(2) => xbar_to_m02_couplers_WVALID(2), m_axi_wvalid(1) => xbar_to_m01_couplers_WVALID(1), m_axi_wvalid(0) => xbar_to_m00_couplers_WVALID(0), s_axi_araddr(95 downto 64) => s02_couplers_to_xbar_ARADDR(31 downto 0), s_axi_araddr(63 downto 32) => s01_couplers_to_xbar_ARADDR(31 downto 0), s_axi_araddr(31 downto 0) => s00_couplers_to_xbar_ARADDR(31 downto 0), s_axi_arprot(8 downto 6) => s02_couplers_to_xbar_ARPROT(2 downto 0), s_axi_arprot(5 downto 3) => s01_couplers_to_xbar_ARPROT(2 downto 0), s_axi_arprot(2 downto 0) => s00_couplers_to_xbar_ARPROT(2 downto 0), s_axi_arready(2) => s02_couplers_to_xbar_ARREADY(2), s_axi_arready(1) => s01_couplers_to_xbar_ARREADY(1), s_axi_arready(0) => s00_couplers_to_xbar_ARREADY(0), s_axi_arvalid(2) => s02_couplers_to_xbar_ARVALID, s_axi_arvalid(1) => s01_couplers_to_xbar_ARVALID, s_axi_arvalid(0) => s00_couplers_to_xbar_ARVALID, s_axi_awaddr(95 downto 64) => s02_couplers_to_xbar_AWADDR(31 downto 0), s_axi_awaddr(63 downto 32) => s01_couplers_to_xbar_AWADDR(31 downto 0), s_axi_awaddr(31 downto 0) => s00_couplers_to_xbar_AWADDR(31 downto 0), s_axi_awprot(8 downto 6) => s02_couplers_to_xbar_AWPROT(2 downto 0), s_axi_awprot(5 downto 3) => s01_couplers_to_xbar_AWPROT(2 downto 0), s_axi_awprot(2 downto 0) => s00_couplers_to_xbar_AWPROT(2 downto 0), s_axi_awready(2) => s02_couplers_to_xbar_AWREADY(2), s_axi_awready(1) => s01_couplers_to_xbar_AWREADY(1), s_axi_awready(0) => s00_couplers_to_xbar_AWREADY(0), s_axi_awvalid(2) => s02_couplers_to_xbar_AWVALID, s_axi_awvalid(1) => s01_couplers_to_xbar_AWVALID, s_axi_awvalid(0) => s00_couplers_to_xbar_AWVALID, s_axi_bready(2) => s02_couplers_to_xbar_BREADY, s_axi_bready(1) => s01_couplers_to_xbar_BREADY, s_axi_bready(0) => s00_couplers_to_xbar_BREADY, s_axi_bresp(5 downto 4) => s02_couplers_to_xbar_BRESP(5 downto 4), s_axi_bresp(3 downto 2) => s01_couplers_to_xbar_BRESP(3 downto 2), s_axi_bresp(1 downto 0) => s00_couplers_to_xbar_BRESP(1 downto 0), s_axi_bvalid(2) => s02_couplers_to_xbar_BVALID(2), s_axi_bvalid(1) => s01_couplers_to_xbar_BVALID(1), s_axi_bvalid(0) => s00_couplers_to_xbar_BVALID(0), s_axi_rdata(95 downto 64) => s02_couplers_to_xbar_RDATA(95 downto 64), s_axi_rdata(63 downto 32) => s01_couplers_to_xbar_RDATA(63 downto 32), s_axi_rdata(31 downto 0) => s00_couplers_to_xbar_RDATA(31 downto 0), s_axi_rready(2) => s02_couplers_to_xbar_RREADY, s_axi_rready(1) => s01_couplers_to_xbar_RREADY, s_axi_rready(0) => s00_couplers_to_xbar_RREADY, s_axi_rresp(5 downto 4) => s02_couplers_to_xbar_RRESP(5 downto 4), s_axi_rresp(3 downto 2) => s01_couplers_to_xbar_RRESP(3 downto 2), s_axi_rresp(1 downto 0) => s00_couplers_to_xbar_RRESP(1 downto 0), s_axi_rvalid(2) => s02_couplers_to_xbar_RVALID(2), s_axi_rvalid(1) => s01_couplers_to_xbar_RVALID(1), s_axi_rvalid(0) => s00_couplers_to_xbar_RVALID(0), s_axi_wdata(95 downto 64) => s02_couplers_to_xbar_WDATA(31 downto 0), s_axi_wdata(63 downto 32) => s01_couplers_to_xbar_WDATA(31 downto 0), s_axi_wdata(31 downto 0) => s00_couplers_to_xbar_WDATA(31 downto 0), s_axi_wready(2) => s02_couplers_to_xbar_WREADY(2), s_axi_wready(1) => s01_couplers_to_xbar_WREADY(1), s_axi_wready(0) => s00_couplers_to_xbar_WREADY(0), s_axi_wstrb(11 downto 8) => s02_couplers_to_xbar_WSTRB(3 downto 0), s_axi_wstrb(7 downto 4) => s01_couplers_to_xbar_WSTRB(3 downto 0), s_axi_wstrb(3 downto 0) => s00_couplers_to_xbar_WSTRB(3 downto 0), s_axi_wvalid(2) => s02_couplers_to_xbar_WVALID, s_axi_wvalid(1) => s01_couplers_to_xbar_WVALID, s_axi_wvalid(0) => s00_couplers_to_xbar_WVALID ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity DemoInterconnect is port ( LED0_pll_aclk : out STD_LOGIC; LED1_pll_uart : out STD_LOGIC; LED2_pll_lock : out STD_LOGIC; UART_RX_0 : in STD_LOGIC; UART_RX_1 : in STD_LOGIC; UART_TX_0 : out STD_LOGIC; UART_TX_1 : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_miso_1 : in STD_LOGIC; m_spi_miso_2 : in STD_LOGIC; m_spi_miso_3 : in STD_LOGIC; m_spi_mosi : out STD_LOGIC; m_spi_mosi_1 : out STD_LOGIC; m_spi_mosi_2 : out STD_LOGIC; m_spi_mosi_3 : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; m_spi_sclk_1 : out STD_LOGIC; m_spi_sclk_2 : out STD_LOGIC; m_spi_sclk_3 : out STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_ss_1 : out STD_LOGIC; m_spi_ss_2 : out STD_LOGIC; m_spi_ss_3 : out STD_LOGIC; sys_clk : in STD_LOGIC; sys_reset : in STD_LOGIC ); attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of DemoInterconnect : entity is "DemoInterconnect,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=DemoInterconnect,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=25,numReposBlks=14,numNonXlnxBlks=8,numHierBlks=11,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=6,da_board_cnt=5,synth_mode=OOC_per_IP}"; attribute HW_HANDOFF : string; attribute HW_HANDOFF of DemoInterconnect : entity is "DemoInterconnect.hwdef"; end DemoInterconnect; architecture STRUCTURE of DemoInterconnect is component DemoInterconnect_clk_wiz_0_0 is port ( reset : in STD_LOGIC; clk_in1 : in STD_LOGIC; aclk : out STD_LOGIC; uart : out STD_LOGIC; locked : out STD_LOGIC ); end component DemoInterconnect_clk_wiz_0_0; component DemoInterconnect_jtag_axi_0_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC; m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC; m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); end component DemoInterconnect_jtag_axi_0_0; component DemoInterconnect_mutex_0_0 is port ( S0_AXI_ACLK : in STD_LOGIC; S0_AXI_ARESETN : in STD_LOGIC; S0_AXI_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S0_AXI_AWVALID : in STD_LOGIC; S0_AXI_AWREADY : out STD_LOGIC; S0_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S0_AXI_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S0_AXI_WVALID : in STD_LOGIC; S0_AXI_WREADY : out STD_LOGIC; S0_AXI_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S0_AXI_BVALID : out STD_LOGIC; S0_AXI_BREADY : in STD_LOGIC; S0_AXI_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S0_AXI_ARVALID : in STD_LOGIC; S0_AXI_ARREADY : out STD_LOGIC; S0_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S0_AXI_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S0_AXI_RVALID : out STD_LOGIC; S0_AXI_RREADY : in STD_LOGIC; S1_AXI_ACLK : in STD_LOGIC; S1_AXI_ARESETN : in STD_LOGIC; S1_AXI_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S1_AXI_AWVALID : in STD_LOGIC; S1_AXI_AWREADY : out STD_LOGIC; S1_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S1_AXI_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S1_AXI_WVALID : in STD_LOGIC; S1_AXI_WREADY : out STD_LOGIC; S1_AXI_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S1_AXI_BVALID : out STD_LOGIC; S1_AXI_BREADY : in STD_LOGIC; S1_AXI_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S1_AXI_ARVALID : in STD_LOGIC; S1_AXI_ARREADY : out STD_LOGIC; S1_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S1_AXI_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S1_AXI_RVALID : out STD_LOGIC; S1_AXI_RREADY : in STD_LOGIC; S2_AXI_ACLK : in STD_LOGIC; S2_AXI_ARESETN : in STD_LOGIC; S2_AXI_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S2_AXI_AWVALID : in STD_LOGIC; S2_AXI_AWREADY : out STD_LOGIC; S2_AXI_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S2_AXI_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S2_AXI_WVALID : in STD_LOGIC; S2_AXI_WREADY : out STD_LOGIC; S2_AXI_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S2_AXI_BVALID : out STD_LOGIC; S2_AXI_BREADY : in STD_LOGIC; S2_AXI_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S2_AXI_ARVALID : in STD_LOGIC; S2_AXI_ARREADY : out STD_LOGIC; S2_AXI_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S2_AXI_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S2_AXI_RVALID : out STD_LOGIC; S2_AXI_RREADY : in STD_LOGIC ); end component DemoInterconnect_mutex_0_0; component DemoInterconnect_uart_transceiver_0_0 is port ( i_Clk : in STD_LOGIC; i_RX_Serial : in STD_LOGIC; o_RX_Done : out STD_LOGIC; o_RX_Byte : out STD_LOGIC_VECTOR ( 7 downto 0 ); i_TX_Load : in STD_LOGIC; i_TX_Byte : in STD_LOGIC_VECTOR ( 7 downto 0 ); o_TX_Active : out STD_LOGIC; o_TX_Serial : out STD_LOGIC; o_TX_Done : out STD_LOGIC ); end component DemoInterconnect_uart_transceiver_0_0; component DemoInterconnect_uart_transceiver_0_1 is port ( i_Clk : in STD_LOGIC; i_RX_Serial : in STD_LOGIC; o_RX_Done : out STD_LOGIC; o_RX_Byte : out STD_LOGIC_VECTOR ( 7 downto 0 ); i_TX_Load : in STD_LOGIC; i_TX_Byte : in STD_LOGIC_VECTOR ( 7 downto 0 ); o_TX_Active : out STD_LOGIC; o_TX_Serial : out STD_LOGIC; o_TX_Done : out STD_LOGIC ); end component DemoInterconnect_uart_transceiver_0_1; component DemoInterconnect_axi_spi_master_0_0 is port ( m_spi_mosi : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_axi_spi_master_0_0; component DemoInterconnect_axi_spi_master_0_1 is port ( m_spi_mosi : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_axi_spi_master_0_1; component DemoInterconnect_axi_spi_master_1_0 is port ( m_spi_mosi : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_axi_spi_master_1_0; component DemoInterconnect_axi_spi_master_1_1 is port ( m_spi_mosi : out STD_LOGIC; m_spi_miso : in STD_LOGIC; m_spi_ss : out STD_LOGIC; m_spi_sclk : out STD_LOGIC; s00_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_awvalid : in STD_LOGIC; s00_axi_awready : out STD_LOGIC; s00_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_wvalid : in STD_LOGIC; s00_axi_wready : out STD_LOGIC; s00_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_bvalid : out STD_LOGIC; s00_axi_bready : in STD_LOGIC; s00_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s00_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s00_axi_arvalid : in STD_LOGIC; s00_axi_arready : out STD_LOGIC; s00_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s00_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s00_axi_rvalid : out STD_LOGIC; s00_axi_rready : in STD_LOGIC; s00_axi_aclk : in STD_LOGIC; s00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_axi_spi_master_1_1; component DemoInterconnect_ila_0_0 is port ( clk : in STD_LOGIC; probe0 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe1 : in STD_LOGIC_VECTOR ( 7 downto 0 ); probe2 : in STD_LOGIC_VECTOR ( 0 to 0 ); probe3 : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); end component DemoInterconnect_ila_0_0; component DemoInterconnect_internoc_ni_axi_master_0_0 is port ( if00_data_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); if00_load_in : in STD_LOGIC; if00_data_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); if00_load_out : out STD_LOGIC; if00_send_done : in STD_LOGIC; if00_send_busy : in STD_LOGIC; m00_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m00_axi_awvalid : out STD_LOGIC; m00_axi_awready : in STD_LOGIC; m00_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m00_axi_wvalid : out STD_LOGIC; m00_axi_wready : in STD_LOGIC; m00_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m00_axi_bvalid : in STD_LOGIC; m00_axi_bready : out STD_LOGIC; m00_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m00_axi_arvalid : out STD_LOGIC; m00_axi_arready : in STD_LOGIC; m00_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m00_axi_rvalid : in STD_LOGIC; m00_axi_rready : out STD_LOGIC; m00_axi_aclk : in STD_LOGIC; m00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_internoc_ni_axi_master_0_0; component DemoInterconnect_internoc_ni_axi_master_1_0 is port ( if00_data_in : in STD_LOGIC_VECTOR ( 7 downto 0 ); if00_load_in : in STD_LOGIC; if00_data_out : out STD_LOGIC_VECTOR ( 7 downto 0 ); if00_load_out : out STD_LOGIC; if00_send_done : in STD_LOGIC; if00_send_busy : in STD_LOGIC; m00_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m00_axi_awvalid : out STD_LOGIC; m00_axi_awready : in STD_LOGIC; m00_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m00_axi_wvalid : out STD_LOGIC; m00_axi_wready : in STD_LOGIC; m00_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m00_axi_bvalid : in STD_LOGIC; m00_axi_bready : out STD_LOGIC; m00_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m00_axi_arvalid : out STD_LOGIC; m00_axi_arready : in STD_LOGIC; m00_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m00_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m00_axi_rvalid : in STD_LOGIC; m00_axi_rready : out STD_LOGIC; m00_axi_aclk : in STD_LOGIC; m00_axi_aresetn : in STD_LOGIC ); end component DemoInterconnect_internoc_ni_axi_master_1_0; signal UART_RX_0_1 : STD_LOGIC; signal UART_RX_1_1 : STD_LOGIC; signal axi_interconnect_0_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M00_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M00_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M00_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M00_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M00_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M00_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M01_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M01_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M01_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M01_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M01_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M01_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M01_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M01_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M01_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M01_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M01_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M02_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M02_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M02_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M02_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M02_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M02_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M02_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M02_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M02_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M02_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M02_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M03_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M03_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M03_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal axi_interconnect_0_M03_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M03_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M03_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M03_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M03_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M03_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M03_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M03_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M04_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M04_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M04_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M04_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M04_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M04_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M04_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M04_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M04_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M05_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M05_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M05_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M05_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M05_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M05_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M05_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M05_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M05_AXI_WVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M06_AXI_ARREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_ARVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M06_AXI_AWREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_AWVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_BREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M06_AXI_BVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M06_AXI_RREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal axi_interconnect_0_M06_AXI_RVALID : STD_LOGIC; signal axi_interconnect_0_M06_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal axi_interconnect_0_M06_AXI_WREADY : STD_LOGIC; signal axi_interconnect_0_M06_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal axi_interconnect_0_M06_AXI_WVALID : STD_LOGIC; signal axi_spi_master_0_m_spi_mosi : STD_LOGIC; signal axi_spi_master_0_m_spi_sclk : STD_LOGIC; signal axi_spi_master_0_m_spi_ss : STD_LOGIC; signal axi_spi_master_1_m_spi_mosi : STD_LOGIC; signal axi_spi_master_1_m_spi_sclk : STD_LOGIC; signal axi_spi_master_1_m_spi_ss : STD_LOGIC; signal axi_spi_master_2_m_spi_mosi : STD_LOGIC; signal axi_spi_master_2_m_spi_sclk : STD_LOGIC; signal axi_spi_master_2_m_spi_ss : STD_LOGIC; signal axi_spi_master_3_m_spi_mosi : STD_LOGIC; signal axi_spi_master_3_m_spi_sclk : STD_LOGIC; signal axi_spi_master_3_m_spi_ss : STD_LOGIC; signal clk_wiz_0_clk_out1 : STD_LOGIC; signal clk_wiz_0_locked : STD_LOGIC; signal clk_wiz_0_uart : STD_LOGIC; signal interface_axi_master_0_if00_data_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal interface_axi_master_0_if00_load_out : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_ARREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_ARVALID : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_AWREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_AWVALID : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_BREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_BVALID : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_RREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_RVALID : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_WREADY : STD_LOGIC; signal internoc_ni_axi_master_0_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal internoc_ni_axi_master_0_M00_AXI_WVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_ARREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_ARVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_AWREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_AWVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_BREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_BVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_RREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_RVALID : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_WREADY : STD_LOGIC; signal internoc_ni_axi_master_1_M00_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal internoc_ni_axi_master_1_M00_AXI_WVALID : STD_LOGIC; signal internoc_ni_axi_master_1_if00_data_out : STD_LOGIC_VECTOR ( 7 downto 0 ); signal internoc_ni_axi_master_1_if00_load_out : STD_LOGIC; signal jtag_axi_0_M_AXI_ARADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal jtag_axi_0_M_AXI_ARBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal jtag_axi_0_M_AXI_ARCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_ARID : STD_LOGIC_VECTOR ( 0 to 0 ); signal jtag_axi_0_M_AXI_ARLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal jtag_axi_0_M_AXI_ARLOCK : STD_LOGIC; signal jtag_axi_0_M_AXI_ARPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal jtag_axi_0_M_AXI_ARQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_ARREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_ARSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal jtag_axi_0_M_AXI_ARVALID : STD_LOGIC; signal jtag_axi_0_M_AXI_AWADDR : STD_LOGIC_VECTOR ( 31 downto 0 ); signal jtag_axi_0_M_AXI_AWBURST : STD_LOGIC_VECTOR ( 1 downto 0 ); signal jtag_axi_0_M_AXI_AWCACHE : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_AWID : STD_LOGIC_VECTOR ( 0 to 0 ); signal jtag_axi_0_M_AXI_AWLEN : STD_LOGIC_VECTOR ( 7 downto 0 ); signal jtag_axi_0_M_AXI_AWLOCK : STD_LOGIC; signal jtag_axi_0_M_AXI_AWPROT : STD_LOGIC_VECTOR ( 2 downto 0 ); signal jtag_axi_0_M_AXI_AWQOS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_AWREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_AWSIZE : STD_LOGIC_VECTOR ( 2 downto 0 ); signal jtag_axi_0_M_AXI_AWVALID : STD_LOGIC; signal jtag_axi_0_M_AXI_BID : STD_LOGIC_VECTOR ( 0 to 0 ); signal jtag_axi_0_M_AXI_BREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_BRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal jtag_axi_0_M_AXI_BVALID : STD_LOGIC; signal jtag_axi_0_M_AXI_RDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal jtag_axi_0_M_AXI_RID : STD_LOGIC_VECTOR ( 0 to 0 ); signal jtag_axi_0_M_AXI_RLAST : STD_LOGIC; signal jtag_axi_0_M_AXI_RREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_RRESP : STD_LOGIC_VECTOR ( 1 downto 0 ); signal jtag_axi_0_M_AXI_RVALID : STD_LOGIC; signal jtag_axi_0_M_AXI_WDATA : STD_LOGIC_VECTOR ( 31 downto 0 ); signal jtag_axi_0_M_AXI_WLAST : STD_LOGIC; signal jtag_axi_0_M_AXI_WREADY : STD_LOGIC; signal jtag_axi_0_M_AXI_WSTRB : STD_LOGIC_VECTOR ( 3 downto 0 ); signal jtag_axi_0_M_AXI_WVALID : STD_LOGIC; signal \^m_spi_miso_1\ : STD_LOGIC; signal m_spi_miso_1_1 : STD_LOGIC; signal m_spi_miso_2_1 : STD_LOGIC; signal m_spi_miso_3_1 : STD_LOGIC; signal sys_clk_1 : STD_LOGIC; signal uart_transceiver_0_o_RX_Byte : STD_LOGIC_VECTOR ( 7 downto 0 ); signal uart_transceiver_0_o_RX_Done : STD_LOGIC; signal uart_transceiver_0_o_TX_Active : STD_LOGIC; signal uart_transceiver_0_o_TX_Done : STD_LOGIC; signal uart_transceiver_0_o_TX_Serial : STD_LOGIC; signal uart_transceiver_1_o_RX_Byte : STD_LOGIC_VECTOR ( 7 downto 0 ); signal uart_transceiver_1_o_RX_Done : STD_LOGIC; signal uart_transceiver_1_o_TX_Active : STD_LOGIC; signal uart_transceiver_1_o_TX_Done : STD_LOGIC; signal uart_transceiver_1_o_TX_Serial : STD_LOGIC; attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of UART_RX_0 : signal is "xilinx.com:signal:data:1.0 DATA.UART_RX_0 DATA"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of UART_RX_0 : signal is "XIL_INTERFACENAME DATA.UART_RX_0, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of UART_RX_1 : signal is "xilinx.com:signal:data:1.0 DATA.UART_RX_1 DATA"; attribute X_INTERFACE_PARAMETER of UART_RX_1 : signal is "XIL_INTERFACENAME DATA.UART_RX_1, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of UART_TX_1 : signal is "xilinx.com:signal:data:1.0 DATA.UART_TX_1 DATA"; attribute X_INTERFACE_PARAMETER of UART_TX_1 : signal is "XIL_INTERFACENAME DATA.UART_TX_1, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_miso : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MISO DATA"; attribute X_INTERFACE_PARAMETER of m_spi_miso : signal is "XIL_INTERFACENAME DATA.M_SPI_MISO, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_miso_1 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MISO_1 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_miso_1 : signal is "XIL_INTERFACENAME DATA.M_SPI_MISO_1, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_miso_2 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MISO_2 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_miso_2 : signal is "XIL_INTERFACENAME DATA.M_SPI_MISO_2, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_miso_3 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MISO_3 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_miso_3 : signal is "XIL_INTERFACENAME DATA.M_SPI_MISO_3, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_mosi : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MOSI DATA"; attribute X_INTERFACE_PARAMETER of m_spi_mosi : signal is "XIL_INTERFACENAME DATA.M_SPI_MOSI, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_mosi_1 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MOSI_1 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_mosi_1 : signal is "XIL_INTERFACENAME DATA.M_SPI_MOSI_1, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_mosi_2 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MOSI_2 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_mosi_2 : signal is "XIL_INTERFACENAME DATA.M_SPI_MOSI_2, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_mosi_3 : signal is "xilinx.com:signal:data:1.0 DATA.M_SPI_MOSI_3 DATA"; attribute X_INTERFACE_PARAMETER of m_spi_mosi_3 : signal is "XIL_INTERFACENAME DATA.M_SPI_MOSI_3, LAYERED_METADATA undef"; attribute X_INTERFACE_INFO of m_spi_sclk : signal is "xilinx.com:signal:clock:1.0 CLK.M_SPI_SCLK CLK"; attribute X_INTERFACE_PARAMETER of m_spi_sclk : signal is "XIL_INTERFACENAME CLK.M_SPI_SCLK, CLK_DOMAIN DemoInterconnect_axi_spi_master_0_0_m_spi_sclk, FREQ_HZ 100000000, PHASE 0.000"; attribute X_INTERFACE_INFO of m_spi_sclk_1 : signal is "xilinx.com:signal:clock:1.0 CLK.M_SPI_SCLK_1 CLK"; attribute X_INTERFACE_PARAMETER of m_spi_sclk_1 : signal is "XIL_INTERFACENAME CLK.M_SPI_SCLK_1, CLK_DOMAIN DemoInterconnect_axi_spi_master_0_1_m_spi_sclk, FREQ_HZ 100000000, PHASE 0.000"; attribute X_INTERFACE_INFO of m_spi_sclk_2 : signal is "xilinx.com:signal:clock:1.0 CLK.M_SPI_SCLK_2 CLK"; attribute X_INTERFACE_PARAMETER of m_spi_sclk_2 : signal is "XIL_INTERFACENAME CLK.M_SPI_SCLK_2, CLK_DOMAIN DemoInterconnect_axi_spi_master_1_0_m_spi_sclk, FREQ_HZ 100000000, PHASE 0.000"; attribute X_INTERFACE_INFO of m_spi_sclk_3 : signal is "xilinx.com:signal:clock:1.0 CLK.M_SPI_SCLK_3 CLK"; attribute X_INTERFACE_PARAMETER of m_spi_sclk_3 : signal is "XIL_INTERFACENAME CLK.M_SPI_SCLK_3, CLK_DOMAIN DemoInterconnect_axi_spi_master_1_1_m_spi_sclk, FREQ_HZ 100000000, PHASE 0.000"; attribute X_INTERFACE_INFO of m_spi_ss : signal is "xilinx.com:signal:clockenable:1.0 CE.M_SPI_SS CE"; attribute X_INTERFACE_PARAMETER of m_spi_ss : signal is "XIL_INTERFACENAME CE.M_SPI_SS, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of m_spi_ss_1 : signal is "xilinx.com:signal:clockenable:1.0 CE.M_SPI_SS_1 CE"; attribute X_INTERFACE_PARAMETER of m_spi_ss_1 : signal is "XIL_INTERFACENAME CE.M_SPI_SS_1, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of m_spi_ss_2 : signal is "xilinx.com:signal:clockenable:1.0 CE.M_SPI_SS_2 CE"; attribute X_INTERFACE_PARAMETER of m_spi_ss_2 : signal is "XIL_INTERFACENAME CE.M_SPI_SS_2, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of m_spi_ss_3 : signal is "xilinx.com:signal:clockenable:1.0 CE.M_SPI_SS_3 CE"; attribute X_INTERFACE_PARAMETER of m_spi_ss_3 : signal is "XIL_INTERFACENAME CE.M_SPI_SS_3, POLARITY ACTIVE_LOW"; attribute X_INTERFACE_INFO of sys_clk : signal is "xilinx.com:signal:clock:1.0 CLK.SYS_CLK CLK"; attribute X_INTERFACE_PARAMETER of sys_clk : signal is "XIL_INTERFACENAME CLK.SYS_CLK, ASSOCIATED_RESET sys_reset, CLK_DOMAIN DemoInterconnect_sys_clk, FREQ_HZ 12000000, PHASE 0.000"; attribute X_INTERFACE_INFO of sys_reset : signal is "xilinx.com:signal:reset:1.0 RST.SYS_RESET RST"; attribute X_INTERFACE_PARAMETER of sys_reset : signal is "XIL_INTERFACENAME RST.SYS_RESET, POLARITY ACTIVE_HIGH"; begin LED0_pll_aclk <= clk_wiz_0_clk_out1; LED1_pll_uart <= clk_wiz_0_uart; LED2_pll_lock <= clk_wiz_0_locked; UART_RX_0_1 <= UART_RX_0; UART_RX_1_1 <= UART_RX_1; UART_TX_0 <= uart_transceiver_0_o_TX_Serial; UART_TX_1 <= uart_transceiver_1_o_TX_Serial; \^m_spi_miso_1\ <= m_spi_miso; m_spi_miso_1_1 <= m_spi_miso_1; m_spi_miso_2_1 <= m_spi_miso_2; m_spi_miso_3_1 <= m_spi_miso_3; m_spi_mosi <= axi_spi_master_0_m_spi_mosi; m_spi_mosi_1 <= axi_spi_master_1_m_spi_mosi; m_spi_mosi_2 <= axi_spi_master_2_m_spi_mosi; m_spi_mosi_3 <= axi_spi_master_3_m_spi_mosi; m_spi_sclk <= axi_spi_master_0_m_spi_sclk; m_spi_sclk_1 <= axi_spi_master_1_m_spi_sclk; m_spi_sclk_2 <= axi_spi_master_2_m_spi_sclk; m_spi_sclk_3 <= axi_spi_master_3_m_spi_sclk; m_spi_ss <= axi_spi_master_0_m_spi_ss; m_spi_ss_1 <= axi_spi_master_1_m_spi_ss; m_spi_ss_2 <= axi_spi_master_2_m_spi_ss; m_spi_ss_3 <= axi_spi_master_3_m_spi_ss; sys_clk_1 <= sys_clk; axi_spi_master_0: component DemoInterconnect_axi_spi_master_0_0 port map ( m_spi_miso => \^m_spi_miso_1\, m_spi_mosi => axi_spi_master_0_m_spi_mosi, m_spi_sclk => axi_spi_master_0_m_spi_sclk, m_spi_ss => axi_spi_master_0_m_spi_ss, s00_axi_aclk => clk_wiz_0_clk_out1, s00_axi_araddr(3 downto 0) => axi_interconnect_0_M00_AXI_ARADDR(3 downto 0), s00_axi_aresetn => clk_wiz_0_locked, s00_axi_arprot(2 downto 0) => axi_interconnect_0_M00_AXI_ARPROT(2 downto 0), s00_axi_arready => axi_interconnect_0_M00_AXI_ARREADY, s00_axi_arvalid => axi_interconnect_0_M00_AXI_ARVALID, s00_axi_awaddr(3 downto 0) => axi_interconnect_0_M00_AXI_AWADDR(3 downto 0), s00_axi_awprot(2 downto 0) => axi_interconnect_0_M00_AXI_AWPROT(2 downto 0), s00_axi_awready => axi_interconnect_0_M00_AXI_AWREADY, s00_axi_awvalid => axi_interconnect_0_M00_AXI_AWVALID, s00_axi_bready => axi_interconnect_0_M00_AXI_BREADY, s00_axi_bresp(1 downto 0) => axi_interconnect_0_M00_AXI_BRESP(1 downto 0), s00_axi_bvalid => axi_interconnect_0_M00_AXI_BVALID, s00_axi_rdata(31 downto 0) => axi_interconnect_0_M00_AXI_RDATA(31 downto 0), s00_axi_rready => axi_interconnect_0_M00_AXI_RREADY, s00_axi_rresp(1 downto 0) => axi_interconnect_0_M00_AXI_RRESP(1 downto 0), s00_axi_rvalid => axi_interconnect_0_M00_AXI_RVALID, s00_axi_wdata(31 downto 0) => axi_interconnect_0_M00_AXI_WDATA(31 downto 0), s00_axi_wready => axi_interconnect_0_M00_AXI_WREADY, s00_axi_wstrb(3 downto 0) => axi_interconnect_0_M00_AXI_WSTRB(3 downto 0), s00_axi_wvalid => axi_interconnect_0_M00_AXI_WVALID ); axi_spi_master_1: component DemoInterconnect_axi_spi_master_0_1 port map ( m_spi_miso => m_spi_miso_1_1, m_spi_mosi => axi_spi_master_1_m_spi_mosi, m_spi_sclk => axi_spi_master_1_m_spi_sclk, m_spi_ss => axi_spi_master_1_m_spi_ss, s00_axi_aclk => clk_wiz_0_clk_out1, s00_axi_araddr(3 downto 0) => axi_interconnect_0_M01_AXI_ARADDR(3 downto 0), s00_axi_aresetn => clk_wiz_0_locked, s00_axi_arprot(2 downto 0) => axi_interconnect_0_M01_AXI_ARPROT(2 downto 0), s00_axi_arready => axi_interconnect_0_M01_AXI_ARREADY, s00_axi_arvalid => axi_interconnect_0_M01_AXI_ARVALID, s00_axi_awaddr(3 downto 0) => axi_interconnect_0_M01_AXI_AWADDR(3 downto 0), s00_axi_awprot(2 downto 0) => axi_interconnect_0_M01_AXI_AWPROT(2 downto 0), s00_axi_awready => axi_interconnect_0_M01_AXI_AWREADY, s00_axi_awvalid => axi_interconnect_0_M01_AXI_AWVALID, s00_axi_bready => axi_interconnect_0_M01_AXI_BREADY, s00_axi_bresp(1 downto 0) => axi_interconnect_0_M01_AXI_BRESP(1 downto 0), s00_axi_bvalid => axi_interconnect_0_M01_AXI_BVALID, s00_axi_rdata(31 downto 0) => axi_interconnect_0_M01_AXI_RDATA(31 downto 0), s00_axi_rready => axi_interconnect_0_M01_AXI_RREADY, s00_axi_rresp(1 downto 0) => axi_interconnect_0_M01_AXI_RRESP(1 downto 0), s00_axi_rvalid => axi_interconnect_0_M01_AXI_RVALID, s00_axi_wdata(31 downto 0) => axi_interconnect_0_M01_AXI_WDATA(31 downto 0), s00_axi_wready => axi_interconnect_0_M01_AXI_WREADY, s00_axi_wstrb(3 downto 0) => axi_interconnect_0_M01_AXI_WSTRB(3 downto 0), s00_axi_wvalid => axi_interconnect_0_M01_AXI_WVALID ); axi_spi_master_2: component DemoInterconnect_axi_spi_master_1_0 port map ( m_spi_miso => m_spi_miso_2_1, m_spi_mosi => axi_spi_master_2_m_spi_mosi, m_spi_sclk => axi_spi_master_2_m_spi_sclk, m_spi_ss => axi_spi_master_2_m_spi_ss, s00_axi_aclk => clk_wiz_0_clk_out1, s00_axi_araddr(3 downto 0) => axi_interconnect_0_M02_AXI_ARADDR(3 downto 0), s00_axi_aresetn => clk_wiz_0_locked, s00_axi_arprot(2 downto 0) => axi_interconnect_0_M02_AXI_ARPROT(2 downto 0), s00_axi_arready => axi_interconnect_0_M02_AXI_ARREADY, s00_axi_arvalid => axi_interconnect_0_M02_AXI_ARVALID, s00_axi_awaddr(3 downto 0) => axi_interconnect_0_M02_AXI_AWADDR(3 downto 0), s00_axi_awprot(2 downto 0) => axi_interconnect_0_M02_AXI_AWPROT(2 downto 0), s00_axi_awready => axi_interconnect_0_M02_AXI_AWREADY, s00_axi_awvalid => axi_interconnect_0_M02_AXI_AWVALID, s00_axi_bready => axi_interconnect_0_M02_AXI_BREADY, s00_axi_bresp(1 downto 0) => axi_interconnect_0_M02_AXI_BRESP(1 downto 0), s00_axi_bvalid => axi_interconnect_0_M02_AXI_BVALID, s00_axi_rdata(31 downto 0) => axi_interconnect_0_M02_AXI_RDATA(31 downto 0), s00_axi_rready => axi_interconnect_0_M02_AXI_RREADY, s00_axi_rresp(1 downto 0) => axi_interconnect_0_M02_AXI_RRESP(1 downto 0), s00_axi_rvalid => axi_interconnect_0_M02_AXI_RVALID, s00_axi_wdata(31 downto 0) => axi_interconnect_0_M02_AXI_WDATA(31 downto 0), s00_axi_wready => axi_interconnect_0_M02_AXI_WREADY, s00_axi_wstrb(3 downto 0) => axi_interconnect_0_M02_AXI_WSTRB(3 downto 0), s00_axi_wvalid => axi_interconnect_0_M02_AXI_WVALID ); axi_spi_master_3: component DemoInterconnect_axi_spi_master_1_1 port map ( m_spi_miso => m_spi_miso_3_1, m_spi_mosi => axi_spi_master_3_m_spi_mosi, m_spi_sclk => axi_spi_master_3_m_spi_sclk, m_spi_ss => axi_spi_master_3_m_spi_ss, s00_axi_aclk => clk_wiz_0_clk_out1, s00_axi_araddr(3 downto 0) => axi_interconnect_0_M03_AXI_ARADDR(3 downto 0), s00_axi_aresetn => clk_wiz_0_locked, s00_axi_arprot(2 downto 0) => axi_interconnect_0_M03_AXI_ARPROT(2 downto 0), s00_axi_arready => axi_interconnect_0_M03_AXI_ARREADY, s00_axi_arvalid => axi_interconnect_0_M03_AXI_ARVALID, s00_axi_awaddr(3 downto 0) => axi_interconnect_0_M03_AXI_AWADDR(3 downto 0), s00_axi_awprot(2 downto 0) => axi_interconnect_0_M03_AXI_AWPROT(2 downto 0), s00_axi_awready => axi_interconnect_0_M03_AXI_AWREADY, s00_axi_awvalid => axi_interconnect_0_M03_AXI_AWVALID, s00_axi_bready => axi_interconnect_0_M03_AXI_BREADY, s00_axi_bresp(1 downto 0) => axi_interconnect_0_M03_AXI_BRESP(1 downto 0), s00_axi_bvalid => axi_interconnect_0_M03_AXI_BVALID, s00_axi_rdata(31 downto 0) => axi_interconnect_0_M03_AXI_RDATA(31 downto 0), s00_axi_rready => axi_interconnect_0_M03_AXI_RREADY, s00_axi_rresp(1 downto 0) => axi_interconnect_0_M03_AXI_RRESP(1 downto 0), s00_axi_rvalid => axi_interconnect_0_M03_AXI_RVALID, s00_axi_wdata(31 downto 0) => axi_interconnect_0_M03_AXI_WDATA(31 downto 0), s00_axi_wready => axi_interconnect_0_M03_AXI_WREADY, s00_axi_wstrb(3 downto 0) => axi_interconnect_0_M03_AXI_WSTRB(3 downto 0), s00_axi_wvalid => axi_interconnect_0_M03_AXI_WVALID ); clk_wiz_0: component DemoInterconnect_clk_wiz_0_0 port map ( aclk => clk_wiz_0_clk_out1, clk_in1 => sys_clk_1, locked => clk_wiz_0_locked, reset => sys_reset, uart => clk_wiz_0_uart ); ila_0: component DemoInterconnect_ila_0_0 port map ( clk => clk_wiz_0_clk_out1, probe0(0) => uart_transceiver_0_o_RX_Done, probe1(7 downto 0) => uart_transceiver_0_o_RX_Byte(7 downto 0), probe2(0) => interface_axi_master_0_if00_load_out, probe3(7 downto 0) => interface_axi_master_0_if00_data_out(7 downto 0) ); interconnect: entity work.DemoInterconnect_axi_interconnect_0_0 port map ( ACLK => clk_wiz_0_clk_out1, ARESETN => clk_wiz_0_locked, M00_ACLK => clk_wiz_0_clk_out1, M00_ARESETN => clk_wiz_0_locked, M00_AXI_araddr(31 downto 0) => axi_interconnect_0_M00_AXI_ARADDR(31 downto 0), M00_AXI_arprot(2 downto 0) => axi_interconnect_0_M00_AXI_ARPROT(2 downto 0), M00_AXI_arready => axi_interconnect_0_M00_AXI_ARREADY, M00_AXI_arvalid => axi_interconnect_0_M00_AXI_ARVALID, M00_AXI_awaddr(31 downto 0) => axi_interconnect_0_M00_AXI_AWADDR(31 downto 0), M00_AXI_awprot(2 downto 0) => axi_interconnect_0_M00_AXI_AWPROT(2 downto 0), M00_AXI_awready => axi_interconnect_0_M00_AXI_AWREADY, M00_AXI_awvalid => axi_interconnect_0_M00_AXI_AWVALID, M00_AXI_bready => axi_interconnect_0_M00_AXI_BREADY, M00_AXI_bresp(1 downto 0) => axi_interconnect_0_M00_AXI_BRESP(1 downto 0), M00_AXI_bvalid => axi_interconnect_0_M00_AXI_BVALID, M00_AXI_rdata(31 downto 0) => axi_interconnect_0_M00_AXI_RDATA(31 downto 0), M00_AXI_rready => axi_interconnect_0_M00_AXI_RREADY, M00_AXI_rresp(1 downto 0) => axi_interconnect_0_M00_AXI_RRESP(1 downto 0), M00_AXI_rvalid => axi_interconnect_0_M00_AXI_RVALID, M00_AXI_wdata(31 downto 0) => axi_interconnect_0_M00_AXI_WDATA(31 downto 0), M00_AXI_wready => axi_interconnect_0_M00_AXI_WREADY, M00_AXI_wstrb(3 downto 0) => axi_interconnect_0_M00_AXI_WSTRB(3 downto 0), M00_AXI_wvalid => axi_interconnect_0_M00_AXI_WVALID, M01_ACLK => clk_wiz_0_clk_out1, M01_ARESETN => clk_wiz_0_locked, M01_AXI_araddr(31 downto 0) => axi_interconnect_0_M01_AXI_ARADDR(31 downto 0), M01_AXI_arprot(2 downto 0) => axi_interconnect_0_M01_AXI_ARPROT(2 downto 0), M01_AXI_arready => axi_interconnect_0_M01_AXI_ARREADY, M01_AXI_arvalid => axi_interconnect_0_M01_AXI_ARVALID, M01_AXI_awaddr(31 downto 0) => axi_interconnect_0_M01_AXI_AWADDR(31 downto 0), M01_AXI_awprot(2 downto 0) => axi_interconnect_0_M01_AXI_AWPROT(2 downto 0), M01_AXI_awready => axi_interconnect_0_M01_AXI_AWREADY, M01_AXI_awvalid => axi_interconnect_0_M01_AXI_AWVALID, M01_AXI_bready => axi_interconnect_0_M01_AXI_BREADY, M01_AXI_bresp(1 downto 0) => axi_interconnect_0_M01_AXI_BRESP(1 downto 0), M01_AXI_bvalid => axi_interconnect_0_M01_AXI_BVALID, M01_AXI_rdata(31 downto 0) => axi_interconnect_0_M01_AXI_RDATA(31 downto 0), M01_AXI_rready => axi_interconnect_0_M01_AXI_RREADY, M01_AXI_rresp(1 downto 0) => axi_interconnect_0_M01_AXI_RRESP(1 downto 0), M01_AXI_rvalid => axi_interconnect_0_M01_AXI_RVALID, M01_AXI_wdata(31 downto 0) => axi_interconnect_0_M01_AXI_WDATA(31 downto 0), M01_AXI_wready => axi_interconnect_0_M01_AXI_WREADY, M01_AXI_wstrb(3 downto 0) => axi_interconnect_0_M01_AXI_WSTRB(3 downto 0), M01_AXI_wvalid => axi_interconnect_0_M01_AXI_WVALID, M02_ACLK => clk_wiz_0_clk_out1, M02_ARESETN => clk_wiz_0_locked, M02_AXI_araddr(31 downto 0) => axi_interconnect_0_M02_AXI_ARADDR(31 downto 0), M02_AXI_arprot(2 downto 0) => axi_interconnect_0_M02_AXI_ARPROT(2 downto 0), M02_AXI_arready => axi_interconnect_0_M02_AXI_ARREADY, M02_AXI_arvalid => axi_interconnect_0_M02_AXI_ARVALID, M02_AXI_awaddr(31 downto 0) => axi_interconnect_0_M02_AXI_AWADDR(31 downto 0), M02_AXI_awprot(2 downto 0) => axi_interconnect_0_M02_AXI_AWPROT(2 downto 0), M02_AXI_awready => axi_interconnect_0_M02_AXI_AWREADY, M02_AXI_awvalid => axi_interconnect_0_M02_AXI_AWVALID, M02_AXI_bready => axi_interconnect_0_M02_AXI_BREADY, M02_AXI_bresp(1 downto 0) => axi_interconnect_0_M02_AXI_BRESP(1 downto 0), M02_AXI_bvalid => axi_interconnect_0_M02_AXI_BVALID, M02_AXI_rdata(31 downto 0) => axi_interconnect_0_M02_AXI_RDATA(31 downto 0), M02_AXI_rready => axi_interconnect_0_M02_AXI_RREADY, M02_AXI_rresp(1 downto 0) => axi_interconnect_0_M02_AXI_RRESP(1 downto 0), M02_AXI_rvalid => axi_interconnect_0_M02_AXI_RVALID, M02_AXI_wdata(31 downto 0) => axi_interconnect_0_M02_AXI_WDATA(31 downto 0), M02_AXI_wready => axi_interconnect_0_M02_AXI_WREADY, M02_AXI_wstrb(3 downto 0) => axi_interconnect_0_M02_AXI_WSTRB(3 downto 0), M02_AXI_wvalid => axi_interconnect_0_M02_AXI_WVALID, M03_ACLK => clk_wiz_0_clk_out1, M03_ARESETN => clk_wiz_0_locked, M03_AXI_araddr(31 downto 0) => axi_interconnect_0_M03_AXI_ARADDR(31 downto 0), M03_AXI_arprot(2 downto 0) => axi_interconnect_0_M03_AXI_ARPROT(2 downto 0), M03_AXI_arready => axi_interconnect_0_M03_AXI_ARREADY, M03_AXI_arvalid => axi_interconnect_0_M03_AXI_ARVALID, M03_AXI_awaddr(31 downto 0) => axi_interconnect_0_M03_AXI_AWADDR(31 downto 0), M03_AXI_awprot(2 downto 0) => axi_interconnect_0_M03_AXI_AWPROT(2 downto 0), M03_AXI_awready => axi_interconnect_0_M03_AXI_AWREADY, M03_AXI_awvalid => axi_interconnect_0_M03_AXI_AWVALID, M03_AXI_bready => axi_interconnect_0_M03_AXI_BREADY, M03_AXI_bresp(1 downto 0) => axi_interconnect_0_M03_AXI_BRESP(1 downto 0), M03_AXI_bvalid => axi_interconnect_0_M03_AXI_BVALID, M03_AXI_rdata(31 downto 0) => axi_interconnect_0_M03_AXI_RDATA(31 downto 0), M03_AXI_rready => axi_interconnect_0_M03_AXI_RREADY, M03_AXI_rresp(1 downto 0) => axi_interconnect_0_M03_AXI_RRESP(1 downto 0), M03_AXI_rvalid => axi_interconnect_0_M03_AXI_RVALID, M03_AXI_wdata(31 downto 0) => axi_interconnect_0_M03_AXI_WDATA(31 downto 0), M03_AXI_wready => axi_interconnect_0_M03_AXI_WREADY, M03_AXI_wstrb(3 downto 0) => axi_interconnect_0_M03_AXI_WSTRB(3 downto 0), M03_AXI_wvalid => axi_interconnect_0_M03_AXI_WVALID, M04_ACLK => clk_wiz_0_clk_out1, M04_ARESETN => clk_wiz_0_locked, M04_AXI_araddr(31 downto 0) => axi_interconnect_0_M04_AXI_ARADDR(31 downto 0), M04_AXI_arready => axi_interconnect_0_M04_AXI_ARREADY, M04_AXI_arvalid => axi_interconnect_0_M04_AXI_ARVALID, M04_AXI_awaddr(31 downto 0) => axi_interconnect_0_M04_AXI_AWADDR(31 downto 0), M04_AXI_awready => axi_interconnect_0_M04_AXI_AWREADY, M04_AXI_awvalid => axi_interconnect_0_M04_AXI_AWVALID, M04_AXI_bready => axi_interconnect_0_M04_AXI_BREADY, M04_AXI_bresp(1 downto 0) => axi_interconnect_0_M04_AXI_BRESP(1 downto 0), M04_AXI_bvalid => axi_interconnect_0_M04_AXI_BVALID, M04_AXI_rdata(31 downto 0) => axi_interconnect_0_M04_AXI_RDATA(31 downto 0), M04_AXI_rready => axi_interconnect_0_M04_AXI_RREADY, M04_AXI_rresp(1 downto 0) => axi_interconnect_0_M04_AXI_RRESP(1 downto 0), M04_AXI_rvalid => axi_interconnect_0_M04_AXI_RVALID, M04_AXI_wdata(31 downto 0) => axi_interconnect_0_M04_AXI_WDATA(31 downto 0), M04_AXI_wready => axi_interconnect_0_M04_AXI_WREADY, M04_AXI_wstrb(3 downto 0) => axi_interconnect_0_M04_AXI_WSTRB(3 downto 0), M04_AXI_wvalid => axi_interconnect_0_M04_AXI_WVALID, M05_ACLK => clk_wiz_0_clk_out1, M05_ARESETN => clk_wiz_0_locked, M05_AXI_araddr(31 downto 0) => axi_interconnect_0_M05_AXI_ARADDR(31 downto 0), M05_AXI_arready => axi_interconnect_0_M05_AXI_ARREADY, M05_AXI_arvalid => axi_interconnect_0_M05_AXI_ARVALID, M05_AXI_awaddr(31 downto 0) => axi_interconnect_0_M05_AXI_AWADDR(31 downto 0), M05_AXI_awready => axi_interconnect_0_M05_AXI_AWREADY, M05_AXI_awvalid => axi_interconnect_0_M05_AXI_AWVALID, M05_AXI_bready => axi_interconnect_0_M05_AXI_BREADY, M05_AXI_bresp(1 downto 0) => axi_interconnect_0_M05_AXI_BRESP(1 downto 0), M05_AXI_bvalid => axi_interconnect_0_M05_AXI_BVALID, M05_AXI_rdata(31 downto 0) => axi_interconnect_0_M05_AXI_RDATA(31 downto 0), M05_AXI_rready => axi_interconnect_0_M05_AXI_RREADY, M05_AXI_rresp(1 downto 0) => axi_interconnect_0_M05_AXI_RRESP(1 downto 0), M05_AXI_rvalid => axi_interconnect_0_M05_AXI_RVALID, M05_AXI_wdata(31 downto 0) => axi_interconnect_0_M05_AXI_WDATA(31 downto 0), M05_AXI_wready => axi_interconnect_0_M05_AXI_WREADY, M05_AXI_wstrb(3 downto 0) => axi_interconnect_0_M05_AXI_WSTRB(3 downto 0), M05_AXI_wvalid => axi_interconnect_0_M05_AXI_WVALID, M06_ACLK => clk_wiz_0_clk_out1, M06_ARESETN => clk_wiz_0_locked, M06_AXI_araddr(31 downto 0) => axi_interconnect_0_M06_AXI_ARADDR(31 downto 0), M06_AXI_arready => axi_interconnect_0_M06_AXI_ARREADY, M06_AXI_arvalid => axi_interconnect_0_M06_AXI_ARVALID, M06_AXI_awaddr(31 downto 0) => axi_interconnect_0_M06_AXI_AWADDR(31 downto 0), M06_AXI_awready => axi_interconnect_0_M06_AXI_AWREADY, M06_AXI_awvalid => axi_interconnect_0_M06_AXI_AWVALID, M06_AXI_bready => axi_interconnect_0_M06_AXI_BREADY, M06_AXI_bresp(1 downto 0) => axi_interconnect_0_M06_AXI_BRESP(1 downto 0), M06_AXI_bvalid => axi_interconnect_0_M06_AXI_BVALID, M06_AXI_rdata(31 downto 0) => axi_interconnect_0_M06_AXI_RDATA(31 downto 0), M06_AXI_rready => axi_interconnect_0_M06_AXI_RREADY, M06_AXI_rresp(1 downto 0) => axi_interconnect_0_M06_AXI_RRESP(1 downto 0), M06_AXI_rvalid => axi_interconnect_0_M06_AXI_RVALID, M06_AXI_wdata(31 downto 0) => axi_interconnect_0_M06_AXI_WDATA(31 downto 0), M06_AXI_wready => axi_interconnect_0_M06_AXI_WREADY, M06_AXI_wstrb(3 downto 0) => axi_interconnect_0_M06_AXI_WSTRB(3 downto 0), M06_AXI_wvalid => axi_interconnect_0_M06_AXI_WVALID, S00_ACLK => clk_wiz_0_clk_out1, S00_ARESETN => clk_wiz_0_locked, S00_AXI_araddr(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_ARADDR(31 downto 0), S00_AXI_arprot(2 downto 0) => internoc_ni_axi_master_0_M00_AXI_ARPROT(2 downto 0), S00_AXI_arready => internoc_ni_axi_master_0_M00_AXI_ARREADY, S00_AXI_arvalid => internoc_ni_axi_master_0_M00_AXI_ARVALID, S00_AXI_awaddr(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_AWADDR(31 downto 0), S00_AXI_awprot(2 downto 0) => internoc_ni_axi_master_0_M00_AXI_AWPROT(2 downto 0), S00_AXI_awready => internoc_ni_axi_master_0_M00_AXI_AWREADY, S00_AXI_awvalid => internoc_ni_axi_master_0_M00_AXI_AWVALID, S00_AXI_bready => internoc_ni_axi_master_0_M00_AXI_BREADY, S00_AXI_bresp(1 downto 0) => internoc_ni_axi_master_0_M00_AXI_BRESP(1 downto 0), S00_AXI_bvalid => internoc_ni_axi_master_0_M00_AXI_BVALID, S00_AXI_rdata(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_RDATA(31 downto 0), S00_AXI_rready => internoc_ni_axi_master_0_M00_AXI_RREADY, S00_AXI_rresp(1 downto 0) => internoc_ni_axi_master_0_M00_AXI_RRESP(1 downto 0), S00_AXI_rvalid => internoc_ni_axi_master_0_M00_AXI_RVALID, S00_AXI_wdata(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_WDATA(31 downto 0), S00_AXI_wready => internoc_ni_axi_master_0_M00_AXI_WREADY, S00_AXI_wstrb(3 downto 0) => internoc_ni_axi_master_0_M00_AXI_WSTRB(3 downto 0), S00_AXI_wvalid => internoc_ni_axi_master_0_M00_AXI_WVALID, S01_ACLK => clk_wiz_0_clk_out1, S01_ARESETN => clk_wiz_0_locked, S01_AXI_araddr(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_ARADDR(31 downto 0), S01_AXI_arprot(2 downto 0) => internoc_ni_axi_master_1_M00_AXI_ARPROT(2 downto 0), S01_AXI_arready => internoc_ni_axi_master_1_M00_AXI_ARREADY, S01_AXI_arvalid => internoc_ni_axi_master_1_M00_AXI_ARVALID, S01_AXI_awaddr(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_AWADDR(31 downto 0), S01_AXI_awprot(2 downto 0) => internoc_ni_axi_master_1_M00_AXI_AWPROT(2 downto 0), S01_AXI_awready => internoc_ni_axi_master_1_M00_AXI_AWREADY, S01_AXI_awvalid => internoc_ni_axi_master_1_M00_AXI_AWVALID, S01_AXI_bready => internoc_ni_axi_master_1_M00_AXI_BREADY, S01_AXI_bresp(1 downto 0) => internoc_ni_axi_master_1_M00_AXI_BRESP(1 downto 0), S01_AXI_bvalid => internoc_ni_axi_master_1_M00_AXI_BVALID, S01_AXI_rdata(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_RDATA(31 downto 0), S01_AXI_rready => internoc_ni_axi_master_1_M00_AXI_RREADY, S01_AXI_rresp(1 downto 0) => internoc_ni_axi_master_1_M00_AXI_RRESP(1 downto 0), S01_AXI_rvalid => internoc_ni_axi_master_1_M00_AXI_RVALID, S01_AXI_wdata(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_WDATA(31 downto 0), S01_AXI_wready => internoc_ni_axi_master_1_M00_AXI_WREADY, S01_AXI_wstrb(3 downto 0) => internoc_ni_axi_master_1_M00_AXI_WSTRB(3 downto 0), S01_AXI_wvalid => internoc_ni_axi_master_1_M00_AXI_WVALID, S02_ACLK => clk_wiz_0_clk_out1, S02_ARESETN => clk_wiz_0_locked, S02_AXI_araddr(31 downto 0) => jtag_axi_0_M_AXI_ARADDR(31 downto 0), S02_AXI_arburst(1 downto 0) => jtag_axi_0_M_AXI_ARBURST(1 downto 0), S02_AXI_arcache(3 downto 0) => jtag_axi_0_M_AXI_ARCACHE(3 downto 0), S02_AXI_arid(0) => jtag_axi_0_M_AXI_ARID(0), S02_AXI_arlen(7 downto 0) => jtag_axi_0_M_AXI_ARLEN(7 downto 0), S02_AXI_arlock => jtag_axi_0_M_AXI_ARLOCK, S02_AXI_arprot(2 downto 0) => jtag_axi_0_M_AXI_ARPROT(2 downto 0), S02_AXI_arqos(3 downto 0) => jtag_axi_0_M_AXI_ARQOS(3 downto 0), S02_AXI_arready => jtag_axi_0_M_AXI_ARREADY, S02_AXI_arsize(2 downto 0) => jtag_axi_0_M_AXI_ARSIZE(2 downto 0), S02_AXI_arvalid => jtag_axi_0_M_AXI_ARVALID, S02_AXI_awaddr(31 downto 0) => jtag_axi_0_M_AXI_AWADDR(31 downto 0), S02_AXI_awburst(1 downto 0) => jtag_axi_0_M_AXI_AWBURST(1 downto 0), S02_AXI_awcache(3 downto 0) => jtag_axi_0_M_AXI_AWCACHE(3 downto 0), S02_AXI_awid(0) => jtag_axi_0_M_AXI_AWID(0), S02_AXI_awlen(7 downto 0) => jtag_axi_0_M_AXI_AWLEN(7 downto 0), S02_AXI_awlock => jtag_axi_0_M_AXI_AWLOCK, S02_AXI_awprot(2 downto 0) => jtag_axi_0_M_AXI_AWPROT(2 downto 0), S02_AXI_awqos(3 downto 0) => jtag_axi_0_M_AXI_AWQOS(3 downto 0), S02_AXI_awready => jtag_axi_0_M_AXI_AWREADY, S02_AXI_awsize(2 downto 0) => jtag_axi_0_M_AXI_AWSIZE(2 downto 0), S02_AXI_awvalid => jtag_axi_0_M_AXI_AWVALID, S02_AXI_bid(0) => jtag_axi_0_M_AXI_BID(0), S02_AXI_bready => jtag_axi_0_M_AXI_BREADY, S02_AXI_bresp(1 downto 0) => jtag_axi_0_M_AXI_BRESP(1 downto 0), S02_AXI_bvalid => jtag_axi_0_M_AXI_BVALID, S02_AXI_rdata(31 downto 0) => jtag_axi_0_M_AXI_RDATA(31 downto 0), S02_AXI_rid(0) => jtag_axi_0_M_AXI_RID(0), S02_AXI_rlast => jtag_axi_0_M_AXI_RLAST, S02_AXI_rready => jtag_axi_0_M_AXI_RREADY, S02_AXI_rresp(1 downto 0) => jtag_axi_0_M_AXI_RRESP(1 downto 0), S02_AXI_rvalid => jtag_axi_0_M_AXI_RVALID, S02_AXI_wdata(31 downto 0) => jtag_axi_0_M_AXI_WDATA(31 downto 0), S02_AXI_wlast => jtag_axi_0_M_AXI_WLAST, S02_AXI_wready => jtag_axi_0_M_AXI_WREADY, S02_AXI_wstrb(3 downto 0) => jtag_axi_0_M_AXI_WSTRB(3 downto 0), S02_AXI_wvalid => jtag_axi_0_M_AXI_WVALID ); internoc_ni_axi_master_0: component DemoInterconnect_internoc_ni_axi_master_0_0 port map ( if00_data_in(7 downto 0) => uart_transceiver_0_o_RX_Byte(7 downto 0), if00_data_out(7 downto 0) => interface_axi_master_0_if00_data_out(7 downto 0), if00_load_in => uart_transceiver_0_o_RX_Done, if00_load_out => interface_axi_master_0_if00_load_out, if00_send_busy => uart_transceiver_0_o_TX_Active, if00_send_done => uart_transceiver_0_o_TX_Done, m00_axi_aclk => clk_wiz_0_clk_out1, m00_axi_araddr(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_ARADDR(31 downto 0), m00_axi_aresetn => clk_wiz_0_locked, m00_axi_arprot(2 downto 0) => internoc_ni_axi_master_0_M00_AXI_ARPROT(2 downto 0), m00_axi_arready => internoc_ni_axi_master_0_M00_AXI_ARREADY, m00_axi_arvalid => internoc_ni_axi_master_0_M00_AXI_ARVALID, m00_axi_awaddr(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_AWADDR(31 downto 0), m00_axi_awprot(2 downto 0) => internoc_ni_axi_master_0_M00_AXI_AWPROT(2 downto 0), m00_axi_awready => internoc_ni_axi_master_0_M00_AXI_AWREADY, m00_axi_awvalid => internoc_ni_axi_master_0_M00_AXI_AWVALID, m00_axi_bready => internoc_ni_axi_master_0_M00_AXI_BREADY, m00_axi_bresp(1 downto 0) => internoc_ni_axi_master_0_M00_AXI_BRESP(1 downto 0), m00_axi_bvalid => internoc_ni_axi_master_0_M00_AXI_BVALID, m00_axi_rdata(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_RDATA(31 downto 0), m00_axi_rready => internoc_ni_axi_master_0_M00_AXI_RREADY, m00_axi_rresp(1 downto 0) => internoc_ni_axi_master_0_M00_AXI_RRESP(1 downto 0), m00_axi_rvalid => internoc_ni_axi_master_0_M00_AXI_RVALID, m00_axi_wdata(31 downto 0) => internoc_ni_axi_master_0_M00_AXI_WDATA(31 downto 0), m00_axi_wready => internoc_ni_axi_master_0_M00_AXI_WREADY, m00_axi_wstrb(3 downto 0) => internoc_ni_axi_master_0_M00_AXI_WSTRB(3 downto 0), m00_axi_wvalid => internoc_ni_axi_master_0_M00_AXI_WVALID ); internoc_ni_axi_master_1: component DemoInterconnect_internoc_ni_axi_master_1_0 port map ( if00_data_in(7 downto 0) => uart_transceiver_1_o_RX_Byte(7 downto 0), if00_data_out(7 downto 0) => internoc_ni_axi_master_1_if00_data_out(7 downto 0), if00_load_in => uart_transceiver_1_o_RX_Done, if00_load_out => internoc_ni_axi_master_1_if00_load_out, if00_send_busy => uart_transceiver_1_o_TX_Active, if00_send_done => uart_transceiver_1_o_TX_Done, m00_axi_aclk => clk_wiz_0_clk_out1, m00_axi_araddr(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_ARADDR(31 downto 0), m00_axi_aresetn => clk_wiz_0_locked, m00_axi_arprot(2 downto 0) => internoc_ni_axi_master_1_M00_AXI_ARPROT(2 downto 0), m00_axi_arready => internoc_ni_axi_master_1_M00_AXI_ARREADY, m00_axi_arvalid => internoc_ni_axi_master_1_M00_AXI_ARVALID, m00_axi_awaddr(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_AWADDR(31 downto 0), m00_axi_awprot(2 downto 0) => internoc_ni_axi_master_1_M00_AXI_AWPROT(2 downto 0), m00_axi_awready => internoc_ni_axi_master_1_M00_AXI_AWREADY, m00_axi_awvalid => internoc_ni_axi_master_1_M00_AXI_AWVALID, m00_axi_bready => internoc_ni_axi_master_1_M00_AXI_BREADY, m00_axi_bresp(1 downto 0) => internoc_ni_axi_master_1_M00_AXI_BRESP(1 downto 0), m00_axi_bvalid => internoc_ni_axi_master_1_M00_AXI_BVALID, m00_axi_rdata(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_RDATA(31 downto 0), m00_axi_rready => internoc_ni_axi_master_1_M00_AXI_RREADY, m00_axi_rresp(1 downto 0) => internoc_ni_axi_master_1_M00_AXI_RRESP(1 downto 0), m00_axi_rvalid => internoc_ni_axi_master_1_M00_AXI_RVALID, m00_axi_wdata(31 downto 0) => internoc_ni_axi_master_1_M00_AXI_WDATA(31 downto 0), m00_axi_wready => internoc_ni_axi_master_1_M00_AXI_WREADY, m00_axi_wstrb(3 downto 0) => internoc_ni_axi_master_1_M00_AXI_WSTRB(3 downto 0), m00_axi_wvalid => internoc_ni_axi_master_1_M00_AXI_WVALID ); jtag_axi_0: component DemoInterconnect_jtag_axi_0_0 port map ( aclk => clk_wiz_0_clk_out1, aresetn => clk_wiz_0_locked, m_axi_araddr(31 downto 0) => jtag_axi_0_M_AXI_ARADDR(31 downto 0), m_axi_arburst(1 downto 0) => jtag_axi_0_M_AXI_ARBURST(1 downto 0), m_axi_arcache(3 downto 0) => jtag_axi_0_M_AXI_ARCACHE(3 downto 0), m_axi_arid(0) => jtag_axi_0_M_AXI_ARID(0), m_axi_arlen(7 downto 0) => jtag_axi_0_M_AXI_ARLEN(7 downto 0), m_axi_arlock => jtag_axi_0_M_AXI_ARLOCK, m_axi_arprot(2 downto 0) => jtag_axi_0_M_AXI_ARPROT(2 downto 0), m_axi_arqos(3 downto 0) => jtag_axi_0_M_AXI_ARQOS(3 downto 0), m_axi_arready => jtag_axi_0_M_AXI_ARREADY, m_axi_arsize(2 downto 0) => jtag_axi_0_M_AXI_ARSIZE(2 downto 0), m_axi_arvalid => jtag_axi_0_M_AXI_ARVALID, m_axi_awaddr(31 downto 0) => jtag_axi_0_M_AXI_AWADDR(31 downto 0), m_axi_awburst(1 downto 0) => jtag_axi_0_M_AXI_AWBURST(1 downto 0), m_axi_awcache(3 downto 0) => jtag_axi_0_M_AXI_AWCACHE(3 downto 0), m_axi_awid(0) => jtag_axi_0_M_AXI_AWID(0), m_axi_awlen(7 downto 0) => jtag_axi_0_M_AXI_AWLEN(7 downto 0), m_axi_awlock => jtag_axi_0_M_AXI_AWLOCK, m_axi_awprot(2 downto 0) => jtag_axi_0_M_AXI_AWPROT(2 downto 0), m_axi_awqos(3 downto 0) => jtag_axi_0_M_AXI_AWQOS(3 downto 0), m_axi_awready => jtag_axi_0_M_AXI_AWREADY, m_axi_awsize(2 downto 0) => jtag_axi_0_M_AXI_AWSIZE(2 downto 0), m_axi_awvalid => jtag_axi_0_M_AXI_AWVALID, m_axi_bid(0) => jtag_axi_0_M_AXI_BID(0), m_axi_bready => jtag_axi_0_M_AXI_BREADY, m_axi_bresp(1 downto 0) => jtag_axi_0_M_AXI_BRESP(1 downto 0), m_axi_bvalid => jtag_axi_0_M_AXI_BVALID, m_axi_rdata(31 downto 0) => jtag_axi_0_M_AXI_RDATA(31 downto 0), m_axi_rid(0) => jtag_axi_0_M_AXI_RID(0), m_axi_rlast => jtag_axi_0_M_AXI_RLAST, m_axi_rready => jtag_axi_0_M_AXI_RREADY, m_axi_rresp(1 downto 0) => jtag_axi_0_M_AXI_RRESP(1 downto 0), m_axi_rvalid => jtag_axi_0_M_AXI_RVALID, m_axi_wdata(31 downto 0) => jtag_axi_0_M_AXI_WDATA(31 downto 0), m_axi_wlast => jtag_axi_0_M_AXI_WLAST, m_axi_wready => jtag_axi_0_M_AXI_WREADY, m_axi_wstrb(3 downto 0) => jtag_axi_0_M_AXI_WSTRB(3 downto 0), m_axi_wvalid => jtag_axi_0_M_AXI_WVALID ); master_comm_mutex: component DemoInterconnect_mutex_0_0 port map ( S0_AXI_ACLK => clk_wiz_0_clk_out1, S0_AXI_ARADDR(31 downto 0) => axi_interconnect_0_M04_AXI_ARADDR(31 downto 0), S0_AXI_ARESETN => clk_wiz_0_locked, S0_AXI_ARREADY => axi_interconnect_0_M04_AXI_ARREADY, S0_AXI_ARVALID => axi_interconnect_0_M04_AXI_ARVALID, S0_AXI_AWADDR(31 downto 0) => axi_interconnect_0_M04_AXI_AWADDR(31 downto 0), S0_AXI_AWREADY => axi_interconnect_0_M04_AXI_AWREADY, S0_AXI_AWVALID => axi_interconnect_0_M04_AXI_AWVALID, S0_AXI_BREADY => axi_interconnect_0_M04_AXI_BREADY, S0_AXI_BRESP(1 downto 0) => axi_interconnect_0_M04_AXI_BRESP(1 downto 0), S0_AXI_BVALID => axi_interconnect_0_M04_AXI_BVALID, S0_AXI_RDATA(31 downto 0) => axi_interconnect_0_M04_AXI_RDATA(31 downto 0), S0_AXI_RREADY => axi_interconnect_0_M04_AXI_RREADY, S0_AXI_RRESP(1 downto 0) => axi_interconnect_0_M04_AXI_RRESP(1 downto 0), S0_AXI_RVALID => axi_interconnect_0_M04_AXI_RVALID, S0_AXI_WDATA(31 downto 0) => axi_interconnect_0_M04_AXI_WDATA(31 downto 0), S0_AXI_WREADY => axi_interconnect_0_M04_AXI_WREADY, S0_AXI_WSTRB(3 downto 0) => axi_interconnect_0_M04_AXI_WSTRB(3 downto 0), S0_AXI_WVALID => axi_interconnect_0_M04_AXI_WVALID, S1_AXI_ACLK => clk_wiz_0_clk_out1, S1_AXI_ARADDR(31 downto 0) => axi_interconnect_0_M05_AXI_ARADDR(31 downto 0), S1_AXI_ARESETN => clk_wiz_0_locked, S1_AXI_ARREADY => axi_interconnect_0_M05_AXI_ARREADY, S1_AXI_ARVALID => axi_interconnect_0_M05_AXI_ARVALID, S1_AXI_AWADDR(31 downto 0) => axi_interconnect_0_M05_AXI_AWADDR(31 downto 0), S1_AXI_AWREADY => axi_interconnect_0_M05_AXI_AWREADY, S1_AXI_AWVALID => axi_interconnect_0_M05_AXI_AWVALID, S1_AXI_BREADY => axi_interconnect_0_M05_AXI_BREADY, S1_AXI_BRESP(1 downto 0) => axi_interconnect_0_M05_AXI_BRESP(1 downto 0), S1_AXI_BVALID => axi_interconnect_0_M05_AXI_BVALID, S1_AXI_RDATA(31 downto 0) => axi_interconnect_0_M05_AXI_RDATA(31 downto 0), S1_AXI_RREADY => axi_interconnect_0_M05_AXI_RREADY, S1_AXI_RRESP(1 downto 0) => axi_interconnect_0_M05_AXI_RRESP(1 downto 0), S1_AXI_RVALID => axi_interconnect_0_M05_AXI_RVALID, S1_AXI_WDATA(31 downto 0) => axi_interconnect_0_M05_AXI_WDATA(31 downto 0), S1_AXI_WREADY => axi_interconnect_0_M05_AXI_WREADY, S1_AXI_WSTRB(3 downto 0) => axi_interconnect_0_M05_AXI_WSTRB(3 downto 0), S1_AXI_WVALID => axi_interconnect_0_M05_AXI_WVALID, S2_AXI_ACLK => clk_wiz_0_clk_out1, S2_AXI_ARADDR(31 downto 0) => axi_interconnect_0_M06_AXI_ARADDR(31 downto 0), S2_AXI_ARESETN => clk_wiz_0_locked, S2_AXI_ARREADY => axi_interconnect_0_M06_AXI_ARREADY, S2_AXI_ARVALID => axi_interconnect_0_M06_AXI_ARVALID, S2_AXI_AWADDR(31 downto 0) => axi_interconnect_0_M06_AXI_AWADDR(31 downto 0), S2_AXI_AWREADY => axi_interconnect_0_M06_AXI_AWREADY, S2_AXI_AWVALID => axi_interconnect_0_M06_AXI_AWVALID, S2_AXI_BREADY => axi_interconnect_0_M06_AXI_BREADY, S2_AXI_BRESP(1 downto 0) => axi_interconnect_0_M06_AXI_BRESP(1 downto 0), S2_AXI_BVALID => axi_interconnect_0_M06_AXI_BVALID, S2_AXI_RDATA(31 downto 0) => axi_interconnect_0_M06_AXI_RDATA(31 downto 0), S2_AXI_RREADY => axi_interconnect_0_M06_AXI_RREADY, S2_AXI_RRESP(1 downto 0) => axi_interconnect_0_M06_AXI_RRESP(1 downto 0), S2_AXI_RVALID => axi_interconnect_0_M06_AXI_RVALID, S2_AXI_WDATA(31 downto 0) => axi_interconnect_0_M06_AXI_WDATA(31 downto 0), S2_AXI_WREADY => axi_interconnect_0_M06_AXI_WREADY, S2_AXI_WSTRB(3 downto 0) => axi_interconnect_0_M06_AXI_WSTRB(3 downto 0), S2_AXI_WVALID => axi_interconnect_0_M06_AXI_WVALID ); uart_transceiver_0: component DemoInterconnect_uart_transceiver_0_0 port map ( i_Clk => clk_wiz_0_uart, i_RX_Serial => UART_RX_0_1, i_TX_Byte(7 downto 0) => interface_axi_master_0_if00_data_out(7 downto 0), i_TX_Load => interface_axi_master_0_if00_load_out, o_RX_Byte(7 downto 0) => uart_transceiver_0_o_RX_Byte(7 downto 0), o_RX_Done => uart_transceiver_0_o_RX_Done, o_TX_Active => uart_transceiver_0_o_TX_Active, o_TX_Done => uart_transceiver_0_o_TX_Done, o_TX_Serial => uart_transceiver_0_o_TX_Serial ); uart_transceiver_1: component DemoInterconnect_uart_transceiver_0_1 port map ( i_Clk => clk_wiz_0_uart, i_RX_Serial => UART_RX_1_1, i_TX_Byte(7 downto 0) => internoc_ni_axi_master_1_if00_data_out(7 downto 0), i_TX_Load => internoc_ni_axi_master_1_if00_load_out, o_RX_Byte(7 downto 0) => uart_transceiver_1_o_RX_Byte(7 downto 0), o_RX_Done => uart_transceiver_1_o_RX_Done, o_TX_Active => uart_transceiver_1_o_TX_Active, o_TX_Done => uart_transceiver_1_o_TX_Done, o_TX_Serial => uart_transceiver_1_o_TX_Serial ); end STRUCTURE;
mit
cesardeazevedo/ArnoldC-Speaker
bower_components/ace/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
mit
egk696/InterNoC
ip_repo/internoc_ni_axi_master_1.0/hdl/internoc_ni_axi_master_v1_0.vhd
2
12458
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity internoc_ni_axi_master_v1_0 is generic ( -- Users to add parameters here C_IF00_DATA_WIDTH : integer := 8; C_PACKET_WIDTH : integer := 40; C_PACKET_CTRL_WIDTH : integer := 3; C_PACKET_ADDR_WIDTH : integer := 5; C_PACKET_DATA_WIDTH : integer := 32; C_AXI_PACKET_ADDR_OFFSET : integer := 16; C_M00_AXI_ADDR_WIDTH : integer := 32; C_M00_SELF_ADDR : integer := 10; C_TIMEOUT_PERIOD : integer := 65535 ); port ( -- Users to add ports here if00_data_in : in std_logic_vector(C_IF00_DATA_WIDTH-1 downto 0); if00_load_in : in std_logic; if00_data_out : out std_logic_vector(C_IF00_DATA_WIDTH-1 downto 0); if00_load_out : out std_logic; if00_send_done : in std_logic; if00_send_busy : in std_logic; -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Master Bus Interface M00_AXI; m00_axi_aclk : in std_logic; m00_axi_aresetn : in std_logic; m00_axi_awaddr : out std_logic_vector(C_M00_AXI_ADDR_WIDTH-1 downto 0); m00_axi_awprot : out std_logic_vector(2 downto 0); m00_axi_awvalid : out std_logic; m00_axi_awready : in std_logic; m00_axi_wdata : out std_logic_vector(C_PACKET_DATA_WIDTH-1 downto 0); m00_axi_wstrb : out std_logic_vector(C_PACKET_DATA_WIDTH/8-1 downto 0); m00_axi_wvalid : out std_logic; m00_axi_wready : in std_logic; m00_axi_bresp : in std_logic_vector(1 downto 0); m00_axi_bvalid : in std_logic; m00_axi_bready : out std_logic; m00_axi_araddr : out std_logic_vector(C_M00_AXI_ADDR_WIDTH-1 downto 0); m00_axi_arprot : out std_logic_vector(2 downto 0); m00_axi_arvalid : out std_logic; m00_axi_arready : in std_logic; m00_axi_rdata : in std_logic_vector(C_PACKET_DATA_WIDTH-1 downto 0); m00_axi_rresp : in std_logic_vector(1 downto 0); m00_axi_rvalid : in std_logic; m00_axi_rready : out std_logic ); end internoc_ni_axi_master_v1_0; architecture arch_imp of internoc_ni_axi_master_v1_0 is -- component declaration component internoc_ni_axi_master_v1_0_M00_AXI is generic ( C_IF00_DATA_WIDTH : integer; C_PACKET_WIDTH : integer; C_PACKET_ADDR_WIDTH : integer; C_PACKET_DATA_WIDTH : integer; C_AXI_PACKET_ADDR_OFFSET : integer; C_M_AXI_DATA_WIDTH : integer; C_M_AXI_ADDR_WIDTH : integer; C_PACKET_CTRL_WIDTH : integer ); port ( PACKET_TX : in std_logic_vector(C_PACKET_WIDTH-1 downto 0); RXN_DATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); SLV_TYPE : in std_logic_vector(2 downto 0); INIT_AXI_TXN : in std_logic; INIT_AXI_RXN : in std_logic; ERROR : out std_logic; TXN_DONE : out std_logic; RXN_DONE : out std_logic; M_AXI_ACLK : in std_logic; M_AXI_ARESETN : in std_logic; M_AXI_AWADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); M_AXI_AWPROT : out std_logic_vector(2 downto 0); M_AXI_AWVALID : out std_logic; M_AXI_AWREADY : in std_logic; M_AXI_WDATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); M_AXI_WSTRB : out std_logic_vector(C_M_AXI_DATA_WIDTH/8-1 downto 0); M_AXI_WVALID : out std_logic; M_AXI_WREADY : in std_logic; M_AXI_BRESP : in std_logic_vector(1 downto 0); M_AXI_BVALID : in std_logic; M_AXI_BREADY : out std_logic; M_AXI_ARADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); M_AXI_ARPROT : out std_logic_vector(2 downto 0); M_AXI_ARVALID : out std_logic; M_AXI_ARREADY : in std_logic; M_AXI_RDATA : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); M_AXI_RRESP : in std_logic_vector(1 downto 0); M_AXI_RVALID : in std_logic; M_AXI_RREADY : out std_logic ); end component internoc_ni_axi_master_v1_0_M00_AXI; component internoc_interface_type_map is Port ( clk_i : in STD_LOGIC; addr_i : in STD_LOGIC_VECTOR (4 downto 0); type_o : out STD_LOGIC_VECTOR (2 downto 0); mode_o : out STD_LOGIC ); end component internoc_interface_type_map; --Counters signal next_body_count, current_body_count : unsigned(2 downto 0) := (others=>'0'); signal next_timeout_count, current_timeout_count : integer range 0 to C_TIMEOUT_PERIOD := 0; --Buffers signal next_packet, current_packet : std_logic_vector(C_PACKET_WIDTH-1 downto 0) := (others=>'0'); signal next_head, current_head : unsigned(C_IF00_DATA_WIDTH-1 downto 0) := (others=>'0'); signal next_body, current_body : unsigned(C_PACKET_DATA_WIDTH-1 downto 0) := (others=>'0'); signal next_axi_data, current_axi_data : std_logic_vector(C_PACKET_DATA_WIDTH-1 downto 0) := (others=>'0'); --Control signals signal current_interface_mode : std_logic := '0'; signal current_interface_type : std_logic_vector(2 downto 0) := (others=>'0'); signal next_if00_load, current_if00_load : std_logic := '0'; signal next_init_axi_tx, current_init_axi_tx : std_logic := '0'; signal next_init_axi_rx, current_init_axi_rx : std_logic := '0'; type protocol_state is ( ST_IDLE, ST_RX_HEAD, ST_RX_BODY, ST_PACK, ST_AXI_INIT, ST_AXI_RESP, ST_TX_DATA, ST_TX_WAIT, ST_RESET ); signal current_state, next_state : protocol_state; --AXI signal axi_read_done : std_logic := '0'; signal axi_write_done : std_logic := '0'; signal axi_data : std_logic_vector(C_PACKET_DATA_WIDTH-1 downto 0) := (others=>'0'); --Aliases alias header_access : unsigned(0 downto 0) is current_head(C_PACKET_CTRL_WIDTH+C_PACKET_ADDR_WIDTH-1 downto C_PACKET_CTRL_WIDTH+C_PACKET_ADDR_WIDTH-1); alias header_bytes : unsigned(C_PACKET_CTRL_WIDTH-2 downto 0) is current_head(C_PACKET_CTRL_WIDTH+C_PACKET_ADDR_WIDTH-2 downto C_PACKET_ADDR_WIDTH); alias packet_access : std_logic is current_packet(C_PACKET_WIDTH-1); alias packet_bytes : std_logic_vector(C_PACKET_CTRL_WIDTH-2 downto 0) is current_packet(C_PACKET_WIDTH-2 downto C_PACKET_ADDR_WIDTH+C_PACKET_DATA_WIDTH); alias packet_address : std_logic_vector is current_packet(C_PACKET_WIDTH-C_PACKET_CTRL_WIDTH-1 downto C_PACKET_DATA_WIDTH); alias packet_data : std_logic_vector(C_PACKET_DATA_WIDTH-1 downto 0) is current_packet(C_PACKET_DATA_WIDTH-1 downto 0); begin -- User logic seq_logic: process(m00_axi_aclk) begin if rising_edge(m00_axi_aclk) then if (m00_axi_aresetn='0') then current_state <= ST_RESET; else -- Register control signals current_state <= next_state; current_init_axi_rx <= next_init_axi_rx; current_init_axi_tx <= next_init_axi_tx; current_axi_data <= next_axi_data; current_packet <= next_packet; current_body_count <= next_body_count; current_head <= next_head; current_body <= next_body; current_timeout_count <= next_timeout_count; -- Register interface load pulse next_if00_load <= if00_load_in; current_if00_load <= next_if00_load; end if; end if; end process; comb_logic: process(m00_axi_aclk) begin -- Avoid latches next_state <= current_state; next_init_axi_rx <= current_init_axi_rx; next_init_axi_tx <= current_init_axi_tx; next_axi_data <= current_axi_data; next_packet <= current_packet; next_body_count <= current_body_count; next_head <= current_head; next_body <= current_body; next_timeout_count <= current_timeout_count; if00_load_out <= '0'; -- Drive FSM case current_state is when ST_IDLE=> if00_load_out <= '0'; if00_data_out <= (others=>'0'); if (current_if00_load='0' and next_if00_load='1') then --TODO:check for valid address next_head <= unsigned(if00_data_in); next_state <= ST_RX_HEAD; end if; when ST_RX_HEAD=> next_body_count <= resize(header_bytes, 3)+1; next_state <= ST_RX_BODY; when ST_RX_BODY=> if (current_body_count=0) then next_state <= ST_PACK; else if (current_if00_load='0' and next_if00_load='1') then next_timeout_count <= 0; case current_body_count is when "001"=> next_body(7 downto 0) <= unsigned(if00_data_in); when "010"=> next_body(15 downto 8) <= unsigned(if00_data_in); when "011"=> next_body(23 downto 16) <= unsigned(if00_data_in); when "100"=> next_body(31 downto 24) <= unsigned(if00_data_in); when others=> next_state <= ST_RESET; end case; next_body_count <= current_body_count - 1; else if (current_timeout_count = C_TIMEOUT_PERIOD-1) then next_timeout_count <= 0; next_state <= ST_RESET; else next_timeout_count <= current_timeout_count + 1; end if; end if; end if; when ST_PACK=> next_packet <= std_logic_vector(current_head) & std_logic_vector(current_body); next_state <= ST_AXI_INIT; when ST_AXI_INIT=> if (packet_access='1') then next_init_axi_rx <= '1'; else next_init_axi_tx <= '1'; end if; if (current_init_axi_rx='1') then next_init_axi_rx <= '0'; next_state <= ST_AXI_RESP; end if; if (current_init_axi_tx='1') then next_init_axi_tx <= '0'; next_state <= ST_AXI_RESP; end if; when ST_AXI_RESP=> if (axi_write_done='1') then next_state <= ST_IDLE; next_head <= (others=>'0'); next_body <= (others=>'0'); next_packet <= (others=>'0'); end if; if (axi_read_done='1') then next_state <= ST_TX_DATA; next_body_count <= resize(unsigned(packet_bytes), 3)+1; next_axi_data <= axi_data; end if; when ST_TX_DATA=> if (current_body_count=0) then next_state <= ST_IDLE; next_head <= (others=>'0'); next_body <= (others=>'0'); next_packet <= (others=>'0'); else if (if00_send_busy='0' and if00_send_done='0') then if00_load_out <= '1'; case current_body_count is when "001"=> if00_data_out <= current_axi_data(7 downto 0); when "010"=> if00_data_out <= current_axi_data(15 downto 8); when "011"=> if00_data_out <= current_axi_data(23 downto 16); when "100"=> if00_data_out <= current_axi_data(31 downto 24); when others=> if00_data_out <= (others=>'0'); end case; next_body_count <= current_body_count - 1; next_state <= ST_TX_WAIT; end if; end if; when ST_TX_WAIT=> if (if00_send_busy='0') then if00_load_out <= '1'; end if; if (if00_send_done='1') then next_state <= ST_TX_DATA; end if; when ST_RESET=> if00_data_out <= (others=>'0'); next_init_axi_rx <= '0'; next_init_axi_tx <= '0'; next_axi_data <= (others=>'0'); next_packet <= (others=>'0'); next_body_count <= (others=>'0'); next_head <= (others=>'0'); next_body <= (others=>'0'); next_timeout_count <= 0; next_state <= ST_IDLE; end case; end process; -- Instantiations internoc_interface_type_map_inst: internoc_interface_type_map port map ( clk_i => m00_axi_aclk, addr_i => packet_address, type_o => current_interface_type, mode_o => current_interface_mode ); internoc_ni_axi_master_v1_0_M00_AXI_inst : internoc_ni_axi_master_v1_0_M00_AXI generic map ( C_IF00_DATA_WIDTH => C_IF00_DATA_WIDTH, C_PACKET_WIDTH => C_PACKET_WIDTH, C_PACKET_ADDR_WIDTH => C_PACKET_ADDR_WIDTH, C_PACKET_DATA_WIDTH => C_PACKET_DATA_WIDTH, C_PACKET_CTRL_WIDTH => C_PACKET_CTRL_WIDTH, C_AXI_PACKET_ADDR_OFFSET => C_AXI_PACKET_ADDR_OFFSET, C_M_AXI_ADDR_WIDTH => C_M00_AXI_ADDR_WIDTH, C_M_AXI_DATA_WIDTH => C_PACKET_DATA_WIDTH ) port map ( PACKET_TX => current_packet, RXN_DATA => axi_data, SLV_TYPE => current_interface_type, INIT_AXI_RXN => current_init_axi_rx, INIT_AXI_TXN => current_init_axi_tx, TXN_DONE => axi_write_done, RXN_DONE => axi_read_done, M_AXI_ACLK => m00_axi_aclk, M_AXI_ARESETN => m00_axi_aresetn, M_AXI_AWADDR => m00_axi_awaddr, M_AXI_AWPROT => m00_axi_awprot, M_AXI_AWVALID => m00_axi_awvalid, M_AXI_AWREADY => m00_axi_awready, M_AXI_WDATA => m00_axi_wdata, M_AXI_WSTRB => m00_axi_wstrb, M_AXI_WVALID => m00_axi_wvalid, M_AXI_WREADY => m00_axi_wready, M_AXI_BRESP => m00_axi_bresp, M_AXI_BVALID => m00_axi_bvalid, M_AXI_BREADY => m00_axi_bready, M_AXI_ARADDR => m00_axi_araddr, M_AXI_ARPROT => m00_axi_arprot, M_AXI_ARVALID => m00_axi_arvalid, M_AXI_ARREADY => m00_axi_arready, M_AXI_RDATA => m00_axi_rdata, M_AXI_RRESP => m00_axi_rresp, M_AXI_RVALID => m00_axi_rvalid, M_AXI_RREADY => m00_axi_rready ); end arch_imp;
mit
egk696/InterNoC
InterNoC.srcs/sources_1/bd/DemoInterconnect/ip/DemoInterconnect_uart_transceiver_0_1/synth/DemoInterconnect_uart_transceiver_0_1.vhd
1
4632
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: ekyr:user:uart_transceiver:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY DemoInterconnect_uart_transceiver_0_1 IS PORT ( i_Clk : IN STD_LOGIC; i_RX_Serial : IN STD_LOGIC; o_RX_Done : OUT STD_LOGIC; o_RX_Byte : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); i_TX_Load : IN STD_LOGIC; i_TX_Byte : IN STD_LOGIC_VECTOR(7 DOWNTO 0); o_TX_Active : OUT STD_LOGIC; o_TX_Serial : OUT STD_LOGIC; o_TX_Done : OUT STD_LOGIC ); END DemoInterconnect_uart_transceiver_0_1; ARCHITECTURE DemoInterconnect_uart_transceiver_0_1_arch OF DemoInterconnect_uart_transceiver_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DemoInterconnect_uart_transceiver_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT uart_top IS GENERIC ( CLK_FREQ : INTEGER; BAUD_RATE : INTEGER ); PORT ( i_Clk : IN STD_LOGIC; i_RX_Serial : IN STD_LOGIC; o_RX_Done : OUT STD_LOGIC; o_RX_Byte : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); i_TX_Load : IN STD_LOGIC; i_TX_Byte : IN STD_LOGIC_VECTOR(7 DOWNTO 0); o_TX_Active : OUT STD_LOGIC; o_TX_Serial : OUT STD_LOGIC; o_TX_Done : OUT STD_LOGIC ); END COMPONENT uart_top; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DemoInterconnect_uart_transceiver_0_1_arch: ARCHITECTURE IS "uart_top,Vivado 2017.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DemoInterconnect_uart_transceiver_0_1_arch : ARCHITECTURE IS "DemoInterconnect_uart_transceiver_0_1,uart_top,{}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_PARAMETER OF i_Clk: SIGNAL IS "XIL_INTERFACENAME i_Clk, FREQ_HZ 12000000, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1"; ATTRIBUTE X_INTERFACE_INFO OF i_Clk: SIGNAL IS "xilinx.com:signal:clock:1.0 i_Clk CLK"; BEGIN U0 : uart_top GENERIC MAP ( CLK_FREQ => 12000000, BAUD_RATE => 115200 ) PORT MAP ( i_Clk => i_Clk, i_RX_Serial => i_RX_Serial, o_RX_Done => o_RX_Done, o_RX_Byte => o_RX_Byte, i_TX_Load => i_TX_Load, i_TX_Byte => i_TX_Byte, o_TX_Active => o_TX_Active, o_TX_Serial => o_TX_Serial, o_TX_Done => o_TX_Done ); END DemoInterconnect_uart_transceiver_0_1_arch;
mit
egk696/InterNoC
InterNoC.srcs/sources_1/bd/DemoInterconnect/ip/DemoInterconnect_axi_spi_master_0_0/sim/DemoInterconnect_axi_spi_master_0_0.vhd
2
10931
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: ekyr.kth.se:user:axi_spi_master:1.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY DemoInterconnect_axi_spi_master_0_0 IS PORT ( m_spi_mosi : OUT STD_LOGIC; m_spi_miso : IN STD_LOGIC; m_spi_ss : OUT STD_LOGIC; m_spi_sclk : OUT STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC ); END DemoInterconnect_axi_spi_master_0_0; ARCHITECTURE DemoInterconnect_axi_spi_master_0_0_arch OF DemoInterconnect_axi_spi_master_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DemoInterconnect_axi_spi_master_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_spi_master_v1_0 IS GENERIC ( C_S00_AXI_DATA_WIDTH : INTEGER; -- Width of S_AXI data bus C_S00_AXI_ADDR_WIDTH : INTEGER; -- Width of S_AXI address bus SPI_DATA_WIDTH : INTEGER; SPI_CLK_DIV : INTEGER ); PORT ( m_spi_mosi : OUT STD_LOGIC; m_spi_miso : IN STD_LOGIC; m_spi_ss : OUT STD_LOGIC; m_spi_sclk : OUT STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC ); END COMPONENT axi_spi_master_v1_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME S00_AXI_RST, POLARITY ACTIVE_LOW, XIL_INTERFACENAME s00_axi_aresetn, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S00_AXI_RST RST, xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aclk: SIGNAL IS "XIL_INTERFACENAME S00_AXI_CLK, ASSOCIATED_BUSIF S00_AXI, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, XIL_INTERFACENAME s00_axi_aclk, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 72000000, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, ASSOCIATED_BUSIF S00_AXI"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S00_AXI_CLK CLK, xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT"; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S00_AXI, WIZ_DATA_WIDTH 32, WIZ_NUM_REG 4, SUPPORTS_NARROW_BURST 0, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 72000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 1, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_sclk: SIGNAL IS "XIL_INTERFACENAME m_spi_sclk, ASSOCIATED_CLKEN m_spi_ss, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN DemoInterconnect_axi_spi_master_0_0_m_spi_sclk"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_sclk: SIGNAL IS "xilinx.com:signal:clock:1.0 m_spi_sclk CLK"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_ss: SIGNAL IS "XIL_INTERFACENAME m_spi_ss, FREQ_HZ 100000000, PHASE 0, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_ss: SIGNAL IS "xilinx.com:signal:clockenable:1.0 m_spi_ss CE"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_miso: SIGNAL IS "XIL_INTERFACENAME m_spi_miso, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_miso: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_miso DATA"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_mosi: SIGNAL IS "XIL_INTERFACENAME m_spi_mosi, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_mosi: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_mosi DATA"; BEGIN U0 : axi_spi_master_v1_0 GENERIC MAP ( C_S00_AXI_DATA_WIDTH => 32, C_S00_AXI_ADDR_WIDTH => 4, SPI_DATA_WIDTH => 8, SPI_CLK_DIV => 6 ) PORT MAP ( m_spi_mosi => m_spi_mosi, m_spi_miso => m_spi_miso, m_spi_ss => m_spi_ss, m_spi_sclk => m_spi_sclk, s00_axi_awaddr => s00_axi_awaddr, s00_axi_awprot => s00_axi_awprot, s00_axi_awvalid => s00_axi_awvalid, s00_axi_awready => s00_axi_awready, s00_axi_wdata => s00_axi_wdata, s00_axi_wstrb => s00_axi_wstrb, s00_axi_wvalid => s00_axi_wvalid, s00_axi_wready => s00_axi_wready, s00_axi_bresp => s00_axi_bresp, s00_axi_bvalid => s00_axi_bvalid, s00_axi_bready => s00_axi_bready, s00_axi_araddr => s00_axi_araddr, s00_axi_arprot => s00_axi_arprot, s00_axi_arvalid => s00_axi_arvalid, s00_axi_arready => s00_axi_arready, s00_axi_rdata => s00_axi_rdata, s00_axi_rresp => s00_axi_rresp, s00_axi_rvalid => s00_axi_rvalid, s00_axi_rready => s00_axi_rready, s00_axi_aclk => s00_axi_aclk, s00_axi_aresetn => s00_axi_aresetn ); END DemoInterconnect_axi_spi_master_0_0_arch;
mit
egk696/InterNoC
InterNoC.ip_user_files/bd/DemoInterconnect/ip/DemoInterconnect_axi_spi_master_0_0/sim/DemoInterconnect_axi_spi_master_0_0.vhd
2
10931
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: ekyr.kth.se:user:axi_spi_master:1.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY DemoInterconnect_axi_spi_master_0_0 IS PORT ( m_spi_mosi : OUT STD_LOGIC; m_spi_miso : IN STD_LOGIC; m_spi_ss : OUT STD_LOGIC; m_spi_sclk : OUT STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC ); END DemoInterconnect_axi_spi_master_0_0; ARCHITECTURE DemoInterconnect_axi_spi_master_0_0_arch OF DemoInterconnect_axi_spi_master_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DemoInterconnect_axi_spi_master_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_spi_master_v1_0 IS GENERIC ( C_S00_AXI_DATA_WIDTH : INTEGER; -- Width of S_AXI data bus C_S00_AXI_ADDR_WIDTH : INTEGER; -- Width of S_AXI address bus SPI_DATA_WIDTH : INTEGER; SPI_CLK_DIV : INTEGER ); PORT ( m_spi_mosi : OUT STD_LOGIC; m_spi_miso : IN STD_LOGIC; m_spi_ss : OUT STD_LOGIC; m_spi_sclk : OUT STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC ); END COMPONENT axi_spi_master_v1_0; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME S00_AXI_RST, POLARITY ACTIVE_LOW, XIL_INTERFACENAME s00_axi_aresetn, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S00_AXI_RST RST, xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aclk: SIGNAL IS "XIL_INTERFACENAME S00_AXI_CLK, ASSOCIATED_BUSIF S00_AXI, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, XIL_INTERFACENAME s00_axi_aclk, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 72000000, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, ASSOCIATED_BUSIF S00_AXI"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S00_AXI_CLK CLK, xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT"; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S00_AXI, WIZ_DATA_WIDTH 32, WIZ_NUM_REG 4, SUPPORTS_NARROW_BURST 0, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 72000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 1, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_sclk: SIGNAL IS "XIL_INTERFACENAME m_spi_sclk, ASSOCIATED_CLKEN m_spi_ss, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN DemoInterconnect_axi_spi_master_0_0_m_spi_sclk"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_sclk: SIGNAL IS "xilinx.com:signal:clock:1.0 m_spi_sclk CLK"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_ss: SIGNAL IS "XIL_INTERFACENAME m_spi_ss, FREQ_HZ 100000000, PHASE 0, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_ss: SIGNAL IS "xilinx.com:signal:clockenable:1.0 m_spi_ss CE"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_miso: SIGNAL IS "XIL_INTERFACENAME m_spi_miso, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_miso: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_miso DATA"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_mosi: SIGNAL IS "XIL_INTERFACENAME m_spi_mosi, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_mosi: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_mosi DATA"; BEGIN U0 : axi_spi_master_v1_0 GENERIC MAP ( C_S00_AXI_DATA_WIDTH => 32, C_S00_AXI_ADDR_WIDTH => 4, SPI_DATA_WIDTH => 8, SPI_CLK_DIV => 6 ) PORT MAP ( m_spi_mosi => m_spi_mosi, m_spi_miso => m_spi_miso, m_spi_ss => m_spi_ss, m_spi_sclk => m_spi_sclk, s00_axi_awaddr => s00_axi_awaddr, s00_axi_awprot => s00_axi_awprot, s00_axi_awvalid => s00_axi_awvalid, s00_axi_awready => s00_axi_awready, s00_axi_wdata => s00_axi_wdata, s00_axi_wstrb => s00_axi_wstrb, s00_axi_wvalid => s00_axi_wvalid, s00_axi_wready => s00_axi_wready, s00_axi_bresp => s00_axi_bresp, s00_axi_bvalid => s00_axi_bvalid, s00_axi_bready => s00_axi_bready, s00_axi_araddr => s00_axi_araddr, s00_axi_arprot => s00_axi_arprot, s00_axi_arvalid => s00_axi_arvalid, s00_axi_arready => s00_axi_arready, s00_axi_rdata => s00_axi_rdata, s00_axi_rresp => s00_axi_rresp, s00_axi_rvalid => s00_axi_rvalid, s00_axi_rready => s00_axi_rready, s00_axi_aclk => s00_axi_aclk, s00_axi_aresetn => s00_axi_aresetn ); END DemoInterconnect_axi_spi_master_0_0_arch;
mit
egk696/InterNoC
InterNoC.srcs/sources_1/bd/DemoInterconnect/ip/DemoInterconnect_axi_spi_master_0_1/synth/DemoInterconnect_axi_spi_master_0_1.vhd
1
11283
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: ekyr.kth.se:user:axi_spi_master:1.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY DemoInterconnect_axi_spi_master_0_1 IS PORT ( m_spi_mosi : OUT STD_LOGIC; m_spi_miso : IN STD_LOGIC; m_spi_ss : OUT STD_LOGIC; m_spi_sclk : OUT STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC ); END DemoInterconnect_axi_spi_master_0_1; ARCHITECTURE DemoInterconnect_axi_spi_master_0_1_arch OF DemoInterconnect_axi_spi_master_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF DemoInterconnect_axi_spi_master_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT axi_spi_master_v1_0 IS GENERIC ( C_S00_AXI_DATA_WIDTH : INTEGER; -- Width of S_AXI data bus C_S00_AXI_ADDR_WIDTH : INTEGER; -- Width of S_AXI address bus SPI_DATA_WIDTH : INTEGER; SPI_CLK_DIV : INTEGER ); PORT ( m_spi_mosi : OUT STD_LOGIC; m_spi_miso : IN STD_LOGIC; m_spi_ss : OUT STD_LOGIC; m_spi_sclk : OUT STD_LOGIC; s00_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_awvalid : IN STD_LOGIC; s00_axi_awready : OUT STD_LOGIC; s00_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_wvalid : IN STD_LOGIC; s00_axi_wready : OUT STD_LOGIC; s00_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_bvalid : OUT STD_LOGIC; s00_axi_bready : IN STD_LOGIC; s00_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s00_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s00_axi_arvalid : IN STD_LOGIC; s00_axi_arready : OUT STD_LOGIC; s00_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s00_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s00_axi_rvalid : OUT STD_LOGIC; s00_axi_rready : IN STD_LOGIC; s00_axi_aclk : IN STD_LOGIC; s00_axi_aresetn : IN STD_LOGIC ); END COMPONENT axi_spi_master_v1_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF DemoInterconnect_axi_spi_master_0_1_arch: ARCHITECTURE IS "axi_spi_master_v1_0,Vivado 2017.3"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF DemoInterconnect_axi_spi_master_0_1_arch : ARCHITECTURE IS "DemoInterconnect_axi_spi_master_0_1,axi_spi_master_v1_0,{}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME S00_AXI_RST, POLARITY ACTIVE_LOW, XIL_INTERFACENAME s00_axi_aresetn, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S00_AXI_RST RST, xilinx.com:signal:reset:1.0 s00_axi_aresetn RST"; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_aclk: SIGNAL IS "XIL_INTERFACENAME S00_AXI_CLK, ASSOCIATED_BUSIF S00_AXI, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, XIL_INTERFACENAME s00_axi_aclk, ASSOCIATED_RESET s00_axi_aresetn, FREQ_HZ 72000000, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, ASSOCIATED_BUSIF S00_AXI"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S00_AXI_CLK CLK, xilinx.com:signal:clock:1.0 s00_axi_aclk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWPROT"; ATTRIBUTE X_INTERFACE_PARAMETER OF s00_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S00_AXI, WIZ_DATA_WIDTH 32, WIZ_NUM_REG 4, SUPPORTS_NARROW_BURST 0, DATA_WIDTH 32, PROTOCOL AXI4LITE, FREQ_HZ 72000000, ID_WIDTH 0, ADDR_WIDTH 4, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 0, HAS_LOCK 0, HAS_PROT 1, HAS_CACHE 0, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 1, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0"; ATTRIBUTE X_INTERFACE_INFO OF s00_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S00_AXI AWADDR"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_sclk: SIGNAL IS "XIL_INTERFACENAME m_spi_sclk, ASSOCIATED_CLKEN m_spi_ss, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN DemoInterconnect_axi_spi_master_0_1_m_spi_sclk"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_sclk: SIGNAL IS "xilinx.com:signal:clock:1.0 m_spi_sclk CLK"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_ss: SIGNAL IS "XIL_INTERFACENAME m_spi_ss, FREQ_HZ 100000000, PHASE 0, POLARITY ACTIVE_LOW"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_ss: SIGNAL IS "xilinx.com:signal:clockenable:1.0 m_spi_ss CE"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_miso: SIGNAL IS "XIL_INTERFACENAME m_spi_miso, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_miso: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_miso DATA"; ATTRIBUTE X_INTERFACE_PARAMETER OF m_spi_mosi: SIGNAL IS "XIL_INTERFACENAME m_spi_mosi, LAYERED_METADATA undef"; ATTRIBUTE X_INTERFACE_INFO OF m_spi_mosi: SIGNAL IS "xilinx.com:signal:data:1.0 m_spi_mosi DATA"; BEGIN U0 : axi_spi_master_v1_0 GENERIC MAP ( C_S00_AXI_DATA_WIDTH => 32, C_S00_AXI_ADDR_WIDTH => 4, SPI_DATA_WIDTH => 8, SPI_CLK_DIV => 6 ) PORT MAP ( m_spi_mosi => m_spi_mosi, m_spi_miso => m_spi_miso, m_spi_ss => m_spi_ss, m_spi_sclk => m_spi_sclk, s00_axi_awaddr => s00_axi_awaddr, s00_axi_awprot => s00_axi_awprot, s00_axi_awvalid => s00_axi_awvalid, s00_axi_awready => s00_axi_awready, s00_axi_wdata => s00_axi_wdata, s00_axi_wstrb => s00_axi_wstrb, s00_axi_wvalid => s00_axi_wvalid, s00_axi_wready => s00_axi_wready, s00_axi_bresp => s00_axi_bresp, s00_axi_bvalid => s00_axi_bvalid, s00_axi_bready => s00_axi_bready, s00_axi_araddr => s00_axi_araddr, s00_axi_arprot => s00_axi_arprot, s00_axi_arvalid => s00_axi_arvalid, s00_axi_arready => s00_axi_arready, s00_axi_rdata => s00_axi_rdata, s00_axi_rresp => s00_axi_rresp, s00_axi_rvalid => s00_axi_rvalid, s00_axi_rready => s00_axi_rready, s00_axi_aclk => s00_axi_aclk, s00_axi_aresetn => s00_axi_aresetn ); END DemoInterconnect_axi_spi_master_0_1_arch;
mit
egk696/InterNoC
InterNoC.srcs/sources_1/bd/DemoInterconnect/ipshared/68e9/hdl/axi_spi_master_v1_0_S00_AXI.vhd
3
15332
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity axi_spi_master_v1_0_S00_AXI is generic ( -- Users to add parameters here SPI_DATA_WIDTH : integer := 8; SPI_CLK_DIV : integer := 100; -- User parameters ends -- Do not modify the parameters beyond this line -- Width of S_AXI data bus C_S_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI address bus C_S_AXI_ADDR_WIDTH : integer := 1 ); port ( -- Users to add ports here spi_mosi : out std_logic; spi_miso : in std_logic; spi_ss : out std_logic; spi_sclk : out std_logic; -- User ports ends -- Do not modify the ports beyond this line -- Global Clock Signal S_AXI_ACLK : in std_logic; -- Global Reset Signal. This Signal is Active LOW S_AXI_ARESETN : in std_logic; -- Write address (issued by master, acceped by Slave) S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Write channel Protection type. This signal indicates the -- privilege and security level of the transaction, and whether -- the transaction is a data access or an instruction access. S_AXI_AWPROT : in std_logic_vector(2 downto 0); -- Write address valid. This signal indicates that the master signaling -- valid write address and control information. S_AXI_AWVALID : in std_logic; -- Write address ready. This signal indicates that the slave is ready -- to accept an address and associated control signals. S_AXI_AWREADY : out std_logic; -- Write data (issued by master, acceped by Slave) S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. This signal indicates which byte lanes hold -- valid data. There is one write strobe bit for each eight -- bits of the write data bus. S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write valid. This signal indicates that valid write -- data and strobes are available. S_AXI_WVALID : in std_logic; -- Write ready. This signal indicates that the slave -- can accept the write data. S_AXI_WREADY : out std_logic; -- Write response. This signal indicates the status -- of the write transaction. S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Write response valid. This signal indicates that the channel -- is signaling a valid write response. S_AXI_BVALID : out std_logic; -- Response ready. This signal indicates that the master -- can accept a write response. S_AXI_BREADY : in std_logic; -- Read address (issued by master, acceped by Slave) S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether the -- transaction is a data access or an instruction access. S_AXI_ARPROT : in std_logic_vector(2 downto 0); -- Read address valid. This signal indicates that the channel -- is signaling valid read address and control information. S_AXI_ARVALID : in std_logic; -- Read address ready. This signal indicates that the slave is -- ready to accept an address and associated control signals. S_AXI_ARREADY : out std_logic; -- Read data (issued by slave) S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of the -- read transfer. S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read valid. This signal indicates that the channel is -- signaling the required read data. S_AXI_RVALID : out std_logic; -- Read ready. This signal indicates that the master can -- accept the read data and response information. S_AXI_RREADY : in std_logic ); end axi_spi_master_v1_0_S00_AXI; architecture arch_imp of axi_spi_master_v1_0_S00_AXI is -- Components component word2byte is generic ( DATA_WIDTH : integer ); port ( clk_i : in std_logic; en_i : in std_logic; rstn_i : in std_logic; shift_cnt_i : in std_logic_vector(2 downto 0); send_i : in std_logic; data_i : in std_logic_vector(DATA_WIDTH-1 downto 0); busy_o : out std_logic; done_o : out std_logic; shift_o : out std_logic_vector(7 downto 0); ss_o : out std_logic ) ; end component; component byte2word is generic ( DATA_WIDTH : integer ); port ( clk_i : in std_logic; en_i : in std_logic; rstn_i : in std_logic; shift_cnt_i : in std_logic_vector(2 downto 0); shift_i : in std_logic_vector(7 downto 0); done_o : out std_logic; data_o : out std_logic_vector(DATA_WIDTH-1 downto 0) ) ; end component; component spi_master is generic( DATA_WIDTH : integer; CLK_DIV : integer -- input clock divider to generate output serial clock; o_sclk frequency = i_clk/(2*CLK_DIV) ); port( --Out port o_sclk : out std_logic := '1'; o_mosi : out std_logic := '1'; o_ss : out std_logic := '1'; o_tx_rx_busy : out std_logic := '0'; o_tx_rx_end : out std_logic := '0'; o_data_rx : out std_logic_vector(DATA_WIDTH-1 downto 0) := (others=>'0'); --In port i_miso : in std_logic := '0'; i_data_tx : in std_logic_vector(DATA_WIDTH-1 downto 0) := (others=>'0'); -- data to send --Control i_clk : in std_logic := '0'; i_reset : in std_logic := '0'; i_tx_rx_start : in std_logic := '0' -- Start TX ); end component; -- AXI4LITE signals signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_awready : std_logic; signal axi_wready : std_logic; signal axi_bresp : std_logic_vector(1 downto 0); signal axi_bvalid : std_logic; signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_arready : std_logic; signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal axi_rresp : std_logic_vector(1 downto 0); signal axi_rvalid : std_logic; -- Packet logic signal packet_byte_cnt : std_logic_vector(2 downto 0) := "100"; -- SPI interface signals signal spi_tx_rx_start : std_logic := '0'; signal spi_tx_rx_busy : std_logic := '0'; signal spi_tx_rx_done : std_logic := '0'; signal spi_tx_byte : std_logic_vector(SPI_DATA_WIDTH-1 downto 0); signal spi_rx_byte : std_logic_vector(SPI_DATA_WIDTH-1 downto 0); -- PISO SIPO converters interface signals signal p2s_load : std_logic := '0'; signal p2s_send : std_logic := '0'; signal p2s_busy : std_logic := '0'; signal p2s_ss : std_logic := '0'; signal p2s_done : std_logic := '0'; signal s2p_en : std_logic := '0'; signal s2p_done : std_logic := '0'; -- Registers signal slv_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal slv_wdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); begin -- I/O Connections assignments S_AXI_AWREADY <= axi_awready; S_AXI_WREADY <= axi_wready; S_AXI_BRESP <= axi_bresp; S_AXI_BVALID <= axi_bvalid; S_AXI_ARREADY <= axi_arready; S_AXI_RDATA <= axi_rdata; S_AXI_RRESP <= axi_rresp; S_AXI_RVALID <= axi_rvalid; -- Implement axi_awready generation -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is -- de-asserted when reset is low. wr_addr_valid: process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awready <= '0'; else if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- slave is ready to accept write address when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_awready <= '1'; else axi_awready <= '0'; end if; end if; end if; end process; -- Implement axi_awaddr latching -- This process is used to latch the address when both -- S_AXI_AWVALID and S_AXI_WVALID are valid. wr_addr_latch: process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awaddr <= (others => '0'); else if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then -- Write Address latching axi_awaddr <= S_AXI_AWADDR; end if; end if; end if; end process; -- Implement axi_wready generation -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is -- de-asserted when reset is low. wr_data_valid: process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_wready <= '0'; else if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1' and p2s_busy='0' and spi_tx_rx_busy='0') then -- slave is ready to accept write data when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_wready <= '1'; slv_wdata <= S_AXI_WDATA; case S_AXI_WSTRB is when "0001"=> packet_byte_cnt <= "001"; when "0011"=> packet_byte_cnt <= "010"; when "0111"=> packet_byte_cnt <= "011"; when "1111"=> packet_byte_cnt <= "100"; when others=> packet_byte_cnt <= "100"; end case; else axi_wready <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and write logic generation -- The write data is accepted and written to memory mapped registers when -- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to -- select byte enables of slave registers while writing. -- These registers are cleared when reset (active low) is applied. -- Slave register write enable is asserted when valid address and data are available -- and the slave is ready to accept the write address and write data -- Implement write response logic generation -- The write response and response valid signals are asserted by the slave -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. -- This marks the acceptance of address and indicates the status of -- write transaction. wr_response: process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_bvalid <= '0'; axi_bresp <= "00"; --need to work more on the responses else if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then axi_bvalid <= '1'; axi_bresp <= "00"; elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high) axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high) end if; end if; end if; end process; -- Implement axi_arready generation -- axi_arready is asserted for one S_AXI_ACLK clock cycle when -- S_AXI_ARVALID is asserted. axi_awready is -- de-asserted when reset (active low) is asserted. -- The read address is also latched when S_AXI_ARVALID is -- asserted. axi_araddr is reset to zero on reset assertion. rd_addr_valid: process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_arready <= '0'; axi_araddr <= (others => '1'); else if (axi_arready = '0' and S_AXI_ARVALID = '1' and s2p_done='1') then -- indicates that the slave has acceped the valid read address axi_arready <= '1'; -- Read Address latching axi_araddr <= S_AXI_ARADDR; else axi_arready <= '0'; end if; end if; end if; end process; -- Implement axi_arvalid generation -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_ARVALID and axi_arready are asserted. The slave registers -- data are available on the axi_rdata bus at this instance. The -- assertion of axi_rvalid marks the validity of read data on the -- bus and axi_rresp indicates the status of read transaction.axi_rvalid -- is deasserted on reset (active low). axi_rresp and axi_rdata are -- cleared to zero on reset (active low). rd_response: process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_rvalid <= '0'; axi_rresp <= "00"; else if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then -- Valid read data is available at the read data bus axi_rvalid <= '1'; axi_rresp <= "00"; -- 'OKAY' response axi_rdata <= slv_rdata; elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then -- Read data is accepted by the master axi_rvalid <= '0'; end if; end if; end if; end process; -- Add user logic here start_interface: process(S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then p2s_load <= '0'; else if (p2s_load='0' and p2s_busy='0') and ((axi_bvalid='1') or (S_AXI_ARVALID='1')) then p2s_load <= '1'; else p2s_load <= '0'; end if; end if; end if; end process; p2s_send <= not(spi_tx_rx_busy) and not(spi_tx_rx_start); s2p_en <= spi_tx_rx_done; spi_tx_rx_start <= not(p2s_ss); word2byte_inst: word2byte generic map( DATA_WIDTH => C_S_AXI_DATA_WIDTH ) port map( clk_i => S_AXI_ACLK, en_i => p2s_load, rstn_i => S_AXI_ARESETN, shift_cnt_i => packet_byte_cnt, send_i => p2s_send, busy_o => p2s_busy, data_i => slv_wdata, shift_o => spi_tx_byte, ss_o => p2s_ss ); byte2word_inst: byte2word generic map( DATA_WIDTH => C_S_AXI_DATA_WIDTH ) port map( clk_i => S_AXI_ACLK, en_i => s2p_en, rstn_i => S_AXI_ARESETN, shift_cnt_i => packet_byte_cnt, shift_i => spi_rx_byte, done_o => s2p_done, data_o => slv_rdata ); spi_master_inst: spi_master generic map( DATA_WIDTH => SPI_DATA_WIDTH, CLK_DIV => SPI_CLK_DIV ) port map( --Out port o_sclk => spi_sclk, o_mosi => spi_mosi, o_ss => spi_ss, o_tx_rx_busy => spi_tx_rx_busy, o_tx_rx_end => spi_tx_rx_done, o_data_rx => spi_rx_byte, --In port i_miso => spi_miso, i_data_tx => spi_tx_byte, --Control i_clk => S_AXI_ACLK, i_reset => S_AXI_ARESETN, i_tx_rx_start => spi_tx_rx_start ); -- User logic ends end arch_imp;
mit