Search is not available for this dataset
content
stringlengths 0
376M
|
---|
<reponame>oddek/CacheController_DDV3101
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09/30/2020 09:53:55 AM
-- Design Name:
-- Module Name: OneToFourDemux - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity OneToFourDemux is
Port ( i0 : in STD_LOGIC_VECTOR (31 downto 0);
sel : in STD_LOGIC_VECTOR (1 downto 0);
en : in STD_LOGIC;
Y0 : out STD_LOGIC_VECTOR (31 downto 0);
Y1 : out STD_LOGIC_VECTOR (31 downto 0);
Y2 : out STD_LOGIC_VECTOR (31 downto 0);
Y3 : out STD_LOGIC_VECTOR (31 downto 0));
end OneToFourDemux;
architecture Behavioral of OneToFourDemux is
begin
process(i0, sel) is
begin
case sel is
when "00" =>
Y0 <= i0;
when "01" =>
Y1 <= i0;
when "10" =>
Y2 <= i0;
when others =>
Y3 <= i0;
end case;
end process;
end Behavioral;
|
<filename>Exercise3/Multiplication/output_files/package.vhd
----- Libraries -----
LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-----Package----
package easy is
constant iBits : integer;
end easy;
package body easy is
constant iBits : integer := 8;
end package body easy;
|
<reponame>ChibiKev/Single-Cycle-CPU
library verilog;
use verilog.vl_types.all;
entity Chen_Kevin_Single_Cycle_CPU_Board is
port(
Chen_Kevin_Segment_A0: out vl_logic;
Chen_Kevin_Clock: in vl_logic;
Chen_Keivn_Reset: in vl_logic;
Chen_Kevin_Segment_B0: out vl_logic;
Chen_Kevin_Segment_C0: out vl_logic;
Chen_Kevin_Segment_D0: out vl_logic;
Chen_Kevin_Segment_E0: out vl_logic;
Chen_Kevin_Segment_F0: out vl_logic;
Chen_Kevin_Segment_G0: out vl_logic;
Chen_Kevin_Segment_A1: out vl_logic;
Chen_Kevin_Segment_B1: out vl_logic;
Chen_Kevin_Segment_C1: out vl_logic;
Chen_Kevin_Segment_D1: out vl_logic;
Chen_Kevin_Segment_E1: out vl_logic;
Chen_Kevin_Segment_F1: out vl_logic;
Chen_Kevin_Segment_G1: out vl_logic;
Chen_Kevin_Segment_A2: out vl_logic;
Chen_Kevin_Segment_B2: out vl_logic;
Chen_Kevin_Segment_C2: out vl_logic;
Chen_Kevin_Segment_D2: out vl_logic;
Chen_Kevin_Segment_E2: out vl_logic;
Chen_Kevin_Segment_F2: out vl_logic;
Chen_Kevin_Segment_G2: out vl_logic;
Chen_Kevin_Segment_A3: out vl_logic;
Chen_Kevin_Segment_B3: out vl_logic;
Chen_Kevin_Segment_C3: out vl_logic;
Chen_Kevin_Segment_D3: out vl_logic;
Chen_Kevin_Segment_E3: out vl_logic;
Chen_Kevin_Segment_F3: out vl_logic;
Chen_Kevin_Segment_G3: out vl_logic;
Chen_Kevin_Segment_A4: out vl_logic;
Chen_Kevin_Segment_B4: out vl_logic;
Chen_Kevin_Segment_C4: out vl_logic;
Chen_Kevin_Segment_D4: out vl_logic;
Chen_Kevin_Segment_E4: out vl_logic;
Chen_Kevin_Segment_F4: out vl_logic;
Chen_Kevin_Segment_G4: out vl_logic;
Chen_Kevin_Segment_A5: out vl_logic;
Chen_Kevin_Segment_B5: out vl_logic;
Chen_Kevin_Segment_C5: out vl_logic;
Chen_Kevin_Segment_D5: out vl_logic;
Chen_Kevin_Segment_E5: out vl_logic;
Chen_Kevin_Segment_F5: out vl_logic;
Chen_Kevin_Segment_G5: out vl_logic;
Chen_Kevin_Segment_A6: out vl_logic;
Chen_Kevin_Segment_B6: out vl_logic;
Chen_Kevin_Segment_C6: out vl_logic;
Chen_Kevin_Segment_D6: out vl_logic;
Chen_Kevin_Segment_E6: out vl_logic;
Chen_Kevin_Segment_F6: out vl_logic;
Chen_Kevin_Segment_G6: out vl_logic;
Chen_Kevin_Segment_A7: out vl_logic;
Chen_Kevin_Segment_B7: out vl_logic;
Chen_Kevin_Segment_C7: out vl_logic;
Chen_Kevin_Segment_D7: out vl_logic;
Chen_Kevin_Segment_E7: out vl_logic;
Chen_Kevin_Segment_F7: out vl_logic;
Chen_Kevin_Segment_G7: out vl_logic;
Chen_Kevin_Op : out vl_logic_vector(3 downto 0)
);
end Chen_Kevin_Single_Cycle_CPU_Board;
|
--------------------------------------------------------------------------------
-- __ _ _ _ --
-- / _(_) | | | | --
-- __ _ _ _ ___ ___ _ __ | |_ _ ___| | __| | --
-- / _` | | | |/ _ \/ _ \ '_ \| _| |/ _ \ |/ _` | --
-- | (_| | |_| | __/ __/ | | | | | | __/ | (_| | --
-- \__, |\__,_|\___|\___|_| |_|_| |_|\___|_|\__,_| --
-- | | --
-- |_| --
-- --
-- --
-- Peripheral-NTM for MPSoC --
-- Neural Turing Machine for MPSoC --
-- --
--------------------------------------------------------------------------------
-- Copyright (c) 2020-2021 by the author(s)
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ntm_state_pkg.all;
use work.ntm_state_top_pkg.all;
entity ntm_state_top_testbench is
generic (
-- SYSTEM-SIZE
DATA_SIZE : integer := 32;
CONTROL_SIZE : integer := 64;
X : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- x in 0 to X-1
Y : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- y in 0 to Y-1
N : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- j in 0 to N-1
W : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- k in 0 to W-1
L : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- l in 0 to L-1
R : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- i in 0 to R-1
-- FUNCTIONALITY
ENABLE_NTM_STATE_TOP_TEST : boolean := false;
ENABLE_NTM_STATE_TOP_CASE_0 : boolean := false;
ENABLE_NTM_STATE_TOP_CASE_1 : boolean := false
);
end ntm_state_top_testbench;
architecture ntm_state_top_testbench_architecture of ntm_state_top_testbench is
-----------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------
-- GLOBAL
signal CLK : std_logic;
signal RST : std_logic;
-- TOP
-- CONTROL
signal start_top : std_logic;
signal ready_top : std_logic;
signal data_a_i_in_enable_state_top : std_logic;
signal data_a_j_in_enable_state_top : std_logic;
signal data_b_i_in_enable_state_top : std_logic;
signal data_b_j_in_enable_state_top : std_logic;
signal data_c_i_in_enable_state_top : std_logic;
signal data_c_j_in_enable_state_top : std_logic;
signal data_d_i_in_enable_state_top : std_logic;
signal data_d_j_in_enable_state_top : std_logic;
signal data_k_in_i_enable_state_top : std_logic;
signal data_k_in_j_enable_state_top : std_logic;
signal data_k_i_enable_state_top : std_logic;
signal data_k_j_enable_state_top : std_logic;
signal data_x_out_enable_state_top : std_logic;
signal data_y_out_enable_state_top : std_logic;
-- DATA
signal size_a_i_in_top : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal size_a_j_in_top : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal size_b_i_in_top : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal size_b_j_in_top : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal size_c_i_in_top : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal size_c_j_in_top : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal size_d_i_in_top : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal size_d_j_in_top : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal data_a_in_top : std_logic_vector(DATA_SIZE-1 downto 0);
signal data_b_in_top : std_logic_vector(DATA_SIZE-1 downto 0);
signal data_c_in_top : std_logic_vector(DATA_SIZE-1 downto 0);
signal data_d_in_top : std_logic_vector(DATA_SIZE-1 downto 0);
signal data_k_in_top : std_logic_vector(DATA_SIZE-1 downto 0);
signal data_x_out_state_top : std_logic_vector(DATA_SIZE-1 downto 0);
signal data_y_out_state_top : std_logic_vector(DATA_SIZE-1 downto 0);
begin
-----------------------------------------------------------------------
-- Body
-----------------------------------------------------------------------
-- STIMULUS
state_top_stimulus : ntm_state_top_stimulus
generic map (
-- SYSTEM-SIZE
DATA_SIZE => DATA_SIZE,
CONTROL_SIZE => CONTROL_SIZE,
X => X,
Y => Y,
N => N,
W => W,
L => L,
R => R
)
port map (
-- GLOBAL
CLK => CLK,
RST => RST,
-- CONTROL
NTM_STATE_TOP_START => start_top,
NTM_STATE_TOP_READY => ready_top,
NTM_STATE_TOP_DATA_A_IN_I_ENABLE => data_a_i_in_enable_state_top,
NTM_STATE_TOP_DATA_A_IN_J_ENABLE => data_a_j_in_enable_state_top,
NTM_STATE_TOP_DATA_B_IN_I_ENABLE => data_b_i_in_enable_state_top,
NTM_STATE_TOP_DATA_B_IN_J_ENABLE => data_b_j_in_enable_state_top,
NTM_STATE_TOP_DATA_C_IN_I_ENABLE => data_c_i_in_enable_state_top,
NTM_STATE_TOP_DATA_C_IN_J_ENABLE => data_c_j_in_enable_state_top,
NTM_STATE_TOP_DATA_D_IN_I_ENABLE => data_d_i_in_enable_state_top,
NTM_STATE_TOP_DATA_D_IN_J_ENABLE => data_d_j_in_enable_state_top,
NTM_STATE_TOP_DATA_K_IN_I_ENABLE => data_k_in_i_enable_state_top,
NTM_STATE_TOP_DATA_K_IN_J_ENABLE => data_k_in_j_enable_state_top,
NTM_STATE_TOP_DATA_K_I_ENABLE => data_k_i_enable_state_top,
NTM_STATE_TOP_DATA_K_J_ENABLE => data_k_j_enable_state_top,
NTM_STATE_TOP_DATA_X_OUT_ENABLE => data_x_out_enable_state_top,
NTM_STATE_TOP_DATA_Y_OUT_ENABLE => data_y_out_enable_state_top,
-- DATA
NTM_STATE_TOP_SIZE_A_I_IN => size_a_i_in_top,
NTM_STATE_TOP_SIZE_A_J_IN => size_a_j_in_top,
NTM_STATE_TOP_SIZE_B_I_IN => size_b_i_in_top,
NTM_STATE_TOP_SIZE_B_J_IN => size_b_j_in_top,
NTM_STATE_TOP_SIZE_C_I_IN => size_c_i_in_top,
NTM_STATE_TOP_SIZE_C_J_IN => size_c_j_in_top,
NTM_STATE_TOP_SIZE_D_I_IN => size_d_i_in_top,
NTM_STATE_TOP_SIZE_D_J_IN => size_d_j_in_top,
NTM_STATE_TOP_DATA_A_IN => data_a_in_top,
NTM_STATE_TOP_DATA_B_IN => data_b_in_top,
NTM_STATE_TOP_DATA_C_IN => data_c_in_top,
NTM_STATE_TOP_DATA_D_IN => data_d_in_top,
NTM_STATE_TOP_DATA_K_IN => data_k_in_top,
NTM_STATE_TOP_DATA_X_OUT => data_x_out_state_top,
NTM_STATE_TOP_DATA_Y_OUT => data_y_out_state_top
);
-- TOP
ntm_state_top_test : if (ENABLE_NTM_STATE_TOP_TEST) generate
state_top : ntm_state_top
generic map (
DATA_SIZE => DATA_SIZE,
CONTROL_SIZE => CONTROL_SIZE
)
port map (
-- GLOBAL
CLK => CLK,
RST => RST,
-- CONTROL
START => start_top,
READY => ready_top,
DATA_A_IN_I_ENABLE => data_a_i_in_enable_state_top,
DATA_A_IN_J_ENABLE => data_a_j_in_enable_state_top,
DATA_B_IN_I_ENABLE => data_b_i_in_enable_state_top,
DATA_B_IN_J_ENABLE => data_b_j_in_enable_state_top,
DATA_C_IN_I_ENABLE => data_c_i_in_enable_state_top,
DATA_C_IN_J_ENABLE => data_c_j_in_enable_state_top,
DATA_D_IN_I_ENABLE => data_d_i_in_enable_state_top,
DATA_D_IN_J_ENABLE => data_d_j_in_enable_state_top,
DATA_K_IN_I_ENABLE => data_k_in_i_enable_state_top,
DATA_K_IN_J_ENABLE => data_k_in_j_enable_state_top,
DATA_K_I_ENABLE => data_k_i_enable_state_top,
DATA_K_J_ENABLE => data_k_j_enable_state_top,
DATA_X_OUT_ENABLE => data_x_out_enable_state_top,
DATA_Y_OUT_ENABLE => data_y_out_enable_state_top,
-- DATA
SIZE_A_I_IN => size_a_i_in_top,
SIZE_A_J_IN => size_a_j_in_top,
SIZE_B_I_IN => size_b_i_in_top,
SIZE_B_J_IN => size_b_j_in_top,
SIZE_C_I_IN => size_c_i_in_top,
SIZE_C_J_IN => size_c_j_in_top,
SIZE_D_I_IN => size_d_i_in_top,
SIZE_D_J_IN => size_d_j_in_top,
DATA_A_IN => data_a_in_top,
DATA_B_IN => data_b_in_top,
DATA_C_IN => data_c_in_top,
DATA_D_IN => data_d_in_top,
DATA_K_IN => data_k_in_top,
DATA_X_OUT => data_x_out_state_top,
DATA_Y_OUT => data_y_out_state_top
);
end generate ntm_state_top_test;
end ntm_state_top_testbench_architecture;
|
<reponame>aquohn/SoundDisplay<gh_stars>0
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
<KEY>
`protect key_keyowner = "Synopsys", key_keyname = "<KEY>", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
<KEY>
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
svNAK+4lbGaEYTJjSxKewpvQJXVx6cCxkrxJrua3L0nOXKJb8P05WZ77H3tFvsgqxt1Aw8r7HGpc
<KEY>
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
<KEY>
`protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2017_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
<KEY>
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
<KEY>
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
<KEY>
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block
<KEY>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<KEY>
`protect end_protected
|
-- USN VHDL 101 course
-- template for test bench development
-- author | date
library ieee;
use ieee.std_logic_1164.all;
entity tb_module_name is
end tb_module_name;
architecture tb of tb_module_name is
component module_name
port (clk, reset : in std_logic;
... : in std_logic;
... : in std_logic_vector(M downto 0);
... : out std_logic;
... : out std_logic_vector(N downto 0)
);
end component;
signal clk, reset : std_logic;
signal ... : std_logic; -- module inputs
signal ... : std_logic_vector(M downto 0); -- module inputs
signal ... : std_logic; -- module outputs
signal ... : std_logic_vector(N downto 0); -- module outputs
constant clk_period : time := 10 ns;
begin
uut : module_name
port map (clk => clk, reset => reset,
... => ..., ... => ...,
... => ..., ... => ...);
clk_process: process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimuli process
stim_proc: process
begin
... <= '0';
reset <= '1';
wait for clk_period*2;
reset <= '0';
... <= '1';
...
wait for clk_period*...;
...
end process ;
end tb;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use work.all;
entity EX_MEM_DIV is
port (
--INPUTS
clock, clear : in std_logic;
--MEM control signals
data_format_in : in std_logic_vector(2 downto 0);
datamem_write_in : in std_logic;
jump_flag_in : in std_logic;
--WB control signals
mux0_sel_in : in std_logic_vector(1 downto 0);
reg_file_write_in : in std_logic;
reg_file_write_address_in : in std_logic_vector(4 downto 0);
--Data
ALU_output_in : in std_logic_vector(31 downto 0);
register_file_output_1_in : in std_logic_vector(31 downto 0);
ALU_branch_response_in : in std_logic;
instruction_address_in : in std_logic_vector(31 downto 0);
--OUTPUTS
--MEM control signals
data_format_out : out std_logic_vector(2 downto 0);
datamem_write_out : out std_logic;
jump_flag_out : out std_logic;
--WB control signals
mux0_sel_out : out std_logic_vector(1 downto 0);
reg_file_write_out : out std_logic;
reg_file_write_address_out : out std_logic_vector(4 downto 0);
--Data
ALU_output_out : out std_logic_vector(31 downto 0);
register_file_output_1_out : out std_logic_vector(31 downto 0);
ALU_branch_response_out : out std_logic;
instruction_address_out : out std_logic_vector(31 downto 0)
);
end EX_MEM_DIV;
architecture behavioral of EX_MEM_DIV is
--INTERNAL SIGNALS
--MEM control signals
signal data_format_input_signal : std_logic_vector(2 downto 0);
signal datamem_write_input_signal : std_logic;
signal jump_flag_input_signal : std_logic;
--WB control signals
signal mux0_sel_input_signal : std_logic_vector(1 downto 0);
signal reg_file_write_input_signal : std_logic;
signal reg_file_write_address_input_signal : std_logic_vector(4 downto 0);
--Data
signal ALU_output_input_signal : std_logic_vector(31 downto 0);
signal register_file_output_1_input_signal : std_logic_vector(31 downto 0);
signal ALU_branch_response_input_signal : std_logic;
signal instruction_address_input_signal : std_logic_vector(31 downto 0);
--MEM control signals
signal data_format_output_signal : std_logic_vector(2 downto 0);
signal datamem_write_output_signal : std_logic;
signal jump_flag_output_signal : std_logic;
--WB control signals
signal mux0_sel_output_signal : std_logic_vector(1 downto 0);
signal reg_file_write_output_signal : std_logic;
signal reg_file_write_address_output_signal : std_logic_vector(4 downto 0);
--Data
signal ALU_output_output_signal : std_logic_vector(31 downto 0);
signal register_file_output_1_output_signal : std_logic_vector(31 downto 0);
signal ALU_branch_response_output_signal : std_logic;
signal instruction_address_output_signal : std_logic_vector(31 downto 0);
begin
--INTERNAL REGISTERS
--MEM control signals
data_format_reg : reg3b port map(data_format_input_signal, '1', clock, clear, data_format_output_signal);
datamem_write_reg : reg1b port map(datamem_write_input_signal, '1', clock, clear, datamem_write_output_signal);
jump_flag_reg : reg1b port map(jump_flag_input_signal, '1', clock, clear, jump_flag_output_signal);
--WB control signals
mux0_sel_reg : reg2b port map(mux0_sel_input_signal, '1', clock, clear, mux0_sel_output_signal);
reg_file_write_reg : reg1b port map(reg_file_write_input_signal, '1', clock, clear, reg_file_write_output_signal);
reg_file_write_address_reg : reg5b port map(reg_file_write_address_input_signal, '1', clock, clear, reg_file_write_address_output_signal);
--Data
ALU_output_reg : reg32b port map(ALU_output_input_signal, '1', clock, clear, ALU_output_output_signal);
register_file_output_1_reg : reg32b port map(register_file_output_1_input_signal, '1', clock, clear, register_file_output_1_output_signal);
ALU_branch_respose_reg : reg1b port map(ALU_branch_response_input_signal, '1', clock, clear, ALU_branch_response_output_signal);
instruction_address_reg : reg32b port map(instruction_address_input_signal, '1', clock, clear, instruction_address_output_signal);
--WIRING INPUT PORTS
--MEM control signals
data_format_input_signal <= data_format_in;
datamem_write_input_signal <= datamem_write_in;
jump_flag_input_signal <= jump_flag_in;
--WB control signals
mux0_sel_input_signal <= mux0_sel_in;
reg_file_write_input_signal <= reg_file_write_in;
reg_file_write_address_input_signal <= reg_file_write_address_in;
--Data
ALU_output_input_signal <= ALU_output_in;
register_file_output_1_input_signal <= register_file_output_1_in;
ALU_branch_response_input_signal <= ALU_branch_response_in;
instruction_address_input_signal <= instruction_address_in;
--WIRING OUTPUT PORTS
--MEM control signals
data_format_out <= data_format_output_signal;
datamem_write_out <= datamem_write_output_signal;
jump_flag_out <= jump_flag_output_signal;
--WB control signals
mux0_sel_out <= mux0_sel_output_signal;
reg_file_write_out <= reg_file_write_output_signal;
reg_file_write_address_out <= reg_file_write_address_output_signal;
--Data
ALU_output_out <= ALU_output_output_signal;
register_file_output_1_out <= register_file_output_1_output_signal;
ALU_branch_response_out <= ALU_branch_response_output_signal;
instruction_address_out <= instruction_address_output_signal;
end behavioral;
|
<gh_stars>1-10
library ieee;
use IEEE.STD_LOGIC_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
entity content_final_work_v2 is
PORT(clk : IN STD_LOGIC;
SEG_LED : OUT STD_LOGIC_VECTOR(6 downto 0);
SEG_NCS : OUT STD_LOGIC_VECTOR(5 downto 0);
segdot : OUT STD_LOGIC;
key1 : IN STD_LOGIC; --keyTimeSet-按键1-进入时间设置模式
key2 : IN STD_LOGIC; --keyClockSet-按键2-进入闹钟设置模式
key5 : IN STD_LOGIC; --keyQuitClock-按键5-停止闹钟
key6 : IN STD_LOGIC; --keyNumAdd-按键6-选中的数字增加1
key7 : IN STD_LOGIC --keyLeftMove-按键7-左移选中数字
);
END content_final_work_v2;
ARCHITECTURE behav OF content_final_work_v2 IS
SIGNAL bcd_led : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others=>'0');
SIGNAL clkcnt : STD_LOGIC_VECTOR(30 DOWNTO 0) := (others =>'0');
SIGNAL bcddata_Time : STD_LOGIC_VECTOR(23 downto 0) := (others=>'0'); --当前时间的bcddata
SIGNAL bcddata_TimeSet : STD_LOGIC_VECTOR(23 downto 0):=(others=>'0'); --设置时间的bcddata
SIGNAL bcddata_ClockSet : STD_LOGIC_VECTOR(23 downto 0):=(others=>'0'); --设置闹钟的bcddata
SIGNAL a: integer range 0 to 249999999 :=0;
SIGNAL clk_1Hz,q : STD_LOGIC :='0';
SIGNAL clk_1Hz_4vectors : STD_LOGIC_VECTOR(3 DOWNTO 0) :="0000";
SIGNAL keyTimeSetfilt : STD_LOGIC := '0'; --按键1的脉冲信号
SIGNAL TimeSetting : STD_LOGIC := '1';
SIGNAL keyClockSetfilt : STD_LOGIC := '0'; --按键2的脉冲信号
SIGNAL ClockSetting : STD_LOGIC := '1';
SIGNAL keyClockQuitfilt : STD_LOGIC := '0'; --按键5的脉冲信号
SIGNAL ClockTwinkling : STD_LOGIC := '0';
SIGNAL keyNumAddfilt : STD_LOGIC := '0'; --按键6的脉冲信号
SIGNAL keyLeftMovefilt : STD_LOGIC := '0'; --按键7的脉冲信号
SIGNAL LeftMoving : BIT_VECTOR(4 DOWNTO 0) :="11110"; --选中的数字
SHARED VARIABLE displayMode : integer := 0; --数码管的显示模式:若为0则是当前时间,若为1则是设置时间,若为2则是闹钟时间
component keyfilt is
port (clk : IN STD_LOGIC;
keyTimeSet : IN STD_LOGIC;
keyTimeSetfilt : OUT STD_LOGIC;
keyClockSet : IN STD_LOGIC;
keyClockSetfilt : OUT STD_LOGIC;
keyClockQuit : IN STD_LOGIC;
keyClockQuitfilt : OUT STD_LOGIC;
keyNumAdd : IN STD_LOGIC;
keyNumAddfilt : OUT STD_LOGIC;
keyLeftMove : IN STD_LOGIC;
keyLeftMovefilt : OUT STD_LOGIC
);
end component keyfilt;
BEGIN
akeyfilt: keyfilt port map
(
clk =>clk,
keyTimeSet => key1,
keyTimeSetfilt =>keyTimeSetfilt,
keyClockSet => key2,
keyClockSetfilt =>keyClockSetfilt,
keyClockQuit => key5,
keyClockQuitfilt =>keyClockQuitfilt,
keyNumAdd => key6,
keyNumAddfilt => keyNumAddfilt,
keyLeftMove => key7,
keyLeftMovefilt => keyLeftMovefilt
);
process(clk,clkcnt)
begin
if(rising_edge(clk))then
clkcnt<= clkcnt + 1;
end if;
end process;
process(clk) --产生1Hz时钟脉冲
begin
if(clk'event and clk='1') then
if a=24999999 then
a<=0;
q<= not q;
clk_1Hz_4vectors <= NOT clk_1Hz_4vectors;
else
a<=a+1;
end if;
end if;
clk_1Hz <= q;
end process;
process(clk, clk_1Hz)
begin
if clk = '1' then
if keyClockQuitfilt = '1' then --若按键5按下,则退出闹钟闪烁
ClockTwinkling <= '0';
elsif keyTimeSetfilt = '1' then --若按键1按下,则进入时间设置
bcddata_Time <= bcddata_TimeSet;
elsif clk_1Hz'event and clk_1Hz='1' then --当前时间的计数
if bcddata_Time(3 downto 0)=x"9" then
bcddata_Time(3 downto 0)<=x"0";
else
bcddata_Time(3 downto 0)<=bcddata_Time(3 downto 0)+1;
end if;
if bcddata_Time(7 downto 0)=x"59" then
bcddata_Time(7 downto 0)<=x"00";
elsif bcddata_Time(3 downto 0)=x"9" then
bcddata_Time(7 downto 4)<=bcddata_Time(7 downto 4)+1;
end if;
if bcddata_Time(11 downto 0)=x"959" then
bcddata_Time(11 downto 0)<=x"000";
elsif bcddata_Time(7 downto 0)=x"59" then
bcddata_Time(11 downto 8)<=bcddata_Time(11 downto 8)+1;
end if;
if bcddata_Time(15 downto 0)=x"5959" then
bcddata_Time(15 downto 0)<=x"0000";
elsif bcddata_Time(11 downto 0) =x"959" then
bcddata_Time(15 downto 12)<=bcddata_Time(15 downto 12)+1;
end if;
if bcddata_Time(19 downto 0)=x"95959" then
bcddata_Time(19 downto 0)<=x"00000";
elsif bcddata_Time(15 downto 0) =x"5959" then
bcddata_Time(19 downto 16)<=bcddata_Time(19 downto 16)+1;
end if;
if bcddata_Time(23 downto 0)=x"235959" then
bcddata_Time(23 downto 0)<=x"000000";
elsif bcddata_Time(19 downto 0) =x"95959" then
bcddata_Time(23 downto 20)<=bcddata_Time(23 downto 20)+1;
end if;
if (bcddata_Time = bcddata_ClockSet OR ClockTwinkling = '1') then --若当前时间的bcddata与闹钟时间的bcddata相等,则进入闹钟闪烁
ClockTwinkling <= '1';
end if;
end if;
end if;
end process;
process(keyNumAddfilt)
begin
if ((keyNumAddfilt'event AND keyNumAddfilt='1') AND TimeSetting = '0') OR ((keyNumAddfilt'event AND keyNumAddfilt='1') AND ClockSetting = '0')then
if displayMode = 1 then --如果是显示模式1(即时间设置模式),则对时间设置模式的bcddata进行操作
case leftMoving is
when "11110" => bcddata_TimeSet(3 downto 0)<=bcddata_TimeSet(3 downto 0)+1; --设置秒针个位数
when "11101" => bcddata_TimeSet(7 downto 4)<=bcddata_TimeSet(7 downto 4)+1; --设置秒针十位数
when "11011" => bcddata_TimeSet(11 downto 8)<=bcddata_TimeSet(11 downto 8)+1; --设置分针个位数
when "10111" => bcddata_TimeSet(15 downto 12)<=bcddata_TimeSet(15 downto 12)+1; --设置分针十位数
when "01111" => bcddata_TimeSet(19 downto 16)<=bcddata_TimeSet(19 downto 16)+1; --设置时针
when others => NULL;
end case;
if bcddata_TimeSet(3 downto 0)=x"9" then
bcddata_TimeSet(3 downto 0)<=x"0";
end if;
if bcddata_TimeSet(7 downto 4)=x"5" then
bcddata_TimeSet(7 downto 4)<=x"0";
end if;
if bcddata_TimeSet(11 downto 8)=x"9" then
bcddata_TimeSet(11 downto 8)<=x"0";
end if;
if bcddata_TimeSet(15 downto 12)=x"5" then
bcddata_TimeSet(15 downto 12)<=x"0";
end if;
if bcddata_TimeSet(19 downto 16)=x"9" then
bcddata_TimeSet(19 downto 16)<=x"0";
bcddata_TimeSet(23 downto 20)<=bcddata_TimeSet(23 downto 20)+1;
end if;
if bcddata_TimeSet(23 downto 16)=x"23" then
bcddata_TimeSet(23 downto 16)<=x"00";
elsif bcddata_TimeSet(19 downto 16) =x"9" then
bcddata_TimeSet(23 downto 20)<=bcddata_TimeSet(23 downto 20)+1;
end if;
elsif displayMode = 2 then --如果是显示模式2(即闹钟设置模式),则对闹钟设置模式的bcddata进行操作
case leftMoving is
when "11110" => bcddata_ClockSet(3 downto 0)<=bcddata_ClockSet(3 downto 0)+1; --设置秒针个位数
when "11101" => bcddata_ClockSet(7 downto 4)<=bcddata_ClockSet(7 downto 4)+1; --设置秒针十位数
when "11011" => bcddata_ClockSet(11 downto 8)<=bcddata_ClockSet(11 downto 8)+1; --设置分针个位数
when "10111" => bcddata_ClockSet(15 downto 12)<=bcddata_ClockSet(15 downto 12)+1; --设置分针十位数
when "01111" => bcddata_ClockSet(19 downto 16)<=bcddata_ClockSet(19 downto 16)+1; --设置时针
when others => NULL;
end case;
if bcddata_ClockSet(3 downto 0)=x"9" then
bcddata_ClockSet(3 downto 0)<=x"0";
end if;
if bcddata_ClockSet(7 downto 4)=x"5" then
bcddata_ClockSet(7 downto 4)<=x"0";
end if;
if bcddata_ClockSet(11 downto 8)=x"9" then
bcddata_ClockSet(11 downto 8)<=x"0";
end if;
if bcddata_ClockSet(15 downto 12)=x"5" then
bcddata_ClockSet(15 downto 12)<=x"0";
end if;
if bcddata_ClockSet(19 downto 16)=x"9" then
bcddata_ClockSet(19 downto 16)<=x"0";
bcddata_ClockSet(23 downto 20)<=bcddata_ClockSet(23 downto 20)+1;
end if;
if bcddata_ClockSet(23 downto 16)=x"23" then
bcddata_ClockSet(23 downto 16)<=x"00";
elsif bcddata_ClockSet(19 downto 16) =x"9" then
bcddata_ClockSet(23 downto 20)<=bcddata_ClockSet(23 downto 20)+1;
end if;
end if;
end if;
end process;
process(clkcnt(17 downto 15))
begin
if displayMode = 0 then
case clkcnt(17 downto 15) is
when "000" => if ClockTwinkling = '1' then
bcd_led<=bcddata_ClockSet(3 downto 0) OR clk_1Hz_4vectors;
else
bcd_led<=bcddata_Time(3 downto 0);
end if;
SEG_NCS <= "011111";
segdot <= '1';
when "001" => if ClockTwinkling = '1' then
bcd_led<=bcddata_ClockSet(7 downto 4) OR clk_1Hz_4vectors;
else
bcd_led<=bcddata_Time(7 downto 4);
end if;
SEG_NCS <= "101111";
segdot <= '1';
when "010" => if ClockTwinkling = '1' then
bcd_led<=bcddata_ClockSet(11 downto 8) OR clk_1Hz_4vectors;
else
bcd_led<=bcddata_Time(11 downto 8);
end if;
SEG_NCS <= "110111";
segdot <= clk_1Hz;
when "011" => if ClockTwinkling = '1' then
bcd_led<=bcddata_ClockSet(15 downto 12) OR clk_1Hz_4vectors;
else
bcd_led<=bcddata_Time(15 downto 12);
end if;
SEG_NCS <= "111011";
segdot <= '1';
when "100" => if ClockTwinkling = '1' then
bcd_led<=bcddata_ClockSet(19 downto 16) OR clk_1Hz_4vectors;
else
bcd_led<=bcddata_Time(19 downto 16);
end if;
SEG_NCS <= "111101";
segdot<= clk_1Hz;
when "101" => if ClockTwinkling = '1' then
bcd_led <= bcddata_ClockSet(23 downto 20) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_Time(23 downto 20);
end if;
SEG_NCS <= "111110";
segdot <= '1';
when "110" => SEG_NCS <= "111111";
when "111" => SEG_NCS <= "111111";
when others => NULL;
end case;
elsif displayMode = 1 then
case clkcnt(17 downto 15) is
when "000" => if LeftMoving = "11110" then
bcd_led <= bcddata_TimeSet(3 downto 0) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_TimeSet(3 downto 0);
end if;
SEG_NCS <= "011111";
segdot <= '1';
when "001" => if LeftMoving = "11101" then
bcd_led <= bcddata_TimeSet(7 downto 4) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_TimeSet(7 downto 4);
end if;
SEG_NCS <= "101111";
segdot <= '1';
when "010" => if LeftMoving = "11011" then
bcd_led <= bcddata_TimeSet(11 downto 8) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_TimeSet(11 downto 8);
end if;
SEG_NCS <= "110111";
segdot <= clk_1Hz;
when "011" => if LeftMoving = "10111" then
bcd_led <= bcddata_TimeSet(15 downto 12) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_TimeSet(15 downto 12);
end if;
SEG_NCS <= "111011";
segdot<='1';
when "100" => if LeftMoving = "01111" then
bcd_led<=bcddata_TimeSet(19 downto 16) OR clk_1Hz_4vectors;
else
bcd_led<=bcddata_TimeSet(19 downto 16);
end if;
SEG_NCS <= "111101";
segdot<= clk_1Hz;
when "101" => bcd_led<=bcddata_TimeSet(23 downto 20);
SEG_NCS <= "111110";
segdot <= '1';
when "110" => SEG_NCS <= "111111";
when "111" => SEG_NCS <= "111111";
when others => NULL;
end case;
elsif displayMode = 2 then
case clkcnt(17 downto 15) is
when "000" => if LeftMoving = "11110" then
bcd_led <= bcddata_ClockSet(3 downto 0) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_ClockSet(3 downto 0);
end if;
SEG_NCS <= "011111";
segdot <= '1';
when "001" => if LeftMoving = "11101" then
bcd_led <= bcddata_ClockSet(7 downto 4) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_ClockSet(7 downto 4);
end if;
SEG_NCS <= "101111";
segdot <= '1';
when "010" => if LeftMoving = "11011" then
bcd_led <= bcddata_ClockSet(11 downto 8) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_ClockSet(11 downto 8);
end if;
SEG_NCS <= "110111";
segdot <= clk_1Hz;
when "011" => if LeftMoving = "10111" then
bcd_led <= bcddata_ClockSet(15 downto 12) OR clk_1Hz_4vectors;
else
bcd_led <= bcddata_ClockSet(15 downto 12);
end if;
SEG_NCS <= "111011";
segdot<='1';
when "100" => if LeftMoving = "01111" then
bcd_led<=bcddata_ClockSet(19 downto 16) OR clk_1Hz_4vectors;
else
bcd_led<=bcddata_ClockSet(19 downto 16);
end if;
SEG_NCS <= "111101";
segdot<= clk_1Hz;
when "101" => bcd_led<=bcddata_ClockSet(23 downto 20);
SEG_NCS <= "111110";
segdot <= '1';
when "110" => SEG_NCS <= "111111";
when "111" => SEG_NCS <= "111111";
when others => NULL;
end case;
end if;
end process;
process(bcd_led)
begin
case bcd_led is
WHEN "0000"=>SEG_LED<="0000001";
WHEN "0001"=>SEG_LED<="1001111";
WHEN "0010"=>SEG_LED<="0010010";
WHEN "0011"=>SEG_LED<="0000110";
WHEN "0100"=>SEG_LED<="1001100";
WHEN "0101"=>SEG_LED<="0100100";
WHEN "0110"=>SEG_LED<="0100000";
WHEN "0111"=>SEG_LED<="0001111";
WHEN "1000"=>SEG_LED<="0000000";
WHEN "1001"=>SEG_LED<="0000100";
WHEN OTHERS=>SEG_LED<="1111111";
end case;
end process;
--------------------------------------------------------
process(clk)
begin
if(rising_edge(clk))then
if keyTimeSetfilt = '1' then
TimeSetting <= not TimeSetting;
if TimeSetting = '1' then
displayMode := 1;
elsif TimeSetting = '0' then
displayMode := 0;
end if;
end if;
if keyClockSetfilt = '1' then
ClockSetting <= not ClockSetting;
if ClockSetting = '1' then
displayMode := 2;
elsif ClockSetting = '0' then
displayMode := 0;
end if;
end if;
if keyLeftMovefilt = '1' then
LeftMoving <= LeftMoving ROL 1;
end if;
end if;
end process;
end behav;
|
-- Criado por <NAME>
-- Processador RISC-V Uniciclo em VHDL
-- Em 22/05/2021
-- Universidade de Brasília, Matriculas 180053922 e 180023631
library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
entity riscV is
port(
clock : in std_logic
);
end entity riscV;
architecture arc_riscV of riscV is
component bloco_pc is
port(
clock : in std_logic;
pcin : in std_logic_vector(31 downto 0);
pcout : out std_logic_vector(31 downto 0)
);
end component;
component bloco_rom is
port(
address : in std_logic_vector;
dataout : out std_logic_vector
);
end component;
component bloco_ram is
port (
clock : in std_logic;
writeEnable : in std_logic;
address : in std_logic_vector;
datain : in std_logic_vector;
dataout : out std_logic_vector
);
end component;
component bloco_ctrlgeral is
port(
opcode : in std_logic_vector(6 downto 0);
branch : out std_logic;
memRead : out std_logic;
memToReg : out std_logic;
ulaOP : out std_logic_vector(2 downto 0);
memWrite : out std_logic;
ulaSource : out std_logic;
regWrite : out std_logic;
isLUI : out std_logic;
isAUIPC : out std_logic;
isJALX : out std_logic;
isJALR : out std_logic
);
end component;
component bloco_breg is
port(
writeData : in std_logic_vector(31 downto 0);
writeEnable : in std_logic;
select1 : in std_logic_vector(4 downto 0);
select2 : in std_logic_vector(4 downto 0);
selectWrite : in std_logic_vector(4 downto 0);
clock : in std_logic;
register1 : out std_logic_vector(31 downto 0);
register2 : out std_logic_vector(31 downto 0)
);
end component;
component bloco_gimediato is
port(
instruc : in std_logic_vector(31 downto 0);
imediato : out std_logic_vector(31 downto 0)
);
end component;
component bloco_ctrlula is
port (
ulaOP: in std_logic_vector(2 downto 0);
func3: in std_logic_vector(2 downto 0);
bitfunc7: in std_logic;
ulaCode: out std_logic_vector(3 downto 0)
);
end component;
component bloco_ula is
port(
opcode : in std_logic_vector(3 downto 0);
A, B : in std_logic_vector(31 downto 0);
Z : out std_logic_vector(31 downto 0);
zero : out std_logic
);
end component;
component bloco_mux32 is
port(
selec : in std_logic;
A : in std_logic_vector(31 downto 0);
B : in std_logic_vector(31 downto 0);
Z : out std_logic_vector(31 downto 0)
);
end component;
component bloco_mux5 is
port(
selec : in std_logic;
A : in std_logic_vector(4 downto 0);
B : in std_logic_vector(4 downto 0);
Z : out std_logic_vector(4 downto 0)
);
end component;
component bloco_and is
port(
A : in std_logic;
B : in std_logic;
Z : out std_logic
);
end component;
component bloco_somador is
port(
A : in std_logic_vector(31 downto 0);
B : in std_logic_vector(31 downto 0);
Z : out std_logic_vector(31 downto 0)
);
end component;
signal fake_clock : std_logic;
signal sig_pcin : std_logic_vector(31 downto 0);
signal sig_pcout : std_logic_vector(31 downto 0);
signal instruction : std_logic_vector(31 downto 0);
signal sig_branch : std_logic;
signal sig_memRead : std_logic;
signal sig_memToReg : std_logic;
signal sig_ulaOP : std_logic_vector(2 downto 0);
signal sig_memWrite : std_logic;
signal sig_ulaSource : std_logic;
signal sig_regWrite : std_logic;
signal sig_isLUI : std_logic;
signal sig_isAUIPC : std_logic;
signal sig_isJALX : std_logic;
signal sig_isJALR : std_logic;
signal sig_writeData : std_logic_vector(31 downto 0);
signal sig_register1 : std_logic_vector(31 downto 0);
signal sig_register2 : std_logic_vector(31 downto 0);
signal sig_imediato : std_logic_vector(31 downto 0);
signal sig_ulaCode : std_logic_vector(3 downto 0);
signal sig_ulaB : std_logic_vector(31 downto 0);
signal sig_ulaZ : std_logic_vector(31 downto 0);
signal sig_ulaZero : std_logic;
alias sig_addressRom : std_logic_vector(9 downto 0) is sig_pcout(11 downto 2); --n�o s�o necess�rios todos os bits de endere�o
alias sig_addressRam : std_logic_vector(9 downto 0) is sig_ulaZ(11 downto 2);
signal sig_dataOutRam : std_logic_vector(31 downto 0);
alias sig_opcode : std_logic_vector(6 downto 0) is instruction(6 downto 0);
alias sig_select1 : std_logic_vector(4 downto 0) is instruction(19 downto 15);
alias sig_select2 : std_logic_vector(4 downto 0) is instruction(24 downto 20);
alias sig_selectWrite : std_logic_vector(4 downto 0) is instruction(11 downto 7);
alias sig_func3 : std_logic_vector(2 downto 0) is instruction(14 downto 12);
alias sig_bitfunc7 : std_logic is instruction(30);
signal sig_soma_pcimediato : std_logic_vector(31 downto 0);
signal sig_soma_pc4 : std_logic_vector(31 downto 0);
signal sig_and_branchzero : std_logic;
signal sig_mux_somasdepc : std_logic_vector(31 downto 0);
signal sig_mux_select1lui: std_logic_vector(4 downto 0);
--Mux RAM
signal sig_mux_ramulaz : std_logic_vector(31 downto 0);
--Mux AUIPC
signal sig_mux_auipc : std_logic_vector(31 downto 0);
begin
pc: bloco_pc port map(
clock => fake_clock,
pcin => sig_pcin,
pcout => sig_pcout
);
memoria_de_instrucoes: bloco_rom port map(
address => sig_addressRom,
dataout => instruction
);
bloco_de_controle_geral : bloco_ctrlgeral port map(
opcode => sig_opcode,
branch => sig_branch,
memRead => sig_memRead,
memToReg => sig_memToReg,
ulaOP => sig_ulaOP,
memWrite => sig_memWrite,
ulaSource => sig_ulaSource,
regWrite => sig_regWrite,
isLUI => sig_isLUI,
isAUIPC => sig_isAUIPC,
isJALX => sig_isJALX,
isJALR => sig_isJALR
);
breg: bloco_breg port map(
writeData => sig_writeData,
writeEnable => sig_regWrite,
select1 => sig_mux_select1lui,
select2 => sig_select2,
selectWrite => sig_selectWrite,
clock => fake_clock,
register1 => sig_register1,
register2 => sig_register2
);
gerador_de_imediato: bloco_gimediato port map(
instruc => instruction,
imediato => sig_imediato
);
controle_da_ula: bloco_ctrlula port map(
ulaOP => sig_ulaOP,
func3 => sig_func3,
bitfunc7 => sig_bitfunc7,
ulaCode => sig_ulaCode
);
mux32_breg_imm: bloco_mux32 port map(
selec => sig_ulaSource,
A => sig_register2,
B => sig_imediato,
Z => sig_ulaB
);
ula: bloco_ula port map(
opcode => sig_ulaCode,
A => sig_register1,
B => sig_ulaB,
Z => sig_ulaZ,
zero => sig_ulaZero
);
memoria_de_dados: bloco_ram port map(
clock => fake_clock,
writeEnable => sig_memWrite,
address => sig_addressRam,
datain => sig_register2,
dataout => sig_dataOutRam
);
mux32_memdados_ula: bloco_mux32 port map(
selec => sig_memToReg,
A => sig_ulaZ,
B => sig_dataOutRam,
Z => sig_mux_ramulaz
);
somador_pc_imm: bloco_somador port map(
A => sig_pcout,
B => sig_imediato,
Z => sig_soma_pcimediato
);
somador_pc_4: bloco_somador port map(
A => sig_pcout,
B => "00000000000000000000000000000100",
Z => sig_soma_pc4
);
and_branch: bloco_and port map(
A => sig_branch,
B => sig_ulaZero,
Z => sig_and_branchzero
);
mux32_pc4_pcimediato: bloco_mux32 port map(
selec => sig_and_branchzero,
A => sig_soma_pc4,
B => sig_soma_pcimediato,
Z => sig_mux_somasdepc
);
mux_lui: bloco_mux5 port map(
selec => sig_isLUI,
A => sig_select1,
B => "00000",
Z => sig_mux_select1lui
);
mux_auipc: bloco_mux32 port map(
selec => sig_isAUIPC,
A => sig_mux_ramulaz,
B => sig_soma_pcimediato,
Z => sig_mux_auipc
);
mux_jalx: bloco_mux32 port map(
selec => sig_isJALX,
A => sig_mux_auipc,
B => sig_soma_pc4,
Z => sig_writeData
);
mux_jalr: bloco_mux32 port map(
selec => sig_isJALR,
A => sig_mux_somasdepc,
B => sig_ulaZ,
Z => sig_pcin
);
process
begin
--fake_clock <= clock
fake_clock <= '0'; --comentar daqui pra baixo para utilizar a entrada de clock
wait for 1 ns;
fake_clock <= '1';
wait for 1 ns;
end process;
end arc_riscV;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity cmp is
Generic(N : natural := 8);
Port ( rs1 : in STD_LOGIC_VECTOR (N-1 downto 0);
rs2 : in STD_LOGIC_VECTOR (N-1 downto 0);
uns : in STD_LOGIC;
lt : out STD_LOGIC;
eq : out STD_LOGIC);
end cmp;
architecture Behavioral of cmp is
signal rs1_i: unsigned(N-1 downto 0);
signal rs2_i: unsigned(N-1 downto 0);
begin
rs1_i(N-2 downto 0) <= unsigned(rs1(N-2 downto 0));
rs2_i(N-2 downto 0) <= unsigned(rs2(N-2 downto 0));
rs1_i(N-1) <= rs1(N-1) when uns = '1' else rs2(N-1);
rs2_i(N-1) <= rs2(N-1) when uns = '1' else rs1(N-1);
lt <= '1' when rs1_i < rs2_i else '0';
eq <= '1' when rs1 = rs2 else '0';
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
entity OrGate is
port (
x1:in std_logic;
x2:in std_logic;
x3:out std_logic
);
end OrGate;
architecture beh of OrGate is
begin
x3 <= x1 or x2;
end beh;
|
library IEEE;
use IEEE.std_logic_1164.all;
ENTITY reg8 IS
PORT ( i_DATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ;
i_RESETN, i_CLOCK: IN STD_LOGIC ;
o_DATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ;
END reg8 ;
ARCHITECTURE arch_reg8 OF reg8 IS
BEGIN
PROCESS ( i_RESETN, i_CLOCK )
BEGIN
IF i_RESETN = '0' THEN
o_DATA <= "00000000" ;
ELSIF i_CLOCK'EVENT AND i_CLOCK = '1' THEN o_DATA <= i_DATA ;
END IF ;
END PROCESS ;
END arch_reg8 ;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2019.1"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
<KEY>
`protect key_keyowner = "Synopsys", key_keyname = "<KEY>", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
<KEY>
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
vjXD1J80ih5LwlwCSxRK/NqXmTUxbpB8n8TRQDYrYRHg9JBD0mDYFzzNYtEcd0e83nh6YIRmNJwe
<KEY>
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
<KEY>
`protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2019_02", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
<KEY>
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
<KEY>
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
<KEY>
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 121872)
`protect data_block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<KEY>
<KEY>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<KEY>
`protect end_protected
|
library verilog;
use verilog.vl_types.all;
entity tb_top_key_beep is
generic(
T : integer := 20
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of T : constant is 1;
end tb_top_key_beep;
|
component pr_region_default_SpatialIP_0 is
port (
clock : in std_logic := 'X'; -- clk
io_M_AXI_0_AWID : out std_logic_vector(5 downto 0); -- awid
io_M_AXI_0_AWUSER : out std_logic_vector(31 downto 0); -- awuser
io_M_AXI_0_AWADDR : out std_logic_vector(31 downto 0); -- awaddr
io_M_AXI_0_AWLEN : out std_logic_vector(7 downto 0); -- awlen
io_M_AXI_0_AWSIZE : out std_logic_vector(2 downto 0); -- awsize
io_M_AXI_0_AWBURST : out std_logic_vector(1 downto 0); -- awburst
io_M_AXI_0_AWLOCK : out std_logic; -- awlock
io_M_AXI_0_AWCACHE : out std_logic_vector(3 downto 0); -- awcache
io_M_AXI_0_AWPROT : out std_logic_vector(2 downto 0); -- awprot
io_M_AXI_0_AWQOS : out std_logic_vector(3 downto 0); -- awqos
io_M_AXI_0_AWVALID : out std_logic; -- awvalid
io_M_AXI_0_AWREADY : in std_logic := 'X'; -- awready
io_M_AXI_0_ARID : out std_logic_vector(5 downto 0); -- arid
io_M_AXI_0_ARUSER : out std_logic_vector(31 downto 0); -- aruser
io_M_AXI_0_ARADDR : out std_logic_vector(31 downto 0); -- araddr
io_M_AXI_0_ARLEN : out std_logic_vector(7 downto 0); -- arlen
io_M_AXI_0_ARSIZE : out std_logic_vector(2 downto 0); -- arsize
io_M_AXI_0_ARBURST : out std_logic_vector(1 downto 0); -- arburst
io_M_AXI_0_ARLOCK : out std_logic; -- arlock
io_M_AXI_0_ARCACHE : out std_logic_vector(3 downto 0); -- arcache
io_M_AXI_0_ARPROT : out std_logic_vector(2 downto 0); -- arprot
io_M_AXI_0_ARQOS : out std_logic_vector(3 downto 0); -- arqos
io_M_AXI_0_ARVALID : out std_logic; -- arvalid
io_M_AXI_0_ARREADY : in std_logic := 'X'; -- arready
io_M_AXI_0_WDATA : out std_logic_vector(511 downto 0); -- wdata
io_M_AXI_0_WSTRB : out std_logic_vector(63 downto 0); -- wstrb
io_M_AXI_0_WLAST : out std_logic; -- wlast
io_M_AXI_0_WVALID : out std_logic; -- wvalid
io_M_AXI_0_WREADY : in std_logic := 'X'; -- wready
io_M_AXI_0_RID : in std_logic_vector(5 downto 0) := (others => 'X'); -- rid
io_M_AXI_0_RUSER : in std_logic_vector(31 downto 0) := (others => 'X'); -- ruser
io_M_AXI_0_RDATA : in std_logic_vector(511 downto 0) := (others => 'X'); -- rdata
io_M_AXI_0_RRESP : in std_logic_vector(1 downto 0) := (others => 'X'); -- rresp
io_M_AXI_0_RLAST : in std_logic := 'X'; -- rlast
io_M_AXI_0_RVALID : in std_logic := 'X'; -- rvalid
io_M_AXI_0_RREADY : out std_logic; -- rready
io_M_AXI_0_BID : in std_logic_vector(5 downto 0) := (others => 'X'); -- bid
io_M_AXI_0_BUSER : in std_logic_vector(31 downto 0) := (others => 'X'); -- buser
io_M_AXI_0_BRESP : in std_logic_vector(1 downto 0) := (others => 'X'); -- bresp
io_M_AXI_0_BVALID : in std_logic := 'X'; -- bvalid
io_M_AXI_0_BREADY : out std_logic; -- bready
io_S_AVALON_address : in std_logic_vector(6 downto 0) := (others => 'X'); -- address
io_S_AVALON_readdata : out std_logic_vector(31 downto 0); -- readdata
io_S_AVALON_chipselect : in std_logic := 'X'; -- chipselect
io_S_AVALON_write : in std_logic := 'X'; -- write
io_S_AVALON_read : in std_logic := 'X'; -- read
io_S_AVALON_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
reset : in std_logic := 'X' -- reset
);
end component pr_region_default_SpatialIP_0;
u0 : component pr_region_default_SpatialIP_0
port map (
clock => CONNECTED_TO_clock, -- clock.clk
io_M_AXI_0_AWID => CONNECTED_TO_io_M_AXI_0_AWID, -- io_M_AXI_0.awid
io_M_AXI_0_AWUSER => CONNECTED_TO_io_M_AXI_0_AWUSER, -- .awuser
io_M_AXI_0_AWADDR => CONNECTED_TO_io_M_AXI_0_AWADDR, -- .awaddr
io_M_AXI_0_AWLEN => CONNECTED_TO_io_M_AXI_0_AWLEN, -- .awlen
io_M_AXI_0_AWSIZE => CONNECTED_TO_io_M_AXI_0_AWSIZE, -- .awsize
io_M_AXI_0_AWBURST => CONNECTED_TO_io_M_AXI_0_AWBURST, -- .awburst
io_M_AXI_0_AWLOCK => CONNECTED_TO_io_M_AXI_0_AWLOCK, -- .awlock
io_M_AXI_0_AWCACHE => CONNECTED_TO_io_M_AXI_0_AWCACHE, -- .awcache
io_M_AXI_0_AWPROT => CONNECTED_TO_io_M_AXI_0_AWPROT, -- .awprot
io_M_AXI_0_AWQOS => CONNECTED_TO_io_M_AXI_0_AWQOS, -- .awqos
io_M_AXI_0_AWVALID => CONNECTED_TO_io_M_AXI_0_AWVALID, -- .awvalid
io_M_AXI_0_AWREADY => CONNECTED_TO_io_M_AXI_0_AWREADY, -- .awready
io_M_AXI_0_ARID => CONNECTED_TO_io_M_AXI_0_ARID, -- .arid
io_M_AXI_0_ARUSER => CONNECTED_TO_io_M_AXI_0_ARUSER, -- .aruser
io_M_AXI_0_ARADDR => CONNECTED_TO_io_M_AXI_0_ARADDR, -- .araddr
io_M_AXI_0_ARLEN => CONNECTED_TO_io_M_AXI_0_ARLEN, -- .arlen
io_M_AXI_0_ARSIZE => CONNECTED_TO_io_M_AXI_0_ARSIZE, -- .arsize
io_M_AXI_0_ARBURST => CONNECTED_TO_io_M_AXI_0_ARBURST, -- .arburst
io_M_AXI_0_ARLOCK => CONNECTED_TO_io_M_AXI_0_ARLOCK, -- .arlock
io_M_AXI_0_ARCACHE => CONNECTED_TO_io_M_AXI_0_ARCACHE, -- .arcache
io_M_AXI_0_ARPROT => CONNECTED_TO_io_M_AXI_0_ARPROT, -- .arprot
io_M_AXI_0_ARQOS => CONNECTED_TO_io_M_AXI_0_ARQOS, -- .arqos
io_M_AXI_0_ARVALID => CONNECTED_TO_io_M_AXI_0_ARVALID, -- .arvalid
io_M_AXI_0_ARREADY => CONNECTED_TO_io_M_AXI_0_ARREADY, -- .arready
io_M_AXI_0_WDATA => CONNECTED_TO_io_M_AXI_0_WDATA, -- .wdata
io_M_AXI_0_WSTRB => CONNECTED_TO_io_M_AXI_0_WSTRB, -- .wstrb
io_M_AXI_0_WLAST => CONNECTED_TO_io_M_AXI_0_WLAST, -- .wlast
io_M_AXI_0_WVALID => CONNECTED_TO_io_M_AXI_0_WVALID, -- .wvalid
io_M_AXI_0_WREADY => CONNECTED_TO_io_M_AXI_0_WREADY, -- .wready
io_M_AXI_0_RID => CONNECTED_TO_io_M_AXI_0_RID, -- .rid
io_M_AXI_0_RUSER => CONNECTED_TO_io_M_AXI_0_RUSER, -- .ruser
io_M_AXI_0_RDATA => CONNECTED_TO_io_M_AXI_0_RDATA, -- .rdata
io_M_AXI_0_RRESP => CONNECTED_TO_io_M_AXI_0_RRESP, -- .rresp
io_M_AXI_0_RLAST => CONNECTED_TO_io_M_AXI_0_RLAST, -- .rlast
io_M_AXI_0_RVALID => CONNECTED_TO_io_M_AXI_0_RVALID, -- .rvalid
io_M_AXI_0_RREADY => CONNECTED_TO_io_M_AXI_0_RREADY, -- .rready
io_M_AXI_0_BID => CONNECTED_TO_io_M_AXI_0_BID, -- .bid
io_M_AXI_0_BUSER => CONNECTED_TO_io_M_AXI_0_BUSER, -- .buser
io_M_AXI_0_BRESP => CONNECTED_TO_io_M_AXI_0_BRESP, -- .bresp
io_M_AXI_0_BVALID => CONNECTED_TO_io_M_AXI_0_BVALID, -- .bvalid
io_M_AXI_0_BREADY => CONNECTED_TO_io_M_AXI_0_BREADY, -- .bready
io_S_AVALON_address => CONNECTED_TO_io_S_AVALON_address, -- io_S_AVALON.address
io_S_AVALON_readdata => CONNECTED_TO_io_S_AVALON_readdata, -- .readdata
io_S_AVALON_chipselect => CONNECTED_TO_io_S_AVALON_chipselect, -- .chipselect
io_S_AVALON_write => CONNECTED_TO_io_S_AVALON_write, -- .write
io_S_AVALON_read => CONNECTED_TO_io_S_AVALON_read, -- .read
io_S_AVALON_writedata => CONNECTED_TO_io_S_AVALON_writedata, -- .writedata
reset => CONNECTED_TO_reset -- reset.reset
);
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.numeric_std.all;
use tip.all;
entity ALU is
port(CLK : in STD_LOGIC;
COMMAND_IN:in std_logic_vector(3 downto 0);
SELECT_IN:in std_logic_vector(3 downto 0);
CONST_IN :in std_logic_vector(7 downto 0);
OUT_ALU: out std_logic_vector(7 downto 0);
Zero: inout std_logic:='0';
Carry: inout std_logic:='0');
end;
architecture UAL of ALU is
component Semi_sumator
port(EN: in std_logic;
A,B: in std_logic_vector(7 downto 0);
SUM: out std_logic_vector(7 downto 0);
CF,ZF:out std_logic);
end component;
component Sumator_complet
port(EN: in std_logic;
A,B: in std_logic_VECTOR(7 downto 0);
SUM: out std_logic_VECTOR(7 downto 0);
CF: inout std_logic;
ZF: out std_logic);
end component;
component Scazator
port(EN: in std_logic;
A,B: in STD_LOGIC_VECTOR(7 downto 0);
DIF: out STD_LOGIC_VECTOR(7 downto 0);
CF,ZF: out STD_LOGIC);
end component;
component Scazator_complet
port(EN: in std_logic;
A,B: in std_logic_VECTOR(7 downto 0);
DIF: out std_logic_VECTOR(7 downto 0);
CF:inout std_logic;
ZF: out std_logic);
end component;
component Poarta_SI
port(EN: in std_logic;
A,B: in std_logic_VECTOR(7 downto 0);
Y: out std_logic_VECTOR(7 downto 0);
CF,ZF: out std_logic);
end component;
component XOR_8
port(EN: in std_logic;
A,B:in std_logic_vector(7 downto 0);
X:out std_logic_vector(7 downto 0);
CARRY,ZERO:out std_logic);
end component;
component OR_8
port(EN: in std_logic;
A,B:in std_logic_vector(7 downto 0);
O:out std_logic_vector(7 downto 0);
CARRY,ZERO: out std_logic);
end component;
component SHIFT
PORT(EN: in std_logic;
REG_IN:in std_logic_vector(7 downto 0); --input
SEL:in std_logic_vector(3 downto 0); --selectia de shift (SEE XAPP213.PDF)
CARRY: inout std_logic;
REG_OUT: out std_logic_vector(7 downto 0);
ZERO: out std_logic);
end component;
component Mux2la1
port (I0,I1: in std_logic_vector(7 downto 0);
SEL2_1: in std_logic;
O: out std_logic_vector(7 downto 0));
end component;
component Mux16la1
port (
--EN: in std_logic;
MUX_IN: in M168;
EN: in STD_LOGIC;
SEL: in STD_LOGIC_VECTOR(3 downto 0);
MUX_OUT: out STD_LOGIC_VECTOR(7 downto 0) );
end component;
component REGISTRII
port(CLK_R : in STD_LOGIC;
CLK_W : in STD_LOGIC;
IN_REG: in STD_LOGIC_VECTOR(7 downto 0);
S_IO_A : in STD_LOGIC_VECTOR(3 downto 0);
S_O_B : in STD_LOGIC_VECTOR(3 downto 0);
OUT_A : out STD_LOGIC_VECTOR(7 downto 0);
OUT_B : out STD_LOGIC_VECTOR(7 downto 0));
end component;
component LOAD
port(EN: in std_logic;
LOAD_IN : in std_logic_vector(7 downto 0);
LOAD_OUT: out std_logic_vector(7 downto 0);
CF,ZF: out std_logic);
end component;
signal INPUT_REG: std_logic_vector(7 downto 0):="00000000";
signal sX,sY : std_logic_vector(3 downto 0);
signal MUX_SELECT: std_logic_vector(3 downto 0);
signal shift_command: std_logic_vector(3 downto 0);
signal REG_A,REG_B: std_logic_vector(7 downto 0):="00000000";
signal A,B: std_logic_vector(7 downto 0):="00000000";
signal REZULTATE : M168;
signal EN : std_logic;
signal EN_VEC : std_logic_vector(15 downto 0):=(others => '0');
signal WRITE_REG : std_logic;
begin
process(COMMAND_IN,SELECT_IN,CONST_IN,CLK)
variable EN_AUX: std_logic_vector(15 downto 0):="0000000000000000";
variable EN_GENERAL: std_logic;
begin
--EN_AUX:=(others => '0');
case COMMAND_IN is
when "0001" | "0010" | "0011" | "0100" | "0101" | "0110" | "0111" | "0000" =>
MUX_SELECT<= COMMAND_IN;
sX <= SELECT_IN;
sY <= "ZZZZ";
if(CLK = '1' and CLK'EVENT) then
EN_GENERAL := '0';
end if;
if(CLK = '0' and CLK'EVENT) then
EN_GENERAL:= '1';
end if;
EN_AUX(to_integer(unsigned(COMMAND_IN))):=not EN_GENERAL;
when "1100" =>
MUX_SELECT<=CONST_IN(3 downto 0);
sX <= SELECT_IN;
sY <= CONST_IN(7 downto 4);
if(CLK = '1' and CLK'EVENT) then
EN_GENERAL := '0';
end if;
if(CLK = '0' and CLK'EVENT) then
EN_GENERAL:= '1';
end if;
EN_AUX(to_integer(unsigned(CONST_IN))):=not EN_GENERAL;
when "1101" =>
MUX_SELECT<=COMMAND_IN;
sX<= SELECT_IN;
shift_command<= CONST_IN(3 downto 0);
if(CLK = '1' and CLK'EVENT) then
EN_GENERAL := '0';
end if;
if(CLK = '0' and CLK'EVENT) then
EN_GENERAL:= '1';
end if;
EN_AUX(to_integer(unsigned(COMMAND_IN))):= EN_GENERAL;
when others => NULL;
end case;
if(EN_GENERAL = '1') then
WRITE_REG<='0';
end if;
if(EN_GENERAL = '0') then
WRITE_REG<='1';
end if;
EN_VEC<=EN_AUX;
EN<= EN_GENERAL;
end process;
ATR: B<=REG_B when COMMAND_IN = "1100" else
CONST_IN;
REG : REGISTRII port map(EN,WRITE_REG,INPUT_REG,sX,sY,REG_A,REG_B);
LOADD : LOAD port map(EN_VEC(0),B,REZULTATE(0),Carry,Zero); --0000
ANDD : Poarta_SI port map(EN_VEC(1),REG_A,B,REZULTATE(1),Carry,Zero); --0001
ORR : OR_8 port map(EN_VEC(2),REG_A,B,REZULTATE(2),Carry,Zero); --0010
XORR : XOR_8 port map(EN_VEC(3),REG_A,B,REZULTATE(3),Carry,Zero); --0011
ADD : Semi_sumator port map(EN_VEC(4),REG_A,B,REZULTATE(4),Carry,Zero); --0100
ADDCY : Sumator_complet port map(EN_VEC(5),REG_A,B,REZULTATE(5),Carry,Zero); --0101
SUB : Scazator port map(EN_VEC(6),REG_A,B,REZULTATE(6),Carry,Zero); --0110
SUBCY : Scazator_complet port map(EN_VEC(7),REG_A,B,REZULTATE(7),Carry,Zero); --0111
SHIFT1 : SHIFT port map(EN_VEC(13),REG_A,shift_command,Carry,REZULTATE(13),Zero);--1101
MUX_OUT: MUX16la1 port map(REZULTATE,'1',MUX_SELECT,INPUT_REG);
REZULTATE(12 downto 8) <= (others =>"ZZZZZZZZ");
REZULTATE(15 downto 14) <= (others =>"ZZZZZZZZ");
OUT_ALU<= INPUT_REG;
end;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 19:59:48 05/23/2011
-- Design Name:
-- Module Name: /home/silva/Documents/Dropbox/IST/PSD_TEMP/debug/sudoku_mem/tb_circuito.vhd
-- Project Name: sudoku_mem
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: circuito3
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_circuito IS
END tb_circuito;
ARCHITECTURE behavior OF tb_circuito IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT circuito3
PORT(
CLK : IN std_logic;
RST : IN std_logic;
clks : OUT std_logic;
memout : OUT std_logic_vector(31 downto 0);
canSTART : IN std_logic;
execDONE : OUT std_logic;
CNTbyte2 : IN std_logic_vector(11 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
signal canSTART : std_logic := '0';
signal CNTbyte2 : std_logic_vector(11 downto 0) := (others => '0');
--Outputs
signal clks : std_logic;
signal memout : std_logic_vector(31 downto 0);
signal execDONE : std_logic;
-- Clock period definitions
constant CLK_period : time := 20 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: circuito3 PORT MAP (
CLK => CLK,
RST => RST,
clks => clks,
memout => memout,
canSTART => canSTART,
execDONE => execDONE,
CNTbyte2 => CNTbyte2
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
rst <= '1', '0' after 50 ns;
canstart <= '0', '1' after 100 ns;
-- insert stimulus here
wait;
end process;
END;
|
-- The MIT License (MIT)
--
-- Copyright (c) <NAME> <EMAIL>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity camera_unit is
generic(
BUF_AW : integer := 8;
BUF_DW : integer := 16
);
port(
clk : in std_logic;
reset : in std_logic;
addr : in std_logic_vector(15 downto 0);
strobe : in std_logic;
cycle : in std_logic;
c_sel : in std_logic;
wr : in std_logic;
ack : out std_logic;
--filter : in std_logic;
ack_tick : out std_logic;
dout : out std_logic_vector(15 downto 0);
cfinish : out std_logic;
resend : in std_logic ;
frame_irq : out std_logic;
--- CAMERA PINS GOES HERE
OV7670_VSYNC : in std_logic;
OV7670_HREF : in std_logic;
OV7670_PCLK : in std_logic;
OV7670_D : in std_logic_vector(7 downto 0);
OV7670_SIOC : out STD_LOGIC;
OV7670_SIOD : inout STD_LOGIC;
OV7670_RESET : out STD_LOGIC;
OV7670_PWDN : out STD_LOGIC;
OV7670_XCLK : out STD_LOGIC
);
end entity;
architecture arch of camera_unit is
-- signals declaration here
constant ID: natural := 1;
constant VGA_ADDR: std_logic_vector(18 downto 0 ) := "1001010111111111111";
constant QVGA_ADDR: std_logic_vector(18 downto 0) := "0010010101111111111";
constant QQVGA_ADDR : std_logic_vector(18 downto 0) := "0000100101011111111";
constant OFFSET: unsigned(15 downto 0) := X"0008";
signal mem_addr : std_logic_vector(15 downto 0);
signal wr_op, px_wr, ack_db, state : std_logic := '0';
--signal fr_din, fr_din_next: std_logic_vector(BUF_DW-1 downto 0);
signal cam_dout: std_logic_vector(15 downto 0) ;
signal buffer_out,filter_out, filter_out_next : std_logic_vector(15 downto 0);
signal clk_45: std_logic;
signal fr_addr: std_logic_vector(18 downto 0) ;
signal fr_addr_map, fr_addr_map_next: std_logic_vector(18 downto 0) ;
-- select the filter or not
signal R8,R8_next,G8, G8_next,B8,B8_next : std_logic_vector(7 downto 0);
signal pixon: std_logic;
signal d1, d2: std_logic_vector(7 downto 0) ;
signal filter_ready: std_logic;
signal rez_160x120,rez_320x240: std_logic;
signal rez_in: std_logic_vector(1 downto 0) ;
begin
ack <= strobe and cycle and c_sel;
state <= (ack_db or state) and (strobe and cycle and c_sel);
ack_tick <= ack_db;
write_proc : process( clk,reset )
begin
if(reset = '1') then
rez_320x240 <= '0';
rez_160x120 <= '0';
elsif rising_edge(clk) then
if((strobe and cycle and wr and c_sel) = '1' ) then
if rez_in = "01" then
rez_160x120 <= '1';
rez_320x240 <= '0';
elsif rez_in = "10" then
rez_160x120 <= '0';
rez_320x240 <= '1';
else
rez_160x120 <= '0';
rez_320x240 <= '0';
end if;
else
rez_320x240 <= rez_320x240;
rez_160x120 <= rez_160x120;
end if;
end if;
end process ;
sync_proc:process(clk, reset)
begin
if reset = '1' then
ack_db <= '0';
--fr_din <= (others=>'0');
filter_out <= (others=>'0');
R8 <= (others=>'0');
G8 <= (others=>'0');
B8 <= (others=>'0');
fr_addr_map <= (others=>'0');
elsif rising_edge(clk) then
--fr_din <= fr_din_next;
filter_out<= filter_out_next;
ack_db <= strobe and cycle and c_sel and (not ack_db) and (not state);
R8 <= R8_next;
G8 <= G8_next;
B8 <= B8_next;
fr_addr_map <= fr_addr_map_next;
end if;
end process;
mem_addr <=std_logic_vector(unsigned(addr) - OFFSET) when (strobe and cycle and c_sel) = '1'
else (others=>'0');
rez_in <= mem_addr(2 downto 1);
-- clock unit
clkgen_unit:entity work.clock_gen
port map (
clk_in => clk,
clk_45 => clk_45,
clk_24 => OV7670_XCLK
) ;
-- controller unit
cam_ctrl: entity work.ov7670_controller
port map(
clk45 => clk_45,
resend => resend, -- to host
config_finished => cfinish, -- to led
sioc => OV7670_SIOC, -- to camera
siod => OV7670_SIOD, -- to camera
reset => OV7670_RESET,-- to camera
pwdn => OV7670_PWDN -- to camera
);
capture_unit: entity work.ov7670_capture
port map(
pclk => OV7670_PCLK,
vsync => OV7670_VSYNC, -- from camera
rez_160x120 => rez_160x120,
rez_320x240 => rez_320x240,
href => OV7670_HREF, -- from camera
d => OV7670_D, -- from camera
addr => fr_addr, -- to buffer address
dout => cam_dout, -- to buffer out
we => px_wr
);
frame_irq <= '1' when ((rez_320x240 = '1' and fr_addr_map = QVGA_ADDR)
OR (rez_160x120 = '1' and fr_addr_map = QQVGA_ADDR)
OR (rez_160x120 = '0' and rez_320x240 = '0' and fr_addr_map = VGA_ADDR))
else '0';
-- add the filter
R8_next <= cam_dout(15 downto 11) & "000" when px_wr='1' else R8;
G8_next <= cam_dout(10 downto 5) & "00" when px_wr='1' else G8;
B8_next <= cam_dout(4 downto 0) & "000" when px_wr='1' else B8;
filter_unit: entity work.hsvfilter
port map(
clk => clk,
reset => reset,
R_in => R8_next,
G_in => G8_next,
B_in => B8_next,
start => px_wr,
pixon => pixon,
available => filter_ready
);
wr_op <= '1' when fr_addr_map(3 downto 0) = "1111" and filter_ready = '1' else '0';-- when filter = '1' else px_wr;
filter_out_next <= pixon&filter_out(15 downto 1) when filter_ready = '1' else filter_out;
--buffer_in <= cam_dout when filter = '0' else filter_out_next;
--fr_din_next <= cam_dout&fr_din(7 downto 0) when wr_op = '1' else
-- fr_din(15 downto 8)&cam_dout when
-- (px_wr = '1') else fr_din;
fr_addr_map_next <=fr_addr when px_wr='1' else fr_addr_map;--when filter = '1' else fr_addr;
-- end process;
frame_ent: entity work.dual_port_dual_clock
generic map(
ADDR_WIDTH => BUF_AW,
DATA_WIDTH => BUF_DW
)
port map(
clka => clk,-- a bit dagerous OV7670_PCLK,
clkb => clk,
we => wr_op,
addr_a => fr_addr_map(18 downto 4),--fr_addr_map,
addr_b => mem_addr(BUF_AW downto 1), -- requested by host
din_a => filter_out_next,--buffer_in,--fr_din_next, -- stacked data from camera
dout_a => open,
dout_b => buffer_out -- to host
);
--d1 <= (others=>'1') when buffer_out(0) = '1' else (others=>'0');
--d2 <= (others=>'1') when buffer_out(1) = '1' else (others=>'0');
dout <= buffer_out;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
entity adderSubtractor is
port( mode : in std_logic;
A : in std_logic_vector(2 downto 0);
B : in std_logic_vector(2 downto 0);
S : out std_logic_vector(2 downto 0);
Cout, V : out std_logic
);
end adderSubtractor;
architecture struct of adderSubtractor is
component full_adder is
port(
A, B, C : IN std_logic;
Sum, Carry : OUT std_logic
);
end component;
signal C1, C2, C3: std_logic;
signal xor0, xor1, xor2: std_logic;
begin
xor0 <= B(0) XOR mode;
xor1 <= B(1) XOR mode;
xor2 <= B(2) XOR mode;
FA0: full_adder port map(A(0), xor0, mode, S(0), C1);
FA1: full_adder port map(A(1), xor1, C1, S(1), C2);
FA2: full_adder port map(A(2), xor2, C2, S(2), C3);
V <= C2 XOR C3;
Cout <= C3;
end struct;
|
<reponame>danielvcorreia/cr
--------------------------------------------
-- Module Name: tutorial
--------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VComponents.all;
Entity tutorial Is
port (
sw : in STD_LOGIC_VECTOR(7 downto 0);
led : out STD_LOGIC_VECTOR(7 downto 0)
);
end tutorial;
Architecture behavior of tutorial Is
Signal led_int : STD_LOGIC_VECTOR(7 downto 0) := "00000000";
begin
led <= led_int;
led_int(0) <= not(sw(0));
led_int(1) <= sw(1) and not(sw(2));
led_int(3) <= sw(2) and sw(3);
led_int(2) <= led_int(1) or led_int(3);
led_int(7 downto 4) <= sw(7 downto 4);
end behavior;
|
<gh_stars>1-10
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
--This entity counts the number of leading '1' terms
entity sixteenbitcounter is
port
(
CLK : in std_logic;
Y : out std_logic_vector(15 downto 0) := ('0', others=>'0') -- output only
);
end entity;
architecture counter16_falling of sixteenbitcounter is
begin
process is
variable x : natural := 0;
begin
wait until CLK = '0';
x := x + 1;
Y <= CONV_STD_LOGIC_VECTOR(x, 16);
end process;
end counter16_falling;
architecture counter16_rising of sixteenbitcounter is
begin
process (CLK) is
variable x : natural := 0;
begin
if (CLK'event and CLK = '1') then
x := x + 1;
Y <= CONV_STD_LOGIC_VECTOR(x, 16);
end if;
end process;
end counter16_rising;
architecture counter16_rising_v2 of sixteenbitcounter is
begin
process (CLK) is
variable x : natural := 0;
begin
if rising_edge(CLK) then
x := x + 1;
Y <= CONV_STD_LOGIC_VECTOR(x, 16);
end if;
end process;
end counter16_rising_v2;
|
<gh_stars>1-10
-- ********************************************************************/
-- Actel Corporation Proprietary and Confidential
-- Copyright 2010 Actel Corporation. All rights reserved.
--
-- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN
-- ACCORDANCE WITH THE ACTEL LICENSE AGREEMENT AND MUST BE APPROVED
-- IN ADVANCE IN WRITING.
--
-- Description:
--
-- Revision Information:
-- Date Description
-- ---- -----------------------------------------
-- 04AUG10 Production Release Version 1.0
--
-- SVN Revision Information:
-- SVN $Revision: $
-- SVN $Date: $
--
-- Resolved SARs
-- SAR Date Who Description
--
-- Notes:
--
-- *********************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
ENTITY CoreAHBLtoAXI_AXIAccessControlHX IS
GENERIC (
-----------------------------------------------------
-- Global parameters
-----------------------------------------------------
AHB_AWIDTH : integer := 32;
AHB_DWIDTH : integer := 32;
AXI_AWIDTH : integer := 32;
AXI_DWIDTH : integer := 64;
CLOCKS_ASYNC : integer := 1;
UNDEF_BURST : integer := 0); -- if '0' then single transter else INCR16
PORT (
-----------------------------------------------------
-- Input-Output Ports
-----------------------------------------------------
-- Inputs on the AHBL interface
HSEL : IN std_logic;
HADDR : IN std_logic_vector(AHB_AWIDTH - 1 DOWNTO 0);
HWRITE : IN std_logic;
HREADY : IN std_logic;
HTRANS : IN std_logic_vector(1 DOWNTO 0);
HSIZE : IN std_logic_vector(2 DOWNTO 0);
HBURST : IN std_logic_vector(2 DOWNTO 0);
HMASTLOCK : IN std_logic;
latch_ahb_sig_sync : IN std_logic;
ahb_wr_done_sync : IN std_logic;
ahb_rd_req_sync : IN std_logic;
burst_count_valid_sync : IN std_logic;
burst_count_r : IN std_logic_vector(3 DOWNTO 0);
rdch_fifo_full : IN std_logic;
wrch_fifo_empty : IN std_logic;
-- Inputs on AXI Interface
ACLK : IN std_logic;
ARESETn : IN std_logic;
axi_wr_data : IN std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0);
-- Outputs on AXI Interface
AWID : OUT std_logic_vector(3 DOWNTO 0);
AWADDR : OUT std_logic_vector(AXI_AWIDTH - 1 DOWNTO 0);
AWLEN : OUT std_logic_vector(3 DOWNTO 0);
AWSIZE : OUT std_logic_vector(2 DOWNTO 0);
AWBURST : OUT std_logic_vector(1 DOWNTO 0);
AWLOCK : OUT std_logic_vector(1 DOWNTO 0);
AWVALID : OUT std_logic;
AWREADY : IN std_logic;
WID : OUT std_logic_vector(3 DOWNTO 0);
WDATA : OUT std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0);
WSTRB : OUT std_logic_vector((AXI_DWIDTH / 8) - 1 DOWNTO 0);
WLAST : OUT std_logic;
WVALID : OUT std_logic;
WREADY : IN std_logic;
BREADY : OUT std_logic;
BID : IN std_logic_vector(3 DOWNTO 0);
BRESP : IN std_logic_vector(1 DOWNTO 0);
BVALID : IN std_logic;
ARID : OUT std_logic_vector(3 DOWNTO 0);
ARADDR : OUT std_logic_vector(AXI_AWIDTH - 1 DOWNTO 0);
ARLEN : OUT std_logic_vector(3 DOWNTO 0);
ARSIZE : OUT std_logic_vector(2 DOWNTO 0);
ARBURST : OUT std_logic_vector(1 DOWNTO 0);
ARLOCK : OUT std_logic_vector(1 DOWNTO 0);
ARVALID : OUT std_logic;
ARREADY : IN std_logic;
RREADY : OUT std_logic;
RID : IN std_logic_vector(3 DOWNTO 0);
RDATA : IN std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0);
RRESP : IN std_logic_vector(1 DOWNTO 0);
RLAST : IN std_logic;
RVALID : IN std_logic;
WRCH_fifo_rd_en : OUT std_logic;
BRESP_sync : OUT std_logic_vector(1 DOWNTO 0);
rdch_fifo_wr_en_r : OUT std_logic;
rdch_fifo_wr_data : OUT std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0);
axi_read_rlast : OUT std_logic);
END ENTITY CoreAHBLtoAXI_AXIAccessControlHX;
ARCHITECTURE translated OF CoreAHBLtoAXI_AXIAccessControlHX IS
CONSTANT AXI_WRSTB : integer := AXI_DWIDTH / 8;
CONSTANT WRITE_C : std_logic := '1'; -- write constant
CONSTANT READ_C : std_logic := '0'; -- read constant
CONSTANT RESPOK_C : std_logic_vector(1 DOWNTO 0) := "00"; -- response OKAY from AXI
CONSTANT RESPERR_C : std_logic_vector(1 DOWNTO 0) := "01"; -- response ERROR from AXI
-- State machine variables
CONSTANT IDLE : std_logic_vector(2 DOWNTO 0) := "000";
CONSTANT SEND_WR_ADDR : std_logic_vector(2 DOWNTO 0) := "001";
CONSTANT SEND_WR_DATA : std_logic_vector(2 DOWNTO 0) := "010";
CONSTANT READ_WR_RESP : std_logic_vector(2 DOWNTO 0) := "011";
CONSTANT SEND_RD_ADDR : std_logic_vector(2 DOWNTO 0) := "100";
CONSTANT READ_RD_DATA : std_logic_vector(2 DOWNTO 0) := "101";
CONSTANT SHIFT_DATA_BYTE : std_logic_vector(7 DOWNTO 0) := "00000001";
CONSTANT SHIFT_DATA_HW : std_logic_vector(7 DOWNTO 0) := "00000011";
CONSTANT SHIFT_DATA_WORD : std_logic_vector(7 DOWNTO 0) := "00001111";
FUNCTION to_integer (
val : std_logic_vector) RETURN integer IS
CONSTANT vec : std_logic_vector(val'high-val'low DOWNTO 0) := val;
VARIABLE rtn : integer := 0;
BEGIN
FOR index IN vec'RANGE LOOP
IF (vec(index) = '1') THEN
rtn := rtn + (2**index);
END IF;
END LOOP;
RETURN(rtn);
END to_integer;
FUNCTION ShiftRight (
val : std_logic_vector;
shft : integer) RETURN std_logic_vector IS
VARIABLE int : std_logic_vector(val'LENGTH+shft-1 DOWNTO 0);
VARIABLE rtn : std_logic_vector(val'RANGE);
VARIABLE fill : std_logic_vector(shft-1 DOWNTO 0) := (others => '0');
BEGIN
int := fill & val;
rtn := int(val'LENGTH+shft-1 DOWNTO shft);
RETURN(rtn);
END ShiftRight;
FUNCTION ShiftLeft(s1:std_logic_vector;nn:integer) return std_logic_vector is
-- shift left by nn bits, add nn 0s to LSBs
-- Example s1 = 11111101, nn = 3, return = 11101000
--It is in effect unsigned multiplication by 2^nn
--variable rr : std_logic_vector(s1'high downto s1'low);
variable rr : std_logic_vector(7 downto 0);
begin
if(nn < 0) then
assert false
report "shln: shift index nn is negative,can't shift" severity error;
end if;
if(nn = 0) then
rr := s1;
else
rr := (others => '0');
--for ii in s1'high downto s1'low+1 loop
for ii in 7 downto 0 loop
rr(ii) := s1(ii-nn);
if(ii = nn) then
exit;
end if;
end loop;
end if;
return rr;
end ShiftLeft;
FUNCTION to_stdlogic (
val : IN boolean) RETURN std_logic IS
BEGIN
IF (val) THEN
RETURN('1');
ELSE
RETURN('0');
END IF;
END to_stdlogic;
FUNCTION conv_std_logic (
val : IN boolean) RETURN std_logic IS
BEGIN
RETURN(to_stdlogic(val));
END conv_std_logic;
-------------------------------------------------------------------------------
-- Register Declarations
-------------------------------------------------------------------------------
SIGNAL wvalid_reg : std_logic;
SIGNAL axi_current_state : std_logic_vector(2 DOWNTO 0);
SIGNAL axi_next_state : std_logic_vector(2 DOWNTO 0);
SIGNAL HSEL_sync : std_logic;
SIGNAL HADDR_sync : std_logic_vector(AHB_AWIDTH - 1 DOWNTO 0)
;
SIGNAL HWRITE_sync : std_logic;
SIGNAL HREADY_sync : std_logic;
SIGNAL HTRANS_sync : std_logic_vector(1 DOWNTO 0);
SIGNAL HSIZE_sync : std_logic_vector(2 DOWNTO 0);
SIGNAL HBURST_sync : std_logic_vector(2 DOWNTO 0);
SIGNAL HMASTLOCK_sync : std_logic;
SIGNAL awaddr_awvalid_set : std_logic;
SIGNAL wvalid_set : std_logic;
SIGNAL wvalid_clr : std_logic;
SIGNAL bready_set : std_logic;
SIGNAL burstcount_load : std_logic;
SIGNAL burstcount_dec : std_logic;
SIGNAL burstcount_dec_r : std_logic;
SIGNAL latch_wr_resp : std_logic_vector(1 DOWNTO 0);
SIGNAL latch_wr_resp_set : std_logic;
SIGNAL axiwr_burst_length : std_logic_vector(3 DOWNTO 0);
SIGNAL axird_burst_length : std_logic_vector(3 DOWNTO 0);
SIGNAL axi_burst_type : std_logic_vector(1 DOWNTO 0);
SIGNAL awaddr_awvalid_clr : std_logic;
SIGNAL burstcount_reg : std_logic_vector(4 DOWNTO 0);
SIGNAL burstcount_reg_r : std_logic_vector(4 DOWNTO 0);
SIGNAL burst_count_r_sync : std_logic_vector(3 DOWNTO 0);
SIGNAL rdch_write_data_r : std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0)
;
SIGNAL rd_data_c : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0)
;
SIGNAL axi_read_data : std_logic;
SIGNAL rready_set : std_logic;
SIGNAL axi_read_resp : std_logic_vector(1 DOWNTO 0);
SIGNAL ahb_rd_req_sync_d : std_logic;
SIGNAL latch_ahb_sig_sync_d : std_logic;
SIGNAL axi_wstrb : std_logic_vector((AXI_DWIDTH / 8) - 1 DOWNTO 0);
SIGNAL swap_rd_data_byte : std_logic_vector(3 DOWNTO 0);
SIGNAL axi_wrdata : std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0)
;
SIGNAL wrstb_count : std_logic_vector(1 DOWNTO 0);
SIGNAL AWADDR_incr : std_logic_vector(2 DOWNTO 0);
SIGNAL axi_wr_data_d : std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0)
;
SIGNAL awaddr_awvalid_clr_d : std_logic;
SIGNAL araddr_arvalid_clr_d : std_logic;
SIGNAL araddr_arvalid_set : std_logic;
SIGNAL araddr_arvalid_clr : std_logic;
SIGNAL WREADY_reg : std_logic;
SIGNAL BVALID_reg : std_logic;
SIGNAL wvalid_reg_r : std_logic;
SIGNAL wvalid_set_r : std_logic;
SIGNAL wvalid_set_r1 : std_logic;
SIGNAL wvalid_clr_t : std_logic;
SIGNAL wvalid_clr_r : std_logic;
SIGNAL axi_wr_data_lat : std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0)
;
-------------------------------------------------------------------------------
-- Wire Declarations
-------------------------------------------------------------------------------
SIGNAL rd_haddr : std_logic_vector(AHB_AWIDTH - 1 DOWNTO 0)
;
SIGNAL axi_rd_start : std_logic;
SIGNAL store_ahb_sig : std_logic;
SIGNAL undef_wr_burst_size_32 : std_logic_vector(3 DOWNTO 0);
SIGNAL undef_rd_burst_size_32 : std_logic_vector(3 DOWNTO 0);
SIGNAL undef_wr_burst_size_64 : std_logic_vector(3 DOWNTO 0);
SIGNAL undef_rd_burst_size_64 : std_logic_vector(3 DOWNTO 0);
SIGNAL temp_xhdl28 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl29 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl30 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl31 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl32 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl33 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl34 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl35 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl36 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl37 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl38 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl39 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl40 : std_logic_vector(63 DOWNTO 0);
SIGNAL temp_xhdl41 : std_logic_vector(7 DOWNTO 0);
SIGNAL temp_xhdl42 : std_logic;
SIGNAL temp_xhdl43 : std_logic_vector(3 DOWNTO 0);
SIGNAL temp_xhdl45 : std_logic_vector(31 DOWNTO 0);
SIGNAL temp_xhdl46 : std_logic_vector(63 DOWNTO 32);
SIGNAL temp_xhdl47 : std_logic_vector(31 DOWNTO 0);
SIGNAL temp_xhdl48 : std_logic_vector(31 DOWNTO 0);
SIGNAL temp_xhdl49 : std_logic_vector(63 DOWNTO 32);
SIGNAL temp_xhdl50 : std_logic_vector(63 DOWNTO 32);
SIGNAL temp_xhdl51 : std_logic_vector(31 DOWNTO 0);
SIGNAL temp_xhdl52 : std_logic_vector(63 DOWNTO 32);
SIGNAL temp_xhdl53 : std_logic_vector(31 DOWNTO 0);
SIGNAL temp_xhdl54 : std_logic_vector(63 DOWNTO 32);
SIGNAL temp_xhdl70 : std_logic;
SIGNAL AWID_xhdl1 : std_logic_vector(3 DOWNTO 0);
SIGNAL AWADDR_xhdl2 : std_logic_vector(AXI_AWIDTH - 1 DOWNTO 0)
;
SIGNAL AWLEN_xhdl3 : std_logic_vector(3 DOWNTO 0);
SIGNAL AWSIZE_xhdl4 : std_logic_vector(2 DOWNTO 0);
SIGNAL AWBURST_xhdl5 : std_logic_vector(1 DOWNTO 0);
SIGNAL AWVALID_xhdl6 : std_logic;
SIGNAL AWVALID_xhdl6_int : std_logic;
SIGNAL WID_xhdl7 : std_logic_vector(3 DOWNTO 0);
SIGNAL WDATA_xhdl8 : std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0)
;
SIGNAL WSTRB_xhdl9 : std_logic_vector(AXI_WRSTB - 1 DOWNTO 0);
SIGNAL WLAST_xhdl10 : std_logic;
SIGNAL WVALID_xhdl11 : std_logic;
SIGNAL BREADY_xhdl12 : std_logic;
SIGNAL ARID_xhdl13 : std_logic_vector(3 DOWNTO 0);
SIGNAL ARADDR_xhdl14 : std_logic_vector(AXI_AWIDTH - 1 DOWNTO 0)
;
SIGNAL ARLEN_xhdl15 : std_logic_vector(3 DOWNTO 0);
SIGNAL ARSIZE_xhdl16 : std_logic_vector(2 DOWNTO 0);
SIGNAL ARBURST_xhdl17 : std_logic_vector(1 DOWNTO 0);
SIGNAL ARLOCK_xhdl18 : std_logic_vector(1 DOWNTO 0);
SIGNAL ARVALID_xhdl19 : std_logic;
SIGNAL ARVALID_xhdl19_int : std_logic;
SIGNAL RREADY_xhdl20 : std_logic;
SIGNAL AWLOCK_xhdl21 : std_logic_vector(1 DOWNTO 0);
SIGNAL WRCH_fifo_rd_en_xhdl22 : std_logic;
SIGNAL BRESP_sync_xhdl23 : std_logic_vector(1 DOWNTO 0);
SIGNAL rdch_fifo_wr_en_r_xhdl24 : std_logic;
SIGNAL rdch_fifo_wr_data_xhdl25 : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0)
;
SIGNAL axi_read_rlast_xhdl26 : std_logic;
SIGNAL axi_burst_length_ahb32 : std_logic;
SIGNAL axi_burst_length_ahb64 : std_logic;
SIGNAL axiwr_burst_length_ahb32 : std_logic;
SIGNAL axiwr_burst_length_ahb64 : std_logic;
BEGIN
AWID <= AWID_xhdl1;
AWADDR <= AWADDR_xhdl2;
AWLEN <= AWLEN_xhdl3;
AWSIZE <= AWSIZE_xhdl4;
AWBURST <= AWBURST_xhdl5;
AWVALID <= AWVALID_xhdl6;
WID <= WID_xhdl7;
WDATA <= WDATA_xhdl8;
WSTRB <= WSTRB_xhdl9;
WLAST <= WLAST_xhdl10;
WVALID <= WVALID_xhdl11;
BREADY <= BREADY_xhdl12;
ARID <= ARID_xhdl13;
ARADDR <= ARADDR_xhdl14;
ARLEN <= ARLEN_xhdl15;
ARSIZE <= ARSIZE_xhdl16;
ARBURST <= ARBURST_xhdl17;
ARLOCK <= ARLOCK_xhdl18;
ARVALID <= ARVALID_xhdl19;
RREADY <= RREADY_xhdl20;
AWLOCK <= AWLOCK_xhdl21;
WRCH_fifo_rd_en <= WRCH_fifo_rd_en_xhdl22;
BRESP_sync <= BRESP_sync_xhdl23;
rdch_fifo_wr_en_r <= rdch_fifo_wr_en_r_xhdl24;
rdch_fifo_wr_data <= rdch_fifo_wr_data_xhdl25;
axi_read_rlast <= axi_read_rlast_xhdl26;
temp_xhdl28 <= axi_wr_data(31 DOWNTO 0) & axi_wr_data(63 DOWNTO 32) WHEN
(AWADDR_incr(2) = '1') ELSE axi_wr_data(63 DOWNTO 32) & axi_wr_data(31
DOWNTO 0);
temp_xhdl29 <= axi_wr_data(31 DOWNTO 0) & axi_wr_data(63 DOWNTO 32) WHEN
(AWADDR_incr(2) = '1') ELSE axi_wr_data;
temp_xhdl30 <= "00000000000000000000000000000000" & axi_wr_data(63 DOWNTO 48)
& axi_wr_data(15 DOWNTO 0) WHEN (burstcount_reg(0) = '1') ELSE
axi_wr_data(63 DOWNTO 48) & axi_wr_data(15 DOWNTO 0) &
"00000000000000000000000000000000";
temp_xhdl31 <= axi_wr_data(63 DOWNTO 48) & axi_wr_data(15 DOWNTO 0) &
"00000000000000000000000000000000" WHEN (burstcount_reg(0) = '1') ELSE
"00000000000000000000000000000000" & axi_wr_data(63 DOWNTO 48) &
axi_wr_data(15 DOWNTO 0);
temp_xhdl32 <= axi_wr_data(31 DOWNTO 0) & axi_wr_data(63 DOWNTO 32) WHEN
(AWADDR_incr(2) = '1') ELSE axi_wr_data;
temp_xhdl33 <= "00000000000000000000000000000000" & axi_wr_data(63 DOWNTO 48)
& axi_wr_data(15 DOWNTO 0) WHEN (burstcount_reg(0) = '1') ELSE
"00000000000000000000000000000000" & axi_wr_data(63 DOWNTO 48) &
axi_wr_data(47 DOWNTO 32);
temp_xhdl34 <= "00000000000000000000000000000000" & axi_wr_data(31 DOWNTO 16)
& axi_wr_data(15 DOWNTO 0) WHEN (burstcount_reg(0) = '1') ELSE
"00000000000000000000000000000000" & axi_wr_data(63 DOWNTO 48) &
axi_wr_data(15 DOWNTO 0);
temp_xhdl35 <= axi_wr_data(63 DOWNTO 48) & axi_wr_data(15 DOWNTO 0) &
"00000000000000000000000000000000" WHEN (burstcount_reg(0) = '1') ELSE
axi_wr_data(63 DOWNTO 48) & axi_wr_data(47 DOWNTO 32) &
"00000000000000000000000000000000";
temp_xhdl36 <= axi_wr_data(31 DOWNTO 16) & axi_wr_data(15 DOWNTO 0) &
"00000000000000000000000000000000" WHEN (burstcount_reg(0) = '1') ELSE
axi_wr_data(63 DOWNTO 48) & axi_wr_data(15 DOWNTO 0) &
"00000000000000000000000000000000";
temp_xhdl37 <= axi_wr_data(31 DOWNTO 0) & axi_wr_data(31 DOWNTO 0) WHEN
(AWADDR_incr(2) = '1') ELSE axi_wr_data;
temp_xhdl38 <= axi_wr_data(31 DOWNTO 0) & axi_wr_data(63 DOWNTO 32) WHEN
(AWADDR_incr(2) = '1') ELSE axi_wr_data;
temp_xhdl39 <= axi_wr_data(31 DOWNTO 0) & "00000000000000000000000000000000"
WHEN (burstcount_reg(0) = '1') ELSE axi_wr_data_d(63 DOWNTO 32) &
"00000000000000000000000000000000";
temp_xhdl40 <= "00000000000000000000000000000000" & axi_wr_data(31 DOWNTO 0)
WHEN (burstcount_reg(0) = '1') ELSE "00000000000000000000000000000000" &
axi_wr_data_d(63 DOWNTO 32);
--/////////////////////////////////////////////////////////////////////////////
-- Start-of-code //
--/////////////////////////////////////////////////////////////////////////////
-------------------------------------------------------------------------------
-- Generate the write data to be sent to the AXI interface
-- This is the 64-bit data read from the WRCHANNEL fifo and sent on the Write
-- data channel on the AXI bus
-------------------------------------------------------------------------------
PROCESS (AWADDR_incr, HSIZE_sync, HBURST_sync,
axi_wr_data, HADDR_sync, temp_xhdl28, temp_xhdl29, temp_xhdl30, temp_xhdl31, temp_xhdl32,
temp_xhdl33, temp_xhdl34,temp_xhdl35, temp_xhdl36, temp_xhdl37, temp_xhdl38, temp_xhdl39, temp_xhdl40
)
VARIABLE axi_wrdata_xhdl27 : std_logic_vector(AXI_DWIDTH - 1 DOWNTO 0);
BEGIN
CASE HSIZE_sync(1 DOWNTO 0) IS
WHEN "11" =>
axi_wrdata_xhdl27 := axi_wr_data(AXI_DWIDTH - 1 DOWNTO 0);
WHEN "10" =>
IF (HBURST_sync(2 DOWNTO 0) = "000") THEN
axi_wrdata_xhdl27 := temp_xhdl28;
ELSE
IF (HBURST_sync(2 DOWNTO 0) = "001") THEN
axi_wrdata_xhdl27 := temp_xhdl29;
ELSE
-- For all bursts
IF (HBURST_sync(0) = '1') THEN
-- For incr bursts
IF (HADDR_sync(2) = '0') THEN
axi_wrdata_xhdl27 := axi_wr_data(AXI_DWIDTH - 1
DOWNTO 0);
ELSE
axi_wrdata_xhdl27 := axi_wr_data(31 DOWNTO 0) &
axi_wr_data(63 DOWNTO 32);
END IF;
ELSE
-- For wrap bursts
IF (HADDR_sync(2) = '0') THEN
axi_wrdata_xhdl27 := axi_wr_data(AXI_DWIDTH - 1
DOWNTO 0);
ELSE
axi_wrdata_xhdl27 := axi_wr_data(31 DOWNTO 0) &
axi_wr_data(63 DOWNTO 32);
END IF;
END IF;
END IF;
END IF;
WHEN "01" =>
IF (HBURST_sync(2 DOWNTO 0) = "000") THEN
-- For Single
IF (HADDR_sync(2 DOWNTO 1) = "00") THEN
axi_wrdata_xhdl27 := temp_xhdl30;
ELSE
IF (HADDR_sync(2 DOWNTO 1) = "10") THEN
axi_wrdata_xhdl27 := temp_xhdl31;
ELSE
IF (HADDR_sync(2 DOWNTO 1) = "11") THEN
axi_wrdata_xhdl27 := axi_wr_data(31 DOWNTO 16) &
axi_wr_data(15 DOWNTO 0) &
"00000000000000000000000000000000";
ELSE
axi_wrdata_xhdl27 := axi_wr_data(AXI_DWIDTH - 1
DOWNTO 0);
END IF;
END IF;
END IF;
ELSE
IF (HBURST_sync(2 DOWNTO 0) = "001") THEN
axi_wrdata_xhdl27 := temp_xhdl32;
ELSE
-- For Bursts
IF (AWADDR_incr(2 DOWNTO 1) = "00") THEN
axi_wrdata_xhdl27 := temp_xhdl33;
ELSE
IF (AWADDR_incr(2 DOWNTO 1) = "01") THEN
axi_wrdata_xhdl27 := temp_xhdl34;
ELSE
IF (AWADDR_incr(2 DOWNTO 1) = "10") THEN
axi_wrdata_xhdl27 := temp_xhdl35;
ELSE
axi_wrdata_xhdl27 := temp_xhdl36;
END IF;
END IF;
END IF;
END IF;
END IF;
WHEN "00" =>
IF (HBURST_sync(2 DOWNTO 0) = "000") THEN
axi_wrdata_xhdl27 := temp_xhdl37;
ELSE
IF (HBURST_sync(2 DOWNTO 0) = "001") THEN
axi_wrdata_xhdl27 := temp_xhdl38;
ELSE
IF (HBURST_sync(0) = '1') THEN
-- For incr bursts
IF (AWADDR_incr(2) = '1') THEN
axi_wrdata_xhdl27 := temp_xhdl39;
ELSE
axi_wrdata_xhdl27 := temp_xhdl40;
END IF;
ELSE
-- For wrap bursts
IF (HADDR_sync(2) = '0') THEN
-- Address less than 4 - lower range
IF (AWADDR_incr(2 DOWNTO 0) < "100") THEN
IF (AWADDR_incr(0) = '1') THEN
axi_wrdata_xhdl27 := axi_wr_data(31 DOWNTO
0) & axi_wr_data(63 DOWNTO 32);
ELSE
axi_wrdata_xhdl27 := axi_wr_data;
END IF;
ELSE
IF (AWADDR_incr(0) = '0') THEN
axi_wrdata_xhdl27 := axi_wr_data(31 DOWNTO
0) & axi_wr_data(63 DOWNTO 32);
ELSE
axi_wrdata_xhdl27 := axi_wr_data;
END IF;
END IF;
ELSE
-- Address falling in upper range
IF (AWADDR_incr(2 DOWNTO 0) >= "100") THEN
IF (AWADDR_incr(0) = '1') THEN
axi_wrdata_xhdl27 := axi_wr_data;
ELSE
axi_wrdata_xhdl27 := axi_wr_data(31 DOWNTO
0) & axi_wr_data(63 DOWNTO 32);
END IF;
ELSE
IF (AWADDR_incr(0) = '0') THEN
axi_wrdata_xhdl27 := axi_wr_data;
ELSE
axi_wrdata_xhdl27 := axi_wr_data(31 DOWNTO
0) & axi_wr_data(63 DOWNTO 32);
END IF;
END IF;
END IF;
END IF;
-- else: !if(HBURST_sync[0] == 1'b1)
END IF;
END IF;
-- else: !if(HBURST_sync[2:0] == 3'b001)
WHEN -- case: 2'b00
OTHERS =>
axi_wrdata_xhdl27 := (OTHERS => '0');
END CASE;
axi_wrdata <= axi_wrdata_xhdl27;
END PROCESS;
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
burstcount_dec_r <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
burstcount_dec_r <= burstcount_dec;
END IF;
END PROCESS;
temp_xhdl41 <= "00001111" WHEN (AWADDR_incr(2 DOWNTO 0) = "000") ELSE
"11110000";
-------------------------------------------------------------------------------
-- Generate the write strobe to be sent to the AXI interface
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
axi_wstrb <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
CASE HSIZE_sync(1 DOWNTO 0) IS
WHEN "11" =>
axi_wstrb <= '1' & '1' & '1' & '1' & '1' & '1' & '1' &
'1';
WHEN "10" =>
IF ((HBURST_sync(2 DOWNTO 0) = "000") OR ((HBURST_sync(2
DOWNTO 0) = "001") AND (UNDEF_BURST = 0))) THEN
-- For Single/Undef
IF (HADDR_sync(2 DOWNTO 0) = "000") THEN
axi_wstrb <= "00001111";
ELSE
IF (HADDR_sync(2 DOWNTO 0) = "100") THEN
axi_wstrb <= "11110000";
END IF;
END IF;
ELSE
-- For all bursts
IF (HBURST_sync(0) = '1') THEN
-- For incr bursts
IF (HADDR_sync(2) = '1') THEN
-- 30/01/13 - check whether start addr = '4
IF ((AWVALID_xhdl6 AND AWREADY) = '1') THEN
--axi_wstrb <= ShiftLeft("00001111", to_integer(HADDR_sync(2 DOWNTO 0))) ;
IF ((HADDR_sync(2)) = '1') THEN
axi_wstrb <= "11110000";
ELSE
axi_wstrb <= "00001111";
END IF;
ELSE
IF ((WVALID_xhdl11 AND WREADY) = '1') THEN
IF (axi_wstrb = "11110000") THEN
axi_wstrb <= "00001111";
ELSE
--axi_wstrb <= ShiftLeft(axi_wstrb, 4);
axi_wstrb <= axi_wstrb(3 DOWNTO 0) & "0000";
END IF;
END IF;
END IF;
-- if (HADDR_sync[2] == 1'b1)
ELSE
axi_wstrb <= '1' & '1' & '1' & '1' & '1' & '1' &
'1' & '1';
END IF;
ELSE
-- For wrap bursts
IF (burstcount_reg = "00000") THEN
axi_wstrb <= axi_wstrb;
ELSE
IF (((WVALID_xhdl11 AND WREADY) AND
CONV_STD_LOGIC(axi_wstrb = "11110000")) = '1')
THEN
axi_wstrb <= "00001111";
ELSE
IF ((WVALID_xhdl11 AND WREADY) = '1') THEN
--axi_wstrb <= ShiftLeft(axi_wstrb, 4);
axi_wstrb <= axi_wstrb(3 DOWNTO 0) & "0000";
ELSE
IF (wvalid_set_r = '1') THEN
axi_wstrb <= temp_xhdl41;
END IF;
END IF;
END IF;
END IF;
END IF;
END IF;
WHEN "01" =>
IF ((HBURST_sync(2 DOWNTO 0) = "000") OR ((HBURST_sync(2
DOWNTO 0) = "001") AND (UNDEF_BURST = 0))) THEN
--axi_wstrb <= ShiftLeft("00000011", to_integer(HADDR_sync(2 DOWNTO 0)));
IF ((HADDR_sync(2 DOWNTO 1)) = "00") THEN
axi_wstrb <= "00000011";
ELSIF ((HADDR_sync(2 DOWNTO 1)) = "01") THEN
axi_wstrb <= "00001100";
ELSIF ((HADDR_sync(2 DOWNTO 1)) = "10") THEN
axi_wstrb <= "00110000";
ELSE
axi_wstrb <= "11000000";
END IF;
ELSE
-- For all bursts
IF ((AWVALID_xhdl6 AND AWREADY) = '1') THEN
--axi_wstrb <= ShiftLeft("00000011", to_integer(HADDR_sync(2 DOWNTO 0)));
IF ((HADDR_sync(2 DOWNTO 1)) = "00") THEN
axi_wstrb <= "00000011";
ELSIF ((HADDR_sync(2 DOWNTO 1)) = "01") THEN
axi_wstrb <= "00001100";
ELSIF ((HADDR_sync(2 DOWNTO 1)) = "10") THEN
axi_wstrb <= "00110000";
ELSE
axi_wstrb <= "11000000";
END IF;
ELSE
IF ((WVALID_xhdl11 AND WREADY) = '1') THEN
IF (axi_wstrb = "11000000") THEN
axi_wstrb <= "00000011";
ELSE
--axi_wstrb <= ShiftLeft(axi_wstrb, 2);
axi_wstrb <= axi_wstrb(5 DOWNTO 0) & "00";
END IF;
END IF;
END IF;
END IF;
WHEN "00" =>
IF ((HBURST_sync(2 DOWNTO 0) = "000") OR ((HBURST_sync(2
DOWNTO 0) = "001") AND (UNDEF_BURST = 0))) THEN
--axi_wstrb <= ShiftLeft("00000001", to_integer(HADDR_sync(2 DOWNTO 0)));
IF ((HADDR_sync(2 DOWNTO 0)) = "111") THEN
axi_wstrb <= "10000000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "110") THEN
axi_wstrb <= "01000000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "101") THEN
axi_wstrb <= "00100000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "100") THEN
axi_wstrb <= "00010000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "011") THEN
axi_wstrb <= "00001000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "010") THEN
axi_wstrb <= "00000100";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "001") THEN
axi_wstrb <= "00000010";
ELSE
axi_wstrb <= "00000001";
END IF;
ELSE
-- For all bursts
IF ((AWVALID_xhdl6 AND AWREADY) = '1') THEN
--axi_wstrb <= ShiftLeft("00000001", to_integer(HADDR_sync(2 DOWNTO 0)));
IF ((HADDR_sync(2 DOWNTO 0)) = "111") THEN
axi_wstrb <= "10000000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "110") THEN
axi_wstrb <= "01000000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "101") THEN
axi_wstrb <= "00100000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "100") THEN
axi_wstrb <= "00010000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "011") THEN
axi_wstrb <= "00001000";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "010") THEN
axi_wstrb <= "00000100";
ELSIF ((HADDR_sync(2 DOWNTO 0)) = "001") THEN
axi_wstrb <= "00000010";
ELSE
axi_wstrb <= "00000001";
END IF;
ELSE
IF ((WVALID_xhdl11 AND WREADY) = '1') THEN
IF (axi_wstrb = "10000000") THEN
axi_wstrb <= "00000001";
ELSE
--axi_wstrb <= ShiftLeft(axi_wstrb, 1);
axi_wstrb <= axi_wstrb(6 DOWNTO 0) & '0';
END IF;
END IF;
END IF;
END IF;
-- For Single/Undef
WHEN OTHERS =>
axi_wstrb <= '0' & '0' & '0' & '0' & '0' & '0' & '0' &
'0';
END CASE;
END IF;
END PROCESS;
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
wrstb_count <= "00";
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
IF (WLAST_xhdl10 = '1') THEN
wrstb_count <= "00";
ELSE
IF ((wvalid_reg = '1') AND (axi_next_state = SEND_WR_DATA)) THEN
wrstb_count <= wrstb_count + "01";
END IF;
END IF;
END IF;
END PROCESS;
-------------------------------------------------------------------------------
-- Generate the address increment logic on AXI
-- This is write data generation on the AXI interface
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
latch_ahb_sig_sync_d <= '0';
axi_wr_data_d <= (OTHERS => '0');
AWADDR_incr <= "000";
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
latch_ahb_sig_sync_d <= latch_ahb_sig_sync;
axi_wr_data_d <= axi_wr_data(AXI_DWIDTH - 1 DOWNTO 0);
IF ((AWVALID_xhdl6 AND AWREADY) = '1') THEN
AWADDR_incr <= AWADDR_xhdl2(2 DOWNTO 0);
ELSE
IF ((WVALID_xhdl11 AND WREADY) = '1') THEN
IF ((HSIZE_sync(1 DOWNTO 0)) = "00") THEN
AWADDR_incr <= AWADDR_incr + "001";
ELSIF ((HSIZE_sync(1 DOWNTO 0)) = "01") THEN
AWADDR_incr <= AWADDR_incr + "010";
ELSE
AWADDR_incr <= AWADDR_incr + "100";
END IF;
END IF;
END IF;
END IF;
END PROCESS;
-------------------------------------------------------------------------------
-- Create a sync pulse to trigger the AXI state machine when there is valid
-- AHB command
-------------------------------------------------------------------------------
store_ahb_sig <= latch_ahb_sig_sync AND (NOT latch_ahb_sig_sync_d) ;
-------------------------------------------------------------------------------
-- Synchronize the AHB signals to AXI clock on store_ahb_sig signal
-------------------------------------------------------------------------------
ahb_to_axi_latch_logic : PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
HSEL_sync <= '0';
HADDR_sync <= (OTHERS => '0');
HWRITE_sync <= '0';
HREADY_sync <= '0';
HTRANS_sync <= "00";
HSIZE_sync <= "000";
HBURST_sync <= "000";
HMASTLOCK_sync <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
IF (store_ahb_sig = '1') THEN
HSEL_sync <= HSEL;
HADDR_sync <= HADDR;
HWRITE_sync <= HWRITE;
HREADY_sync <= HREADY;
HTRANS_sync <= HTRANS;
HSIZE_sync <= HSIZE;
HBURST_sync <= HBURST;
HMASTLOCK_sync <= HMASTLOCK;
END IF;
END IF;
END PROCESS ahb_to_axi_latch_logic;
L0: IF (UNDEF_BURST = 1) GENERATE
undef_wr_burst_size_32 <= "0111" ;
undef_wr_burst_size_64 <= "1111" ;
undef_rd_burst_size_32 <= "1111" ;
undef_rd_burst_size_64 <= "1111" ;
END GENERATE L0;
L1: IF (NOT(UNDEF_BURST = 1)) GENERATE
undef_wr_burst_size_32 <= "0000" ;
undef_wr_burst_size_64 <= "0000" ;
undef_rd_burst_size_32 <= "0000" ;
undef_rd_burst_size_64 <= "0000" ;
END GENERATE L1;
L2: IF (AHB_DWIDTH = 32) GENERATE
-------------------------------------------------------------------------------
-- Generate AXI Write channel Burst Length from HBURST and HSYNC
-------------------------------------------------------------------------------
PROCESS (
HSIZE_sync, HADDR_sync, undef_wr_burst_size_32,
HBURST_sync)
BEGIN
IF (HSIZE_sync(1 DOWNTO 0) = "00") THEN
CASE HBURST_sync(2 DOWNTO 0) IS
WHEN "000" =>
axiwr_burst_length <= "0000";
WHEN "001" =>
axiwr_burst_length <= undef_wr_burst_size_32;
WHEN "010" =>
axiwr_burst_length <= "0011";
WHEN "011" =>
axiwr_burst_length <= "0011";
WHEN "100" =>
axiwr_burst_length <= "0111";
WHEN "101" =>
axiwr_burst_length <= "0111";
WHEN "110" =>
axiwr_burst_length <= "1111";
WHEN "111" =>
axiwr_burst_length <= "1111";
WHEN OTHERS =>
NULL;
END CASE;
-- case (HBURST_sync[2:0])
-- if (HSIZE_sync[1:0] == 2'b00)
ELSE
IF (HSIZE_sync(1 DOWNTO 0) = "01") THEN
CASE HBURST_sync(2 DOWNTO 0) IS
WHEN "000" =>
axiwr_burst_length <= "0000";
WHEN "001" =>
axiwr_burst_length <= undef_wr_burst_size_32;
WHEN "010" =>
axiwr_burst_length <= "0011";
WHEN "011" =>
axiwr_burst_length <= "0011";
WHEN "100" =>
axiwr_burst_length <= "0111";
WHEN "101" =>
axiwr_burst_length <= "0111";
WHEN "110" =>
axiwr_burst_length <= "1111";
WHEN "111" =>
axiwr_burst_length <= "1111";
WHEN OTHERS =>
NULL;
END CASE;
-- case (HBURST_sync[2:0])
-- if (HSIZE_sync[1:0] == 2'b01)
ELSE
IF (HADDR_sync(2) = '0') THEN
CASE HBURST_sync(2 DOWNTO 0) IS
WHEN "000" =>
axiwr_burst_length <= "0000";
WHEN "001" =>
axiwr_burst_length <= undef_wr_burst_size_32;
WHEN "010" =>
axiwr_burst_length <= "0011";
WHEN "011" =>
axiwr_burst_length <= "0001";
WHEN "100" =>
axiwr_burst_length <= "0111";
WHEN "101" =>
axiwr_burst_length <= "0011";
WHEN "110" =>
axiwr_burst_length <= "1111";
WHEN "111" =>
axiwr_burst_length <= "0111";
WHEN OTHERS =>
NULL;
END CASE;
-- case (HBURST_sync[2:0])
-- if (HSIZE_sync[1:0] == 2'b01)
ELSE
CASE HBURST_sync(2 DOWNTO 0) IS
WHEN "000" =>
axiwr_burst_length <= "0000";
WHEN "001" =>
axiwr_burst_length <= undef_wr_burst_size_32;
WHEN "010" =>
axiwr_burst_length <= "0011";
WHEN "011" =>
axiwr_burst_length <= "0011";
WHEN "100" =>
axiwr_burst_length <= "0111";
WHEN "101" =>
axiwr_burst_length <= "0111";
WHEN "110" =>
axiwr_burst_length <= "1111";
WHEN "111" =>
axiwr_burst_length <= "1111";
WHEN OTHERS =>
NULL;
END CASE;
-- case (HBURST_sync[2:0])
END IF;
END IF;
END IF;
-- else: !if(HSIZE_sync[1:0] == 2'b10)
END PROCESS;
END GENERATE L2;
L3: IF (NOT(AHB_DWIDTH = 32)) GENERATE
-- always @ (*)
-- block: axiwr_burst_length_ahb32
PROCESS (undef_wr_burst_size_64, HBURST_sync)
BEGIN
CASE HBURST_sync(2 DOWNTO 0) IS
WHEN "000" =>
axiwr_burst_length <= "0000";
WHEN "001" =>
axiwr_burst_length <= undef_wr_burst_size_64;
WHEN "010" =>
axiwr_burst_length <= "0011";
WHEN "011" =>
axiwr_burst_length <= "0011";
WHEN "100" =>
axiwr_burst_length <= "0111";
WHEN "101" =>
axiwr_burst_length <= "0111";
WHEN "110" =>
axiwr_burst_length <= "1111";
WHEN "111" =>
axiwr_burst_length <= "1111";
WHEN OTHERS =>
NULL;
END CASE;
-- case (HBURST_sync[2:0])
END PROCESS;
END GENERATE L3;
L4: IF (AHB_DWIDTH = 32) GENERATE
-------------------------------------------------------------------------------
-- Generate AXI Read channel Burst Length from HBURST and HSYNC
-------------------------------------------------------------------------------
PROCESS (undef_rd_burst_size_32, HBURST_sync)
BEGIN
-- orig
CASE HBURST_sync(2 DOWNTO 0) IS
WHEN "000" =>
axird_burst_length <= "0000";
WHEN "001" =>
axird_burst_length <= undef_rd_burst_size_32;
WHEN "010" =>
axird_burst_length <= "0011";
WHEN "011" =>
axird_burst_length <= "0011";
WHEN "100" =>
axird_burst_length <= "0111";
WHEN "101" =>
axird_burst_length <= "0111";
WHEN "110" =>
axird_burst_length <= "1111";
WHEN "111" =>
axird_burst_length <= "1111";
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS;
END GENERATE L4;
L5: IF (NOT(AHB_DWIDTH = 32)) GENERATE
-- always @ (*)
PROCESS (undef_rd_burst_size_64, HBURST_sync)
BEGIN
CASE HBURST_sync(2 DOWNTO 0) IS
WHEN "000" =>
axird_burst_length <= "0000";
WHEN "001" =>
axird_burst_length <= undef_rd_burst_size_64;
WHEN "010" =>
axird_burst_length <= "0011";
WHEN "011" =>
axird_burst_length <= "0011";
WHEN "100" =>
axird_burst_length <= "0111";
WHEN "101" =>
axird_burst_length <= "0111";
WHEN "110" =>
axird_burst_length <= "1111";
WHEN "111" =>
axird_burst_length <= "1111";
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS;
END GENERATE L5;
-------------------------------------------------------------------------------
-- Extract AXI Burst type from HBURST
-------------------------------------------------------------------------------
PROCESS (HBURST_sync)
BEGIN
CASE HBURST_sync(2 DOWNTO 0) IS
WHEN "000" =>
axi_burst_type <= "01";
WHEN "001" =>
axi_burst_type <= "01";
WHEN "010" =>
axi_burst_type <= "10";
WHEN "011" =>
axi_burst_type <= "01";
WHEN "100" =>
axi_burst_type <= "10";
WHEN "101" =>
axi_burst_type <= "01";
WHEN "110" =>
axi_burst_type <= "10";
WHEN "111" =>
axi_burst_type <= "01";
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS;
-------------------------------------------------------------------------------
-- Synchronize burst count value received from the AHB Access Control logic
-- This is used for for loading the initial value in burstcount_reg signal
-------------------------------------------------------------------------------
sync_ahb_2_axi : PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
burst_count_r_sync <= "0000";
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
IF (burst_count_valid_sync = '1') THEN
burst_count_r_sync <= burst_count_r(3 DOWNTO 0);
END IF;
END IF;
END PROCESS sync_ahb_2_axi;
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
awaddr_awvalid_clr_d <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
awaddr_awvalid_clr_d <= awaddr_awvalid_clr;
END IF;
END PROCESS;
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
araddr_arvalid_clr_d <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
araddr_arvalid_clr_d <= araddr_arvalid_clr;
END IF;
END PROCESS;
--*****************************************************************//
-- Write Data Channel //
--*****************************************************************//
-------------------------------------------------------------------------------
-- Latch AHB signals into AXI domain on synchronised control signal
-------------------------------------------------------------------------------
WDATA_xhdl8 <= axi_wrdata(AXI_DWIDTH - 1 DOWNTO 0) ;
WSTRB_xhdl9 <= axi_wstrb ;
WID_xhdl7 <= "0000" ;
WLAST_xhdl10 <= wvalid_clr ;
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
WREADY_reg <= '0';
wvalid_reg_r <= '0';
wvalid_clr_r <= '0';
axi_wr_data_lat <= (OTHERS => '0');
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
WREADY_reg <= WREADY;
wvalid_reg_r <= wvalid_reg;
wvalid_clr_r <= wvalid_clr;
axi_wr_data_lat <= axi_wrdata;
END IF;
END PROCESS;
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
wvalid_set_r <= '0';
wvalid_set_r1 <= '0';
burstcount_reg_r <= "00000";
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
wvalid_set_r <= wvalid_set AND NOT wvalid_reg;
wvalid_set_r1 <= wvalid_set_r;
burstcount_reg_r <= burstcount_reg;
END IF;
END PROCESS;
-------------------------------------------------------------------------------
-- The logic is used to de-assert the WVALID
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
wvalid_clr_t <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
IF (axi_current_state = SEND_WR_DATA AND wvalid_clr = '1') THEN
wvalid_clr_t <= '1';
ELSE
wvalid_clr_t <= '0';
END IF;
END IF;
END PROCESS;
temp_xhdl42 <= ((NOT wvalid_clr AND wvalid_reg) AND
CONV_STD_LOGIC(axi_next_state = SEND_WR_DATA)) WHEN WREADY = '1' ELSE
wvalid_reg;
-------------------------------------------------------------------------------
-- Generation of WVALID signal
-- wvalid_set asserts the WVALID and wvalid_clr signal de-asserts it.
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
WVALID_xhdl11 <= '0';
wvalid_reg <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
IF (wvalid_clr = '1' AND WREADY = '1') THEN
wvalid_reg <= '0';
ELSE
IF (wvalid_set = '1' AND wvalid_clr_t = '0') THEN
wvalid_reg <= '1';
END IF;
END IF;
WVALID_xhdl11 <= temp_xhdl42;
END IF;
END PROCESS;
--*****************************************************************//
-- Write Address Channel //
--*****************************************************************//
-------------------------------------------------------------------------------
-- Write address channel generation
-------------------------------------------------------------------------------
AWVALID_xhdl6 <= '0' WHEN awaddr_awvalid_clr_d = '1' ELSE AWVALID_xhdl6_int;
AWVALID_xhdl6_int <= '1' WHEN awaddr_awvalid_set = '1' ELSE '0';
PROCESS (HADDR_sync, axiwr_burst_length(3 DOWNTO 0), axi_burst_type(1 DOWNTO 0), HMASTLOCK_sync, HBURST_sync(2 DOWNTO 0), HSIZE_sync(2 DOWNTO 0))
BEGIN
AWADDR_xhdl2 <= HADDR_sync(AXI_AWIDTH - 1 DOWNTO 0);
AWID_xhdl1 <= "0000";
AWLEN_xhdl3 <= axiwr_burst_length(3 DOWNTO 0);
AWBURST_xhdl5 <= axi_burst_type(1 DOWNTO 0);
AWLOCK_xhdl21 <= HMASTLOCK_sync & '0';
IF (HBURST_sync(2 DOWNTO 0) = "000" OR HBURST_sync(2 DOWNTO 0) =
"001") THEN
AWSIZE_xhdl4 <= HSIZE_sync(2 DOWNTO 0);
ELSE
-- For all bursts
IF (HBURST_sync(0) = '1') THEN
-- For incr
IF (HADDR_sync(2) = '0') THEN
-- 30/01/13 added to check whether address starts from '4
CASE HSIZE_sync(1 DOWNTO 0) IS
WHEN "00" =>
AWSIZE_xhdl4 <= "000"; -- 1 bytes in one transfer
WHEN "01" =>
AWSIZE_xhdl4 <= "001"; -- 2 bytes in one transfer
WHEN "10" =>
AWSIZE_xhdl4 <= "011"; -- 8 bytes in one transfer
WHEN "11" =>
AWSIZE_xhdl4 <= "011";
WHEN OTHERS =>
AWSIZE_xhdl4 <= "011";
END CASE;
-- case (HSIZE_sync[1:0])
ELSE
CASE HSIZE_sync(1 DOWNTO 0) IS
WHEN "00" =>
AWSIZE_xhdl4 <= "000"; -- 1 bytes in one transfer
WHEN "01" =>
AWSIZE_xhdl4 <= "001"; -- 2 bytes in one transfer
WHEN "10" =>
AWSIZE_xhdl4 <= "010"; -- 4 bytes in one transfer
WHEN "11" =>
AWSIZE_xhdl4 <= "011";
WHEN OTHERS =>
AWSIZE_xhdl4 <= "011";
END CASE;
-- case (HSIZE_sync[1:0])
END IF;
ELSE
-- For wrap
CASE HSIZE_sync(1 DOWNTO 0) IS
WHEN "00" =>
AWSIZE_xhdl4 <= "000"; -- 1 bytes in one transfer
WHEN "01" =>
AWSIZE_xhdl4 <= "001"; -- 2 bytes in one transfer
WHEN "10" =>
AWSIZE_xhdl4 <= "010"; -- 4 bytes in one transfer
WHEN "11" =>
AWSIZE_xhdl4 <= "011";
WHEN OTHERS =>
AWSIZE_xhdl4 <= "011";
END CASE;
-- case (HSIZE_sync[1:0])
END IF;
END IF;
END PROCESS;
temp_xhdl43 <= ShiftRight(burst_count_r_sync(3 DOWNTO 0), 1) WHEN (HADDR_sync(2) =
'0') ELSE burst_count_r_sync(3 DOWNTO 0);
-------------------------------------------------------------------------------
-- burstcount_reg holds the burst count value
-- The count value is decremented on the burstcount_dec assertion
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
burstcount_reg <= "00000";
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
-- Load the burst count value
IF (burstcount_load = '1') THEN
IF ((HSIZE_sync(1 DOWNTO 0) = "00" AND HBURST_sync /= "000") AND
HBURST_sync /= "001") THEN
-- Byte bursts
IF (HBURST_sync(0) = '1') THEN
-- incr
burstcount_reg <= "00001" + (burst_count_r_sync(3 DOWNTO 0));
ELSE
-- wrap
burstcount_reg <= "0" & burst_count_r_sync(3 DOWNTO 0);
END IF;
ELSE
IF ((HSIZE_sync(1 DOWNTO 0) = "01" AND HBURST_sync /= "000") AND
HBURST_sync /= "001") THEN
-- HW bursts
burstcount_reg <= "00001" + (burst_count_r_sync(3 DOWNTO 0));
ELSE
IF ((HSIZE_sync(1 DOWNTO 0) = "10" AND HBURST_sync /= "000")
AND HBURST_sync /= "001") THEN
-- Word bursts
IF (HBURST_sync(0) = '1') THEN
burstcount_reg <= "00001" + (temp_xhdl43);
ELSE
-- wrap
burstcount_reg <= "0" & burst_count_r_sync(3 DOWNTO 0);
END IF;
ELSE
IF (HSIZE_sync(1 DOWNTO 0) = "10" AND HBURST_sync = "001") THEN
-- Word undef
burstcount_reg <= "00001" + ShiftRight(burst_count_r_sync(3 DOWNTO 0), 1);
ELSE
-- Single
burstcount_reg <= "00001" + ShiftRight(burst_count_r_sync(3 DOWNTO 0), 1);
END IF;
END IF;
END IF;
END IF;
ELSE
IF (burstcount_dec = '1') THEN
burstcount_reg <= burstcount_reg - "00001";
END IF;
END IF;
END IF;
END PROCESS;
--*****************************************************************//
-- Write Response Channel //
--*****************************************************************//
-------------------------------------------------------------------------------
-- Generation of BREADY write response ready signal
-- BREADY is always asserted
-------------------------------------------------------------------------------
BREADY_xhdl12 <= '1' ;
BRESP_sync_xhdl23 <= latch_wr_resp(1 DOWNTO 0) ;
-------------------------------------------------------------------------------
-- Latch the write response received on the response channel
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
latch_wr_resp <= "00";
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
-- write response
IF (latch_wr_resp_set = '1') THEN
latch_wr_resp(1 DOWNTO 0) <= BRESP(1 DOWNTO 0);
END IF;
END IF;
-- else: !if(ARESETn == 1'b0)
END PROCESS;
-- always @ (posedge ACLK or negedge ARESETn)
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
BVALID_reg <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
BVALID_reg <= BVALID;
END IF;
END PROCESS;
--*****************************************************************//
-- Read Address Channel //
--*****************************************************************//
-------------------------------------------------------------------------------
-- AXI Read Address channel generation
-------------------------------------------------------------------------------
ARVALID_xhdl19 <= '0' WHEN araddr_arvalid_clr_d = '1' ELSE ARVALID_xhdl19_int;
ARVALID_xhdl19_int <= '1' WHEN araddr_arvalid_set = '1' ELSE '0';
PROCESS (HADDR_sync, axird_burst_length(3 DOWNTO 0),
HSIZE_sync(2 DOWNTO 0), axi_burst_type(1 DOWNTO 0), HMASTLOCK_sync)
BEGIN
ARADDR_xhdl14 <= HADDR_sync(AXI_AWIDTH - 1 DOWNTO 0);
ARID_xhdl13 <= "0000";
ARLEN_xhdl15 <= axird_burst_length(3 DOWNTO 0);
ARSIZE_xhdl16 <= HSIZE_sync(2 DOWNTO 0);
ARBURST_xhdl17 <= axi_burst_type(1 DOWNTO 0);
ARLOCK_xhdl18 <= HMASTLOCK_sync & '0';
END PROCESS;
-------------------------------------------------------------------------------
-- Generation of write enable to RDCHANNEL fifo
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
ahb_rd_req_sync_d <= '0';
rdch_fifo_wr_en_r_xhdl24 <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
ahb_rd_req_sync_d <= ahb_rd_req_sync;
rdch_fifo_wr_en_r_xhdl24 <= axi_read_data;
END IF;
END PROCESS;
--*****************************************************************//
-- Read Data Channel //
--*****************************************************************//
-------------------------------------------------------------------------------
-- Generation of RREADY
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
RREADY_xhdl20 <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
IF (rready_set = '1') THEN
RREADY_xhdl20 <= '1';
ELSE
RREADY_xhdl20 <= '0';
END IF;
END IF;
END PROCESS;
rd_haddr <= HADDR_sync ;
-------------------------------------------------------------------------------
-- Latch the incoming read data from the AXI Read data channel
-------------------------------------------------------------------------------
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
rdch_write_data_r <= (OTHERS => '0');
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
IF (axi_read_data = '1') THEN
rdch_write_data_r(AXI_DWIDTH - 1 DOWNTO 0) <= RDATA(AXI_DWIDTH - 1
DOWNTO 0);
END IF;
END IF;
END PROCESS;
temp_xhdl45 <= rdch_write_data_r(31 DOWNTO 0) WHEN (swap_rd_data_byte(0) =
'1') ELSE rdch_write_data_r(63 DOWNTO 32);
temp_xhdl46 <= rdch_write_data_r(63 DOWNTO 32) WHEN (swap_rd_data_byte(0) =
'1') ELSE rdch_write_data_r(31 DOWNTO 0);
temp_xhdl47 <= rdch_write_data_r(31 DOWNTO 0) WHEN ((swap_rd_data_byte(1
DOWNTO 0) = "01") OR (swap_rd_data_byte(1 DOWNTO 0) = "10")) ELSE
rdch_write_data_r(63 DOWNTO 32);
temp_xhdl48 <= rdch_write_data_r(31 DOWNTO 0) WHEN ((swap_rd_data_byte(1
DOWNTO 0) = "11") OR (swap_rd_data_byte(1 DOWNTO 0) = "10")) ELSE
rdch_write_data_r(63 DOWNTO 32);
temp_xhdl49 <= rdch_write_data_r(63 DOWNTO 32) WHEN ((swap_rd_data_byte(1
DOWNTO 0) = "01") OR (swap_rd_data_byte(1 DOWNTO 0) = "10")) ELSE
rdch_write_data_r(31 DOWNTO 0);
temp_xhdl50 <= rdch_write_data_r(63 DOWNTO 32) WHEN ((swap_rd_data_byte(1
DOWNTO 0) = "11") OR (swap_rd_data_byte(1 DOWNTO 0) = "10")) ELSE
rdch_write_data_r(31 DOWNTO 0);
temp_xhdl51 <= rdch_write_data_r(31 DOWNTO 0) WHEN ((swap_rd_data_byte(2
DOWNTO 0) = "001") OR (swap_rd_data_byte(2 DOWNTO 0) = "010") OR
(swap_rd_data_byte(2 DOWNTO 0) = "011") OR (swap_rd_data_byte(2 DOWNTO 0) =
"000")) ELSE rdch_write_data_r(63 DOWNTO 32);
temp_xhdl52 <= rdch_write_data_r(63 DOWNTO 32) WHEN ((swap_rd_data_byte(2
DOWNTO 0) = "101") OR (swap_rd_data_byte(2 DOWNTO 0) = "110") OR
(swap_rd_data_byte(2 DOWNTO 0) = "111") OR (swap_rd_data_byte(2 DOWNTO 0) =
"100")) ELSE rdch_write_data_r(31 DOWNTO 0);
temp_xhdl53 <= rdch_write_data_r(31 DOWNTO 0) WHEN ((swap_rd_data_byte(3
DOWNTO 0) = "0001") OR (swap_rd_data_byte(3 DOWNTO 0) = "0010") OR
(swap_rd_data_byte(3 DOWNTO 0) = "1001") OR (swap_rd_data_byte(3 DOWNTO 0) =
"1010") OR (swap_rd_data_byte(3 DOWNTO 0) = "1011") OR (swap_rd_data_byte(3
DOWNTO 0) = "1100") OR (swap_rd_data_byte(3 DOWNTO 0) = "0011") OR
(swap_rd_data_byte(3 DOWNTO 0) = "0100")) ELSE rdch_write_data_r(63 DOWNTO
32);
temp_xhdl54 <= rdch_write_data_r(63 DOWNTO 32) WHEN ((swap_rd_data_byte(3
DOWNTO 0) = "1000") OR (swap_rd_data_byte(3 DOWNTO 0) = "0101") OR
(swap_rd_data_byte(3 DOWNTO 0) = "1101") OR (swap_rd_data_byte(3 DOWNTO 0) =
"1110") OR (swap_rd_data_byte(3 DOWNTO 0) = "1111") OR (swap_rd_data_byte(3
DOWNTO 0) = "0000") OR (swap_rd_data_byte(3 DOWNTO 0) = "0110") OR
(swap_rd_data_byte(3 DOWNTO 0) = "0111")) ELSE rdch_write_data_r(31 DOWNTO 0)
;
PROCESS (rdch_write_data_r, HSIZE_sync, HBURST_sync, temp_xhdl45, rd_haddr,
temp_xhdl46, temp_xhdl47, temp_xhdl48, temp_xhdl49, temp_xhdl50, temp_xhdl51, temp_xhdl52, temp_xhdl53,temp_xhdl54
)
VARIABLE rd_data_c_xhdl44 : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0);
BEGIN
CASE HSIZE_sync(1 DOWNTO 0) IS
WHEN "11" =>
rd_data_c_xhdl44 := rdch_write_data_r(AXI_DWIDTH - 1 DOWNTO 0)
(31 DOWNTO 0);
WHEN "10" =>
IF (HBURST_sync(2 DOWNTO 0) = "000" OR HBURST_sync(2 DOWNTO 0)
= "001") THEN
-- For Single/undef
IF (rd_haddr(2 DOWNTO 0) = "000") THEN
rd_data_c_xhdl44(31 DOWNTO 0) := rdch_write_data_r(31
DOWNTO 0);
ELSE
rd_data_c_xhdl44(31 DOWNTO 0) := rdch_write_data_r(63
DOWNTO 32);
END IF;
ELSE
-- For all other burst types
IF (rd_haddr(2 DOWNTO 0) = "000") THEN
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl45;
ELSE
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl46;
END IF;
END IF;
-- else: !if(HBURST_sync[2:0] == 3'b000)
WHEN "01" =>
IF (HBURST_sync(2 DOWNTO 0) = "000" OR HBURST_sync(2 DOWNTO 0)
= "001") THEN
-- For Single/undef
IF (rd_haddr(2 DOWNTO 0) < "100") THEN
IF (rd_haddr(1 DOWNTO 0) = "00" OR rd_haddr(1 DOWNTO 0)
= "10") THEN
rd_data_c_xhdl44(31 DOWNTO 0) :=
rdch_write_data_r(31 DOWNTO 0);
ELSE
rd_data_c_xhdl44(31 DOWNTO 0) :=
rdch_write_data_r(63 DOWNTO 32);
END IF;
ELSE
IF (rd_haddr(1 DOWNTO 0) = "00" OR rd_haddr(1 DOWNTO 0)
= "10") THEN
rd_data_c_xhdl44(31 DOWNTO 0) :=
rdch_write_data_r(63 DOWNTO 32);
ELSE
rd_data_c_xhdl44(31 DOWNTO 0) :=
rdch_write_data_r(31 DOWNTO 0);
END IF;
END IF;
-- else: !if(rd_haddr[2:0] < 3'b100)
ELSE
-- For all other burst types
IF (rd_haddr(2 DOWNTO 0) < "100") THEN
IF (rd_haddr(1 DOWNTO 0) = "00") THEN
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl47;
ELSE
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl48;
END IF;
ELSE
IF (rd_haddr(1 DOWNTO 0) = "00") THEN
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl49;
ELSE
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl50;
END IF;
END IF;
-- else: !if(rd_haddr[2:0] < 3'b100)
END IF;
-- else: !if(HBURST_sync[2:0] == 3'b000)
WHEN "00" =>
IF (HBURST_sync(2 DOWNTO 0) = "000" OR HBURST_sync(2 DOWNTO 0)
= "001") THEN
-- For Single/undef
IF (rd_haddr(2 DOWNTO 0) < "100") THEN
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl51;
ELSE
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl52;
END IF;
ELSE
-- For all other burst types
IF (rd_haddr(2 DOWNTO 0) < "100") THEN
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl53;
ELSE
rd_data_c_xhdl44(31 DOWNTO 0) := temp_xhdl54;
END IF;
END IF;
WHEN OTHERS =>
rd_data_c_xhdl44 := (OTHERS => '0');
END CASE;
rd_data_c <= rd_data_c_xhdl44;
END PROCESS;
PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
swap_rd_data_byte <= "0000";
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
IF (HBURST_sync(2 DOWNTO 0) = "000" OR HBURST_sync(2 DOWNTO 0) = "001")
THEN
swap_rd_data_byte <= '0' & HADDR_sync(2 DOWNTO 0);
ELSE
IF ((ARREADY AND ARVALID_xhdl19) = '1') THEN
swap_rd_data_byte <= '0' & ARADDR_xhdl14(2 DOWNTO 0);
ELSE
IF ((RREADY_xhdl20 AND RVALID) = '1') THEN
swap_rd_data_byte <= swap_rd_data_byte + "0001";
END IF;
END IF;
END IF;
END IF;
END PROCESS;
-------------------------------------------------------------------------------
-- Write data to RDCHANNEL fifo
-- The data is the Read data from AXI Read data channel
-------------------------------------------------------------------------------
rdch_fifo_wr_data_xhdl25 <= rd_data_c ;
-------------------------------------------------------------------------------
-- Generate start signal for read channel fifo to enable read from AHB side
-------------------------------------------------------------------------------
gen_start_for_rdch_read : PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
axi_read_rlast_xhdl26 <= '0';
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
axi_read_rlast_xhdl26 <= (RLAST AND RVALID) AND RREADY_xhdl20;
END IF;
END PROCESS gen_start_for_rdch_read;
-------------------------------------------------------------------------------
-- Invokes the AXI State machine on ahb_rd_req coming from the AHB Access Ctrl
-------------------------------------------------------------------------------
axi_rd_start <= NOT ahb_rd_req_sync AND ahb_rd_req_sync_d ;
-------------------------------------------------------------------------------
-- Sequential block for State Machine
-------------------------------------------------------------------------------
axi_state_machine_seq_logic : PROCESS (ACLK, ARESETn)
BEGIN
IF (ARESETn = '0') THEN
axi_current_state <= IDLE;
ELSIF (ACLK'EVENT AND ACLK = '1') THEN
axi_current_state <= axi_next_state;
END IF;
END PROCESS axi_state_machine_seq_logic;
temp_xhdl70 <= burstcount_reg(0) WHEN (HSIZE_sync(1 DOWNTO 0) = "00") ELSE
'1';
-------------------------------------------------------------------------------
-- Combinational block for State Machine
-------------------------------------------------------------------------------
axi_state_machine_combo_logic : PROCESS (axi_current_state,
HSIZE_sync, RVALID, ahb_wr_done_sync, RREADY_xhdl20,
HADDR_sync, AWREADY, RLAST, wvalid_reg_r, axi_next_state, ARREADY,
BREADY_xhdl12,
BVALID_reg, wvalid_set_r, HWRITE_sync,
HBURST_sync, WREADY,
burstcount_reg, RRESP, wvalid_set_r1, temp_xhdl70,
wvalid_reg, axi_rd_start)
VARIABLE axi_next_state_xhdl55 : std_logic_vector(2 DOWNTO 0);
VARIABLE awaddr_awvalid_set_xhdl56 : std_logic;
VARIABLE araddr_arvalid_set_xhdl57 : std_logic;
VARIABLE awaddr_awvalid_clr_xhdl58 : std_logic;
VARIABLE araddr_arvalid_clr_xhdl59 : std_logic;
VARIABLE wvalid_set_xhdl60 : std_logic;
VARIABLE wvalid_clr_xhdl61 : std_logic;
VARIABLE bready_set_xhdl62 : std_logic;
VARIABLE burstcount_load_xhdl63 : std_logic;
VARIABLE burstcount_dec_xhdl64 : std_logic;
VARIABLE WRCH_fifo_rd_en_xhdl22_xhdl65 : std_logic;
VARIABLE latch_wr_resp_set_xhdl66 : std_logic;
VARIABLE axi_read_resp_xhdl67 : std_logic_vector(1 DOWNTO 0);
VARIABLE axi_read_data_xhdl68 : std_logic;
VARIABLE rready_set_xhdl69 : std_logic;
BEGIN
axi_next_state_xhdl55 := axi_current_state;
awaddr_awvalid_set_xhdl56 := '0';
araddr_arvalid_set_xhdl57 := '0';
awaddr_awvalid_clr_xhdl58 := '0';
araddr_arvalid_clr_xhdl59 := '0';
wvalid_set_xhdl60 := '0';
wvalid_clr_xhdl61 := '0';
bready_set_xhdl62 := '0';
burstcount_load_xhdl63 := '0';
burstcount_dec_xhdl64 := '0';
WRCH_fifo_rd_en_xhdl22_xhdl65 := '0';
latch_wr_resp_set_xhdl66 := '0';
axi_read_resp_xhdl67(1 DOWNTO 0) := "00";
axi_read_data_xhdl68 := '0';
rready_set_xhdl69 := '0';
CASE axi_current_state IS
-----------------------------------------
-- IDLE STATE
-----------------------------------------
WHEN IDLE =>
IF (ahb_wr_done_sync = '1') THEN
axi_next_state_xhdl55 := SEND_WR_ADDR;
ELSE
IF (axi_rd_start = '1') THEN
axi_next_state_xhdl55 := SEND_RD_ADDR;
END IF;
END IF;
---------------------------------------------
-- SEND WRITE ADDRESS AND CONTROL ON AXI BUS
---------------------------------------------
WHEN SEND_WR_ADDR =>
awaddr_awvalid_set_xhdl56 := '1';
burstcount_load_xhdl63 := '1';
IF (AWREADY = '1') THEN
awaddr_awvalid_clr_xhdl58 := '1';
CASE HWRITE_sync IS
WHEN WRITE_C =>
axi_next_state_xhdl55 := SEND_WR_DATA;
WHEN READ_C =>
axi_next_state_xhdl55 := READ_RD_DATA;
WHEN OTHERS =>
NULL;
END CASE;
ELSE
awaddr_awvalid_clr_xhdl58 := '0';
END IF;
WHEN SEND_WR_DATA =>
burstcount_load_xhdl63 := '0';
bready_set_xhdl62 := '1';
wvalid_set_xhdl60 := '1';
IF (HBURST_sync = "000") THEN
-- All Single
--IF (wvalid_reg_r = '1' AND WREADY = '1') THEN
IF (wvalid_reg_r = '1' AND wvalid_reg = '1') THEN --SAR#57250 - WREADY condition added
wvalid_clr_xhdl61 := '1';
ELSE
wvalid_clr_xhdl61 := '0';
END IF;
IF (burstcount_reg = "00000") THEN
-- Last burst count
axi_next_state_xhdl55 := READ_WR_RESP;
ELSE
-- Not a last burst count
IF (wvalid_reg = '1' AND wvalid_set_r = '1') THEN
WRCH_fifo_rd_en_xhdl22_xhdl65 := temp_xhdl70;
ELSE
WRCH_fifo_rd_en_xhdl22_xhdl65 := '0';
END IF;
IF (wvalid_reg_r = '1' AND WREADY = '1') THEN --SAR#57250 - WREADY condition added
burstcount_dec_xhdl64 := '1';
ELSE
burstcount_dec_xhdl64 := '0';
END IF;
END IF;
-- For word undef
ELSE
IF (HBURST_sync = "001" AND HSIZE_sync(1 DOWNTO 0) = "10")
THEN
IF (burstcount_reg = "00000") THEN
IF (wvalid_reg = '1') THEN
wvalid_clr_xhdl61 := '1';
ELSE
wvalid_clr_xhdl61 := '0';
END IF;
IF (wvalid_reg = '1' AND WREADY = '1') THEN
axi_next_state_xhdl55 := READ_WR_RESP;
END IF;
ELSE
-- Not a last burst count
IF ((WREADY AND wvalid_reg) = '1') THEN
burstcount_dec_xhdl64 := '1';
WRCH_fifo_rd_en_xhdl22_xhdl65 := '1';
ELSE
burstcount_dec_xhdl64 := '0';
WRCH_fifo_rd_en_xhdl22_xhdl65 := '0';
END IF;
END IF;
-- For Halfword undef
ELSE
IF (HBURST_sync = "001" AND HSIZE_sync(1 DOWNTO 0) =
"01") THEN
IF (burstcount_reg = "00000") THEN
IF (wvalid_reg = '1') THEN
wvalid_clr_xhdl61 := '1';
ELSE
wvalid_clr_xhdl61 := '0';
END IF;
IF (wvalid_reg = '1' AND WREADY = '1') THEN
axi_next_state_xhdl55 := READ_WR_RESP;
END IF;
ELSE
-- Not a last burst count
IF ((WREADY AND wvalid_reg) = '1') THEN
burstcount_dec_xhdl64 := '1';
WRCH_fifo_rd_en_xhdl22_xhdl65 := '1';
ELSE
burstcount_dec_xhdl64 := '0';
WRCH_fifo_rd_en_xhdl22_xhdl65 := '0';
END IF;
END IF;
-- For Byte undef
ELSE
IF (HBURST_sync = "001" AND HSIZE_sync(1 DOWNTO 0) =
"00") THEN
IF (burstcount_reg = "00000") THEN
IF (wvalid_reg = '1') THEN
wvalid_clr_xhdl61 := '1';
ELSE
wvalid_clr_xhdl61 := '0';
END IF;
IF (wvalid_reg = '1' AND WREADY = '1') THEN
axi_next_state_xhdl55 := READ_WR_RESP;
END IF;
ELSE
-- Not a last burst count
IF ((WREADY AND wvalid_reg) = '1') THEN
burstcount_dec_xhdl64 := '1';
WRCH_fifo_rd_en_xhdl22_xhdl65 := '1';
ELSE
burstcount_dec_xhdl64 := '0';
WRCH_fifo_rd_en_xhdl22_xhdl65 := '0';
END IF;
END IF;
ELSE
-- All Bursts
IF (burstcount_reg = "00000") THEN
IF (wvalid_reg = '1') THEN
wvalid_clr_xhdl61 := '1';
ELSE
wvalid_clr_xhdl61 := '0';
END IF;
IF (wvalid_reg = '1' AND WREADY = '1') THEN
axi_next_state_xhdl55 := READ_WR_RESP;
END IF;
ELSE
-- Not a last burst count
IF (HBURST_sync(0) = '1') THEN
-- incr bursts
IF ((WREADY AND wvalid_reg) = '1') THEN
burstcount_dec_xhdl64 := '1';
IF (HSIZE_sync(1 DOWNTO 0) = "00" OR
HSIZE_sync(1 DOWNTO 0) = "01") THEN
-- 30/01/13 - check whether start addr = '4
WRCH_fifo_rd_en_xhdl22_xhdl65 := NOT
burstcount_reg(0);
ELSE
IF (HSIZE_sync(1 DOWNTO 0) = "10" AND
HADDR_sync(2) = '1') THEN
-- 30/01/13 - check whether start addr = '4
WRCH_fifo_rd_en_xhdl22_xhdl65 :=
NOT burstcount_reg(0);
-- else if (HADDR_sync[2] == 1'b0 && HSIZE_sync[1:0] == 2'b10) begin // 30/01/13 - check whether start addr = '4
ELSE
-- 30/01/13 - check whether start addr = '4
WRCH_fifo_rd_en_xhdl22_xhdl65 :=
'1';
END IF;
END IF;
ELSE
burstcount_dec_xhdl64 := '0';
WRCH_fifo_rd_en_xhdl22_xhdl65 := '0';
END IF;
ELSE
-- wrap bursts
IF (((WREADY AND wvalid_reg) AND NOT
wvalid_set_r1) = '1') THEN
burstcount_dec_xhdl64 := '1';
ELSE
burstcount_dec_xhdl64 := '0';
END IF;
IF ((wvalid_set_r OR ((NOT burstcount_reg(0)
AND WREADY) AND NOT wvalid_set_r1)) = '1')
THEN
-- wrap
WRCH_fifo_rd_en_xhdl22_xhdl65 := '1';
ELSE
WRCH_fifo_rd_en_xhdl22_xhdl65 := '0';
END IF;
END IF;
-- else: !if(HBURST_sync[0] == 1'b1)
END IF;
END IF;
END IF;
END IF;
END IF;
---------------------------------------------
-- GET WRITE RESPONSE FROM AXI SLAVE
---------------------------------------------
WHEN READ_WR_RESP =>
IF ((BVALID_reg = '1') AND (BREADY_xhdl12 = '1')) THEN
latch_wr_resp_set_xhdl66 := '1';
axi_next_state_xhdl55 := IDLE;
ELSE
latch_wr_resp_set_xhdl66 := '0';
END IF;
---------------------------------------------
-- SEND READ ADDRESS AND CONTROL ON AXI BUS
---------------------------------------------
WHEN SEND_RD_ADDR =>
araddr_arvalid_set_xhdl57 := '1';
burstcount_load_xhdl63 := '1';
rready_set_xhdl69 := '1';
IF (ARREADY = '1') THEN
araddr_arvalid_clr_xhdl59 := '1';
CASE HWRITE_sync IS
WHEN WRITE_C =>
axi_next_state_xhdl55 := SEND_WR_DATA;
WHEN READ_C =>
axi_next_state_xhdl55 := READ_RD_DATA;
WHEN OTHERS =>
NULL;
END CASE;
ELSE
araddr_arvalid_clr_xhdl59 := '0';
END IF;
-- Error respnose
WHEN READ_RD_DATA =>
burstcount_load_xhdl63 := '0';
rready_set_xhdl69 := '1';
axi_read_data_xhdl68 := '0';
IF (RLAST = '1') THEN
-- Last burst transfer
IF (RVALID = '1') THEN
axi_read_data_xhdl68 := '1';
IF (RRESP = RESPOK_C) THEN
axi_read_resp_xhdl67(1 DOWNTO 0) := RESPOK_C;
ELSE
axi_read_resp_xhdl67(1 DOWNTO 0) := RESPERR_C;
END IF;
axi_next_state_xhdl55 := IDLE;
rready_set_xhdl69 := '0';
ELSE
END IF;
ELSE
IF ((RVALID = '1') AND (RREADY_xhdl20 = '1')) THEN
axi_read_data_xhdl68 := '1';
IF (RRESP = RESPOK_C) THEN
axi_read_resp_xhdl67(1 DOWNTO 0) := RESPOK_C;
ELSE
axi_read_resp_xhdl67(1 DOWNTO 0) := RESPERR_C;
END IF;
ELSE
END IF;
END IF;
-- OKAY response
WHEN OTHERS =>
axi_next_state_xhdl55 := axi_current_state;
END CASE;
axi_next_state <= axi_next_state_xhdl55;
awaddr_awvalid_set <= awaddr_awvalid_set_xhdl56;
araddr_arvalid_set <= araddr_arvalid_set_xhdl57;
awaddr_awvalid_clr <= awaddr_awvalid_clr_xhdl58;
araddr_arvalid_clr <= araddr_arvalid_clr_xhdl59;
wvalid_set <= wvalid_set_xhdl60;
wvalid_clr <= wvalid_clr_xhdl61;
bready_set <= bready_set_xhdl62;
burstcount_load <= burstcount_load_xhdl63;
burstcount_dec <= burstcount_dec_xhdl64;
WRCH_fifo_rd_en_xhdl22 <= WRCH_fifo_rd_en_xhdl22_xhdl65;
latch_wr_resp_set <= latch_wr_resp_set_xhdl66;
axi_read_resp <= axi_read_resp_xhdl67;
axi_read_data <= axi_read_data_xhdl68;
rready_set <= rready_set_xhdl69;
END PROCESS axi_state_machine_combo_logic;
END ARCHITECTURE translated;
|
<filename>ip/MIPI_D_PHY_RX/tb/tb_DPHY_LaneSFEN.vhd
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 03/04/2016 05:12:32 PM
-- Design Name:
-- Module Name: tb_DPHY_LaneSFEN - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.math_real.all;
use IEEE.std_logic_textio.all; -- I/O for logic types
library STD;
use STD.textio.all; -- basic I/O
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity tb_DPHY_LaneSFEN is
-- Port ( );
end tb_DPHY_LaneSFEN;
architecture Behavioral of tb_DPHY_LaneSFEN is
component DPHY_LaneSFEN is
Generic (
kCtlClkFreqHz : natural := 100_000_000
);
Port (
aLP : in STD_LOGIC_VECTOR (1 downto 0);
aHS : in STD_LOGIC;
CtlClk : in STD_LOGIC;
SerClkHS : in STD_LOGIC;
DivClk : in STD_LOGIC;
RxByteClkHS : out std_logic;
rbRxDataHS : out std_logic_vector(7 downto 0);
rbRxValidHS : out std_logic;
rbRxActiveHS : out std_logic;
rbRxSyncHS : out std_logic;
rbErrSotHS : out std_logic;
rbErrSotSyncHS : out std_logic;
aEnable : in std_logic; --Enable Lane Module. DivClk&SerClkHS must be stable
aForceRxmode : in std_logic; --Force Lane Module Into Receive mode / Wait for Stop state
aStopstate : out std_logic --Lane is in Stop state.
);
end component DPHY_LaneSFEN;
function max(l : time; r : time) return time is
begin
if (l > r) then
return l;
else
return r;
end if;
end function max;
constant kSerClkPeriod : time := 2 ns;
constant kCtlClkPeriod : time := 10 ns;
constant kUI : time := kSerClkPeriod / 2;
constant kT_LPX : time := 50 ns;
constant kT_HS_PREPARE : time := 40 ns + 4*kUI;
constant kT_HS_ZERO : time := 100 ns + 6*kUI;
constant kT_HS_TRAIL : time := max(1*8*kUI, 60 ns + 1*4*kUI);
constant kT_HS_EXIT : time := 100 ns;
constant kTInit : time := 100 us;
constant kSyncSeq : std_logic_vector(7 downto 0) := "10111000"; --least significant bit
signal SerClkHS, DivClkHS, CtlClk, cHSClkLocked : std_logic := '1';
signal HS : std_logic;
signal LP : std_logic_vector(1 downto 0);
signal rbRxDataHS : std_logic_vector(7 downto 0);
signal rbRxValidHS, rbRxActiveHS, rbRxSyncHS, rbErrSotHS, rbErrSotSyncHS, aDataStopstate : std_logic;
signal RxByteClkHS : std_logic;
begin
DUT: DPHY_LaneSFEN
Port map (
aLP => LP,
aHS => HS,
CtlClk => CtlClk,
SerClkHS => SerClkHS,
DivClk => DivClkHS,
--PPI
RxByteClkHS => RxByteClkHS,
rbRxDataHS => rbRxDataHS,
rbRxValidHS => rbRxValidHS,
rbRxActiveHS => rbRxActiveHS,
rbRxSyncHS => rbRxSyncHS,
rbErrSotHS => rbErrSotHS,
rbErrSotSyncHS => rbErrSotSyncHS,
aEnable => '1',
aStopstate => aDataStopstate,
aForceRxmode => '0'
);
--Clocks
SerClkHS <= not SerClkHS after kSerClkPeriod / 2;
DivClkHS <= not DivClkHS after kSerClkPeriod / 2 * 4;
CtlClk <= not CtlClk after kCtlClkPeriod / 2;
Stimulus: process
procedure Stopstate(dur : in time) is
begin
LP <= "11";
HS <= 'X';
wait for dur;
end procedure;
procedure HS_Rqst is
begin
LP <= "01";
wait for kT_LPX;
end procedure;
procedure HS_Prepare is
begin
LP <= "00";
wait for kT_HS_PREPARE;
end procedure;
procedure HS_Zero is
begin
HS <= '0';
wait for kT_HS_ZERO;
end procedure;
procedure HS_Send0(nbits : in natural) is
begin
for i in 0 to nbits-1 loop
HS <= '0';
wait until SerClkHS'event;
end loop;
end procedure;
procedure HS_Send(byte : in std_logic_vector(7 downto 0)) is
begin
for i in 0 to 7 loop
wait for kUI / 2; --90deg phase difference between data and clock
HS <= byte(i);
wait until SerClkHS'event;
end loop;
end procedure;
procedure HS_Trail is
begin
wait for kUI / 2;
HS <= not HS;
wait for kT_HS_TRAIL;
end procedure;
variable seed1, seed2: positive; -- seed values for random generator
variable rand: real; -- random real-number value in range 0 to 1.0
variable range_of_rand : real := 10.0; -- the range of random values created will be 0 to +1000.
variable to_send : natural;
begin
Stopstate(kTInit + 1 us);
for i in 0 to 7 loop
HS_Rqst;
HS_Prepare;
wait for kUI; -- this will test different word alignments
HS_Zero;
wait until Falling_Edge(SerClkHS);
HS_Send(kSyncSeq);
uniform(seed1, seed2, rand); -- generate random number
for j in 0 to integer(rand*range_of_rand) loop
case (j) is
when 0 => HS_Send(x"DE");
when 1 => HS_Send(x"AD");
when 2 => HS_Send(x"BE");
when 3 => HS_Send(x"EF");
when others => HS_Send(std_logic_vector(to_unsigned(j-4,8)));
end case;
end loop;
HS_Trail;
Stopstate(kT_HS_EXIT);
end loop;
wait;
end process Stimulus;
Verification: process (LP, RxByteClkHS)
variable cnt_hs : natural := 0;
variable cnt_byte : natural := 0;
variable bit_last : std_logic := '1';
variable f_err_hold, f_err_temp, f_intrail : boolean := true;
impure function check_data(data : std_logic_vector(7 downto 0); i : natural) return boolean is
begin
if (not f_intrail) then
if (data = (not bit_last) & (not bit_last) & (not bit_last) & (not bit_last) &
(not bit_last) & (not bit_last) & (not bit_last) & (not bit_last)) then
f_intrail := true;
else
bit_last := data(7); --least-significant first
end if;
else
if (data /= (not bit_last) & (not bit_last) & (not bit_last) & (not bit_last) &
(not bit_last) & (not bit_last) & (not bit_last) & (not bit_last)) then
f_intrail := false;
bit_last := data(7); --least-significant first
end if;
end if;
case (i) is
when 0 => f_err_temp := (data = x"DE");
when 1 => f_err_temp := (data = x"AD");
when 2 => f_err_temp := (data = x"BE");
when 3 => f_err_temp := (data = x"EF");
when others => f_err_temp := (data = std_logic_vector(to_unsigned(i-4, 8)));
end case;
-- Keep the error in mind for later, we might not be in trail after all
if (f_intrail) then
f_err_hold := f_err_hold and f_err_temp;
return true;
else
return f_err_hold and f_err_temp;
end if;
end check_data;
variable my_line : line; -- type 'line' comes from textio
begin
if LP'event and LP = "00" then
cnt_hs := cnt_hs + 1;
cnt_byte := 0;
f_intrail := false;
f_err_hold := true;
bit_last := '1'; --sync sequence last bit
end if;
if LP = "00" and Rising_Edge(RxByteClkHS) then
if rbRxValidHS = '1' then
if not check_data(rbRxDataHS, cnt_byte) then
write(my_line, string'("Error at byte "));
write(my_line, cnt_byte);
write(my_line, string'(", data: "));
hwrite(my_line, rbRxDataHS);
write(my_line, string'(", time: "));
write(my_line, now);
writeline(output, my_line);
end if;
cnt_byte := cnt_byte + 1;
end if;
end if;
end process;
end Behavioral;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:20:04 05/18/2010
-- Design Name:
-- Module Name: /home/silva/Documents/Dropbox/IST/4 Ano/2º Semestre/AAC/Projecto/Parte II/Codigo/17.Maio/4/tadam/teste_flags.vhd
-- Project Name: tadam
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Flag_Test
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
ENTITY teste_flags IS
END teste_flags;
ARCHITECTURE behavior OF teste_flags IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Flag_Test
PORT(
NEG : IN std_logic;
ZERO : IN std_logic;
OVERFLOW : IN std_logic;
CARRY : IN std_logic;
COND : IN std_logic_vector(3 downto 0);
OP : IN std_logic_vector(1 downto 0);
UNJUMP : IN std_logic;
ENABLE : IN std_logic;
SEL : IN std_logic;
Z : OUT std_logic
);
END COMPONENT;
--Inputs
signal NEG : std_logic := '0';
signal ZERO : std_logic := '0';
signal OVERFLOW : std_logic := '0';
signal CARRY : std_logic := '0';
signal COND : std_logic_vector(3 downto 0) := (others => '0');
signal OP : std_logic_vector(1 downto 0) := (others => '0');
signal UNJUMP : std_logic := '0';
signal ENABLE : std_logic := '0';
signal SEL : std_logic := '0';
--Outputs
signal Z : std_logic;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Flag_Test PORT MAP (
NEG => NEG,
ZERO => ZERO,
OVERFLOW => OVERFLOW,
CARRY => CARRY,
COND => COND,
OP => OP,
UNJUMP => UNJUMP,
ENABLE => ENABLE,
SEL => SEL,
Z => Z
);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
-- Stimulus process
stim_proc: process
begin
-- insert stimulus here
-- 04FD
NEG <= '0';
ZERO <= '1','0' after 500 ns;
COND <= "0101";
OP <= "00";
ENABLE <= '1';
wait;
end process;
END;
|
<filename>FPGA/DSPB_Simulink_Model/DSPB_MMSE/rtl/DSPB_MMSE/DSPB_MMSE_dut_output_adapter_IFFT_Adapter_Spectrum_Mirror_atb.vhd<gh_stars>0
-- -------------------------------------------------------------------------
-- High Level Design Compiler for Intel(R) FPGAs Version 18.1 (Release Build #625)
-- Quartus Prime development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2018 Intel Corporation. All rights reserved.
-- Your use of Intel Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly
-- subject to the terms and conditions of the Intel FPGA Software License
-- Agreement, Intel MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for
-- the sole purpose of programming logic devices manufactured by Intel
-- and sold by Intel or its authorized distributors. Please refer to the
-- applicable agreement for further details.
-- ---------------------------------------------------------------------------
-- VHDL created from DSPB_MMSE_dut_output_adapter_IFFT_Adapter_Spectrum_Mirror
-- VHDL created on Mon Aug 16 17:44:01 2021
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use work.dspba_sim_library_package.all;
entity DSPB_MMSE_dut_output_adapter_IFFT_Adapter_Spectrum_Mirror_atb is
end;
architecture normal of DSPB_MMSE_dut_output_adapter_IFFT_Adapter_Spectrum_Mirror_atb is
component DSPB_MMSE_dut_output_adapter_IFFT_Adapter_Spectrum_Mirror is
port (
in_1_valid : in std_logic_vector(0 downto 0); -- ufix1
in_2_input_spectrum : in std_logic_vector(31 downto 0); -- float32_m23
out_1_valid_x : out std_logic_vector(0 downto 0); -- ufix1
out_2_output_spectrum : out std_logic_vector(31 downto 0); -- float32_m23
clk : in std_logic;
areset : in std_logic
);
end component;
component DSPB_MMSE_dut_output_adapter_IFFT_Adapter_Spectrum_Mirror_stm is
port (
in_1_valid_stm : out std_logic_vector(0 downto 0);
in_2_input_spectrum_stm : out std_logic_vector(31 downto 0);
out_1_valid_x_stm : out std_logic_vector(0 downto 0);
out_2_output_spectrum_stm : out std_logic_vector(31 downto 0);
clk : out std_logic;
areset : out std_logic
);
end component;
signal in_1_valid_stm : STD_LOGIC_VECTOR (0 downto 0);
signal in_2_input_spectrum_stm : STD_LOGIC_VECTOR (31 downto 0);
signal out_1_valid_x_stm : STD_LOGIC_VECTOR (0 downto 0);
signal out_2_output_spectrum_stm : STD_LOGIC_VECTOR (31 downto 0);
signal in_1_valid_dut : STD_LOGIC_VECTOR (0 downto 0);
signal in_2_input_spectrum_dut : STD_LOGIC_VECTOR (31 downto 0);
signal out_1_valid_x_dut : STD_LOGIC_VECTOR (0 downto 0);
signal out_2_output_spectrum_dut : STD_LOGIC_VECTOR (31 downto 0);
signal clk : std_logic;
signal areset : std_logic;
begin
-- General Purpose data in real output
checkGPIn : process (clk, areset, in_1_valid_dut, in_1_valid_stm, in_2_input_spectrum_dut, in_2_input_spectrum_stm)
variable in_2_input_spectrum_real : REAL := 0.0;
variable in_2_input_spectrum_stm_real : REAL := 0.0;
begin
in_2_input_spectrum_real := vIEEE_2_real(in_2_input_spectrum_dut, 8, 23, false);
in_2_input_spectrum_stm_real := vIEEE_2_real(in_2_input_spectrum_stm, 8, 23, false);
END PROCESS;
-- General Purpose data out check
checkGPOut : process (clk, areset)
variable mismatch_out_1_valid_x : BOOLEAN := FALSE;
variable mismatch_out_2_output_spectrum : BOOLEAN := FALSE;
variable out_2_output_spectrum_real : REAL := 0.0;
variable out_2_output_spectrum_stm_real : REAL := 0.0;
variable ok : BOOLEAN := TRUE;
begin
IF (areset = '1') THEN
-- do nothing during reset
ELSIF (clk'EVENT AND clk = '0') THEN -- falling clock edge to avoid transitions
ok := TRUE;
mismatch_out_1_valid_x := FALSE;
mismatch_out_2_output_spectrum := FALSE;
out_2_output_spectrum_real := vIEEE_2_real(out_2_output_spectrum_dut, 8, 23, false);
out_2_output_spectrum_stm_real := vIEEE_2_real(out_2_output_spectrum_stm, 8, 23, false);
IF ( (out_1_valid_x_dut /= out_1_valid_x_stm)) THEN
mismatch_out_1_valid_x := TRUE;
report "Mismatch on device output pin out_1_valid_x" severity Warning;
END IF;
IF ( not vIEEEisEqual(out_2_output_spectrum_dut, out_2_output_spectrum_stm, 8, 23, 0.000000e+00, 0.000000e+00)) THEN
mismatch_out_2_output_spectrum := TRUE;
report "Mismatch on device output pin out_2_output_spectrum" severity Warning;
END IF;
IF (mismatch_out_1_valid_x or mismatch_out_2_output_spectrum) THEN
ok := FALSE;
END IF;
assert (ok)
report "mismatch in general purpose signal. Check the status of any associated valid signals." severity Warning;
END IF;
END PROCESS;
dut : DSPB_MMSE_dut_output_adapter_IFFT_Adapter_Spectrum_Mirror port map (
in_1_valid_stm,
in_2_input_spectrum_stm,
out_1_valid_x_dut,
out_2_output_spectrum_dut,
clk,
areset
);
sim : DSPB_MMSE_dut_output_adapter_IFFT_Adapter_Spectrum_Mirror_stm port map (
in_1_valid_stm,
in_2_input_spectrum_stm,
out_1_valid_x_stm,
out_2_output_spectrum_stm,
clk,
areset
);
end normal;
|
<filename>Labs/05-counter/counter/counter.srcs/sources_1/new/m7_driver.vhd
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 03/10/2021 03:21:51 PM
-- Design Name:
-- Module Name: m7_driver - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity m7_driver is
Generic (
g_MAX : natural := 100000 -- update each segment every 1ms
);
Port (
CLK100MHZ : in std_logic;
num_in : in std_logic_vector(32 - 1 downto 0);
s_mask : in std_logic_vector(8 - 1 downto 0);
reset : in std_logic;
t_seg_o : out std_logic_vector(7 - 1 downto 0);
AN : out std_logic_vector(8 - 1 downto 0)
);
end m7_driver;
architecture Behavioral of m7_driver is
signal switch_segment : std_logic;
signal local_disply_num : std_logic_vector(4-1 downto 0);
signal local_cnt : natural;
begin
--------------------------------------------------------------------
-- segment switching signal
clk_en_16b : entity work.clock_enable
generic map(
g_MAX => g_MAX
)
port map(
clk => CLK100MHZ,
reset => reset, -- no reset needed
ce_o => switch_segment
);
hex2seg : entity work.hex_7seg
port map(
hex_i => local_disply_num,
seg_o(6) => t_seg_o(6),
seg_o(5) => t_seg_o(5),
seg_o(4) => t_seg_o(4),
seg_o(3) => t_seg_o(3),
seg_o(2) => t_seg_o(2),
seg_o(1) => t_seg_o(1),
seg_o(0) => t_seg_o(0)
);
segment_switcher : process(CLK100MHZ)
begin
if rising_edge(CLK100MHZ) then
if (reset = '1') then
local_cnt <= 0;
elsif (switch_segment = '1') then
AN(local_cnt-1) <= '1'; -- reset previous segment
if (s_mask(local_cnt) = '1') then -- check mask
AN(local_cnt) <= '0'; -- activate current segment
end if;
-- calculate segment numbers
local_disply_num <= num_in((local_cnt*4)+3 downto (local_cnt*4));
-- if last segment go to beginning
if (local_cnt < 8) then
local_cnt <= local_cnt + 1;
else
local_cnt <= 0;
end if;
end if;
end if;
end process segment_switcher;
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 01:29:15 01/07/2018
-- Design Name:
-- Module Name: top_fpga - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity top_fpga is
Port ( sys_clk : in STD_LOGIC;
sys_rst_n : in STD_LOGIC;
DAC_IN1,DAC_IN2,DAC_IN3 : in STD_LOGIC_VECTOR (35 downto 0);
DAC_OUT13,DAC_OUT14,DAC_OUT15 : out STD_LOGIC_VECTOR (35 downto 0));
end top_fpga;
architecture Behavioral of top_fpga is
signal sys_rst : std_logic;
COMPONENT MASH_HDL
PORT(
clk : IN std_logic;
reset : IN std_logic;
clk_enable : IN std_logic;
In1 : IN std_logic_vector(35 DOWNTO 0); -- sfix36_En22
ce_out : OUT std_logic;
Modulator_output : OUT std_logic_vector(35 DOWNTO 0) -- sfix36_En22
);
END COMPONENT;
COMPONENT DSM_MOD8_HDL
PORT (
clk : IN std_logic;
reset : IN std_logic;
clk_enable : IN std_logic;
In1 : IN std_logic_vector(45 DOWNTO 0); -- sfix36_En32
ce_out : OUT std_logic;
Out1 : OUT std_logic_vector(1 DOWNTO 0) -- sfix2
);
END COMPONENT;
COMPONENT Eight_order_delta_sigma_modulator
PORT (
clk : IN std_logic;
reset : IN std_logic;
clk_enable : IN std_logic;
Baseband_signal : IN std_logic_vector(35 DOWNTO 0); -- sfix36_En32
ce_out : OUT std_logic;
Modulator_output : OUT std_logic_vector(35 DOWNTO 0) -- sfix36_En32
);
END COMPONENT;
begin
sys_rst <= not sys_rst_n;
U1 : Eight_order_delta_sigma_modulator
PORT MAP(
clk => sys_clk,
reset => sys_rst,
clk_enable => '1',
Baseband_signal => DAC_IN1,
ce_out => open,
Modulator_output => DAC_OUT13
);
-- U2 : DSM_MOD8_LTE_HDL
-- PORT MAP(
-- clk => sys_clk,
-- reset => sys_rst,
-- clk_enable => '1',
-- In1 => DAC_IN2,
-- ce_out => open,
-- Out1 => DAC_OUT14
-- );
--
-- U3 : MASH_HDL
-- PORT MAP(
-- clk => sys_clk,
-- reset => sys_rst,
-- clk_enable => '1',
-- In1 => DAC_IN3,
-- ce_out => open,
-- Modulator_output => DAC_OUT15
-- );
end Behavioral;
|
<filename>lib/memory_tech_lib/tests/memory_tb.vhd<gh_stars>10-100
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.test_pkg.all;
use work.memory_pack.all;
use work.mem_test_pack.all;
entity memory_tb is
end memory_tb;
architecture tb of memory_tb is
signal select_mem : mem_type;
signal clk : std_logic := '0';
signal rst : std_logic := '1';
constant ADDR_WIDTH : integer := 32;
constant DATA_WIDTH : integer := 32;
signal p0 : mem_port := MEM_PORT_NOP;
signal p1 : mem_port := MEM_PORT_NOP;
signal dr0 : data_array_t := (others => (others => '0'));
signal dr1 : data_array_t := (others => (others => '0'));
procedure tick(signal clk : inout std_logic) is
begin
wait for 10 ns;
clk <= '1';
wait for 10 ns;
clk <= '0';
end procedure;
function to_bit(b : boolean) return std_logic is
begin
if b then
return '1';
else
return '0';
end if;
end function;
for mems : memories use configuration work.memories_inferred;
begin
mems: memories
generic map (
FOR_SYNTHESIS => false)
port map (
rst0 => rst,
clk0 => clk,
rst1 => rst,
clk1 => clk,
select_mem => select_mem,
p0 => p0,
p1 => p1,
dr0 => dr0,
dr1 => dr1);
process
begin
test_plan(75, "memory_tb");
-- Memories do synchronous reads and writes at the rising clock edge. Setup
-- read/write requests at the negative edge and check the results at the
-- following negative edge.
tick(clk);
rst <= '0';
tick(clk);
p0 <= MEM_PORT_NOP;
p1 <= MEM_PORT_NOP;
-- TODO: How to test ROM? Need to support initializnig it first
test_comment("RAM_GEN_32x2048_1R");
select_mem <= ROM_GEN_32x2048_1R;
tick(clk);
--for m in one_port_rams'left to one_port_rams'right loop
--select_mem <= one_port_rams(m);
--end loop;
test_comment("RAM_GEN_2x8x256_1RW");
select_mem <= RAM_GEN_2x8x256_1RW;
p0 <= writemem(3, x"0000abcd");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read back write to 3");
p0 <= MEM_PORT_NOP;
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data latched");
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data still latched");
p0 <= writemem(2047, x"FFFFFFFF");
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data latched during write");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "reread back write to 3");
p0 <= readmem(2047);
tick(clk);
test_equal(dr0(select_mem), x"0000FFFF", "read write end of mem");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "rereread back write to 3");
p0 <= writemem(3, x"0000FFFF", "01");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abFF", "read after 1 byte write");
p0 <= writemem(3, x"00000000", "00");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abFF", "read after 0 byte write");
p0 <= writemem(3, x"00000000", "10");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"000000FF", "read after 1 byte write");
p0 <= writemem(3, x"0000abcd", "11");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read after 2 byte write");
p0 <= MEM_PORT_NOP;
test_comment("RAM_FIXED_2x8x256_1RW");
select_mem <= RAM_FIXED_2x8x256_1RW;
p0 <= writemem(3, x"0000abcd");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read back write to 3");
p0 <= MEM_PORT_NOP;
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data latched");
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data still latched");
p0 <= writemem(2047, x"FFFFFFFF");
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data latched during write");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "reread back write to 3");
p0 <= readmem(2047);
tick(clk);
test_equal(dr0(select_mem), x"0000FFFF", "read write end of mem");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "rereread back write to 3");
p0 <= writemem(3, x"0000FFFF", "01");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abFF", "read after 1 byte write");
p0 <= writemem(3, x"00000000", "00");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abFF", "read after 0 byte write");
p0 <= writemem(3, x"00000000", "10");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"000000FF", "read after 1 byte write");
p0 <= writemem(3, x"0000abcd", "11");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read after 2 byte write");
p0 <= MEM_PORT_NOP;
test_comment("RAM_GEN_18x2048_1RW");
select_mem <= RAM_GEN_18x2048_1RW;
p0 <= writemem(3, x"0000abcd");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read back write to 3");
p0 <= MEM_PORT_NOP;
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data latched");
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data still latched");
p0 <= writemem(2047, x"FFFFFFFF");
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data latched during write");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "reread back write to 3");
p0 <= readmem(2047);
tick(clk);
test_equal(dr0(select_mem), x"0003FFFF", "read write end of mem");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "rereread back write to 3");
p0 <= MEM_PORT_NOP;
test_comment("RAM_FIXED_18x2048_1RW");
select_mem <= RAM_FIXED_18x2048_1RW;
p0 <= writemem(3, x"0000abcd");
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read back write to 3");
p0 <= MEM_PORT_NOP;
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data latched");
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data still latched");
p0 <= writemem(2047, x"FFFFFFFF");
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "read data latched during write");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "reread back write to 3");
p0 <= readmem(2047);
tick(clk);
test_equal(dr0(select_mem), x"0003FFFF", "read write end of mem");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "rereread back write to 3");
p0 <= MEM_PORT_NOP;
test_comment("RAM_GEN_2x8x2048_2RW");
select_mem <= RAM_GEN_2x8x2048_2RW;
p0 <= writemem(3, x"0000abcd");
p1 <= MEM_PORT_NOP;
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "p0 read back write to 3");
p0 <= MEM_PORT_NOP;
p1 <= readmem(3);
tick(clk);
test_equal(dr1(select_mem), x"0000abcd", "p1 read back write to 3");
p0 <= readmem(3);
p1 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000abcd0000abcd", "p0 & p1 read back write to 3");
p0 <= MEM_PORT_NOP;
p1 <= MEM_PORT_NOP;
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000abcd0000abcd", "read data latched");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000abcd0000abcd", "read data still latched");
p0 <= writemem(2047, x"FFFFFFFF");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000abcd0000abcd", "read data latched during write");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "reread back write to 3");
p0 <= readmem(2047);
tick(clk);
test_equal(dr0(select_mem), x"0000FFFF", "read write end of mem");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "rereread back write to 3");
p0 <= writemem(5, x"00001256");
p1 <= writemem(6, x"00007834");
tick(clk);
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000783400001256", "read after simultaneous writes");
p0 <= writemem(5, x"0000FFFF", x"00000000");
p1 <= writemem(6, x"00000000", x"00000000");
tick(clk);
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000783400001256", "read after writes with we=0");
p0 <= writemem(5, x"0000FFFF", x"00000001");
p1 <= writemem(6, x"00000000", x"00000002");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000783400001256", "reads latched during partial writes");
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"00000034000012FF", "read after writes with we=10 and we=01");
test_comment("RAM_FIXED_2x8x2048_2RW");
select_mem <= RAM_FIXED_2x8x2048_2RW;
p0 <= writemem(3, x"0000abcd");
p1 <= MEM_PORT_NOP;
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "p0 read back write to 3");
p0 <= MEM_PORT_NOP;
p1 <= readmem(3);
tick(clk);
test_equal(dr1(select_mem), x"0000abcd", "p1 read back write to 3");
p0 <= readmem(3);
p1 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000abcd0000abcd", "p0 & p1 read back write to 3");
p0 <= MEM_PORT_NOP;
p1 <= MEM_PORT_NOP;
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000abcd0000abcd", "read data latched");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000abcd0000abcd", "read data still latched");
p0 <= writemem(2047, x"FFFFFFFF");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000abcd0000abcd", "read data latched during write");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "reread back write to 3");
p0 <= readmem(2047);
tick(clk);
test_equal(dr0(select_mem), x"0000FFFF", "read write end of mem");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"0000abcd", "rereread back write to 3");
p0 <= writemem(5, x"00001256");
p1 <= writemem(6, x"00007834");
tick(clk);
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000783400001256", "read after simultaneous writes");
p0 <= writemem(5, x"0000FFFF", x"00000000");
p1 <= writemem(6, x"00000000", x"00000000");
tick(clk);
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000783400001256", "read after writes with we=0");
p0 <= writemem(5, x"0000FFFF", x"00000001");
p1 <= writemem(6, x"00000000", x"00000002");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"0000783400001256", "reads latched during partial writes");
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"00000034000012FF", "read after writes with we=10 and we=01");
test_comment("RAM_GEN_32x1x512_2RW");
select_mem <= RAM_GEN_32x1x512_2RW;
p0 <= writemem(3, x"1234abcd");
p1 <= MEM_PORT_NOP;
tick(clk);
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"1234abcd", "p0 read back write to 3");
p0 <= MEM_PORT_NOP;
p1 <= readmem(3);
tick(clk);
test_equal(dr1(select_mem), x"1234abcd", "p1 read back write to 3");
p0 <= readmem(3);
p1 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"1234abcd1234abcd", "p0 & p1 read back write to 3");
p0 <= MEM_PORT_NOP;
p1 <= MEM_PORT_NOP;
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"1234abcd1234abcd", "read data latched");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"1234abcd1234abcd", "read data still latched");
p0 <= writemem(2047, x"FFFFFFFF");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"1234abcd1234abcd", "read data latched during write");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"1234abcd", "reread back write to 3");
p0 <= readmem(2047);
tick(clk);
test_equal(dr0(select_mem), x"FFFFFFFF", "read write end of mem");
p0 <= readmem(3);
tick(clk);
test_equal(dr0(select_mem), x"1234abcd", "rereread back write to 3");
p0 <= writemem(5, x"12345678");
p1 <= writemem(6, x"abcdefcb");
tick(clk);
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"abcdefcb12345678", "read after simultaneous writes");
p0 <= writemem(5, x"0000FFFF", x"00000000");
p1 <= writemem(6, x"00000000", x"00000000");
tick(clk);
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"abcdefcb12345678", "read after writes with we=0");
p0 <= writemem(5, x"FFFF0000", x"11111111");
p1 <= writemem(6, x"00000000", x"12345678");
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"abcdefcb12345678", "reads latched during partial writes");
p0 <= readmem(6);
p1 <= readmem(5);
tick(clk);
test_equal(dr0(select_mem) & dr1(select_mem), x"a9c9a98313354668", "read after writes with mixed we");
wait;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.lib.all;
-- Entity
entity system is
port (
clk : in std_logic;
-- Declare Outputs
environment_time_out : out signed(31 downto 0);
membrane_v_out : out signed(31 downto 0);
membrane_r_out : out signed(31 downto 0);
membrane_t_out : out signed(31 downto 0);
membrane_f_out : out signed(31 downto 0);
membrane_dv_dt_out : out signed(31 downto 0);
membrane_istimc_out : out signed(31 downto 0);
fast_sodium_current_i_na_out : out signed(31 downto 0);
fast_sodium_current_e_na_out : out signed(31 downto 0);
fast_sodium_current_time_private_out : out signed(31 downto 0);
fast_sodium_current_v_private_out : out signed(31 downto 0);
fast_sodium_current_m_gate_m_out : out signed(31 downto 0);
fast_sodium_current_h_gate_h_out : out signed(31 downto 0);
fast_sodium_current_j_gate_j_out : out signed(31 downto 0);
l_type_ca_channel_i_ca_l_out : out signed(31 downto 0);
l_type_ca_channel_i_caca_out : out signed(31 downto 0);
l_type_ca_channel_i_cak_out : out signed(31 downto 0);
l_type_ca_channel_i_cana_out : out signed(31 downto 0);
l_type_ca_channel_gamma_nai_out : out signed(31 downto 0);
l_type_ca_channel_gamma_nao_out : out signed(31 downto 0);
l_type_ca_channel_gamma_ki_out : out signed(31 downto 0);
l_type_ca_channel_gamma_ko_out : out signed(31 downto 0);
l_type_ca_channel_time_private_out : out signed(31 downto 0);
l_type_ca_channel_v_private_out : out signed(31 downto 0);
l_type_ca_channel_cai_private_out : out signed(31 downto 0);
l_type_ca_channel_d_gate_d_out : out signed(31 downto 0);
l_type_ca_channel_f_gate_f_out : out signed(31 downto 0);
l_type_ca_channel_f_ca_gate_f_ca_out : out signed(31 downto 0);
time_dependent_potassium_current_i_k_out : out signed(31 downto 0);
time_dependent_potassium_current_time_private_out : out signed(31 downto 0);
time_dependent_potassium_current_v_private_out : out signed(31 downto 0);
time_dependent_potassium_current_x_gate_x_out : out signed(31 downto 0);
time_dependent_potassium_current_xi_gate_xi_out : out signed(31 downto 0);
time_independent_potassium_current_i_k1_out : out signed(31 downto 0);
time_independent_potassium_current_e_k1_out : out signed(31 downto 0);
time_independent_potassium_current_time_private_out : out signed(31 downto 0);
time_independent_potassium_current_v_private_out : out signed(31 downto 0);
time_independent_potassium_current_k1_gate_k1_infinity_out : out signed(31 downto 0);
plateau_potassium_current_i_kp_out : out signed(31 downto 0);
sarcolemmal_calcium_pump_i_p_ca_out : out signed(31 downto 0);
sodium_background_current_i_na_b_out : out signed(31 downto 0);
calcium_background_current_i_ca_b_out : out signed(31 downto 0);
sodium_potassium_pump_i_nak_out : out signed(31 downto 0);
non_specific_calcium_activated_current_i_ns_ca_out : out signed(31 downto 0);
non_specific_calcium_activated_current_i_ns_na_out : out signed(31 downto 0);
non_specific_calcium_activated_current_i_ns_k_out : out signed(31 downto 0);
na_ca_exchanger_i_naca_out : out signed(31 downto 0);
calcium_fluxes_in_the_sr_i_rel_out : out signed(31 downto 0);
calcium_fluxes_in_the_sr_i_up_out : out signed(31 downto 0);
calcium_fluxes_in_the_sr_i_leak_out : out signed(31 downto 0);
calcium_fluxes_in_the_sr_i_tr_out : out signed(31 downto 0);
ionic_concentrations_nai_out : out signed(31 downto 0);
ionic_concentrations_nao_out : out signed(31 downto 0);
ionic_concentrations_cai_out : out signed(31 downto 0);
ionic_concentrations_cao_out : out signed(31 downto 0);
ionic_concentrations_ki_out : out signed(31 downto 0);
ionic_concentrations_ko_out : out signed(31 downto 0);
ionic_concentrations_ca_jsr_out : out signed(31 downto 0);
ionic_concentrations_ca_nsr_out : out signed(31 downto 0)
);
end;
-- Architecture
architecture behavior of system is
-- Declare all internal signals
signal luo_rudy_1994_environment_time : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_membrane_v : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_membrane_r : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_membrane_t : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_membrane_f : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_membrane_dv_dt : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_membrane_istimc : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_fast_sodium_current_i_na : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_fast_sodium_current_e_na : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_fast_sodium_current_time_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_fast_sodium_current_v_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_fast_sodium_current_m_gate_m : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_fast_sodium_current_h_gate_h : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_fast_sodium_current_j_gate_j : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_i_ca_l : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_i_caca : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_i_cak : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_i_cana : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_gamma_nai : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_gamma_nao : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_gamma_ki : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_gamma_ko : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_time_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_v_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_cai_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_d_gate_d : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_f_gate_f : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_l_type_ca_channel_f_ca_gate_f_ca : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_dependent_potassium_current_i_k : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_dependent_potassium_current_time_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_dependent_potassium_current_v_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_dependent_potassium_current_x_gate_x : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_dependent_potassium_current_xi_gate_xi : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_independent_potassium_current_i_k1 : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_independent_potassium_current_e_k1 : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_independent_potassium_current_time_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_independent_potassium_current_v_private : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_time_independent_potassium_current_k1_gate_k1_infinity : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_plateau_potassium_current_i_kp : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_sarcolemmal_calcium_pump_i_p_ca : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_sodium_background_current_i_na_b : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_calcium_background_current_i_ca_b : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_sodium_potassium_pump_i_nak : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_non_specific_calcium_activated_current_i_ns_ca : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_non_specific_calcium_activated_current_i_ns_na : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_non_specific_calcium_activated_current_i_ns_k : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_na_ca_exchanger_i_naca : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_calcium_fluxes_in_the_sr_i_rel : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_calcium_fluxes_in_the_sr_i_up : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_calcium_fluxes_in_the_sr_i_leak : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_calcium_fluxes_in_the_sr_i_tr : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_ionic_concentrations_nai : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_ionic_concentrations_nao : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_ionic_concentrations_cai : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_ionic_concentrations_cao : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_ionic_concentrations_ki : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_ionic_concentrations_ko : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_ionic_concentrations_ca_jsr : signed(31 downto 0) := CREATE_FP(0.0);
signal luo_rudy_1994_ionic_concentrations_ca_nsr : signed(31 downto 0) := CREATE_FP(0.0);
-- Declare base component
component LuoRudy1994 is
port(
clk : in std_logic;
environment_time_out : out signed(31 downto 0);
membrane_v_out : out signed(31 downto 0);
membrane_r_out : out signed(31 downto 0);
membrane_t_out : out signed(31 downto 0);
membrane_f_out : out signed(31 downto 0);
membrane_dv_dt_out : out signed(31 downto 0);
membrane_istimc_out : out signed(31 downto 0);
fast_sodium_current_i_na_out : out signed(31 downto 0);
fast_sodium_current_e_na_out : out signed(31 downto 0);
fast_sodium_current_time_private_out : out signed(31 downto 0);
fast_sodium_current_v_private_out : out signed(31 downto 0);
fast_sodium_current_m_gate_m_out : out signed(31 downto 0);
fast_sodium_current_h_gate_h_out : out signed(31 downto 0);
fast_sodium_current_j_gate_j_out : out signed(31 downto 0);
l_type_ca_channel_i_ca_l_out : out signed(31 downto 0);
l_type_ca_channel_i_caca_out : out signed(31 downto 0);
l_type_ca_channel_i_cak_out : out signed(31 downto 0);
l_type_ca_channel_i_cana_out : out signed(31 downto 0);
l_type_ca_channel_gamma_nai_out : out signed(31 downto 0);
l_type_ca_channel_gamma_nao_out : out signed(31 downto 0);
l_type_ca_channel_gamma_ki_out : out signed(31 downto 0);
l_type_ca_channel_gamma_ko_out : out signed(31 downto 0);
l_type_ca_channel_time_private_out : out signed(31 downto 0);
l_type_ca_channel_v_private_out : out signed(31 downto 0);
l_type_ca_channel_cai_private_out : out signed(31 downto 0);
l_type_ca_channel_d_gate_d_out : out signed(31 downto 0);
l_type_ca_channel_f_gate_f_out : out signed(31 downto 0);
l_type_ca_channel_f_ca_gate_f_ca_out : out signed(31 downto 0);
time_dependent_potassium_current_i_k_out : out signed(31 downto 0);
time_dependent_potassium_current_time_private_out : out signed(31 downto 0);
time_dependent_potassium_current_v_private_out : out signed(31 downto 0);
time_dependent_potassium_current_x_gate_x_out : out signed(31 downto 0);
time_dependent_potassium_current_xi_gate_xi_out : out signed(31 downto 0);
time_independent_potassium_current_i_k1_out : out signed(31 downto 0);
time_independent_potassium_current_e_k1_out : out signed(31 downto 0);
time_independent_potassium_current_time_private_out : out signed(31 downto 0);
time_independent_potassium_current_v_private_out : out signed(31 downto 0);
time_independent_potassium_current_k1_gate_k1_infinity_out : out signed(31 downto 0);
plateau_potassium_current_i_kp_out : out signed(31 downto 0);
sarcolemmal_calcium_pump_i_p_ca_out : out signed(31 downto 0);
sodium_background_current_i_na_b_out : out signed(31 downto 0);
calcium_background_current_i_ca_b_out : out signed(31 downto 0);
sodium_potassium_pump_i_nak_out : out signed(31 downto 0);
non_specific_calcium_activated_current_i_ns_ca_out : out signed(31 downto 0);
non_specific_calcium_activated_current_i_ns_na_out : out signed(31 downto 0);
non_specific_calcium_activated_current_i_ns_k_out : out signed(31 downto 0);
na_ca_exchanger_i_naca_out : out signed(31 downto 0);
calcium_fluxes_in_the_sr_i_rel_out : out signed(31 downto 0);
calcium_fluxes_in_the_sr_i_up_out : out signed(31 downto 0);
calcium_fluxes_in_the_sr_i_leak_out : out signed(31 downto 0);
calcium_fluxes_in_the_sr_i_tr_out : out signed(31 downto 0);
ionic_concentrations_nai_out : out signed(31 downto 0);
ionic_concentrations_nao_out : out signed(31 downto 0);
ionic_concentrations_cai_out : out signed(31 downto 0);
ionic_concentrations_cao_out : out signed(31 downto 0);
ionic_concentrations_ki_out : out signed(31 downto 0);
ionic_concentrations_ko_out : out signed(31 downto 0);
ionic_concentrations_ca_jsr_out : out signed(31 downto 0);
ionic_concentrations_ca_nsr_out : out signed(31 downto 0)
);
end component LuoRudy1994;
begin
-- Create base instance
luo_rudy_1994_inst : component LuoRudy1994
port map(
clk => clk,
environment_time_out => luo_rudy_1994_environment_time,
membrane_v_out => luo_rudy_1994_membrane_v,
membrane_r_out => luo_rudy_1994_membrane_r,
membrane_t_out => luo_rudy_1994_membrane_t,
membrane_f_out => luo_rudy_1994_membrane_f,
membrane_dv_dt_out => luo_rudy_1994_membrane_dv_dt,
membrane_istimc_out => luo_rudy_1994_membrane_istimc,
fast_sodium_current_i_na_out => luo_rudy_1994_fast_sodium_current_i_na,
fast_sodium_current_e_na_out => luo_rudy_1994_fast_sodium_current_e_na,
fast_sodium_current_time_private_out => luo_rudy_1994_fast_sodium_current_time_private,
fast_sodium_current_v_private_out => luo_rudy_1994_fast_sodium_current_v_private,
fast_sodium_current_m_gate_m_out => luo_rudy_1994_fast_sodium_current_m_gate_m,
fast_sodium_current_h_gate_h_out => luo_rudy_1994_fast_sodium_current_h_gate_h,
fast_sodium_current_j_gate_j_out => luo_rudy_1994_fast_sodium_current_j_gate_j,
l_type_ca_channel_i_ca_l_out => luo_rudy_1994_l_type_ca_channel_i_ca_l,
l_type_ca_channel_i_caca_out => luo_rudy_1994_l_type_ca_channel_i_caca,
l_type_ca_channel_i_cak_out => luo_rudy_1994_l_type_ca_channel_i_cak,
l_type_ca_channel_i_cana_out => luo_rudy_1994_l_type_ca_channel_i_cana,
l_type_ca_channel_gamma_nai_out => luo_rudy_1994_l_type_ca_channel_gamma_nai,
l_type_ca_channel_gamma_nao_out => luo_rudy_1994_l_type_ca_channel_gamma_nao,
l_type_ca_channel_gamma_ki_out => luo_rudy_1994_l_type_ca_channel_gamma_ki,
l_type_ca_channel_gamma_ko_out => luo_rudy_1994_l_type_ca_channel_gamma_ko,
l_type_ca_channel_time_private_out => luo_rudy_1994_l_type_ca_channel_time_private,
l_type_ca_channel_v_private_out => luo_rudy_1994_l_type_ca_channel_v_private,
l_type_ca_channel_cai_private_out => luo_rudy_1994_l_type_ca_channel_cai_private,
l_type_ca_channel_d_gate_d_out => luo_rudy_1994_l_type_ca_channel_d_gate_d,
l_type_ca_channel_f_gate_f_out => luo_rudy_1994_l_type_ca_channel_f_gate_f,
l_type_ca_channel_f_ca_gate_f_ca_out => luo_rudy_1994_l_type_ca_channel_f_ca_gate_f_ca,
time_dependent_potassium_current_i_k_out => luo_rudy_1994_time_dependent_potassium_current_i_k,
time_dependent_potassium_current_time_private_out => luo_rudy_1994_time_dependent_potassium_current_time_private,
time_dependent_potassium_current_v_private_out => luo_rudy_1994_time_dependent_potassium_current_v_private,
time_dependent_potassium_current_x_gate_x_out => luo_rudy_1994_time_dependent_potassium_current_x_gate_x,
time_dependent_potassium_current_xi_gate_xi_out => luo_rudy_1994_time_dependent_potassium_current_xi_gate_xi,
time_independent_potassium_current_i_k1_out => luo_rudy_1994_time_independent_potassium_current_i_k1,
time_independent_potassium_current_e_k1_out => luo_rudy_1994_time_independent_potassium_current_e_k1,
time_independent_potassium_current_time_private_out => luo_rudy_1994_time_independent_potassium_current_time_private,
time_independent_potassium_current_v_private_out => luo_rudy_1994_time_independent_potassium_current_v_private,
time_independent_potassium_current_k1_gate_k1_infinity_out => luo_rudy_1994_time_independent_potassium_current_k1_gate_k1_infinity,
plateau_potassium_current_i_kp_out => luo_rudy_1994_plateau_potassium_current_i_kp,
sarcolemmal_calcium_pump_i_p_ca_out => luo_rudy_1994_sarcolemmal_calcium_pump_i_p_ca,
sodium_background_current_i_na_b_out => luo_rudy_1994_sodium_background_current_i_na_b,
calcium_background_current_i_ca_b_out => luo_rudy_1994_calcium_background_current_i_ca_b,
sodium_potassium_pump_i_nak_out => luo_rudy_1994_sodium_potassium_pump_i_nak,
non_specific_calcium_activated_current_i_ns_ca_out => luo_rudy_1994_non_specific_calcium_activated_current_i_ns_ca,
non_specific_calcium_activated_current_i_ns_na_out => luo_rudy_1994_non_specific_calcium_activated_current_i_ns_na,
non_specific_calcium_activated_current_i_ns_k_out => luo_rudy_1994_non_specific_calcium_activated_current_i_ns_k,
na_ca_exchanger_i_naca_out => luo_rudy_1994_na_ca_exchanger_i_naca,
calcium_fluxes_in_the_sr_i_rel_out => luo_rudy_1994_calcium_fluxes_in_the_sr_i_rel,
calcium_fluxes_in_the_sr_i_up_out => luo_rudy_1994_calcium_fluxes_in_the_sr_i_up,
calcium_fluxes_in_the_sr_i_leak_out => luo_rudy_1994_calcium_fluxes_in_the_sr_i_leak,
calcium_fluxes_in_the_sr_i_tr_out => luo_rudy_1994_calcium_fluxes_in_the_sr_i_tr,
ionic_concentrations_nai_out => luo_rudy_1994_ionic_concentrations_nai,
ionic_concentrations_nao_out => luo_rudy_1994_ionic_concentrations_nao,
ionic_concentrations_cai_out => luo_rudy_1994_ionic_concentrations_cai,
ionic_concentrations_cao_out => luo_rudy_1994_ionic_concentrations_cao,
ionic_concentrations_ki_out => luo_rudy_1994_ionic_concentrations_ki,
ionic_concentrations_ko_out => luo_rudy_1994_ionic_concentrations_ko,
ionic_concentrations_ca_jsr_out => luo_rudy_1994_ionic_concentrations_ca_jsr,
ionic_concentrations_ca_nsr_out => luo_rudy_1994_ionic_concentrations_ca_nsr
);
-- Perform Mapping
environment_time_out <= luo_rudy_1994_environment_time;
membrane_v_out <= luo_rudy_1994_membrane_v;
membrane_r_out <= luo_rudy_1994_membrane_r;
membrane_t_out <= luo_rudy_1994_membrane_t;
membrane_f_out <= luo_rudy_1994_membrane_f;
membrane_dv_dt_out <= luo_rudy_1994_membrane_dv_dt;
membrane_istimc_out <= luo_rudy_1994_membrane_istimc;
fast_sodium_current_i_na_out <= luo_rudy_1994_fast_sodium_current_i_na;
fast_sodium_current_e_na_out <= luo_rudy_1994_fast_sodium_current_e_na;
fast_sodium_current_time_private_out <= luo_rudy_1994_fast_sodium_current_time_private;
fast_sodium_current_v_private_out <= luo_rudy_1994_fast_sodium_current_v_private;
fast_sodium_current_m_gate_m_out <= luo_rudy_1994_fast_sodium_current_m_gate_m;
fast_sodium_current_h_gate_h_out <= luo_rudy_1994_fast_sodium_current_h_gate_h;
fast_sodium_current_j_gate_j_out <= luo_rudy_1994_fast_sodium_current_j_gate_j;
l_type_ca_channel_i_ca_l_out <= luo_rudy_1994_l_type_ca_channel_i_ca_l;
l_type_ca_channel_i_caca_out <= luo_rudy_1994_l_type_ca_channel_i_caca;
l_type_ca_channel_i_cak_out <= luo_rudy_1994_l_type_ca_channel_i_cak;
l_type_ca_channel_i_cana_out <= luo_rudy_1994_l_type_ca_channel_i_cana;
l_type_ca_channel_gamma_nai_out <= luo_rudy_1994_l_type_ca_channel_gamma_nai;
l_type_ca_channel_gamma_nao_out <= luo_rudy_1994_l_type_ca_channel_gamma_nao;
l_type_ca_channel_gamma_ki_out <= luo_rudy_1994_l_type_ca_channel_gamma_ki;
l_type_ca_channel_gamma_ko_out <= luo_rudy_1994_l_type_ca_channel_gamma_ko;
l_type_ca_channel_time_private_out <= luo_rudy_1994_l_type_ca_channel_time_private;
l_type_ca_channel_v_private_out <= luo_rudy_1994_l_type_ca_channel_v_private;
l_type_ca_channel_cai_private_out <= luo_rudy_1994_l_type_ca_channel_cai_private;
l_type_ca_channel_d_gate_d_out <= luo_rudy_1994_l_type_ca_channel_d_gate_d;
l_type_ca_channel_f_gate_f_out <= luo_rudy_1994_l_type_ca_channel_f_gate_f;
l_type_ca_channel_f_ca_gate_f_ca_out <= luo_rudy_1994_l_type_ca_channel_f_ca_gate_f_ca;
time_dependent_potassium_current_i_k_out <= luo_rudy_1994_time_dependent_potassium_current_i_k;
time_dependent_potassium_current_time_private_out <= luo_rudy_1994_time_dependent_potassium_current_time_private;
time_dependent_potassium_current_v_private_out <= luo_rudy_1994_time_dependent_potassium_current_v_private;
time_dependent_potassium_current_x_gate_x_out <= luo_rudy_1994_time_dependent_potassium_current_x_gate_x;
time_dependent_potassium_current_xi_gate_xi_out <= luo_rudy_1994_time_dependent_potassium_current_xi_gate_xi;
time_independent_potassium_current_i_k1_out <= luo_rudy_1994_time_independent_potassium_current_i_k1;
time_independent_potassium_current_e_k1_out <= luo_rudy_1994_time_independent_potassium_current_e_k1;
time_independent_potassium_current_time_private_out <= luo_rudy_1994_time_independent_potassium_current_time_private;
time_independent_potassium_current_v_private_out <= luo_rudy_1994_time_independent_potassium_current_v_private;
time_independent_potassium_current_k1_gate_k1_infinity_out <= luo_rudy_1994_time_independent_potassium_current_k1_gate_k1_infinity;
plateau_potassium_current_i_kp_out <= luo_rudy_1994_plateau_potassium_current_i_kp;
sarcolemmal_calcium_pump_i_p_ca_out <= luo_rudy_1994_sarcolemmal_calcium_pump_i_p_ca;
sodium_background_current_i_na_b_out <= luo_rudy_1994_sodium_background_current_i_na_b;
calcium_background_current_i_ca_b_out <= luo_rudy_1994_calcium_background_current_i_ca_b;
sodium_potassium_pump_i_nak_out <= luo_rudy_1994_sodium_potassium_pump_i_nak;
non_specific_calcium_activated_current_i_ns_ca_out <= luo_rudy_1994_non_specific_calcium_activated_current_i_ns_ca;
non_specific_calcium_activated_current_i_ns_na_out <= luo_rudy_1994_non_specific_calcium_activated_current_i_ns_na;
non_specific_calcium_activated_current_i_ns_k_out <= luo_rudy_1994_non_specific_calcium_activated_current_i_ns_k;
na_ca_exchanger_i_naca_out <= luo_rudy_1994_na_ca_exchanger_i_naca;
calcium_fluxes_in_the_sr_i_rel_out <= luo_rudy_1994_calcium_fluxes_in_the_sr_i_rel;
calcium_fluxes_in_the_sr_i_up_out <= luo_rudy_1994_calcium_fluxes_in_the_sr_i_up;
calcium_fluxes_in_the_sr_i_leak_out <= luo_rudy_1994_calcium_fluxes_in_the_sr_i_leak;
calcium_fluxes_in_the_sr_i_tr_out <= luo_rudy_1994_calcium_fluxes_in_the_sr_i_tr;
ionic_concentrations_nai_out <= luo_rudy_1994_ionic_concentrations_nai;
ionic_concentrations_nao_out <= luo_rudy_1994_ionic_concentrations_nao;
ionic_concentrations_cai_out <= luo_rudy_1994_ionic_concentrations_cai;
ionic_concentrations_cao_out <= luo_rudy_1994_ionic_concentrations_cao;
ionic_concentrations_ki_out <= luo_rudy_1994_ionic_concentrations_ki;
ionic_concentrations_ko_out <= luo_rudy_1994_ionic_concentrations_ko;
ionic_concentrations_ca_jsr_out <= luo_rudy_1994_ionic_concentrations_ca_jsr;
ionic_concentrations_ca_nsr_out <= luo_rudy_1994_ionic_concentrations_ca_nsr;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library common;
use common.all;
library altera_mf;
use altera_mf.all;
-- writes take two cycles
-- read data appears after 4 ticks
-- 1 to process the start request
-- 1 to get it from the SRAM
-- 2 in the syncronizer
entity sram is
port (i_clk: in std_ulogic; -- max clk 100MHz
i_reset: in std_ulogic;
-- inputs
i_addr: in unsigned(17 downto 0);
i_wdata: in std_ulogic_vector(15 downto 0);
i_rnw: in std_ulogic;
i_start: in std_ulogic;
-- outputs
o_rdata: out std_ulogic_vector(15 downto 0);
-- status
o_busy: out std_ulogic;
o_rdata_valid: out std_ulogic;
-- bus ports
io_data: inout std_ulogic_vector(15 downto 0);
o_addr: out std_ulogic_vector(17 downto 0);
o_nCE: out std_ulogic;
o_nOE: out std_ulogic;
o_nWE: out std_ulogic;
o_nLB: out std_ulogic;
o_nUB: out std_ulogic);
end entity sram;
architecture synth of sram is
component delay is
generic (DELAY: natural;
WIDTH: natural);
port (clk: in std_ulogic;
rst: in std_ulogic;
input: in std_ulogic_vector((WIDTH - 1) downto 0);
output: out std_ulogic_vector((WIDTH - 1) downto 0));
end component delay;
component altera_std_synchronizer_bundle is
generic (DEPTH : integer := 3; -- must be >= 2
WIDTH : integer := 1);
port (clk : in std_logic;
reset_n : in std_logic;
din : in std_logic_vector(width-1 downto 0);
dout : out std_logic_vector(width-1 downto 0));
end component altera_std_synchronizer_bundle;
signal busy: std_ulogic;
begin
-- syncronize the input data
rdata_sync: altera_std_synchronizer_bundle
generic map (DEPTH => 2,
WIDTH => 16)
port map (clk => i_clk,
reset_n => not i_reset,
din => std_logic_vector(io_data),
std_ulogic_vector(dout) => o_rdata);
process (i_clk, i_reset)
begin
if (i_reset = '1') then
o_nCE <= '1';
o_nOE <= '1';
o_nWE <= '1';
o_nLB <= '1';
o_nUB <= '1';
io_data <= (others => 'Z');
busy <= '0';
elsif (rising_edge(i_clk)) then
if (busy = '0') then
-- not doing anything
-- wait for read or write request
if (i_start) then
-- we have a request
o_addr <= std_ulogic_vector(i_addr);
if (i_rnw = '1') then
-- read
io_data <= (others => 'Z');
o_nOE <= '0';
o_nWE <= '1';
else
-- write
io_data <= i_wdata;
o_nOE <= '1';
o_nWE <= '0';
-- we take more than one cycle for writes
-- so have to say we're busy
busy <= '1';
end if;
o_nCE <= '0';
o_nUB <= '0';
o_nLB <= '0';
else
-- not busy and not starting anything new
-- so disable everything
o_nCE <= '1';
o_nOE <= '1';
o_nWE <= '1';
o_nLB <= '1';
o_nUB <= '1';
io_data <= (others => 'Z');
end if;
else
-- busy -> writing
-- finish off the write by releasing everything
o_nCE <= '1';
o_nOE <= '1';
o_nWE <= '1';
o_nLB <= '1';
o_nUB <= '1';
io_data <= (others => 'Z');
busy <= '0';
end if;
end if;
end process;
o_busy <= busy;
-- when both i_start and i_rnw are asserted
-- then the read data becomes available 4 ticks later
dly: delay
generic map (DELAY => 4,
WIDTH => 1)
port map (clk => i_clk,
rst => i_reset,
input(0) => i_start and i_rnw,
output(0) => o_rdata_valid);
end architecture synth;
|
<reponame>amnesia13/prince-vhdl
library ieee;
use ieee.std_logic_1164.all;
-- The cipher without the key whitening layer
entity prince_core is
port(data_in: in std_logic_vector(63 downto 0);
key: in std_logic_vector(63 downto 0);
data_out: out std_logic_vector(63 downto 0)
);
end prince_core;
architecture structural of prince_core is
type round_constants is array(0 to 11) of std_logic_vector(63 downto 0);
type intermediate_signals is array(0 to 11) of std_logic_vector(63 downto 0);
-- Round constants for each round
constant rcs: round_constants := (x"0000000000000000",
x"13198A2E03707344",
x"A4093822299F31D0",
x"082EFA98EC4E6C89",
x"452821E638D01377",
x"BE5466CF34E90C6C",
x"7EF84F78FD955CB1",
x"85840851F1AC43AA",
x"C882D32F25323C54",
x"64A51195E0E3610D",
x"D3B5A399CA0C2399",
x"C0AC29B7C97C50DD");
-- Signals for transporting the data between rounds
signal ims: intermediate_signals;
signal middle1, middle2: std_logic_vector(63 downto 0);
-- Required component declarations
component sbox
port(data_in: in std_logic_vector(3 downto 0);
data_out: out std_logic_vector(3 downto 0)
);
end component;
component sbox_inv
port(data_in: in std_logic_vector(3 downto 0);
data_out: out std_logic_vector(3 downto 0)
);
end component;
component linear_m
port(data_in: in std_logic_vector(63 downto 0);
data_out: out std_logic_vector(63 downto 0)
);
end component;
component linear_m_inv
port(data_in: in std_logic_vector(63 downto 0);
data_out: out std_logic_vector(63 downto 0)
);
end component;
component linear_mprime
port(data_in: in std_logic_vector(63 downto 0);
data_out: out std_logic_vector(63 downto 0)
);
end component;
begin
-- Round 0
ims(0) <= data_in xor key xor rcs(0);
-- Round 1 to 5
FIRST_HALF: for i in 1 to 5 generate
signal sb_out, m_out: std_logic_vector(63 downto 0);
begin
SB_FH: for j in 0 to 15 generate
SX_FH: sbox port map(
data_in => ims(i - 1)(63 - 4*j downto 63 - 4*j - 3),
data_out => sb_out(63 - 4*j downto 63 - 4*j - 3)
);
end generate;
LIN_M: linear_m port map(
data_in => sb_out,
data_out => m_out
);
ims(i) <= m_out xor rcs(i) xor key;
end generate;
-- Middle layer
SB_MID: for i in 0 to 15 generate
SX_M1: sbox port map(
data_in => ims(5)(63 - 4*i downto 63 - 4*i - 3),
data_out => middle1(63 - 4*i downto 63 - 4*i - 3)
);
end generate;
MP_MID: linear_mprime port map(
data_in => middle1,
data_out => middle2
);
SB_MID_INV: for i in 0 to 15 generate
SX_M2: sbox_inv port map(
data_in => middle2(63 - 4*i downto 63 - 4*i - 3),
data_out => ims(6)(63 - 4*i downto 63 - 4*i - 3)
);
end generate;
-- Round 6 to 10
SECOND_HALF: for i in 6 to 10 generate
signal m_in, sb_in: std_logic_vector(63 downto 0);
begin
m_in <= ims(i) xor key xor rcs(i);
LIN_M_INV: linear_m_inv port map(
data_in => m_in,
data_out => sb_in
);
SB_SH: for j in 0 to 15 generate
SX_SH: sbox_inv port map(
data_in => sb_in(63 - 4*j downto 63 - 4*j - 3),
data_out => ims(i + 1)(63 - 4*j downto 63 - 4*j - 3)
);
end generate;
end generate;
-- Round 11
data_out <= ims(11) xor rcs(11) xor key;
end architecture;
|
-------------------------------------------------------------------------------
-- Title : Accelerator Adapter
-- Project :
-------------------------------------------------------------------------------
-- File : xd_sync_module.vhd
-- Author : rmg/jn
-- Company : Xilinx, Inc.
-- Created : 2012-09-05
-- Last update: 2013-01-22
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-09-05 1.0 rmg/jn Created
-- 2013-07-12 2.0 pankajk Added new ports iscalar_rqt_enable &
-- iscalar_rqt_enable to qualify with
-- iscalar_start & oscalar_start
-------------------------------------------------------------------------------
-- ****************************************************************************
--
-- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- ****************************************************************************
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library axis_accelerator_adapter_v2_1_6;
use axis_accelerator_adapter_v2_1_6.xd_adapter_pkg.all;
use axis_accelerator_adapter_v2_1_6.async_fifo_dist_wt;
use axis_accelerator_adapter_v2_1_6.sync_ap_status;
use axis_accelerator_adapter_v2_1_6.cdc_sync;
entity xd_sync_module is
generic (
-- System generics:
C_FAMILY : string; -- Xilinx FPGA family
C_MAX_N_IARGS : integer;
C_MAX_N_OARGS : integer;
C_N_INPUT_ARGS : integer;
C_N_OUTPUT_ARGS : integer;
C_PRMRY_IS_ACLK_ASYNC : integer;
C_MTBF_STAGES : integer;
C_MAX_N_ISCALARS : integer;
C_N_INPUT_SCALARS : integer;
C_MAX_N_OSCALARS : integer;
C_N_INOUT_SCALARS : integer;
C_MAX_N_IOSCALARS : integer;
C_N_OUTPUT_SCALARS : integer;
C_NONE : integer := 2);
port (
-- SLAVE AXI LITE:
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
-- Host command input port
host_cmd_data : in std_logic_vector(31 downto 0);
host_cmd_we : in std_logic;
host_cmd_rdy : out std_logic;
host_complete_re : in std_logic;
host_cmd_error : out std_logic;
---
iarg_rqt_enable : in std_logic_vector(C_MAX_N_IARGS-1 downto 0);
oarg_rqt_enable : in std_logic_vector(C_MAX_N_OARGS-1 downto 0);
---
status_ap_start : out std_logic;
status_ap_done : out std_logic;
status_ap_idle : out std_logic;
status_ap_ready : out std_logic;
status_ap_start_clr : in std_logic;
status_ap_done_clr : in std_logic;
status_ap_idle_clr : in std_logic;
status_ap_ready_clr : in std_logic;
---
status_iarg_rqt : out std_logic_vector(C_MAX_N_IARGS-1 downto 0);
status_iarg_ack : out std_logic_vector(C_MAX_N_IARGS-1 downto 0);
status_oarg_rqt : out std_logic_vector(C_MAX_N_OARGS-1 downto 0);
status_oarg_ack : out std_logic_vector(C_MAX_N_OARGS-1 downto 0);
---
ap_clk : in std_logic;
soft_rst : in std_logic;
ap_rst : in std_logic;
--- Control and status signals for multibuffers
mb_iarg_rdy : in std_logic_vector(C_MAX_N_IARGS-1 downto 0);
mb_iarg_done : out std_logic_vector(C_MAX_N_IARGS-1 downto 0);
mb_oarg_rdy : in std_logic_vector(C_MAX_N_OARGS-1 downto 0);
mb_oarg_done : out std_logic_vector(C_MAX_N_OARGS-1 downto 0);
-- AP control handshaking
ap_start : out std_logic;
ap_ready : in std_logic;
ap_done : in std_logic;
ap_continue : out std_logic;
ap_idle : in std_logic;
ap_start_one_shot : out std_logic;
---
iscalar_rqt_enable : in std_logic_vector(C_MAX_N_ISCALARS-1 downto 0);
oscalar_rqt_enable : in std_logic_vector(C_MAX_N_OSCALARS-1 downto 0);
---
ap_iscalar_rdy : in std_logic_vector(C_MAX_N_ISCALARS-1 downto 0);
ap_iscalar_done : out std_logic_vector(C_MAX_N_ISCALARS-1 downto 0);
---
ap_oscalar_vld : out std_logic_vector(C_MAX_N_OSCALARS-1 downto 0);
ap_oscalar_rdy : in std_logic_vector(C_MAX_N_OSCALARS-1 downto 0));
end entity;
architecture rtl of xd_sync_module is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of rtl : architecture is "yes";
type state_type is (
idle,
apply_input_mask,
wait_start,
wait_done,
stop);
signal state : state_type;
signal ap_start_i : std_logic;
---------------------------------------
constant OPCODE_WIDTH : integer := 4;
constant ARG_MASK_LSB : integer := 0;
constant ARG_MASK_MSB : integer := 7;
constant SCALAR_MASK_LSB : integer := 8;
constant SCALAR_MASK_MSB : integer := 15;
constant OPCODE_LSB : integer := 16;
constant OPCODE_MSB : integer := OPCODE_LSB+OPCODE_WIDTH-1;
constant ISCALAR_MASK_LSB: integer := OPCODE_MSB+1;
constant ISCALAR_MASK_MSB: integer := ISCALAR_MASK_LSB+7;
-- input commands
constant UPDATE_INPUT : std_logic_vector(OPCODE_WIDTH-1 downto 0) := "0000";
constant UPDATE_OUTPUT : std_logic_vector(OPCODE_WIDTH-1 downto 0) := "0001";
constant EXECUTE_STEP : std_logic_vector(OPCODE_WIDTH-1 downto 0) := "0010";
constant EXECUTE_RESUME : std_logic_vector(OPCODE_WIDTH-1 downto 0) := "0100";
constant EXECUTE_STOP : std_logic_vector(OPCODE_WIDTH-1 downto 0) := "0101";
--
constant EXECUTE : std_logic_vector(1 downto 0) := "10";
constant IARG_UM_LSB : integer := 0;
constant OARG_UM_LSB : integer := 8;
constant ISCALAR_UM_LSB : integer := 16;
constant OSCALAR_UM_LSB : integer := 24;
-- pragma translate_off
type dbg_opcode_type is (
op_update_input,
op_update_output,
op_exec_step,
op_exec_resume,
op_exec_stop,
op_invalid);
signal dbg_opcode : dbg_opcode_type;
-- pragma translate_on
signal command_full : std_logic;
signal command : std_logic_vector(31 downto 0);
signal command_vld : std_logic;
signal command_rdy : std_logic;
--
signal arg_mask : std_logic_vector(7 downto 0);
signal scalar_mask : std_logic_vector(C_MAX_N_ISCALARS-1 downto 0);
signal opcode : std_logic_vector(OPCODE_WIDTH-1 downto 0);
--
signal input_mask_we : std_logic;
signal input_mask_clr : std_logic;
signal output_mask_we : std_logic;
signal output_mask_clr : std_logic;
--
signal iarg_mask : std_logic_vector(C_MAX_N_IARGS-1 downto 0);
signal oarg_mask : std_logic_vector(C_MAX_N_OARGS-1 downto 0);
signal iscalar_mask : std_logic_vector(C_MAX_N_ISCALARS-1 downto 0);
signal oscalar_mask : std_logic_vector(C_MAX_N_OSCALARS-1 downto 0);
--
signal iarg_start : std_logic;
signal iarg_start_sync : std_logic;
signal oarg_start : std_logic;
signal oarg_start_sync : std_logic;
signal iscalar_start : std_logic;
signal iscalar_start_sync: std_logic;
signal oscalar_start : std_logic;
signal oscalar_start_sync : std_logic;
signal global_start : std_logic;
--
signal mb_iarg_done_i : std_logic_vector(C_MAX_N_IARGS-1 downto 0);
signal mb_oarg_done_i : std_logic_vector(C_MAX_N_OARGS-1 downto 0);
--
-- Core ap_start delayed version.
signal core_ap_start : std_logic;
signal set_ap_start : std_logic;
signal set_ap_start_r : std_logic;
signal clr_ap_start : std_logic;
signal run_continous : std_logic;
signal ap_cmd_error : std_logic;
signal host_cmd_error_i : std_logic;
signal axi_rst : std_logic;
--- Syncrhonizer signals
signal iarg_rqt_enable_sync : std_logic_vector(C_MAX_N_IARGS-1 downto 0);
signal oarg_rqt_enable_sync : std_logic_vector(C_MAX_N_OARGS-1 downto 0);
signal ap_start_i_sync : std_logic;
signal ap_done_sync : std_logic;
signal ap_idle_sync : std_logic;
signal ap_ready_sync : std_logic;
signal ap_cmd_error_sync : std_logic;
signal ap_rstn : std_logic;
signal ap_rst_vec : std_logic_vector(0 downto 0);
signal ap_rst_axi_sync : std_logic;
signal ap_rst_sync : std_logic_vector(0 downto 0);
signal axin_rst : std_logic;
constant C_EXTRA_SYNCS : integer := 1;
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF axi_rst : SIGNAL IS "true";
begin
-- Active low ap_rst
ap_rstn <= not ap_rst;
----------------------
--- Reset Synchronizer
----------------------
-- EN_SYNC_GEN : if (C_PRMRY_IS_ACLK_ASYNC = 1) generate
-- begin
--
--
-- XD_IARG_RQT_SYNC : entity axis_accelerator_adapter_v2_1_6.cdc_sync
-- generic map (
-- C_CDC_TYPE => 1,
-- C_RESET_STATE => 0,
-- C_SINGLE_BIT => 0,
-- C_FLOP_INPUT => 1,
-- C_VECTOR_WIDTH => C_MAX_N_IARGS,
-- C_MTBF_STAGES => C_MTBF_STAGES
-- )
-- port map (
-- prmry_aclk => S_AXI_ACLK,
-- prmry_resetn => S_AXI_ARESETN,
-- prmry_in => '0',
-- prmry_vect_in => iarg_rqt_enable,
--
-- scndry_aclk => ap_clk,
-- scndry_resetn => ap_rstn,
-- scndry_out => open,
-- scndry_vect_out => iarg_rqt_enable_sync
-- );
--
--
-- XD_OARG_RQT_SYNC : entity axis_accelerator_adapter_v2_1_6.cdc_sync
-- generic map (
-- C_CDC_TYPE => 1,
-- C_RESET_STATE => 0,
-- C_SINGLE_BIT => 0,
-- C_FLOP_INPUT => 0,
-- C_VECTOR_WIDTH => C_MAX_N_OARGS,
-- C_MTBF_STAGES => C_MTBF_STAGES
-- )
-- port map (
-- prmry_aclk => S_AXI_ACLK,
-- prmry_resetn => S_AXI_ARESETN,
-- prmry_in => '0',
-- prmry_vect_in => oarg_rqt_enable,
--
-- scndry_aclk => ap_clk,
-- scndry_resetn => ap_rstn,
-- scndry_out => open,
-- scndry_vect_out => oarg_rqt_enable_sync
-- );
--
-- end generate EN_SYNC_GEN;
--
-- NO_SYNC_GEN : if (C_PRMRY_IS_ACLK_ASYNC = 0) generate
-- begin
iarg_rqt_enable_sync <= iarg_rqt_enable;
oarg_rqt_enable_sync <= oarg_rqt_enable;
-- end generate NO_SYNC_GEN;
----------------------
--- Reset Synchronizer
----------------------
EN_APCLK_LITE_SYNC_GEN : if (C_PRMRY_IS_ACLK_ASYNC = 1) generate
begin
AP_START_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_start_i,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_start_i_sync,
scndry_vect_out => open
);
AP_DONE_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_done,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_done_sync,
scndry_vect_out => open
);
AP_IDLE_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_idle,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_idle_sync,
scndry_vect_out => open
);
AP_READY_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_ready,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_ready_sync,
scndry_vect_out => open
);
AP_CMDERR_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_cmd_error,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_cmd_error_sync,
scndry_vect_out => open
);
end generate EN_APCLK_LITE_SYNC_GEN;
NO_APCLK_LITE_SYNC_GEN : if (C_PRMRY_IS_ACLK_ASYNC = 0) generate
begin
--
-- ap_start_i_sync <= ap_start_i;
-- ap_done_sync <= ap_done;
-- ap_idle_sync <= ap_idle;
-- ap_ready_sync <= ap_ready;
-- ap_cmd_error_sync <= ap_cmd_error;
--
AP_START_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => 2--C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_start_i,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_start_i_sync,
scndry_vect_out => open
);
AP_DONE_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => 2--C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_done,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_done_sync,
scndry_vect_out => open
);
AP_IDLE_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => 2--C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_idle,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_idle_sync,
scndry_vect_out => open
);
AP_READY_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => 2--C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_ready,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_ready_sync,
scndry_vect_out => open
);
AP_CMDERR_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 0,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => 2--C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rstn,
prmry_in => ap_cmd_error,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => ap_cmd_error_sync,
scndry_vect_out => open
);
end generate NO_APCLK_LITE_SYNC_GEN;
----------------------
--- Reset Synchronizer
----------------------
mb_iarg_done <= mb_iarg_done_i;
mb_oarg_done <= mb_oarg_done_i;
axin_rst <= not(S_AXI_ARESETN);
-- axi_rst <= not(S_AXI_ARESETN) or ap_rst;
-- ap_rst_vec(0) <= ap_rst;
--
-- rst_sync: ENTITY axis_accelerator_adapter_v2_1_6.synchronizer_ff
-- GENERIC MAP (
-- C_HAS_RST => 1,
-- C_WIDTH => 1
-- )
-- PORT MAP (
-- RST => S_AXI_ARESETN,
-- CLK => S_AXI_ACLK,
-- D => ap_rst_vec,
-- Q => ap_rst_sync
-- );
-- process(S_AXI_ACLK)
-- begin
-- if(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
-- ap_rst_vec(0) <= ap_rst;
-- ap_rst_sync(0) <= ap_rst_vec(0);
-- end if;
-- end process;
-- process(S_AXI_ACLK, S_AXI_ARESETN)
-- begin
-- if(S_AXI_ARESETN='0') then
-- axi_rst <= '1';
-- elsif(S_AXI_ACLK'event and S_AXI_ACLK = '1') then
-- axi_rst <= ap_rst_axi_sync;
-- end if;
-- end process;
ap_rst_axi_sync1 : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 1,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 0,
C_VECTOR_WIDTH => 1,
C_MTBF_STAGES => 2
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => ap_rst,
prmry_vect_in => (others=>'0'),
scndry_aclk => S_AXI_ACLK,
scndry_resetn => S_AXI_ARESETN,
scndry_out => axi_rst,
scndry_vect_out => open
);
---------------------------------------
COMMAND_FIFO_NEW : entity axis_accelerator_adapter_v2_1_6.async_fifo_dist_inst
generic map (
C_FAMILY => C_FAMILY,
DEPTH => 32,
WIDTH => 32)
port map (
din => host_cmd_data,
din_vld => host_cmd_we,
din_rdy => host_cmd_rdy,
wr_clk => S_AXI_ACLK,
wr_rst => axi_rst,
dout => command,
dout_vld => command_vld,
dout_rdy => command_rdy,
rd_clk => ap_clk,
rd_rst => ap_rst);
arg_mask <= command(ARG_MASK_MSB downto ARG_MASK_LSB);
scalar_mask <= command(ISCALAR_MASK_MSB downto ISCALAR_MASK_LSB) & command(SCALAR_MASK_MSB downto SCALAR_MASK_LSB);
--scalar_mask <= command(SCALAR_MASK_MSB downto SCALAR_MASK_LSB);
opcode <= command(OPCODE_MSB downto OPCODE_LSB);
process(ap_clk)
begin
if(ap_clk'event and ap_clk = '1') then
if(ap_rst = '1' or input_mask_clr = '1') then
iarg_mask <= (others => '0');
iscalar_mask <= (others => '0');
elsif(input_mask_we = '1') then
iarg_mask <= iarg_mask or arg_mask;
iscalar_mask <= iscalar_mask or scalar_mask;
end if;
end if;
end process;
process(ap_clk)
begin
if(ap_clk'event and ap_clk = '1') then
if(ap_rst = '1' or output_mask_clr = '1') then
oarg_mask <= (others => '0');
oscalar_mask <= (others => '0');
elsif(output_mask_we = '1') then
oarg_mask <= oarg_mask or arg_mask;
oscalar_mask <= oscalar_mask or scalar_mask(15 downto 0);
end if;
end if;
end process;
----------------------------------------
USE_INPUT_ARGS_GEN : if (C_N_INPUT_ARGS > 0) generate
begin
iarg_start <= and_reduce(mb_iarg_rdy(C_N_INPUT_ARGS-1 downto 0) or not(iarg_rqt_enable_sync(C_N_INPUT_ARGS-1 downto 0)));
end generate USE_INPUT_ARGS_GEN;
NO_INPUT_ARGS_GEN : if (C_N_INPUT_ARGS = 0) generate
begin
iarg_start <= '1';
end generate NO_INPUT_ARGS_GEN;
USE_OUTPUT_ARGS_GEN : if (C_N_OUTPUT_ARGS > 0) generate
begin
oarg_start <= and_reduce(mb_oarg_rdy(C_N_OUTPUT_ARGS-1 downto 0) or not(oarg_rqt_enable_sync(C_N_OUTPUT_ARGS-1 downto 0)));
end generate USE_OUTPUT_ARGS_GEN;
NO_OUTPUT_ARGS_GEN : if (C_N_OUTPUT_ARGS = 0) generate
begin
oarg_start <= '1';
end generate NO_OUTPUT_ARGS_GEN;
USE_INPUT_SCALAR_GEN1 : if (C_N_INPUT_SCALARS+C_N_INOUT_SCALARS > 0 ) generate
begin
iscalar_start <= and_reduce((ap_iscalar_rdy(C_MAX_N_ISCALARS-1 downto 0)) or not(iscalar_rqt_enable(C_MAX_N_ISCALARS-1 downto 0)));
--iscalar_start <= and_reduce(ap_iscalar_rdy(C_N_INPUT_SCALARS-1 downto 0) or not(iscalar_rqt_enable(C_N_INPUT_SCALARS-1 downto 0))) and and_reduce(ap_iscalar_rdy(C_MAX_N_ISCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_ISCALARS) or not(iscalar_rqt_enable(C_MAX_N_ISCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_ISCALARS)));
end generate USE_INPUT_SCALAR_GEN1;
-- USE_INPUT_SCALAR_GEN2 : if (C_N_INPUT_SCALARS > 0 and C_N_INOUT_SCALARS = 0) generate
-- begin
-- --iscalar_start <= and_reduce(ap_iscalar_rdy(C_N_INPUT_SCALARS-1 downto 0));
-- iscalar_start <= and_reduce(ap_iscalar_rdy(C_N_INPUT_SCALARS-1 downto 0) or not(iscalar_rqt_enable(C_N_INPUT_SCALARS-1 downto 0)));
-- end generate USE_INPUT_SCALAR_GEN2;
--
-- USE_INPUT_SCALAR_GEN3 : if (C_N_INPUT_SCALARS = 0 and C_N_INOUT_SCALARS > 0) generate
-- begin
-- --iscalar_start <= and_reduce(ap_iscalar_rdy(C_N_INPUT_SCALARS-1 downto 0));
-- iscalar_start <= and_reduce(ap_iscalar_rdy(C_N_INOUT_SCALARS+C_MAX_N_ISCALARS-1 downto C_MAX_N_ISCALARS) or not(iscalar_rqt_enable(C_N_INOUT_SCALARS+C_MAX_N_ISCALARS-1 downto C_MAX_N_ISCALARS)));
-- end generate USE_INPUT_SCALAR_GEN3;
NO_INPUT_SCALAR_GEN : if (C_N_INPUT_SCALARS = 0 and C_N_INOUT_SCALARS = 0) generate
begin
iscalar_start <= '1';
end generate NO_INPUT_SCALAR_GEN;
USE_OUTPUT_SCALAR_GEN1 : if (C_N_OUTPUT_SCALARS+C_N_INOUT_SCALARS > 0 ) generate
begin
oscalar_start <= and_reduce((ap_oscalar_rdy(C_MAX_N_OSCALARS-1 downto 0)) or not(oscalar_rqt_enable(C_MAX_N_OSCALARS-1 downto 0)));
--oscalar_start <= and_reduce(ap_oscalar_rdy(C_N_OUTPUT_SCALARS-1 downto 0) or not(oscalar_rqt_enable(C_N_OUTPUT_SCALARS-1 downto 0)));
end generate USE_OUTPUT_SCALAR_GEN1;
-- USE_OUTPUT_SCALAR_GEN2 : if (C_N_OUTPUT_SCALARS > 0 and C_N_INOUT_SCALARS > 0) generate
-- begin
-- --oscalar_start <= and_reduce(ap_oscalar_rdy(C_N_OUTPUT_SCALARS-1 downto 0));
-- oscalar_start <= and_reduce(ap_oscalar_rdy(C_N_INOUT_SCALARS+C_MAX_N_OSCALARS-1 downto C_MAX_N_OSCALARS) or not(oscalar_rqt_enable(C_N_INOUT_SCALARS+C_MAX_N_OSCALARS-1 downto C_MAX_N_OSCALARS))) and and_reduce(ap_oscalar_rdy(C_N_OUTPUT_SCALARS-1 downto 0) or not(oscalar_rqt_enable(C_N_OUTPUT_SCALARS-1 downto 0)));
-- end generate USE_OUTPUT_SCALAR_GEN2;
--
-- USE_OUTPUT_SCALAR_GEN3 : if (C_N_OUTPUT_SCALARS = 0 and C_N_INOUT_SCALARS > 0) generate
-- begin
-- --oscalar_start <= and_reduce(ap_oscalar_rdy(C_N_OUTPUT_SCALARS-1 downto 0));
-- oscalar_start <= and_reduce(ap_oscalar_rdy(C_N_INOUT_SCALARS+C_MAX_N_OSCALARS-1 downto C_MAX_N_OSCALARS) or not(oscalar_rqt_enable(C_N_INOUT_SCALARS+C_MAX_N_OSCALARS-1 downto C_MAX_N_OSCALARS)));
-- end generate USE_OUTPUT_SCALAR_GEN3;
NO_OUTPUT_SCALAR_GEN : if (C_N_OUTPUT_SCALARS = 0 and C_N_INOUT_SCALARS = 0) generate
begin
oscalar_start <= '1';
end generate NO_OUTPUT_SCALAR_GEN;
-- pragma translate_off
process(opcode)
begin
dbg_opcode <= op_invalid;
case opcode is
when UPDATE_INPUT => dbg_opcode <= op_update_input;
when UPDATE_OUTPUT => dbg_opcode <= op_update_output;
when EXECUTE_STEP => dbg_opcode <= op_exec_step;
when EXECUTE_RESUME => dbg_opcode <= op_exec_resume;
when EXECUTE_STOP => dbg_opcode <= op_exec_stop;
when others => null;
end case;
end process;
-- pragma translate_on
EXISTING : if (C_EXTRA_SYNCS = 0) generate
begin
global_start <= iarg_start and oarg_start and iscalar_start and oscalar_start;
end generate EXISTING;
NEW_INTRO : if (C_EXTRA_SYNCS = 1) generate
begin
AP_IARGSTART_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => ap_clk,
prmry_resetn => ap_rst,
prmry_in => iarg_start,
prmry_vect_in => (others=>'0'),
scndry_aclk => ap_clk,
scndry_resetn => ap_rst,
scndry_out => iarg_start_sync,
scndry_vect_out => open
);
AP_OARGSTART_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => S_AXI_ACLK,
prmry_resetn => S_AXI_ARESETN,
prmry_in => oarg_start,
prmry_vect_in => (others=>'0'),
scndry_aclk => ap_clk,
scndry_resetn => ap_rst,
scndry_out => oarg_start_sync,
scndry_vect_out => open
);
AP_ISCALARSTART_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => S_AXI_ACLK,
prmry_resetn => S_AXI_ARESETN,
prmry_in => iscalar_start,
prmry_vect_in => (others=>'0'),
scndry_aclk => ap_clk,
scndry_resetn => ap_rst,
scndry_out => iscalar_start_sync,
scndry_vect_out => open
);
AP_OSCALARSTART_SYNC_I : entity axis_accelerator_adapter_v2_1_6.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_FLOP_INPUT => 1,
C_VECTOR_WIDTH => C_MAX_N_IARGS,
C_MTBF_STAGES => C_MTBF_STAGES
)
port map (
prmry_aclk => S_AXI_ACLK,
prmry_resetn => S_AXI_ARESETN,
prmry_in => oscalar_start,
prmry_vect_in => (others=>'0'),
scndry_aclk => ap_clk,
scndry_resetn => ap_rst,
scndry_out => oscalar_start_sync,
scndry_vect_out => open
);
global_start <= iarg_start_sync and oarg_start_sync and iscalar_start_sync and oscalar_start_sync;
end generate NEW_INTRO;
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
state <= idle;
ap_start_i <= '0';
ap_start_one_shot <= '0';
run_continous <= '0';
elsif(ap_clk'event and ap_clk = '1') then
ap_start_i <= '0';
ap_start_one_shot <= '0';
case state is
when idle =>
-- Wait until there is a command available
if (command_vld = '1') then
case opcode is
when EXECUTE_STEP =>
state <= wait_start;
when EXECUTE_RESUME =>
run_continous <= '1';
state <= wait_start;
when others =>
end case;
end if;
when apply_input_mask =>
-- In this state we apply the command. For input arguments and
-- scalars we give a "done" of the elements.
state <= wait_start;
when wait_start =>
if (run_continous = '0') then
-- accelerator start is given when:
-- 1.- all input arguments have data valid to process.
-- 2.- all ouput arguments have free memory where to wite the
-- processed data.
if (global_start = '1') then
state <= wait_done;
ap_start_i <= '1';
ap_start_one_shot <= '1';
end if;
else
if (command_vld = '1') then
case opcode is
when EXECUTE_STOP =>
run_continous <= '0';
state <= idle;
when others =>
state <= stop;
end case;
elsif (global_start = '1') then
state <= wait_done;
ap_start_i <= '1';
ap_start_one_shot <= '1';
end if;
end if;
when wait_done =>
if(ap_done = '1') then
if (run_continous = '0') then
state <= idle;
else
state <= apply_input_mask;
end if;
end if;
when others =>
end case;
end if;
end process;
ap_start <= core_ap_start;
process(state, global_start, run_continous, command_vld, opcode, iarg_mask, iscalar_mask,
arg_mask, scalar_mask,
ap_done, oarg_mask, oscalar_mask)
begin
command_rdy <= '0';
input_mask_we <= '0';
output_mask_we <= '0';
input_mask_clr <= '0';
output_mask_clr <= '0';
mb_iarg_done_i <= (others => '0');
ap_iscalar_done <= (others => '0');
mb_oarg_done_i <= (others => '0');
ap_oscalar_vld <= (others => '0');
set_ap_start <= '0';
ap_cmd_error <= '0';
case state is
when idle =>
command_rdy <= command_vld;
if(command_vld = '1') then
case opcode is
when UPDATE_INPUT =>
input_mask_we <= '1';
mb_iarg_done_i <= arg_mask;
ap_iscalar_done <= scalar_mask;
when UPDATE_OUTPUT =>
output_mask_we <= '1';
when EXECUTE_STEP =>
when EXECUTE_RESUME =>
when EXECUTE_STOP =>
when others =>
end case;
end if;
when apply_input_mask =>
mb_iarg_done_i <= iarg_mask;
ap_iscalar_done <= iscalar_mask;
when wait_start =>
if (run_continous = '0') then
set_ap_start <= global_start;
else
if (command_vld = '1') then
command_rdy <= '1';
if (opcode = EXECUTE_STOP) then
input_mask_clr <= '1';
output_mask_clr <= '1';
else
ap_cmd_error <= '1';
end if;
else
set_ap_start <= global_start;
end if;
end if;
when wait_done =>
if(ap_done = '1') then
-- Toggle the output argument multibuffer (if enabled)
if (C_N_OUTPUT_ARGS > 0) then
mb_oarg_done_i(C_N_OUTPUT_ARGS-1 downto 0) <= oarg_mask(C_N_OUTPUT_ARGS-1 downto 0);
end if;
-- Write values in output FIFOs (if enabled)
if (C_N_OUTPUT_SCALARS+C_N_INOUT_SCALARS > 0) then
ap_oscalar_vld(C_MAX_N_OSCALARS-1 downto 0) <= oscalar_mask(C_MAX_N_OSCALARS-1 downto 0);
end if;
-- if (C_N_INOUT_SCALARS > 0) then
-- ap_oscalar_vld(C_MAX_N_OSCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_OSCALARS) <= oscalar_mask(C_MAX_N_OSCALARS+C_N_INOUT_SCALARS-1 downto C_MAX_N_OSCALARS);
-- end if;
-- clear input/output masks before we start a new iteration
input_mask_clr <= not(run_continous);
output_mask_clr <= not(run_continous);
end if;
when others =>
end case;
end process;
clr_ap_start <= ap_ready;
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
set_ap_start_r <= '0';
elsif(ap_clk'event and ap_clk = '1') then
set_ap_start_r <= set_ap_start;
end if;
end process;
process(ap_clk, ap_rst)
begin
if(ap_rst = '1') then
core_ap_start <= '0';
elsif(ap_clk'event and ap_clk = '1') then
-- Core ap_start delayed version.
if(core_ap_start = '0') then
core_ap_start <= set_ap_start_r;
else
core_ap_start <= not(clr_ap_start);
end if;
end if;
end process;
---------------------------
SYNC_AP_START_I : entity axis_accelerator_adapter_v2_1_6.sync_ap_status
port map (
rst => soft_rst,
ap_clk => S_AXI_ACLK,
ap_flag => ap_start_i_sync,
axi_clk => S_AXI_ACLK,
flag => status_ap_start,
flag_clr => status_ap_start_clr);
SYNC_AP_DONE_I : entity axis_accelerator_adapter_v2_1_6.sync_ap_status
port map (
rst => soft_rst,
ap_clk => S_AXI_ACLK,
ap_flag => ap_done_sync,
axi_clk => S_AXI_ACLK,
flag => status_ap_done,
flag_clr => status_ap_done_clr);
STATUS_AP_IDLE_I : entity axis_accelerator_adapter_v2_1_6.sync_ap_status
port map (
rst => soft_rst,
ap_clk => S_AXI_ACLK,
ap_flag => ap_idle_sync,
axi_clk => S_AXI_ACLK,
flag => status_ap_idle,
flag_clr => status_ap_idle_clr);
STATUS_AP_READY_I : entity axis_accelerator_adapter_v2_1_6.sync_ap_status
port map (
rst => soft_rst,
ap_clk => S_AXI_ACLK,
ap_flag => ap_ready_sync,
axi_clk => S_AXI_ACLK,
flag => status_ap_ready,
flag_clr => status_ap_ready_clr);
SYNC_AP_CMD_ERROR_I : entity work.sync_ap_status
port map (
rst => soft_rst,
ap_clk => S_AXI_ACLK,
ap_flag => ap_cmd_error_sync,
axi_clk => S_AXI_ACLK,
flag => host_cmd_error_i,
flag_clr => host_cmd_error_i);
host_cmd_error <= host_cmd_error_i;
-- TODO:
status_iarg_rqt <= (others => '0');
status_iarg_ack <= (others => '0');
status_oarg_rqt <= (others => '0');
status_oarg_ack <= (others => '0');
ap_continue <= ap_done;
end rtl;
|
<filename>bin_Erosion_Operation/ip/Erosion/fp_delbit.vhd
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_DELBIT.VHD ***
--*** ***
--*** Function: Generic Bit Delay ***
--*** ***
--*** 01/12/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_delbit IS
GENERIC (
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC;
cc : OUT STD_LOGIC
);
END fp_delbit;
ARCHITECTURE rtl OF fp_delbit IS
component fp_delbit_one IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC;
cc : OUT STD_LOGIC
);
end component;
component fp_delbit_var IS
GENERIC (
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC;
cc : OUT STD_LOGIC
);
end component;
BEGIN
genone: IF (pipes = 1) GENERATE
delone: fp_delbit_one
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aa,cc=>cc);
END GENERATE;
genvar: IF (pipes > 1) GENERATE
delvar: fp_delbit_var
GENERIC MAP (pipes=>pipes)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aa,cc=>cc);
END GENERATE;
END rtl;
|
<reponame>MohammadNiknam17/VHDL-12BIT-Counter-to-7segment-output
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- common cathode 7Segment
entity Binary_To_7S_HEX is
port (Binary: in std_logic_vector (3 downto 0);
a,b,c,d,e,f,g: out std_logic);
end Binary_To_7S_HEX;
architecture Behavioral of Binary_To_7S_HEX is
signal TMP: std_logic_vector(6 downto 0);
begin
process(Binary)
begin
case Binary is
when "0000" =>
TMP <= "1111110" ; -- 0 HEX
when "0001" =>
TMP <= "0110000" ; -- 1 HEX
when "0010" =>
TMP <= "1101101" ; -- 2 HEX
when "0011" =>
TMP <= "1111001" ; -- 3 HEX
when "0100" =>
TMP <= "0110011" ; -- 4 HEX
when "0101" =>
TMP <= "1011011" ; -- 5 HEX
when "0110" =>
TMP <= "1011111" ; -- 6 HEX
when "0111" =>
TMP <= "1110001" ; -- 7 HEX
when "1000" =>
TMP <= "1111111" ; -- 8 HEX
when "1001" =>
TMP <= "1110011" ; -- 9 HEX
when "1010" =>
TMP <= "1110111" ; -- A HEX
when "1011" =>
TMP <= "0011111" ; -- B HEX
when "1100" =>
TMP <= "1001110" ; -- C HEX
when "1101" =>
TMP <= "0111101" ; -- D HEX
when "1110" =>
TMP <= "1001111" ; -- E HEX
when "1111" =>
TMP <= "1000111" ; -- F HEX
when others =>
TMP <= "0000000" ;
end case;
end process;
a <= TMP(6);
b <= TMP(5);
c <= TMP(4);
d <= TMP(3);
e <= TMP(2);
f <= TMP(1);
g <= TMP(0);
end Behavioral;
|
-----------------------------------------------------------------------
-- int mul wrapper
-----------------------------------------------------------------------
Library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all;
use work.customTypes.all;
entity mul_op is
Generic (
INPUTS: integer; OUTPUTS: integer; DATA_SIZE_IN: integer; DATA_SIZE_OUT: integer
);
port (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
pValidArray : IN std_logic_vector(1 downto 0);
nReadyArray : in std_logic_vector(0 downto 0);
validArray : out std_logic_vector(0 downto 0);
readyArray : OUT std_logic_vector(1 downto 0);
dataInArray : in data_array (1 downto 0)(DATA_SIZE_IN-1 downto 0);
dataOutArray : out data_array (0 downto 0)(DATA_SIZE_OUT-1 downto 0));
end entity;
architecture arch of mul_op is
signal join_valid : STD_LOGIC;
-- multiplier latency (4 or 8)
constant LATENCY : integer := 4;
--constant LATENCY : integer := 8;
begin
join: entity work.join(arch) generic map(2)
port map( pValidArray,
nReadyArray(0),
join_valid,
readyArray);
-- instantiated multiplier (work.mul_4_stage or work.mul_8_stage)
multiply_unit: entity work.mul_4_stage(behav)
--multiply_unit: entity work.mul_8_stage(behav)
port map (
clk => clk,
ce => nReadyArray(0),
a => dataInArray(0),
b => dataInArray(1),
p => dataOutArray(0));
buff: entity work.delay_buffer(arch) generic map(LATENCY)
port map(clk,
rst,
join_valid,
nReadyArray(0),
validArray(0));
end architecture;
|
<reponame>Scheams/vhdl-calculator
--------------------------------------------------------------------------------
-- Author: <NAME>
--
-- Created: 01.12.2019
--
-- Unit: IO Control Unit (Testbench)
--
-- Version:
-- -) Version 1.0.0
--
-- Changelog:
-- -) Version 1.0.0 (01.12.2019)
-- First implementation of IO Control Unit testbench.
--
-- Description:
-- Check if the IO Control Unit debounces the buttons and switches with a
-- lower frequency than the system clock. Furthermore, check if the LED
-- signals are looped through. Change the signals on the the digits and
-- verfiy that the unit hops through all the digts with the select line.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity tb_io_ctrl is
end tb_io_ctrl;
architecture sim of tb_io_ctrl is
component io_ctrl
port (
clk_i : in std_logic;
reset_i : in std_logic;
dig0_i : in std_logic_vector ( 7 downto 0);
dig1_i : in std_logic_vector ( 7 downto 0);
dig2_i : in std_logic_vector ( 7 downto 0);
dig3_i : in std_logic_vector ( 7 downto 0);
led_i : in std_logic_vector (15 downto 0);
sw_i : in std_logic_vector (15 downto 0);
pb_i : in std_logic_vector ( 3 downto 0);
ss_o : out std_logic_vector( 7 downto 0);
ss_sel_o : out std_logic_vector( 3 downto 0);
led_o : out std_logic_vector(15 downto 0);
swsync_o : out std_logic_vector(15 downto 0);
pbsync_o : out std_logic_vector( 3 downto 0)
);
end component;
signal s_clk_i : std_logic;
signal s_reset_i : std_logic;
signal s_dig0_i : std_logic_vector ( 7 downto 0);
signal s_dig1_i : std_logic_vector ( 7 downto 0);
signal s_dig2_i : std_logic_vector ( 7 downto 0);
signal s_dig3_i : std_logic_vector ( 7 downto 0);
signal s_led_i : std_logic_vector (15 downto 0);
signal s_sw_i : std_logic_vector (15 downto 0);
signal s_pb_i : std_logic_vector ( 3 downto 0);
signal s_ss_o : std_logic_vector( 7 downto 0);
signal s_ss_sel_o : std_logic_vector( 3 downto 0);
signal s_led_o : std_logic_vector(15 downto 0);
signal s_swsync_o : std_logic_vector(15 downto 0);
signal s_pbsync_o : std_logic_vector( 3 downto 0);
begin
u_sim: io_ctrl
port map (
clk_i => s_clk_i,
reset_i => s_reset_i,
dig0_i => s_dig0_i,
dig1_i => s_dig1_i,
dig2_i => s_dig2_i,
dig3_i => s_dig3_i,
led_i => s_led_i,
sw_i => s_sw_i,
pb_i => s_pb_i,
ss_o => s_ss_o,
ss_sel_o => s_ss_sel_o,
led_o => s_led_o,
swsync_o => s_swsync_o,
pbsync_o => s_pbsync_o
);
----------------------------------------------------------------------------
-- Create a reset pulse
----------------------------------------------------------------------------
p_reset: process
begin
s_reset_i <= '1';
wait for 500 us;
s_reset_i <= '0';
wait;
end process p_reset;
----------------------------------------------------------------------------
-- Create a 100MHz clock
----------------------------------------------------------------------------
p_clk: process
begin
s_clk_i <= '1';
wait for 5 ns;
s_clk_i <= '0';
wait for 5 ns;
end process p_clk;
----------------------------------------------------------------------------
-- Simulate push buttons and check if they are debounced
----------------------------------------------------------------------------
p_pb: process
begin
s_pb_i <= "0000";
wait for 1 ms;
s_pb_i <= "0001";
wait for 3 ms;
s_pb_i <= "0010";
wait for 3 ms;
s_pb_i <= "0100";
wait for 3 ms;
s_pb_i <= "1000";
wait for 1 ms;
s_pb_i <= "0000";
wait for 1 ms;
s_pb_i <= "1000";
wait;
end process p_pb;
----------------------------------------------------------------------------
-- Simulate switches and check if they are debounced
----------------------------------------------------------------------------
p_sw: process
begin
s_sw_i <= X"0000";
wait for 1 ms;
s_sw_i <= X"0F00";
wait for 3 ms;
s_sw_i <= X"00F0";
wait for 3 ms;
s_sw_i <= X"0000";
wait for 3 ms;
s_sw_i <= X"5555";
wait for 1 ms;
s_sw_i <= X"AAA5";
wait;
end process p_sw;
----------------------------------------------------------------------------
-- Simulate LEDs turn on or off
----------------------------------------------------------------------------
p_led: process
begin
s_led_i <= X"0000";
wait for 1 ms;
s_led_i <= X"5555";
wait for 6 ms;
s_led_i <= X"AAAA";
wait for 3 ms;
s_led_i <= X"0000";
wait;
end process p_led;
----------------------------------------------------------------------------
-- Simulate raw values on the digits and check if they are displayed
-- one after another.
----------------------------------------------------------------------------
p_dig: process
begin
s_dig0_i <= "11111111";
s_dig1_i <= "11111111";
s_dig2_i <= "11111111";
s_dig3_i <= "11111111";
wait for 1 ms;
s_dig0_i <= "00111111";
s_dig1_i <= "11001111";
s_dig2_i <= "11110011";
s_dig3_i <= "11111100";
wait for 3 ms;
s_dig0_i <= "00000000";
s_dig1_i <= "00111100";
s_dig2_i <= "11111111";
s_dig3_i <= "11000011";
wait for 3 ms;
s_dig0_i <= "01010101";
s_dig1_i <= "10101010";
s_dig2_i <= "11110000";
s_dig3_i <= "00001111";
wait for 3 ms;
s_dig0_i <= "10000000";
s_dig1_i <= "00100000";
s_dig2_i <= "00001000";
s_dig3_i <= "00000010";
wait;
end process p_dig;
end sim;
|
<filename>VHDL/dual_port_vram/ram.vhdl
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity RAM is
port (
clock : in std_logic;
-- Port a has read and write
a_addr : in std_logic_vector(9 downto 0);
a_write : in std_logic;
a_din : in std_logic_vector(7 downto 0);
a_dout : out std_logic_vector(7 downto 0);
-- Port b is read only
b_addr : in std_logic_vector(9 downto 0);
b_dout : out std_logic_vector(7 downto 0)
);
end entity RAM;
architecture behavioural of RAM is
type memory is array(0 to 1023) of std_logic_vector(7 downto 0);
signal storage : memory := (others => (others => '0'));
begin
process(clock)
begin
if rising_edge(clock) then
a_dout <= storage(to_integer(unsigned(a_addr)));
b_dout <= storage(to_integer(unsigned(b_addr)));
if a_write = '1' then
storage(to_integer(unsigned(a_addr))) <= a_din;
end if;
end if;
end process;
end behavioural;
|
--**********************************************************************
-- Copyright 2011-2012 by XESS Corp <http://www.xess.com>.
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--**********************************************************************
----------------------------------------------------------------------------------
-- Modules for passing bits back and forth from the host PC
-- to FPGA application logic through the JTAG port.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.CommonPckg.all;
package HostIoPckg is
-- FPGA device type that's used to select the appropriate BSCAN primitive.
type FpgaDevice_t is (SPARTAN3A, SPARTAN6);
-- Use one of these to select which USER opcode enables the I/O to the host through the JTAG port.
type TapUserInstr_t is (USER0, USER1, USER2, USER3, USER4);
-- Use one of these to select the memory operation to perform via the JTAG port.
constant NOP_OPCODE_C : std_logic_vector(1 downto 0) := "00";
constant SIZE_OPCODE_C : std_logic_vector(1 downto 0) := "01";
constant WRITE_OPCODE_C : std_logic_vector(1 downto 0) := "10";
constant READ_OPCODE_C : std_logic_vector(1 downto 0) := "11";
component BscanToHostIo is
generic (
FPGA_DEVICE_G : FpgaDevice_t := SPARTAN6; -- FPGA device type.
TAP_USER_INSTR_G : TapUserInstr_t := USER1 -- USER instruction this module responds to.
);
port (
-- Interface to HostIoHdrScanner.
inShiftDr_o : out std_logic; -- True when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_o : out std_logic; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_o : out std_logic; -- Bit from the host to the FPGA application logic.
tdo_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoa_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdob_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoc_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdod_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoe_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdof_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdog_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoh_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoi_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoj_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdok_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdol_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdom_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdon_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoo_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdop_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoq_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdor_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdos_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdot_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdou_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdov_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdow_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdox_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoy_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoz_i : in std_logic := LO -- Bit from the FPGA application logic to the host.
);
end component;
component HostIoHdrScanner is
generic (
ID_G : std_logic_vector := "11111111"; -- The ID this module responds to.
PYLD_CNTR_LENGTH_G : natural := 32 -- Length of payload bit counter.
);
port (
-- Interface to BscanHostIo.
inShiftDr_i : in std_logic; -- True when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_i : in std_logic; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_i : in std_logic; -- Bit from the host to the FPGA application logic.
-- Interface to FPGA application logic.
pyldCntr_o : out std_logic_vector(PYLD_CNTR_LENGTH_G-1 downto 0); -- This counts down the number of payload bits still to be received.
active_o : out std_logic -- Tell the external circuitry it has been activated.
);
end component;
component RamCtrlSync is
port (
drck_i : in std_logic; -- Clock from JTAG domain.
clk_i : in std_logic; -- Clock from RAM domain.
ctrlIn_i : in std_logic; -- Control signal from JTAG domain.
ctrlOut_o : out std_logic; -- Control signal to RAM domain.
opBegun_i : in std_logic := HI; -- R/W operation begun signal from RAM domain.
doneIn_i : in std_logic := HI; -- R/W operation done signal from RAM domain.
doneOut_o : out std_logic -- R/W operation done signal to the JTAG domain.
);
end component;
component HostIoToRamCore is
generic (
ID_G : std_logic_vector := "11111111"; -- The ID this module responds to.
PYLD_CNTR_LENGTH_G : natural := 32; -- Length of payload bit counter.
ADDR_INC : integer := 1 -- Add to address after each memory R/W operation.
);
port (
reset_i : in std_logic := LO; -- Active-high reset signal.
-- Interface to BscanHostIo.
inShiftDr_i : in std_logic := LO; -- true when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_i : in std_logic := LO; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_i : in std_logic := LO; -- Bit from the host to the FPGA application logic.
tdo_o : out std_logic; -- Bit from the FPGA application logic to the host.
-- Interface to the memory.
addr_o : out std_logic_vector; -- Address to memory.
wr_o : out std_logic; -- Write data to memory when high.
dataFromHost_o : out std_logic_vector; -- Data written to memory.
rd_o : out std_logic; -- Read data from memory when high.
dataToHost_i : in std_logic_vector; -- Data read from memory.
rwDone_i : in std_logic := HI -- True when memory read/write operation is done.
);
end component;
component HostIoToRam is
generic (
ID_G : std_logic_vector := "11111111"; -- The ID this module responds to.
PYLD_CNTR_LENGTH_G : natural := 32; -- Length of payload bit counter.
ADDR_INC : integer := 1; -- Add to address after each memory R/W operation.
FPGA_DEVICE_G : FpgaDevice_t := SPARTAN6; -- FPGA device type.
TAP_USER_INSTR_G : TapUserInstr_t := USER1; -- USER instruction this module responds to.
SIMPLE_G : boolean := false; -- If true, include BscanToHostIo module in this module.
SYNC_G : boolean := true -- If true, sync this module with the memory clock domain.
);
port (
reset_i : in std_logic := LO; -- Active-high reset signal.
-- Interface to BscanHostIo.
inShiftDr_i : in std_logic := LO; -- true when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_i : in std_logic := LO; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_i : in std_logic := LO; -- Bit from the host to the memory.
tdo_o : out std_logic; -- Bit from the memory to the host.
-- Interface to the memory.
clk_i : in std_logic := LO; -- Clock from FPGA application logic.
addr_o : out std_logic_vector; -- Address to memory.
wr_o : out std_logic; -- Write data to memory when high.
dataFromHost_o : out std_logic_vector; -- Data written to memory.
rd_o : out std_logic; -- Read data from memory when high.
dataToHost_i : in std_logic_vector; -- Data read from memory.
opBegun_i : in std_logic := HI; -- High when memory read/write operation has begun.
done_i : in std_logic := HI -- High when memory read/write operation is done.
);
end component;
component HostIoToDut is
generic (
ID_G : std_logic_vector := "11111111"; -- The ID this module responds to.
PYLD_CNTR_LENGTH_G : natural := 32; -- Length of payload bit counter.
FPGA_DEVICE_G : FpgaDevice_t := SPARTAN6; -- FPGA device type.
TAP_USER_INSTR_G : TapUserInstr_t := USER1; -- USER instruction this module responds to.
SIMPLE_G : boolean := false -- If true, include BscanToHostIo module in this module.
);
port (
reset_i : in std_logic := LO; -- Active-high reset signal.
-- Interface to BscanHostIo.
inShiftDr_i : in std_logic := LO; -- True when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_i : in std_logic := LO; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_i : in std_logic := LO; -- Bit from the host to the DUT.
tdo_o : out std_logic; -- Bit from the DUT to the host.
-- Interface to DUT.
clkToDut_o : out std_logic; -- Rising edge clock signals arrival of vector to DUT.
vectorFromDut_i : in std_logic_vector; -- Gather inputs to send back to host thru this bus.
vectorToDut_o : out std_logic_vector -- Output test vector from the host to DUT thru this bus.
);
end component;
end package;
--**************************************************************************************************
-- This module connects the BSCAN primitive to a HostIo module.
--**************************************************************************************************
library IEEE, UNISIM;
use IEEE.STD_LOGIC_1164.all;
use UNISIM.VComponents.all;
use work.CommonPckg.all;
use work.HostIoPckg.all;
entity BscanToHostIo is
generic (
FPGA_DEVICE_G : FpgaDevice_t := SPARTAN6; -- FPGA device type.
TAP_USER_INSTR_G : TapUserInstr_t := USER1 -- USER instruction this module responds to.
);
port (
-- Interface to HostIoHdrScanner.
inShiftDr_o : out std_logic; -- True when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_o : out std_logic; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_o : out std_logic; -- Bit from the host to the FPGA application logic.
tdo_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoa_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdob_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoc_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdod_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoe_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdof_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdog_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoh_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoi_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoj_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdok_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdol_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdom_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdon_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoo_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdop_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoq_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdor_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdos_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdot_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdou_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdov_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdow_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdox_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoy_i : in std_logic := LO; -- Bit from the FPGA application logic to the host.
tdoz_i : in std_logic := LO -- Bit from the FPGA application logic to the host.
);
end entity;
architecture arch of BscanToHostIo is
-- Signals from BSCAN primitive.
signal bscanReset_s : std_logic;
signal bscanShift_s : std_logic;
signal bscanDrck1_s : std_logic;
signal bscanDrck2_s : std_logic;
signal bscanSel1_s : std_logic;
signal bscanSel2_s : std_logic;
signal bscanSel_s : std_logic;
signal tdo_s : std_logic;
begin
spartan3a_bscan : if FPGA_DEVICE_G = SPARTAN3A generate
-- Boundary-scan interface to FPGA JTAG port.
UBscanUser : BSCAN_SPARTAN3A
port map(
DRCK1 => bscanDrck1_s, -- data clock after USER1 instruction received.
DRCK2 => bscanDrck2_s, -- data clock after USER2 instruction received.
RESET => bscanReset_s, -- JTAG TAP FSM reset.
SEL1 => bscanSel1_s, -- USER1 instruction enables user-I/O.
SEL2 => bscanSel2_s, -- USER2 instruction enables user-I/O.
SHIFT => bscanShift_s, -- True when JTAG TAP FSM is in the SHIFT-DR state.
TDI => tdi_o, -- Data bits from the host arrive through here.
TDO1 => tdo_s, -- Bits from the FPGA app. logic go to the TDO pin and back to the host.
TDO2 => tdo_s -- Bits from the FPGA app. logic go to the TDO pin and back to the host.
);
-- All the bits from the application logic are OR'ed together since only one HostIo module
-- will be active and the others will pull their TDO outputs to logic 0.
tdo_s <= tdo_i or tdoa_i or tdob_i or tdoc_i or tdod_i or tdoe_i or tdof_i or tdog_i or tdoh_i
or tdoi_i or tdoj_i or tdok_i or tdol_i or tdom_i or tdon_i or tdoo_i or tdop_i or tdoq_i
or tdor_i or tdos_i or tdot_i or tdou_i or tdov_i or tdow_i or tdox_i or tdoy_i or tdoz_i;
-- Select the appropriate sel signal based upon which USER instruction this module responds to.
bscanSel_s <= bscanSel1_s when TAP_USER_INSTR_G = USER1 else bscanSel2_s;
-- Detect when a USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
inShiftDr_o <= YES when bscanReset_s = LO and bscanShift_s = HI and bscanSel_s = HI else NO;
-- Output the appropriate drck signal to the HostIo module.
drck_o <= bscanDrck1_s when TAP_USER_INSTR_G = USER1 else bscanDrck2_s;
end generate;
spartan6_bscan : if FPGA_DEVICE_G = SPARTAN6 generate
-- Boundary-scan interface to FPGA JTAG port.
UBscanUser : BSCAN_SPARTAN6
generic map(
JTAG_CHAIN => TapUserInstr_t'pos(TAP_USER_INSTR_G)
)
port map(
DRCK => drck_o, -- Data clock after USER instruction received.
RESET => bscanReset_s, -- JTAG TAP FSM reset.
SEL => bscanSel_s, -- True when USER instruction enters IR.
SHIFT => bscanShift_s, -- True when JTAG TAP FSM is in the SHIFT-DR state.
TDI => tdi_o, -- Data bits from the host arrive through here.
TDO => tdo_s -- Bits from the FPGA app. logic go to the TDO pin and back to the host.
);
-- All the bits from the application logic are OR'ed together since only one HostIo module
-- will be active and the others will pull their TDO outputs to logic 0.
tdo_s <= tdo_i or tdoa_i or tdob_i or tdoc_i or tdod_i or tdoe_i or tdof_i or tdog_i or tdoh_i
or tdoi_i or tdoj_i or tdok_i or tdol_i or tdom_i or tdon_i or tdoo_i or tdop_i or tdoq_i
or tdor_i or tdos_i or tdot_i or tdou_i or tdov_i or tdow_i or tdox_i or tdoy_i or tdoz_i;
-- Detect when a USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
inShiftDr_o <= YES when bscanReset_s = LO and bscanShift_s = HI and bscanSel_s = HI else NO;
end generate;
end architecture;
--**************************************************************************************************
-- This module accepts a bitstream from a BscanHostIo module and extracts an ID and
-- the number of payload bits that follow. It triggers an attached module if the received
-- ID matches the ID passed in by the generic parameter. The attached module accepts the
-- bitstream until all the payload bits are processed. The attached module can also return
-- results that it has produced (usually from an operation initiated by a previous instruction).
-- After the payload bit counter decrements to zero, this module is reset and it
-- repeats the entire process for the next instruction.
--
-- If the received ID does not match, the attached module is not triggered. The bitstream
-- continues downstream to look for a possible match with another module.
--
-- | Complete Instruction |
-- | Header reception | Payload reception |
-- TDI: | ID | # of payload bits | Payload bits from host |
-- TDO: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| Result bits from FPGA |
-- Bit counter |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| N-1 N-2 ...... 2 1 0 |
--**************************************************************************************************
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use work.CommonPckg.all;
entity HostIoHdrScanner is
generic (
ID_G : std_logic_vector := "11111111"; -- The ID this module responds to.
PYLD_CNTR_LENGTH_G : natural := 32 -- Length of payload bit counter.
);
port (
-- Interface to BscanHostIo.
inShiftDr_i : in std_logic; -- True when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_i : in std_logic; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_i : in std_logic; -- Bit from the host to the FPGA application logic.
-- Interface to FPGA application logic.
pyldCntr_o : out std_logic_vector(PYLD_CNTR_LENGTH_G-1 downto 0); -- This counts down the number of payload bits still to be received.
active_o : out std_logic -- Tell the external circuitry it has been activated.
);
end entity;
architecture arch of HostIoHdrScanner is
-- The header register consists of the ID field and a field with the # of payload bits that follow.
signal id_r : std_logic_vector(ID_G'high downto ID_G'low);
signal pyldCntr_r : std_logic_vector(pyldCntr_o'range);
signal hdrRcvd_r : std_logic; -- High after an ID and # of payload bits have been shifted in.
begin
-- Scan in the header and any following payload bits.
process(drck_i)
begin
if rising_edge(drck_i) then
-- Reset the header register if BSCAN drops out of USER SHIFT-DR state or if the current instruction is done.
-- Detect when an instruction is done, i.e. has received all its payload bits.
-- Detection uses payload counter value of 1 (not 0) because the last bit has entered at that point.
if inShiftDr_i = NO or (hdrRcvd_r = YES and pyldCntr_r = 1) then
-- Clear the header register and set MSbit of payload bit counter. A header has
-- been received when this bit has been shifted all the way through the counter and ID
-- registers and into the header received flag.
id_r <= (others => ZERO);
pyldCntr_r <= (others => ZERO);
pyldCntr_r(pyldCntr_r'high) <= YES;
hdrRcvd_r <= NO;
else -- Otherwise, shift in header bits on the rising edge of DRCK.
if hdrRcvd_r = NO then -- Shift bits into the header register until a complete header is received.
pyldCntr_r <= tdi_i & pyldCntr_r(pyldCntr_r'high downto 1);
id_r <= pyldCntr_r(0) & id_r(id_r'high downto 1);
hdrRcvd_r <= id_r(0);
else -- After a header has been received, count down the number of payload bits following the header.
pyldCntr_r <= pyldCntr_r - 1;
end if;
end if;
end if;
end process;
-- The attached module is activated if it matches the ID in the header.
active_o <= HI when (id_r(id_r'high downto 0) = ID_G(0 to ID_G'high)) and (hdrRcvd_r = HI) else LO;
-- Output the number of payload bits still to be received.
pyldCntr_o <= pyldCntr_r;
end architecture;
--**************************************************************************************************
-- This module interfaces with BscanToHostIo to perform read/write operations to memory devices.
--
-- Write operations:
-- Once the HostIoHdrScanner module extracts the ID and number of payload bits,
-- a write operation is activated by the opcode in the first two bits in the payload.
-- This module then extracts a starting address from the payload bitstream.
-- Then this module extracts data words from the payload bitstream and writes them to
-- the memory device at sequentially increasing addresses beginning from that address.
--
-- | Header reception | Payload bits |
-- TDI: | ID | # of payload bits | Opcode | Starting address | Data1 | ..... | DataN |
-- TDO: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx|
-- Addr: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| Addr1 | ..... | AddrN |
-- Data: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| Data1 | ..... | DataN |
--
-- Read operations:
-- Once the HostIoHdrScanner module extracts the ID and number of payload bits,
-- a read operation is activated by the opcode in the first two bits in the payload.
-- This module then extracts a starting address from the payload bitstream.
-- Then this module reads data from the memory device at sequentially increasing addresses
-- starting from that address, and it shifts them serially back to the host.
-- (Valid data on TDO starts after the first read of the memory completes.)
--
-- | Header reception | Payload bits | RAM data goes back to host |
-- TDI: | ID | # of payload bits | Opcode | Starting address |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx|
-- TDO: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| Data1 | ... | DataN-1 |
-- Addr: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| Addr1 | Addr2 | ... | AddrN |
-- Data: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| Data1 | Data2 | ... | DataN |
--
-- Parameter query operation:
-- Once the HostIoHdrScanner module extracts the ID and number of payload bits,
-- a parameter query operation is activated by the opcode in the first two bits in the payload.
-- This module then places the width of the memory address and data buses into a register
-- and shifts it serially back to the host.
--
-- | Header reception | Payload bits | Parameter data goes back to host |
-- TDI: | ID | # of payload bits | Opcode |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx|
-- TDO: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| Address width | Data width |
-- Addr: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx|
-- Data: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx|
--**************************************************************************************************
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use work.CommonPckg.all;
use work.HostIoPckg.all;
entity HostIoToRamCore is
generic (
ID_G : std_logic_vector := "11111111"; -- The ID this module responds to.
PYLD_CNTR_LENGTH_G : natural := 32; -- Length of payload bit counter.
ADDR_INC : integer := 1 -- Add to address after each memory R/W operation.
);
port (
reset_i : in std_logic := LO; -- Active-high reset signal.
-- Interface to BscanHostIo.
inShiftDr_i : in std_logic := LO; -- true when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_i : in std_logic := LO; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_i : in std_logic := LO; -- Bit from the host to the FPGA application logic.
tdo_o : out std_logic; -- Bit from the FPGA application logic to the host.
-- Interface to the memory.
addr_o : out std_logic_vector; -- Address to memory.
wr_o : out std_logic; -- Write data to memory when high.
dataFromHost_o : out std_logic_vector; -- Data written to memory.
rd_o : out std_logic; -- Read data from memory when high.
dataToHost_i : in std_logic_vector; -- Data read from memory.
rwDone_i : in std_logic := HI -- True when memory read/write operation is done.
);
end entity;
architecture arch of HostIoToRamCore is
signal pyldCntr_s : std_logic_vector(PYLD_CNTR_LENGTH_G-1 downto 0);
signal active_s : std_logic;
signal opcode_r : std_logic_vector(NOP_OPCODE_C'range) := NOP_OPCODE_C;
signal opcodeRcvd_r : std_logic := NO;
signal addrFromHost_r : std_logic_vector(addr_o'high downto 0) := (others => ZERO);
signal addrFromHostRcvd_r : std_logic := NO;
constant PARAM_SIZE_C : natural := 16;
constant SHIFT_REG_SIZE_C : natural := IntMax(PARAM_SIZE_C, dataFromHost_o'length);
signal shiftReg_r : std_logic_vector(SHIFT_REG_SIZE_C-1 downto 0) := (others => ZERO);
signal bitCntr_r : natural range 0 to SHIFT_REG_SIZE_C := 0;
signal wrToMemory_r : std_logic := NO;
signal rdFromMemory_r : std_logic := NO;
signal dataFromMemory_r : std_logic_vector(dataToHost_i'high downto 0);
begin
-- Scan the bits from the host looking for an instruction header.
UHdrScannner : HostIoHdrScanner
generic map (
ID_G => ID_G,
PYLD_CNTR_LENGTH_G => PYLD_CNTR_LENGTH_G
)
port map (
-- Interface to BSCAN primitive.
inShiftDr_i => inShiftDr_i,
drck_i => drck_i,
tdi_i => tdi_i,
-- Interface to FPGA application logic.
pyldCntr_o => pyldCntr_s,
active_o => active_s
);
-- Process the instruction bits as they arrive from the host.
process(drck_i)
begin
if rising_edge(drck_i) then
-- Keep processing as long as this module is activated or writing to memory.
if (active_s = YES or wrToMemory_r = HI) and (reset_i = LO) then
-- First, get the opcode from the host.
if opcodeRcvd_r = NO then
opcode_r <= tdi_i & opcode_r(opcode_r'high downto 1);
opcodeRcvd_r <= opcode_r(0); -- Opcode complete once LSB is set.
-- Next, process the received opcode.
else
case opcode_r is
when SIZE_OPCODE_C => -- Return memory address and data bus parameters.
if bitCntr_r = 0 then -- Load the memory parameters into the host shift register.
shiftReg_r(PARAM_SIZE_C-1 downto 0) <= CONV_STD_LOGIC_VECTOR(dataFromHost_o'length, PARAM_SIZE_C/2)
& CONV_STD_LOGIC_VECTOR(addr_o'length, PARAM_SIZE_C/2);
bitCntr_r <= PARAM_SIZE_C; -- Set the number of data bits to send.
else -- Shift next bit of memory parameters to the host.
shiftReg_r <= ZERO & shiftReg_r(shiftReg_r'high downto 1); -- Shift register contents.
bitCntr_r <= bitCntr_r - 1; -- One more bit has been sent to the host.
end if;
when WRITE_OPCODE_C => -- Perform write to memory.
if addrFromHostRcvd_r = NO then -- Receiving the memory write address from the host.
addrFromHost_r <= tdi_i & addrFromHost_r(addrFromHost_r'high downto 1);
addrFromHostRcvd_r <= addrFromHost_r(0); -- Address complete once LSB is set.
wrToMemory_r <= NO;
shiftReg_r <= (others => ZERO);
shiftReg_r(dataFromHost_o'high) <= ONE;
else -- Now get data to write to memory from the host.
if wrToMemory_r = YES and rwDone_i = YES then -- Write to memory is done.
wrToMemory_r <= NO; -- Stop any further writes till another complete data word arrives from host.
addrFromHost_r <= addrFromHost_r + ADDR_INC; -- Point to next memory location to be written (if needed).
end if;
if shiftReg_r(0) = LO then -- Shifting in data from host before writing it to memory.
shiftReg_r(dataFromHost_o'range) <= tdi_i & shiftReg_r(dataFromHost_o'high downto 1);
else -- Data from host received, now write it into the memory.
dataFromHost_o <= tdi_i & shiftReg_r(dataFromHost_o'high downto 1); -- Store host data so it doesn't change if more bits arrive from host.
-- Clear shift register so it can receive more data from the host.
shiftReg_r <= (others => ZERO);
shiftReg_r(dataFromHost_o'high) <= HI;
wrToMemory_r <= YES; -- Initiate write of host data to memory.
end if;
end if;
-- Perform read of memory.
when READ_OPCODE_C =>
if addrFromHostRcvd_r = NO then -- Receiving the memory read address from the host.
addrFromHost_r <= tdi_i & addrFromHost_r(addrFromHost_r'high downto 1);
addrFromHostRcvd_r <= addrFromHost_r(0); -- Address complete once LSB is set.
rdFromMemory_r <= addrFromHost_r(0); -- Initiate read as soon as address is received.
bitCntr_r <= dataFromMemory_r'length - 1; -- Output garbage word until 1st read has a chance to complete.
else
if rdFromMemory_r = YES and rwDone_i = YES then -- Receive a complete data word from the host.
rdFromMemory_r <= NO; -- OK, data is here so stop the reading the memory.
dataFromMemory_r <= dataToHost_i; -- Store the memory data until it can be loaded into the host shift reg.
end if;
if bitCntr_r = 0 then -- Shift register is empty.
shiftReg_r(dataFromMemory_r'range) <= dataFromMemory_r; -- Reload it with new data from memory.
bitCntr_r <= dataFromMemory_r'length-1; -- Reload the bit counter.
if pyldCntr_s >= shiftReg_r'length then -- Is more data expected by the host?
addrFromHost_r <= addrFromHost_r + ADDR_INC; -- Point to next memory location to read from.
rdFromMemory_r <= YES; -- Initiate the read operation.
end if;
else -- Shift register is shifting its contents to the host.
shiftReg_r <= ZERO & shiftReg_r(shiftReg_r'high downto 1); -- Shift register contents.
bitCntr_r <= bitCntr_r - 1; -- One more bit has been sent to the host.
end if;
end if;
-- Default case is NOP.
when others =>
null;
end case;
end if;
else -- Reset everything when this module is not selected or is reset.
opcode_r <= (others => ZERO);
opcode_r(opcode_r'high) <= ONE;
opcodeRcvd_r <= NO;
addrFromHost_r <= (others => ZERO);
addrFromHost_r(addrFromHost_r'high) <= ONE;
addrFromHostRcvd_r <= NO;
shiftReg_r <= (others => ZERO);
shiftReg_r(dataFromHost_o'high) <= ONE;
bitCntr_r <= 0;
wrToMemory_r <= NO;
rdFromMemory_r <= NO;
end if;
end if;
end process;
-- Force output low if this module has not been selected.
-- This allows the bit outputs of multiple modules to be OR'ed together
-- and sent to the TDO input of the BSCAN primitive.
tdo_o <= shiftReg_r(0) when active_s = YES else LO;
-- Attach this module to a memory.
addr_o <= addrFromHost_r;
wr_o <= wrToMemory_r;
rd_o <= rdFromMemory_r;
end architecture;
--**************************************************************************************************
-- This module synchronizes a HostIoToRamCore read or write control signal to the clock domain
-- of the memory device.
--**************************************************************************************************
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use work.CommonPckg.all;
use work.SyncToClockPckg.all;
entity RamCtrlSync is
port (
drck_i : in std_logic; -- Clock from JTAG domain.
clk_i : in std_logic; -- Clock from RAM domain.
ctrlIn_i : in std_logic; -- Control signal from JTAG domain.
ctrlOut_o : out std_logic; -- Control signal to RAM domain.
opBegun_i : in std_logic := HI; -- R/W operation begun signal from RAM domain.
doneIn_i : in std_logic := HI; -- R/W operation done signal from RAM domain.
doneOut_o : out std_logic -- R/W operation done signal to the JTAG domain.
);
end entity;
architecture arch of RamCtrlSync is
signal ctrlIn_s : std_logic; -- JTAG domain control signal sync'ed to RAM domain.
begin
-- Sync the RAM control signal from the JTAG clock domain to the RAM domain.
UCtrlSync : SyncToClock port map (clk_i => clk_i, unsynced_i => ctrlIn_i, synced_o => ctrlIn_s);
-- Now raise-and-hold the output control signal to the RAM upon a rising edge of the input control signal.
-- Lower the output control signal if the input control signal goes low or if the RAM signals that the
-- operation has begun or has finished.
process(clk_i)
variable prevCtrlIn_v : std_logic := HI; -- Previous value of the input control signal.
begin
if rising_edge(clk_i) then
if ctrlIn_s = LO then
-- Lower the RAM control signal if the input signal has been deactivated.
ctrlOut_o <= LO;
elsif prevCtrlIn_v = LO then
-- Raise the RAM control signal upon a rising edge of the input control signal.
ctrlOut_o <= HI;
elsif opBegun_i = HI or doneIn_i = HI then
-- Lower the RAM control signal once the RAM has begun or completed the R/W operation.
ctrlOut_o <= LO;
end if;
prevCtrlIn_v := CtrlIn_s; -- Store the previous value of the input control signal.
end if;
end process;
-- Inform the HostIoToRamCore when the memory operation is done. Latch the done signal
-- from the RAM until the HostIoToRamCore sees it and lowers its control signal.
-- Once the control signal is lowered, the RAM will eventually lower its done signal.
process(clk_i)
begin
if rising_edge(clk_i) then
if ctrlIn_s = LO then
doneOut_o <= LO;
elsif doneIn_i = HI then
doneOut_o <= HI;
end if;
end if;
end process;
end architecture;
--**************************************************************************************************
-- This module combines the HostIoToRamCore with two RamCtrlSync modules for the R/W control
-- signals to form a complete interface between the JTAG port and a memory device.
--**************************************************************************************************
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use work.CommonPckg.all;
use work.SyncToClockPckg.all;
use work.HostIoPckg.all;
entity HostIoToRam is
generic (
ID_G : std_logic_vector := "11111111"; -- The ID this module responds to.
PYLD_CNTR_LENGTH_G : natural := 32; -- Length of payload bit counter.
ADDR_INC : integer := 1; -- Add to address after each memory R/W operation.
FPGA_DEVICE_G : FpgaDevice_t := SPARTAN6; -- FPGA device type.
TAP_USER_INSTR_G : TapUserInstr_t := USER1; -- USER instruction this module responds to.
SIMPLE_G : boolean := false; -- If true, include BscanToHostIo module in this module.
SYNC_G : boolean := true -- If true, sync this module with the memory clock domain.
);
port (
reset_i : in std_logic := LO; -- Active-high reset signal.
-- Interface to BscanHostIo.
inShiftDr_i : in std_logic := LO; -- true when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_i : in std_logic := LO; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_i : in std_logic := LO; -- Bit from the host to the memory.
tdo_o : out std_logic; -- Bit from the memory to the host.
-- Interface to the memory.
clk_i : in std_logic := LO; -- Clock from FPGA application logic.
addr_o : out std_logic_vector; -- Address to memory.
wr_o : out std_logic; -- Write data to memory when high.
dataFromHost_o : out std_logic_vector; -- Data written to memory.
rd_o : out std_logic; -- Read data from memory when high.
dataToHost_i : in std_logic_vector; -- Data read from memory.
opBegun_i : in std_logic := HI; -- High when memory read/write operation has begun.
done_i : in std_logic := HI -- High when memory read/write operation is done.
);
end entity;
architecture arch of HostIoToRam is
-- Internal memory signals.
signal wr_s : std_logic;
signal rd_s : std_logic;
signal wrDone_s : std_logic;
signal rdDone_s : std_logic;
signal rwDone_s : std_logic;
-- Internal JTAG signals.
signal inShiftDr_s : std_logic;
signal drck_s : std_logic;
signal tdi_s : std_logic;
signal tdo_s : std_logic;
begin
-- If you're only interfacing the JTAG port to a single module, then the
-- SIMPLE_G parameter lets you build the JTAG interface right into this interface
-- and connect it to the internal JTAG signals.
USimple : if SIMPLE_G = true generate
begin
UBscanHostIo : BscanToHostIo
generic map(
FPGA_DEVICE_G => FPGA_DEVICE_G,
TAP_USER_INSTR_G => TAP_USER_INSTR_G
)
port map(
inShiftDr_o => inShiftDr_s,
drck_o => drck_s,
tdi_o => tdi_s,
tdo_i => tdo_s
);
end generate;
-- If you're interfacing several modules to the JTAG port, then you'll be using
-- an external JTAG module. So just connect the I/O ports to the internal
-- JTAG signals.
UComplex : if SIMPLE_G = false generate
begin
inShiftDr_s <= inShiftDr_i;
drck_s <= drck_i;
tdi_s <= tdi_i;
tdo_o <= tdo_s;
end generate;
-- Connect the HostIoToRamCore to the internal JTAG and memory signals.
UHostIoToRamCore : HostIoToRamCore
generic map (
ID_G => ID_G,
PYLD_CNTR_LENGTH_G => PYLD_CNTR_LENGTH_G,
ADDR_INC => ADDR_INC
)
port map(
reset_i => reset_i,
inShiftDr_i => inShiftDr_s,
drck_i => drck_s,
tdi_i => tdi_s,
tdo_o => tdo_s,
addr_o => addr_o,
wr_o => wr_s,
dataFromHost_o => dataFromHost_o,
rd_o => rd_s,
dataToHost_i => dataToHost_i,
rwDone_i => rwDone_s
);
-- Synchronize the JTAG interface to the memory clock domain.
USync : if SYNC_G = true generate
begin
UWrRamCtrlSync : RamCtrlSync
port map (
drck_i => drck_s,
clk_i => clk_i,
ctrlIn_i => wr_s,
ctrlOut_o => wr_o,
opBegun_i => opBegun_i,
doneIn_i => done_i,
doneOut_o => wrDone_s
);
URdRamCtrlSync : RamCtrlSync
port map (
drck_i => drck_s,
clk_i => clk_i,
ctrlIn_i => rd_s,
ctrlOut_o => rd_o,
opBegun_i => opBegun_i,
doneIn_i => done_i,
doneOut_o => rdDone_s
);
-- Only one read or write memory operation can be in-process at a time,
-- so OR their done signals together to create a unified
-- "memory operation done" signal.
rwDone_s <= rdDone_s or wrDone_s;
end generate;
-- Don't synchronize the JTAG interface to the memory clock domain.
UUnsync : if SYNC_G = false generate
begin
rwDone_s <= done_i;
rd_o <= rd_s;
wr_o <= wr_s;
end generate;
end architecture;
--**************************************************************************************************
-- This module interfaces with BscanToHostIo to send/receive test vectors to/from a device-under-test (DUT).
--
-- Write operations:
-- Once the HostIoHdrScanner module extracts the ID and number of payload bits,
-- a write operation is activated by the opcode in the first two bits in the payload.
-- This module then captures N bits serially from TDI and outputs them in parallel
-- onto the inputs of the DUT. A clock pulse is also generated which can be used to clock
-- the DUT if desired.
--
-- | Header reception | Payload bits | One clock cycle |
-- TDI: | ID | # of payload bits | Opcode | b1 b2 b3 b4 b5 ... bN |
-- TDO: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx|
-- DUT INP: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| b1b2b3b4...bN |
-- DUT clk: |____________________________________________________________________|^^^^^^^^|____
--
-- Read operations:
-- Once the HostIoHdrScanner module extracts the ID and number of payload bits,
-- a read operation is activated by the opcode in the first two bits in the payload.
-- This module captures all the outputs from the DUT in parallel and then shifts them
-- back to the host on TDO.
--
-- | Header reception | Payload bits | One clock cycle | DUT output bits |
-- TDI: | ID | # of payload bits | Opcode |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx|
-- TDO: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| b1 b2 b3 b4 ... bN |
-- DUT OUTP: | b1b2b3b4...bN |
--
-- Parameter query operation:
-- Once the HostIoHdrScanner module extracts the ID and number of payload bits,
-- a parameter query operation is activated by the opcode in the first two bits in the payload.
-- This module then places the number of DUT inputs and outputs into a register and shifts it
-- serially back to the host.
--
-- | Header reception | Payload bits | Parameter data goes back to host |
-- TDI: | ID | # of payload bits | Opcode |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx|
-- TDO: |xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx| # DUT inputs | # DUT outputs |
--**************************************************************************************************
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
use work.CommonPckg.all;
use work.HostIoPckg.all;
entity HostIoToDut is
generic (
ID_G : std_logic_vector := "11111111"; -- The ID this module responds to.
PYLD_CNTR_LENGTH_G : natural := 32; -- Length of payload bit counter.
FPGA_DEVICE_G : FpgaDevice_t := SPARTAN6; -- FPGA device type.
TAP_USER_INSTR_G : TapUserInstr_t := USER1; -- USER instruction this module responds to.
SIMPLE_G : boolean := false -- If true, include BscanToHostIo module in this module.
);
port (
reset_i : in std_logic := LO; -- Active-high reset signal.
-- Interface to BscanHostIo.
inShiftDr_i : in std_logic := LO; -- True when USER JTAG instruction is active and the TAP FSM is in the Shift-DR state.
drck_i : in std_logic := LO; -- Bit clock. TDI clocked in on rising edge, TDO sampled on falling edge.
tdi_i : in std_logic := LO; -- Bit from the host to the DUT.
tdo_o : out std_logic; -- Bit from the DUT to the host.
-- Interface to DUT.
clkToDut_o : out std_logic; -- Rising edge clock signals arrival of vector to DUT.
vectorFromDut_i : in std_logic_vector; -- Gather inputs to send back to host thru this bus.
vectorToDut_o : out std_logic_vector -- Output test vector from the host to DUT thru this bus.
);
end entity;
architecture arch of HostIoToDut is
signal active_s : std_logic; -- True when the module is activated by a matching ID.
signal opcode_r : std_logic_vector(NOP_OPCODE_C'range); -- Stores opcode received via JTAG.
signal opcodeRcvd_r : std_logic; -- True once opcode is received.
constant PARAM_SIZE_C : natural := 16;
constant SHIFT_REG_SIZE_C : natural := IntMax(IntMax(PARAM_SIZE_C, vectorFromDut_i'length), vectorToDut_o'length);
signal shiftReg_r : std_logic_vector(SHIFT_REG_SIZE_C-1 downto 0); -- Stores DUT input & output bits.
signal bitCntr_r : natural range 0 to SHIFT_REG_SIZE_C;
signal activateClk_r : std_logic;
-- Internal JTAG signals.
signal inShiftDr_s : std_logic;
signal drck_s : std_logic;
signal tdi_s : std_logic;
signal tdo_s : std_logic;
begin
-- If you're only interfacing the JTAG port to a single module, then the
-- SIMPLE_G parameter lets you build the JTAG interface right into this interface
-- and connect it to the internal JTAG signals.
USimple : if SIMPLE_G = true generate
begin
UBscanHostIo : BscanToHostIo
generic map(
FPGA_DEVICE_G => FPGA_DEVICE_G,
TAP_USER_INSTR_G => TAP_USER_INSTR_G
)
port map(
inShiftDr_o => inShiftDr_s,
drck_o => drck_s,
tdi_o => tdi_s,
tdo_i => tdo_s
);
end generate;
-- If you're interfacing several modules to the JTAG port, then you'll be using
-- an external JTAG module. So just connect the I/O ports to the internal
-- JTAG signals.
UComplex : if SIMPLE_G = false generate
begin
inShiftDr_s <= inShiftDr_i;
drck_s <= drck_i;
tdi_s <= tdi_i;
tdo_o <= tdo_s;
end generate;
-- Scan the bits from the host looking for an instruction header.
UHdrScanner : HostIoHdrScanner
generic map (
ID_G => ID_G,
PYLD_CNTR_LENGTH_G => PYLD_CNTR_LENGTH_G
)
port map (
-- Interface to BSCAN primitive.
inShiftDr_i => inShiftDr_s,
drck_i => drck_s,
tdi_i => tdi_s,
-- Interface to DUT.
active_o => active_s
);
-- Process the instruction bits as they arrive from the host.
process(drck_s)
begin
if rising_edge(drck_s) then
activateClk_r <= LO; -- By default, keep clock to DUT inactive.
-- Keep processing as long as this module is activated.
if active_s = YES and reset_i = LO then
-- First, get the opcode from the host.
if opcodeRcvd_r = NO then
opcode_r <= tdi_s & opcode_r(opcode_r'high downto 1);
opcodeRcvd_r <= opcode_r(0); -- Opcode complete once LSB is set.
-- Next, process the received opcode.
else
case opcode_r is
when SIZE_OPCODE_C => -- Return DUT input and output-width parameters.
if bitCntr_r = 0 then -- Load the I/O parameters into the host shift register.
shiftReg_r(PARAM_SIZE_C-1 downto 0) <= CONV_STD_LOGIC_VECTOR(vectorFromDut_i'length, PARAM_SIZE_C/2)
& CONV_STD_LOGIC_VECTOR(vectorToDut_o'length, PARAM_SIZE_C/2);
bitCntr_r <= PARAM_SIZE_C; -- Set the number of bits to send.
else -- Shift next bit of I/O parameters to the host.
shiftReg_r <= ZERO & shiftReg_r(shiftReg_r'high downto 1); -- Shift register contents.
bitCntr_r <= bitCntr_r - 1; -- One more bit has been sent to the host.
end if;
when WRITE_OPCODE_C => -- Output a test vector to the DUT.
case vectorToDut_o'length is
when 0 =>
-- No inputs to the DUT, so just pulse the clock.
activateClk_r <= HI;
when 1 =>
-- Only one input to DUT, so drive it directly from the TDI pin.
vectorToDut_o(vectorToDut_o'low) <= tdi_s;
activateClk_r <= HI;
when others =>
if shiftReg_r(0) = NO then -- Shifting in data from host before applying it to the DUT.
shiftReg_r(vectorToDut_o'length-1 downto 0) <= tdi_s & shiftReg_r(vectorToDut_o'length-1 downto 1);
else -- Vector from host received, now apply it to the DUT.
vectorToDut_o <= tdi_s & shiftReg_r(vectorToDut_o'length-1 downto 1); -- Output test vector to DUT.
activateClk_r <= HI; -- Pulse vector clock for one cycle after vector is received.
-- Clear shift register so it can receive another vector from the host.
shiftReg_r <= (others => ZERO); -- Clear all shift register bits and ...
shiftReg_r(vectorToDut_o'length-1) <= HI; -- ... set MSbit so we can tell when all bits are received.
end if;
end case;
when READ_OPCODE_C => -- Get results of a test vector from the DUT.
if bitCntr_r /= 0 then -- Shifting DUT outputs to the host.
shiftReg_r <= ZERO & shiftReg_r(shiftReg_r'high downto 1); -- Shift register contents.
bitCntr_r <= bitCntr_r - 1; -- One more bit has been sent to the host.
else -- Load the DUT output bits into the shift register.
shiftReg_r(vectorFromDut_i'length-1 downto 0) <= vectorFromDut_i; -- Load the DUT outputs into the host shift register.
bitCntr_r <= vectorFromDut_i'length - 1; -- Set the number of bits to send.
end if;
-- Default case is NOP.
when others =>
null;
end case;
end if;
else -- Reset everything when this module is not selected or is reset.
opcode_r <= (others => ZERO); -- Clear all opcode shift register bits and ...
opcode_r(opcode_r'high) <= ONE; -- ... set MSbit so we can tell when a complete opcode is received.
opcodeRcvd_r <= NO;
shiftReg_r <= (others => ZERO); -- Clear all shift register bits and ...
if vectorToDut_o'length > 0 then
shiftReg_r(vectorToDut_o'length-1) <= ONE; -- ... set MSbit so we can tell when all bits are received.
end if;
bitCntr_r <= 0;
end if;
end if;
end process;
-- The inputs to the DUT are changed and the clock-enable signal is set on the rising edge of the DRCK.
-- The following statement generates a rising clock edge to the DUT when DRCK goes low. This gives
-- the inputs to the DUT time to settle.
clkToDut_o <= not drck_s when activateClk_r = HI else LO;
-- Force output low if this module has not been selected.
-- This allows the bit outputs of multiple modules to be OR'ed together
-- and sent to the TDO input of the BSCAN primitive.
tdo_s <= shiftReg_r(0) when active_s = YES else LO;
end architecture;
|
<reponame>chandanpalai/VHDL-example-codes
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.abc.all;
entity tri is
port (
I : in std_logic_vector(m-1 downto 0); -- input
En : in std_logic; -- input
Y : out std_logic_vector(m-1 downto 0)); -- output
end entity tri;
architecture tri_arc of tri is
begin -- architecture tri_arc
Y<= I when En='0' else
(others=>'Z');
end architecture tri_arc;
|
<gh_stars>0
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY HA_DF IS
PORT (
a, b : IN std_logic;
sum, cout : OUT std_logic);
END ENTITY;
ARCHITECTURE dataflow OF HA_DF IS
BEGIN
sum <= a XOR b;
cout <= a AND b;
END ARCHITECTURE;
|
<reponame>Elthra/patmos
---------------------------------------------------------------------
---- ----
---- FPU ----
---- Floating Point Unit (Double precision) ----
---- ----
---- Author: <NAME> ----
---- <EMAIL> ----
---- ----
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2009 <NAME> ----
---- <EMAIL> ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
library ieee;
use work.fpupack.all;
use work.comppack.all;
use ieee.std_logic_misc.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
entity fpu_double_tb is
end fpu_double_tb;
architecture TB_ARCHITECTURE of fpu_double_tb is
component fpu_double
port(
clk : in std_logic;
rst : in std_logic;
enable : in std_logic;
rmode : in std_logic_vector(1 downto 0);
fpu_op : in std_logic_vector(2 downto 0);
opa : in std_logic_vector(63 downto 0);
opb : in std_logic_vector(63 downto 0);
out_fp : out std_logic_vector(63 downto 0);
ready : out std_logic;
underflow : out std_logic;
overflow : out std_logic;
inexact : out std_logic;
exception : out std_logic;
invalid : out std_logic );
end component;
signal clk : std_logic;
signal rst : std_logic;
signal enable : std_logic;
signal rmode : std_logic_vector(1 downto 0);
signal fpu_op : std_logic_vector(2 downto 0);
signal opa : std_logic_vector(63 downto 0);
signal opb : std_logic_vector(63 downto 0);
signal out_fp : std_logic_vector(63 downto 0);
signal ready : std_logic;
signal underflow : std_logic;
signal overflow : std_logic;
signal inexact : std_logic;
signal exception : std_logic;
signal invalid : std_logic;
signal END_SIM: BOOLEAN:=FALSE;
signal out_fp1 : std_logic_vector(63 downto 0);
begin
out_fp1 <= out_fp;
UUT : fpu_double
port map (
clk => clk,
rst => rst,
enable => enable,
rmode => rmode,
fpu_op => fpu_op,
opa => opa,
opb => opb,
out_fp => out_fp,
ready => ready,
underflow => underflow,
overflow => overflow,
inexact => inexact,
exception => exception,
invalid => invalid
);
STIMULUS: process
begin
rst <= '1';
wait for 20 ns;
rst <= '0';
--inputA:4.0000000000e+000
--inputB:-4.0000000000e+000
enable <= '1';
opa <= "0100000000010000000000000000000000000000000000000000000000000000";
opb <= "1100000000010000000000000000000000000000000000000000000000000000";
fpu_op <= "000";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:0.000000000000000e+000
-- out_fp = 0000000000000000
--inputA:3.0000000000e-312
--inputB:1.0000000000e-025
enable <= '1';
opa <= "0000000000000000000000001000110101100000010101111101110111110010";
opb <= "0011101010111110111100101101000011110101110110100111110111011001";
fpu_op <= "011";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:3.000000000000337e-287
-- out_fp = 047245C02F8B68C5
--inputA:4.0000000000e-304
--inputB:2.0000000000e-007
enable <= '1';
opa <= "0000000011110001100011100011101110011011001101110100000101101001";
opb <= "0011111010001010110101111111001010011010101111001010111101001000";
fpu_op <= "010";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:8.000000000000074e-311
-- out_fp = 00000EBA09271E89
--inputA:3.4445600000e+002
--inputB:3.4445599000e+002
enable <= '1';
opa <= "0100000001110101100001110100101111000110101001111110111110011110";
opb <= "0100000001110101100001110100101110111100001010111001010011011001";
fpu_op <= "001";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.000000003159585e-005
-- out_fp = 3EE4F8B58A000000
--inputA:-8.8899000000e+002
--inputB:7.8898020000e+002
enable <= '1';
opa <= "1100000010001011110001111110101110000101000111101011100001010010";
opb <= "0100000010001000101001111101011101110011000110001111110001010000";
fpu_op <= "000";
rmode <= "11";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-1.000098000000000e+002
-- out_fp = C05900A0902DE010
--inputA:4.5600000000e+002
--inputB:2.3700000000e+001
enable <= '1';
opa <= "0100000001111100100000000000000000000000000000000000000000000000";
opb <= "0100000000110111101100110011001100110011001100110011001100110011";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.924050632911392e+001
-- out_fp = 40333D91D2A2067B
--inputA:4.9990000000e+003
--inputB:0.0000000000e+000
enable <= '1';
opa <= "0100000010110011100001110000000000000000000000000000000000000000";
opb <= "0000000000000000000000000000000000000000000000000000000000000000";
fpu_op <= "010";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:0.000000000000000e+000
-- out_fp = 0000000000000000
--inputA:-9.8883300000e+005
--inputB:4.4444440000e+006
enable <= '1';
opa <= "1100000100101110001011010100001000000000000000000000000000000000";
opb <= "0100000101010000111101000100011100000000000000000000000000000000";
fpu_op <= "001";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-5.433277000000000e+006
-- out_fp = C154B9EF40000000
--inputA:-4.8000000000e-311
--inputB:4.0000000000e-050
enable <= '1';
opa <= "1000000000000000000010001101011000000101011111011101111100011111";
opb <= "0011010110101101111011100111101001001010110101001011100000011111";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-1.200000000000011e-261
-- out_fp = 89C2E4AE4EAE705E
--inputA:1.9500000000e-308
--inputB:1.8800000000e-308
enable <= '1';
opa <= "0000000000001110000001011010001000110110111111110101001011001101";
opb <= "0000000000001101100001001100011001100110111010010000011110011111";
fpu_op <= "000";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:3.830000000000000e-308
-- out_fp = 001B8A689DE85A6C
--inputA:-3.0000000000e-309
--inputB:9.0000000000e+100
enable <= '1';
opa <= "1000000000000010001010000100000001010111001110101111100100001100";
opb <= "0101010011100100100100101110001011001010010001110101101111101101";
fpu_op <= "010";
rmode <= "11";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-2.700000000000001e-208
-- out_fp = 94D630F25FC26702
--inputA:3.0000000000e-308
--inputB:2.9900000000e-308
enable <= '1';
opa <= "0000000000010101100100101000001101101000010011011011101001110111";
opb <= "0000000000010101100000000001101011011100110111001101010001001011";
fpu_op <= "001";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.000000000000046e-310
-- out_fp = 000012688B70E62C
--inputA:-9.0000000000e-300
--inputB:5.0000000000e+100
enable <= '1';
opa <= "1000000111011000000110111110001110111011010110000001000111000100";
opb <= "0101010011010110110111000001100001101110111110011111010001011100";
fpu_op <= "011";
rmode <= "11";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-4.940656458412465e-324
-- out_fp = 8000000000000001
--inputA:4.0000000000e+100
--inputB:3.0000000000e-090
enable <= '1';
opa <= "0101010011010010010010011010110100100101100101001100001101111101";
opb <= "0010110101011000011100011100011001000110111001011001010110100111";
fpu_op <= "010";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.200000000000000e+011
-- out_fp = 423BF08EB0000001
--inputA:-9.9000000000e-002
--inputB:4.0220000000e+001
enable <= '1';
opa <= "1011111110111001010110000001000001100010010011011101001011110010";
opb <= "0100000001000100000111000010100011110101110000101000111101011100";
fpu_op <= "000";
rmode <= "11";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:4.012100000000000e+001
-- out_fp = 40440F7CED916872
--inputA:9.0770000000e+001
--inputB:-2.0330000000e+001
enable <= '1';
opa <= "0100000001010110101100010100011110101110000101000111101011100001";
opb <= "1100000000110100010101000111101011100001010001111010111000010100";
fpu_op <= "000";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:7.044000000000000e+001
-- out_fp = 40519C28F5C28F5C
--inputA:4.9077000000e+002
--inputB:-3.4434000000e+002
enable <= '1';
opa <= "0100000001111110101011000101000111101011100001010001111010111000";
opb <= "1100000001110101100001010111000010100011110101110000101000111101";
fpu_op <= "001";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:8.351100000000000e+002
-- out_fp = 408A18E147AE147B
--inputA:9.0000000000e+034
--inputB:2.7700000000e+000
enable <= '1';
opa <= "0100011100110001010101010101011110110100000110011100010111000010";
opb <= "0100000000000110001010001111010111000010100011110101110000101001";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:3.249097472924188e+034
-- out_fp = 471907B705EBEABE
--inputA:3.9999999989e-315
--inputB:1.0000000000e-002
enable <= '1';
opa <= "0000000000000000000000000000000000110000010000011010011100110101";
opb <= "0011111110000100011110101110000101000111101011100001010001111011";
fpu_op <= "010";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:4.000000428704504e-317
-- out_fp = 00000000007B895B
--inputA:-9.0000000000e+003
--inputB:8.0000000000e+003
enable <= '1';
opa <= "1100000011000001100101000000000000000000000000000000000000000000";
opb <= "0100000010111111010000000000000000000000000000000000000000000000";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-1.125000000000000e+000
-- out_fp = BFF2000000000000
--inputA:9.8440000000e+003
--inputB:0.0000000000e+000
enable <= '1';
opa <= "0100000011000011001110100000000000000000000000000000000000000000";
opb <= "0000000000000000000000000000000000000000000000000000000000000000";
fpu_op <= "011";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.#INF00000000000e+000
-- out_fp = 7FF0000000000000
--inputA:4.4440000000e+002
--inputB:-8.8800000000e+002
enable <= '1';
opa <= "0100000001111011110001100110011001100110011001100110011001100110";
opb <= "1100000010001011110000000000000000000000000000000000000000000000";
fpu_op <= "001";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.332400000000000e+003
-- out_fp = 4094D1999999999A
--inputA:3.0000000000e-309
--inputB:3.0000000000e+080
enable <= '1';
opa <= "0000000000000010001010000100000001010111001110101111100100001100";
opb <= "0101000010100100001111011011001101111101011101001011110010000111";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:0.000000000000000e+000
-- out_fp = 0000000000000000
--inputA:4.9900000000e+002
--inputB:-3.3000000000e-003
enable <= '1';
opa <= "0100000001111111001100000000000000000000000000000000000000000000";
opb <= "1011111101101011000010001001101000000010011101010010010101000110";
fpu_op <= "010";
rmode <= "11";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-1.646700000000000e+000
-- out_fp = BFFA58E219652BD4
--inputA:9.0000000000e+034
--inputB:4.0000000000e+023
enable <= '1';
opa <= "0100011100110001010101010101011110110100000110011100010111000010";
opb <= "0100010011010101001011010000001011000111111000010100101011110110";
fpu_op <= "000";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:9.000000000040000e+034
-- out_fp = 47315557B41A1A76
--inputA:4.0000000000e+080
--inputB:3.0000000000e-002
enable <= '1';
opa <= "0101000010101010111111001110111101010001111100001111101101011111";
opb <= "0011111110011110101110000101000111101011100001010001111010111000";
fpu_op <= "000";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:4.000000000000001e+080
-- out_fp = 50AAFCEF51F0FB60
--inputA:-5.4770000000e+000
--inputB:-8.9990000000e+000
enable <= '1';
opa <= "1100000000010101111010000111001010110000001000001100010010011100";
opb <= "1100000000100001111111110111110011101101100100010110100001110011";
fpu_op <= "011";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:6.086231803533726e-001
-- out_fp = 3FE379D751E6915E
--inputA:-7.7000000000e+001
--inputB:-8.8400000000e+001
enable <= '1';
opa <= "1100000001010011010000000000000000000000000000000000000000000000";
opb <= "1100000001010110000110011001100110011001100110011001100110011010";
fpu_op <= "010";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:6.806800000000001e+003
-- out_fp = 40BA96CCCCCCCCCE
--inputA:4.0000000000e+009
--inputB:3.0000000000e+008
enable <= '1';
opa <= "0100000111101101110011010110010100000000000000000000000000000000";
opb <= "0100000110110001111000011010001100000000000000000000000000000000";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.333333333333333e+001
-- out_fp = 402AAAAAAAAAAAAB
--inputA:9.0000000000e-311
--inputB:8.0000000000e-311
enable <= '1';
opa <= "0000000000000000000100001001000101001010010011000000001001011010";
opb <= "0000000000000000000011101011101000001001001001110001111010001001";
fpu_op <= "000";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.700000000000010e-310
-- out_fp = 00001F4B537320E3
--inputA:1.9999777344e-320
--inputB:5.0000000000e+099
enable <= '1';
opa <= "0000000000000000000000000000000000000000000000000000111111010000";
opb <= "0101010010100010010010011010110100100101100101001100001101111101";
fpu_op <= "010";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:9.999888671826831e-221
-- out_fp = 124212D01E240533
--inputA:4.4444000000e+004
--inputB:3.3000000000e+001
enable <= '1';
opa <= "0100000011100101101100111000000000000000000000000000000000000000";
opb <= "0100000001000000100000000000000000000000000000000000000000000000";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.346787878787879e+003
-- out_fp = 40950B26C9B26C9B
--inputA:9.7730000000e+000
--inputB:9.7720000000e+000
enable <= '1';
opa <= "0100000000100011100010111100011010100111111011111001110110110010";
opb <= "0100000000100011100010110100001110010101100000010000011000100101";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.000102333196889e+000
-- out_fp = 3FF0006B4DDBBE31
--inputA:8.3345700000e+003
--inputB:1.0000000000e+000
enable <= '1';
opa <= "0100000011000000010001110100100011110101110000101000111101011100";
opb <= "0011111111110000000000000000000000000000000000000000000000000000";
fpu_op <= "010";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:8.334570000000000e+003
-- out_fp = 40C04748F5C28F5C
--inputA:-1.0000000000e+000
--inputB:5.8990000000e+003
enable <= '1';
opa <= "1011111111110000000000000000000000000000000000000000000000000000";
opb <= "0100000010110111000010110000000000000000000000000000000000000000";
fpu_op <= "010";
rmode <= "11";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-5.899000000000000e+003
-- out_fp = C0B70B0000000000
--inputA:6.1000000000e+000
--inputB:-6.0990000000e+000
enable <= '1';
opa <= "0100000000011000011001100110011001100110011001100110011001100110";
opb <= "1100000000011000011001010110000001000001100010010011011101001100";
fpu_op <= "000";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:9.999999999994458e-004
-- out_fp = 3F50624DD2F1A000
--inputA:3.0000000000e-300
--inputB:3.0000000000e-015
enable <= '1';
opa <= "0000000111000000000100101001011111010010001110101011011010000011";
opb <= "0011110011101011000001011000011101101110010110110000000100100000";
fpu_op <= "010";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:9.000000001157124e-315
-- out_fp = 000000006C93B838
--inputA:-9.0000000000e+088
--inputB:4.0000000000e+084
enable <= '1';
opa <= "1101001001100110100111110000000010010101111101001101000000000000";
opb <= "0101000110000000011110001110000100010001110000110101010101101101";
fpu_op <= "000";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-8.999600000000000e+088
-- out_fp = D2669EBEB27088F3
--inputA:6.6210000000e+001
--inputB:6.9892000000e+001
enable <= '1';
opa <= "0100000001010000100011010111000010100011110101110000101000111101";
opb <= "0100000001010001011110010001011010000111001010110000001000001100";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:9.473187203113375e-001
-- out_fp = 3FEE506F59540645
--inputA:-5.0000000000e-309
--inputB:4.0000000000e-310
enable <= '1';
opa <= "1000000000000011100110000110101100111100000011001111010001101001";
opb <= "0000000000000000010010011010001000101101110000111001100010101100";
fpu_op <= "000";
rmode <= "11";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-4.600000000000001e-309
-- out_fp = 80034EC90E495BBD
--inputA:8.8000000000e+001
--inputB:0.0000000000e+000
enable <= '1';
opa <= "0100000001010110000000000000000000000000000000000000000000000000";
opb <= "0000000000000000000000000000000000000000000000000000000000000000";
fpu_op <= "011";
rmode <= "01";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.#INF00000000000e+000
-- out_fp = 7FEFFFFFFFFFFFFF
--inputA:4.5570000000e+002
--inputB:3.4229100000e+003
enable <= '1';
opa <= "0100000001111100011110110011001100110011001100110011001100110011";
opb <= "0100000010101010101111011101000111101011100001010001111010111000";
fpu_op <= "000";
rmode <= "01";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:3.878610000000000e+003
-- out_fp = 40AE4D3851EB851E
--inputA:9.9440000000e+003
--inputB:2.3000000000e+001
enable <= '1';
opa <= "0100000011000011011011000000000000000000000000000000000000000000";
opb <= "0100000000110111000000000000000000000000000000000000000000000000";
fpu_op <= "011";
rmode <= "01";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:4.323478260869565e+002
-- out_fp = 407B0590B21642C8
--inputA:-9.0054400000e+005
--inputB:-3.4445500000e+005
enable <= '1';
opa <= "1100000100101011011110111000000000000000000000000000000000000000";
opb <= "1100000100010101000001100001110000000000000000000000000000000000";
fpu_op <= "001";
rmode <= "01";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:-5.560890000000000e+005
-- out_fp = C120F87200000000
--inputA:5.5500000000e-002
--inputB:3.2444400000e+005
enable <= '1';
opa <= "0011111110101100011010100111111011111001110110110010001011010001";
opb <= "0100000100010011110011010111000000000000000000000000000000000000";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.710618781669564e-007
-- out_fp = 3E86F5A431628F6D
--inputA:1.2330000000e+000
--inputB:1.5666600000e+000
enable <= '1';
opa <= "0011111111110011101110100101111000110101001111110111110011101110";
opb <= "0011111111111001000100010000101000010011011111110011100011000101";
fpu_op <= "010";
rmode <= "10";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:1.931691780000000e+000
-- out_fp = 3FFEE835A3D0D51B
--inputA:9.7770000000e-001
--inputB:3.0000000000e+099
enable <= '1';
opa <= "0011111111101111010010010101000110000010101010011001001100001100";
opb <= "0101010010010101111100100000001011111001111001011011011101100011";
fpu_op <= "011";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:3.259000000000000e-100
-- out_fp = 2B46CF7665DCED50
--inputA:4.4000000000e+007
--inputB:6.0000000000e+002
enable <= '1';
opa <= "0100000110000100111110110001100000000000000000000000000000000000";
opb <= "0100000010000010110000000000000000000000000000000000000000000000";
fpu_op <= "010";
rmode <= "00";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:2.640000000000000e+010
-- out_fp = 4218964020000000
--inputA:3.9800000000e+000
--inputB:3.7700000000e+000
enable <= '1';
opa <= "0100000000001111110101110000101000111101011100001010001111010111";
opb <= "0100000000001110001010001111010111000010100011110101110000101001";
fpu_op <= "000";
rmode <= "01";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:7.750000000000000e+000
-- out_fp = 401F000000000000
--inputA:8.0400000000e+000
--inputB:8.0395700000e+000
enable <= '1';
opa <= "0100000000100000000101000111101011100001010001111010111000010100";
opb <= "0100000000100000000101000100001010000100110111111100111000110001";
fpu_op <= "001";
rmode <= "01";
wait for 20ns;
enable <= '0';
wait for 800 ns;
--Output:4.299999999997084e-004
-- out_fp = 3F3C2E33EFF18000
END_SIM <= TRUE;
wait;
end process;
CLOCK_clk : process
begin
if END_SIM = FALSE then
clk <= '0';
wait for 5 ns;
else
wait;
end if;
if END_SIM = FALSE then
clk <= '1';
wait for 5 ns;
else
wait;
end if;
end process;
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_fpu_double of fpu_double_tb is
for TB_ARCHITECTURE
for UUT : fpu_double
use entity work.fpu_double(rtl);
end for;
end for;
end TESTBENCH_FOR_fpu_double;
|
<gh_stars>0
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:50:52 10/27/2015
-- Design Name:
-- Module Name: Shifter - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Shifter is
Port ( Rst : in STD_LOGIC;
Clk : in STD_LOGIC;
DataOut : out STD_LOGIC_VECTOR (7 downto 0));
end Shifter;
architecture Behavioral of Shifter is
signal Reg : STD_LOGIC_VECTOR (7 downto 0);
begin
process(Clk,Reg)
begin
if (rising_edge(Clk)) then
if (Rst = '1') then
Reg <= (others => '0');
else
Reg <= not Reg(0) & Reg (7 downto 1);
end if;
end if;
DataOut <= Reg;
end process;
end Behavioral;
|
function findMax( a )
dim num
dim max
max = 0
for each num in a
if num > max then max = num
next
findMax = max
end function
function findMin( a )
dim num
dim min
min = 0
for each num in a
if num < min then min = num
next
findMin = min
end function
'the function returns the sorted array, but the fact is that VBScript passes the array by reference anyway
function countingSort( a )
dim count()
dim min, max
min = findMin(a)
max = findMax(a)
redim count( max - min + 1 )
dim i
dim z
for i = 0 to ubound( a )
count( a(i) - min ) = count( a( i ) - min ) + 1
next
z = 0
for i = min to max
while count( i - min) > 0
a(z) = i
z = z + 1
count( i - min ) = count( i - min ) - 1
wend
next
countingSort = a
end function
|
<filename>admin/wmi/wbem/scripting/samples/general/os.vbs
for each os in GetObject("winmgmts:{impersonationLevel=impersonate}!").InstancesOf("Win32_OperatingSystem")
WScript.Echo ""
WScript.Echo "Version Info:"
WScript.Echo "============"
WScript.Echo " Version: ", os.Caption, os.Version
WScript.Echo " Build: ", os.BuildNumber, os.BuildType
WScript.Echo " CSD Version: ", os.CSDVersion
WScript.Echo " Serial Number: ", os.SerialNumber
WScript.Echo " Manufacturer: ", os.Manufacturer
WScript.Echo ""
WScript.Echo "Memory Info:"
WScript.Echo "==========="
WScript.Echo " Free Physical Memory: ", os.FreePhysicalMemory
WScript.Echo " Free Space in Paging Files: ", os.FreeSpaceInPagingFiles
WScript.Echo " Size Stored in Paging Files: ", os.SizeStoredInPagingFiles
WScript.Echo " Free Virtual Memory: ", os.FreeVirtualMemory
WScript.Echo " Total Virtual Memory Size: ", os.TotalVirtualMemorySize
WScript.Echo " Total Visible Memory Size", os.TotalVisibleMemorySize
WScript.Echo ""
WScript.Echo "Time Info:"
WScript.Echo "========="
WScript.Echo " Current Time Zone: ", os.CurrentTimeZone
WScript.Echo " Install Date: ", os.InstallDate
WScript.Echo " Last Bootup Time: ", os.LastBootUpTime
WScript.Echo " Local Date & Time: ", os.LocalDateTime
WScript.Echo ""
WScript.Echo "Process Info:"
WScript.Echo "============"
WScript.Echo " Foreground App Boost: ", os.ForegroundApplicationBoost
WScript.Echo " Maximum #Processes: ", os.MaxNumberOfProcesses
WScript.Echo " Maximum Memory Size for Processes: ", os.MaxProcessMemorySize
WScript.Echo " #Processes: ", os.NumberOfProcesses
WScript.Echo ""
WScript.Echo "User Info:"
WScript.Echo "========="
WScript.Echo "#Users: ", os.NumberOfUsers
WScript.Echo "Registered User: ", os.RegisteredUser
WScript.Echo ""
WScript.Echo "Locale Info:"
WScript.Echo "==========="
WScript.Echo "Code Set: ", os.CodeSet
WScript.Echo "Country Code: ", os.CountryCode
WScript.Echo "Locale: ", os.Locale
WScript.Echo ""
WScript.Echo "System Info:"
WScript.Echo "==========="
WScript.Echo "Boot Device: ", os.BootDevice
WScript.Echo "Name: ", os.CSName
WScript.Echo "Status: ", os.Status
Wscript.Echo "System Device: ", os.SystemDevice
WScript.Echo "System Directory: ", os.SystemDirectory
WScript.Echo "Windows Directory: ", os.WindowsDirectory
next
|
<filename>Task/Loops-Break/VBScript/loops-break.vb
do
a = int( rnd * 20)
wscript.stdout.write a
if a = 10 then exit do
b = int( rnd * 20 )
wscript.echo vbnullstring,b
loop
dim i
for i = 1 to 100000
a = int( rnd * 20)
wscript.stdout.write a
if a = 10 then exit for
b = int( rnd * 20 )
wscript.echo vbnullstring,b
next
|
Function UninstallCab()
on error resume next
Const PRO_ID = "Windows Support Tools on Desktop"
CONST SERVER_ID = "Windows Support Tools"
Dim PCHUpdate
Dim Item
Dim strProductId
Set PCHUpdate = CreateObject("HCU.PCHUpdate")
if not PCHUpdate is nothing then
For Each Item in PCHUpdate.VersionList
If Item.ProductId = PRO_ID OR Item.ProductId = SERVER_ID Then
strProductId = Item.ProductId
Item.Uninstall
End If
Next
Set PCHUpdate = Nothing
end if
UninstallCab = 1
End Function
|
<filename>Task/Identity-matrix/VBScript/identity-matrix-1.vb
build_matrix(7)
Sub build_matrix(n)
Dim matrix()
ReDim matrix(n-1,n-1)
i = 0
'populate the matrix
For row = 0 To n-1
For col = 0 To n-1
If col = i Then
matrix(row,col) = 1
Else
matrix(row,col) = 0
End If
Next
i = i + 1
Next
'display the matrix
For row = 0 To n-1
For col = 0 To n-1
If col < n-1 Then
WScript.StdOut.Write matrix(row,col) & " "
Else
WScript.StdOut.Write matrix(row,col)
End If
Next
WScript.StdOut.WriteLine
Next
End Sub
|
Private Function nth_root(y As Double, n As Double)
Dim eps As Double: eps = 0.00000000000001 '-- relative accuracy
Dim x As Variant: x = 1
Do While True
d = (y / x ^ (n - 1) - x) / n
x = x + d
e = eps * x '-- absolute accuracy
If d > -e And d < e Then
Exit Do
End If
Loop
Debug.Print y; n; x; y ^ (1 / n)
End Function
Public Sub main()
nth_root 1024, 10
nth_root 27, 3
nth_root 2, 2
nth_root 5642, 125
nth_root 7, 0.5
nth_root 4913, 3
nth_root 8, 3
nth_root 16, 2
nth_root 16, 4
nth_root 125, 3
nth_root 1000000000, 3
nth_root 1000000000, 9
End Sub
|
<filename>Task/Empty-program/VBA/empty-program.vba
Sub Demo()
End Sub
|
<filename>Task/Update-a-configuration-file/VBScript/update-a-configuration-file.vb
Set objFSO = CreateObject("Scripting.FileSystemObject")
'Paramater lookups
Set objParamLookup = CreateObject("Scripting.Dictionary")
With objParamLookup
.Add "FAVOURITEFRUIT", "banana"
.Add "NEEDSPEELING", ""
.Add "SEEDSREMOVED", ""
.Add "NUMBEROFBANANAS", "1024"
.Add "NUMBEROFSTRAWBERRIES", "62000"
End With
'Open the config file for reading.
Set objInFile = objFSO.OpenTextFile(objFSO.GetParentFolderName(WScript.ScriptFullName) &_
"\IN_config.txt",1)
'Initialize output.
Output = ""
Isnumberofstrawberries = False
With objInFile
Do Until .AtEndOfStream
line = .ReadLine
If Left(line,1) = "#" Or line = "" Then
Output = Output & line & vbCrLf
ElseIf Left(line,1) = " " And InStr(line,"#") Then
Output = Output & Mid(line,InStr(1,line,"#"),1000) & vbCrLf
ElseIf Replace(Replace(line,";","")," ","") <> "" Then
If InStr(1,line,"FAVOURITEFRUIT",1) Then
Output = Output & "FAVOURITEFRUIT" & " " & objParamLookup.Item("FAVOURITEFRUIT") & vbCrLf
ElseIf InStr(1,line,"NEEDSPEELING",1) Then
Output = Output & "; " & "NEEDSPEELING" & vbCrLf
ElseIf InStr(1,line,"SEEDSREMOVED",1) Then
Output = Output & "SEEDSREMOVED" & vbCrLf
ElseIf InStr(1,line,"NUMBEROFBANANAS",1) Then
Output = Output & "NUMBEROFBANANAS" & " " & objParamLookup.Item("NUMBEROFBANANAS") & vbCrLf
ElseIf InStr(1,line,"NUMBEROFSTRAWBERRIES",1) Then
Output = Output & "NUMBEROFSTRAWBERRIES" & " " & objParamLookup.Item("NUMBEROFSTRAWBERRIES") & vbCrLf
Isnumberofstrawberries = True
End If
End If
Loop
If Isnumberofstrawberries = False Then
Output = Output & "NUMBEROFSTRAWBERRIES" & " " & objParamLookup.Item("NUMBEROFSTRAWBERRIES") & vbCrLf
Isnumberofstrawberries = True
End If
.Close
End With
'Create a new config file.
Set objOutFile = objFSO.OpenTextFile(objFSO.GetParentFolderName(WScript.ScriptFullName) &_
"\OUT_config.txt",2,True)
With objOutFile
.Write Output
.Close
End With
Set objFSO = Nothing
Set objParamLookup = Nothing
|
Function IsDirEmpty(path)
IsDirEmpty = False
Set objFSO = CreateObject("Scripting.FileSystemObject")
Set objFolder = objFSO.GetFolder(path)
If objFolder.Files.Count = 0 And objFolder.SubFolders.Count = 0 Then
IsDirEmpty = True
End If
End Function
'Test
WScript.StdOut.WriteLine IsDirEmpty("C:\Temp")
WScript.StdOut.WriteLine IsDirEmpty("C:\Temp\test")
|
<reponame>npocmaka/Windows-Server-2003
'//on error resume next
intLimit = Null
intThreshold = Null
set objArgs = wscript.Arguments
if objArgs.count < 3 then
wscript.echo "Usage createVolumeUserQuota volume domain user [limit threshold]"
wscript.quit(1)
end if
strVolume = Replace(objArgs(0), "\", "\\")
strDomain = objArgs(1)
strUser = objArgs(2)
if objArgs.count > 3 then
intLimit = objArgs(3)
intThreshold = objArgs(4)
end if
'// Get the volume
strQuery = "select * from Win32_Volume where Name = '" & strVolume & "'"
set objSet = GetObject("winmgmts:").ExecQuery(strQuery)
for each obj in objSet
set Volume = obj
exit for
next
wscript.echo "Volume: " & Volume.Name
'// Get the account
strQuery = "select * from Win32_Account where Domain = '" & strDomain & "' AND Name = '" & strUser & "'"
set objSet = GetObject("winmgmts:").ExecQuery(strQuery)
for each obj in objSet
set Account = obj
exit for
next
wscript.echo "Domain: " & Account.Domain & " Name: " & Account.Name
set VolumeUserQuota = GetObject("winmgmts:Win32_VolumeUserQuota").SpawnInstance_
VolumeUserQuota.Volume = Volume.Path_.RelPath
VolumeUserQuota.Account = Account.Path_.RelPath
'VolumeUserQuota.Account = "Win32_Account.Domain='BogusDomain',Name='BogusUser'"
if isNull(intLimit) = False Then
VolumeUserQuota.Limit = intLimit
end if
if isNull(intThreshold) = False Then
VolumeUserQuota.WarningLimit = intThreshold
end if
VolumeUserQuota.Put_
|
'http://rosettacode.org/wiki/Middle_three_digits
Function mid3n(n)
'Remove the number's sign.
n = CStr(Abs(n))
If Len(n) < 3 Or Len(n) Mod 2 = 0 Then
mid3n = "Invalid: Either the length of n < 3 or an even number."
ElseIf Round(Len(n)/2) > Len(n)/2 Then
mid3n = Mid(n,Round(Len(n)/2)-1,3)
Else
mid3n = Mid(n,Round(Len(n)/2),3)
End If
End Function
'Calling the function.
arrn = Array(123,12345,1234567,987654321,10001,-10001,-123,-100,100,-12345,_
1,2,-1,-10,2002,-2002,0)
For Each n In arrn
WScript.StdOut.Write n & ": " & mid3n(n)
WScript.StdOut.WriteLine
Next
|
Set objFSO = CreateObject("Scripting.FileSystemObject")
Set infile = objFSO.OpenTextFile(objFSO.GetParentFolderName(WScript.ScriptFullName) & "\" &_
"unixdict.txt",1)
list = ""
length = 0
Do Until inFile.AtEndOfStream
line = infile.ReadLine
If IsOrdered(line) Then
If Len(line) > length Then
length = Len(line)
list = line & vbCrLf
ElseIf Len(line) = length Then
list = list & line & vbCrLf
End If
End If
Loop
WScript.StdOut.Write list
Function IsOrdered(word)
IsOrdered = True
prev_val = 0
For i = 1 To Len(word)
If i = 1 Then
prev_val = Asc(Mid(word,i,1))
ElseIf Asc(Mid(word,i,1)) >= prev_val Then
prev_val = Asc(Mid(word,i,1))
Else
IsOrdered = False
Exit For
End If
Next
End Function
|
<filename>net/unimodem/tools/src/notepad.frm<gh_stars>10-100
VERSION 5.00
Begin VB.Form frmNotePad
Caption = "Untitled"
ClientHeight = 3990
ClientLeft = 1515
ClientTop = 3315
ClientWidth = 5670
BeginProperty Font
Name = "<NAME>"
Size = 8.25
Charset = 0
Weight = 700
Underline = 0 'False
Italic = 0 'False
Strikethrough = 0 'False
EndProperty
LinkTopic = "Form1"
ScaleHeight = 3990
ScaleMode = 0 'User
ScaleWidth = 101.07
Begin VB.TextBox Text1
Height = 3975
HideSelection = 0 'False
Left = 0
MultiLine = -1 'True
ScrollBars = 2 'Vertical
TabIndex = 0
Top = 0
Width = 5655
End
Begin VB.Menu mnuFile
Caption = "&File"
Begin VB.Menu mnuFileNew
Caption = "&New"
End
Begin VB.Menu mnuFileOpen
Caption = "&Open..."
End
Begin VB.Menu mnuFileClose
Caption = "&Close"
End
Begin VB.Menu mnuFileSave
Caption = "&Save"
End
Begin VB.Menu mnuFileSaveAs
Caption = "Save &As..."
End
Begin VB.Menu mnuFSep
Caption = "-"
End
Begin VB.Menu mnuFileExit
Caption = "E&xit"
End
Begin VB.Menu mnuRecentFile
Caption = "-"
Index = 0
Visible = 0 'False
End
Begin VB.Menu mnuRecentFile
Caption = "RecentFile1"
Index = 1
Visible = 0 'False
End
Begin VB.Menu mnuRecentFile
Caption = "RecentFile2"
Index = 2
Visible = 0 'False
End
Begin VB.Menu mnuRecentFile
Caption = "RecentFile3"
Index = 3
Visible = 0 'False
End
Begin VB.Menu mnuRecentFile
Caption = "RecentFile4"
Index = 4
Visible = 0 'False
End
Begin VB.Menu mnuRecentFile
Caption = "RecentFile5"
Index = 5
Visible = 0 'False
End
End
Begin VB.Menu mnuEdit
Caption = "&Edit"
Begin VB.Menu mnuEditCut
Caption = "Cu&t"
Shortcut = ^X
End
Begin VB.Menu mnuEditCopy
Caption = "&Copy"
Shortcut = ^C
End
Begin VB.Menu mnuEditPaste
Caption = "&Paste"
Shortcut = ^V
End
Begin VB.Menu mnuEditDelete
Caption = "De&lete"
Shortcut = {DEL}
End
Begin VB.Menu mnuESep1
Caption = "-"
End
Begin VB.Menu mnuEditSelectAll
Caption = "Select &All"
End
Begin VB.Menu mnuEditTime
Caption = "Time/&Date"
End
End
Begin VB.Menu mnuSearch
Caption = "&Search"
Begin VB.Menu mnuSearchFind
Caption = "&Find"
End
Begin VB.Menu mnuSearchFindNext
Caption = "Find &Next"
Shortcut = {F3}
End
End
Begin VB.Menu mnuOptions
Caption = "&Options"
Begin VB.Menu mnuFont
Caption = "&Font"
Begin VB.Menu mnuFontName
Caption = "FontName"
Index = 0
End
End
End
End
Attribute VB_Name = "frmNotePad"
Attribute VB_GlobalNameSpace = False
Attribute VB_Creatable = False
Attribute VB_PredeclaredId = True
Attribute VB_Exposed = False
'*** Child form for the MDI Notepad sample application ***
'**********************************************************
Option Explicit
Private Sub Form_Load()
Dim i As Integer ' Counter variable.
' Assign the name of the first font to a font
' menu entry, then loop through the fonts
' collection, adding them to the menu
mnuFontName(0).Caption = Screen.Fonts(0)
For i = 1 To Screen.FontCount - 1
Load mnuFontName(i)
mnuFontName(0).Caption = Screen.Fonts(i)
Next
End Sub
Private Sub Form_QueryUnload(Cancel As Integer, UnloadMode As Integer)
Dim strMsg As String
Dim strFilename As String
Dim intResponse As Integer
' Check to see if the text has been changed.
If FState(Me.Tag).Dirty Then
strFilename = Me.Caption
strMsg = "The text in [" & strFilename & "] has changed."
strMsg = strMsg & vbCrLf
strMsg = strMsg & "Do you want to save the changes?"
intResponse = MsgBox(strMsg, 51, fMainForm.Caption)
Select Case intResponse
Case 6 ' User chose Yes.
If Left(Me.Caption, 8) = "Untitled" Then
' The file hasn't been saved yet.
strFilename = "untitled.txt"
' Get the strFilename, and then call the save procedure, GetstrFilename.
strFilename = GetFileName(strFilename)
Else
' The form's Caption contains the name of the open file.
strFilename = Me.Caption
End If
' Call the save procedure. If strFilename = Empty, then
' the user chose Cancel in the Save As dialog box; otherwise,
' save the file.
If strFilename <> "" Then
SaveFileAs strFilename
End If
Case 7 ' User chose No. Unload the file.
Cancel = False
Case 2 ' User chose Cancel. Cancel the unload.
Cancel = True
End Select
End If
End Sub
Private Sub Form_Resize()
' Expand text box to fill the current child form's internal area.
Text1.Height = ScaleHeight
Text1.Width = ScaleWidth
End Sub
Private Sub Form_Unload(Cancel As Integer)
' Show the current form instance as deleted
FState(Me.Tag).Deleted = True
' Hide the toolbar edit buttons if no notepad windows exist.
If Not AnyPadsLeft() Then
fMainForm.imgCutButton.Visible = False
fMainForm.imgCopyButton.Visible = False
fMainForm.imgPasteButton.Visible = False
' Toggle the public tool state variable
gToolsHidden = True
' Call the recent file list procedure
GetRecentFiles
End If
End Sub
Private Sub mnuEditCopy_Click()
' Call the copy procedure
EditCopyProc
End Sub
Private Sub mnuEditCut_Click()
' Call the cut procedure
EditCutProc
End Sub
Private Sub mnuEditDelete_Click()
' If the mouse pointer is not at the end of the notepad...
If Screen.ActiveControl.SelStart <> Len(Screen.ActiveControl.Text) Then
' If nothing is selected, extend the selection by one.
If Screen.ActiveControl.SelLength = 0 Then
Screen.ActiveControl.SelLength = 1
' If the mouse pointer is on a blank line, extend the selection by two.
If Asc(Screen.ActiveControl.SelText) = 13 Then
Screen.ActiveControl.SelLength = 2
End If
End If
' Delete the selected text.
Screen.ActiveControl.SelText = ""
End If
End Sub
Private Sub mnuEditPaste_Click()
' Call the paste procedure.
EditPasteProc
End Sub
Private Sub mnuEditSelectAll_Click()
' Use SelStart & SelLength to select the text.
fMainForm.ActiveForm.Text1.SelStart = 0
fMainForm.ActiveForm.Text1.SelLength = Len(fMainForm.ActiveForm.Text1.Text)
End Sub
Private Sub mnuEditTime_Click()
' Insert the current time and date.
Text1.SelText = Now
End Sub
Private Sub mnuFileClose_Click()
' Unload this form.
Unload Me
End Sub
Private Sub mnuFileExit_Click()
' Unloading the MDI form invokes the QueryUnload event
' for each child form, and then the MDI form.
' Setting the Cancel argument to True in any of the
' QueryUnload events cancels the unload.
Unload fMainForm
End Sub
Private Sub mnuFileNew_Click()
' Call the new form procedure
FileNew
End Sub
Private Sub mnuFontName_Click(index As Integer)
' Assign the selected font to the textbox fontname property.
Text1.FontName = mnuFontName(index).Caption
End Sub
Private Sub mnuFileOpen_Click()
' Call the file open procedure.
FileOpenProc
End Sub
Private Sub mnuFileSave_Click()
Dim strFilename As String
If Left(Me.Caption, 8) = "Untitled" Then
' The file hasn't been saved yet.
' Get the filename, and then call the save procedure, GetFileName.
strFilename = GetFileName(strFilename)
Else
' The form's Caption contains the name of the open file.
strFilename = Me.Caption
End If
' Call the save procedure. If Filename = Empty, then
' the user chose Cancel in the Save As dialog box; otherwise,
' save the file.
If strFilename <> "" Then
SaveFileAs strFilename
End If
End Sub
Private Sub mnuFileSaveAs_Click()
Dim strSaveFileName As String
Dim strDefaultName As String
' Assign the form caption to the variable.
strDefaultName = Me.Caption
If Left(Me.Caption, 8) = "Untitled" Then
' The file hasn't been saved yet.
' Get the filename, and then call the save procedure, strSaveFileName.
strSaveFileName = GetFileName("Untitled.txt")
If strSaveFileName <> "" Then SaveFileAs (strSaveFileName)
' Update the list of recently opened files in the File menu control array.
UpdateFileMenu (strSaveFileName)
Else
' The form's Caption contains the name of the open file.
strSaveFileName = GetFileName(strDefaultName)
If strSaveFileName <> "" Then SaveFileAs (strSaveFileName)
' Update the list of recently opened files in the File menu control array.
UpdateFileMenu (strSaveFileName)
End If
End Sub
Private Sub mnuOptions_Click()
' Toggle the Checked property to match the .Visible property.
' mnuOptionsToolbar.Checked = fMainForm.picToolbar.Visible
End Sub
Private Sub mnuOptionsToolbar_Click()
' Call the toolbar procedure, passing a reference
' to this form instance.
OptionsToolbarProc Me
End Sub
Private Sub mnuRecentFile_Click(index As Integer)
' Call the file open procedure, passing a
' reference to the selected file name
OpenFile (mnuRecentFile(index).Caption)
' Update the list of recently opened files in the File menu control array.
GetRecentFiles
End Sub
Private Sub mnuSearchFind_Click()
' If there is text in the textbox, assign it to
' the textbox on the Find form, otherwise assign
' the last findtext value.
If Me.Text1.SelText <> "" Then
frmFind.Text1.Text = Me.Text1.SelText
Else
frmFind.Text1.Text = gFindString
End If
' Set the public variable to start at the beginning.
gFirstTime = True
' Set the case checkbox to match the public variable
If (gFindCase) Then
frmFind.chkCase = 1
End If
' Display the Find form.
frmFind.Show vbModal
End Sub
Private Sub mnuSearchFindNext_Click()
' If the public variable isn't empty, call the
' find procedure, otherwise call the find menu
If Len(gFindString) > 0 Then
FindIt
Else
mnuSearchFind_Click
End If
End Sub
Private Sub mnuWindowArrange_Click()
' Arrange the icons for any minimzied child forms.
fMainForm.Arrange vbArrangeIcons
End Sub
Private Sub mnuWindowCascade_Click()
' Cascade the child forms.
fMainForm.Arrange vbCascade
End Sub
Private Sub mnuWindowTile_Click()
' Tile the child forms.
fMainForm.Arrange vbTileHorizontal
End Sub
Private Sub Text1_Change()
' Set the public variable to show that text has changed.
FState(Me.Tag).Dirty = True
End Sub
|
110 IF 12 > 11 THEN PRINT "ok1"
120 IF 12 < 11 THEN PRINT "fail1"
130 IF 12 < 11 THEN PRINT "fail2"
140 IF 12 > 11 THEN PRINT "ok2"
|
<filename>admin/pchealth/upload/client/uploadmanager/unittest/test3_deletealljobs.vbs
'Stop
Set obj = CreateObject( "UploadManager.MPCUpload" )
For Each job In obj
wscript.echo job.jobID
job.Delete
Next
|
<reponame>LaudateCorpus1/RosettaCodeData
' Read a file line by line
Sub Main()
Dim fInput As String, fOutput As String 'File names
Dim sInput As String, sOutput As String 'Lines
fInput = "input.txt"
fOutput = "output.txt"
Open fInput For Input As #1
Open fOutput For Output As #2
While Not EOF(1)
Line Input #1, sInput
sOutput = Process(sInput) 'do something
Print #2, sOutput
Wend
Close #1
Close #2
End Sub 'Main
|
VERSION 5.00
Begin VB.Form frmMain
BorderStyle = 1 'Fixed Single
Caption = "SDK BVT ( wbem 494 : opal > 1035 )"
ClientHeight = 3660
ClientLeft = 45
ClientTop = 330
ClientWidth = 6630
Icon = "frmMain.frx":0000
LinkTopic = "Form1"
MaxButton = 0 'False
ScaleHeight = 3660
ScaleWidth = 6630
StartUpPosition = 3 'Windows Default
Begin VB.CommandButton cmdModInfo
Caption = "Module &Info >"
Height = 375
Left = 1860
TabIndex = 22
Top = 2160
Width = 1335
End
Begin VB.Frame fraLoop
Caption = "&Looping"
Height = 1635
Left = 60
TabIndex = 14
Top = 1980
Width = 1635
Begin VB.OptionButton rdoLoopInf
Caption = "Infinite"
Height = 195
Left = 180
TabIndex = 23
Top = 540
Width = 1035
End
Begin VB.TextBox txtLoop
BackColor = &H8000000F&
Enabled = 0 'False
Height = 285
Left = 240
TabIndex = 18
Top = 1260
Width = 1275
End
Begin VB.OptionButton rdoLoopIter
Caption = "Iterations"
Height = 195
Left = 180
TabIndex = 17
Top = 1020
Width = 1095
End
Begin VB.OptionButton rdoLoopMin
Caption = "Minutes"
Enabled = 0 'False
Height = 195
Left = 180
TabIndex = 16
Top = 780
Width = 1035
End
Begin VB.OptionButton rdoLoopDis
Caption = "Disabled"
Height = 195
Left = 180
TabIndex = 15
Top = 300
Value = -1 'True
Width = 975
End
End
Begin VB.CommandButton cmdGo
Default = -1 'True
Height = 915
Left = 1860
Picture = "frmMain.frx":0442
Style = 1 'Graphical
TabIndex = 21
Top = 2640
Width = 1335
End
Begin VB.Frame fraModules
Caption = "&Modules"
Height = 3555
Left = 3360
TabIndex = 19
Top = 60
Width = 3195
Begin VB.ListBox lstModules
Height = 3195
IntegralHeight = 0 'False
Left = 120
Style = 1 'Checkbox
TabIndex = 20
Top = 240
Width = 2955
End
End
Begin VB.Frame fraConfig
Caption = "&Configuration"
Height = 1875
Left = 60
TabIndex = 0
Top = 60
Width = 3195
Begin VB.CheckBox chkAuthority
Caption = "Null"
Height = 195
Left = 960
TabIndex = 12
Top = 1500
Value = 1 'Checked
Width = 615
End
Begin VB.CheckBox chkPassword
Caption = "Null"
Height = 195
Left = 960
TabIndex = 9
Top = 1200
Value = 1 'Checked
Width = 615
End
Begin VB.CheckBox chkUserid
Caption = "Null"
Height = 195
Left = 960
TabIndex = 6
Top = 900
Value = 1 'Checked
Width = 615
End
Begin VB.TextBox txtAuthority
BackColor = &H8000000F&
Enabled = 0 'False
Height = 285
Left = 1560
TabIndex = 13
Top = 1440
Width = 1515
End
Begin VB.TextBox txtPassword
BackColor = &H8000000F&
Enabled = 0 'False
Height = 285
IMEMode = 3 'DISABLE
Left = 1560
PasswordChar = "*"
TabIndex = 10
Top = 1140
Width = 1515
End
Begin VB.TextBox txtUserid
BackColor = &H8000000F&
Enabled = 0 'False
Height = 285
Left = 1560
TabIndex = 7
Top = 840
Width = 1515
End
Begin VB.TextBox txtServer
Height = 285
Left = 1560
TabIndex = 2
Top = 240
Width = 1515
End
Begin VB.TextBox txtSitecode
Height = 285
Left = 1560
MaxLength = 3
TabIndex = 4
Top = 540
Width = 1515
End
Begin VB.Label lblAuthority
AutoSize = -1 'True
Caption = "Authority:"
Height = 195
Left = 120
TabIndex = 11
Top = 1500
Width = 660
End
Begin VB.Label lblPassword
AutoSize = -1 'True
Caption = "Password:"
Height = 195
Left = 120
TabIndex = 8
Top = 1200
Width = 735
End
Begin VB.Label lblUserid
AutoSize = -1 'True
Caption = "UserID:"
Height = 195
Left = 120
TabIndex = 5
Top = 900
Width = 540
End
Begin VB.Label lblServer
AutoSize = -1 'True
Caption = "Provider Machine:"
Height = 195
Left = 120
TabIndex = 1
Top = 300
Width = 1290
End
Begin VB.Label lblSitecode
AutoSize = -1 'True
Caption = "Site code:"
Height = 195
Left = 120
TabIndex = 3
Top = 600
Width = 720
End
End
End
Attribute VB_Name = "frmMain"
Attribute VB_GlobalNameSpace = False
Attribute VB_Creatable = False
Attribute VB_PredeclaredId = True
Attribute VB_Exposed = False
Option Explicit
Public canceled As Boolean
Private Sub Form_Load()
canceled = False
InstallModules
lstModules.ListIndex = -1
End Sub
Private Sub chkAuthority_Click()
If chkAuthority.Value = 0 Then
txtAuthority.Enabled = True
txtAuthority.BackColor = &H80000005
txtAuthority.SetFocus
Else
txtAuthority.Enabled = False
txtAuthority.BackColor = &H8000000F
End If
End Sub
Private Sub chkPassword_Click()
If chkPassword.Value = 0 Then
txtPassword.Enabled = True
txtPassword.BackColor = &H80000005
txtPassword.SetFocus
Else
txtPassword.Enabled = False
txtPassword.BackColor = &H8000000F
End If
End Sub
Private Sub chkUserid_Click()
If chkUserid.Value = 0 Then
txtUserid.Enabled = True
txtUserid.BackColor = &H80000005
txtUserid.SetFocus
Else
txtUserid.Enabled = False
txtUserid.BackColor = &H8000000F
End If
End Sub
Private Sub cmdGo_Click()
Open "\bvtlog.txt" For Output As #1
Print #1, "** BVT Started **" & vbCrLf & vbCrLf
Close #1
If rdoLoopDis.Value Then
frmTest.Run
ElseIf rdoLoopInf.Value Then
Do
frmTest.Run
Dim f As New frmPause
f.Show 1
If canceled Then
canceled = False
Exit Do
End If
Loop
ElseIf rdoLoopMin.Value Then
ElseIf rdoLoopIter.Value Then
Dim i As Integer
For i = 1 To val(txtLoop.text)
frmTest.Run
Dim g As New frmPause
g.Show 1
If canceled Then
canceled = False
Exit For
End If
Next i
End If
End Sub
Private Sub cmdModInfo_Click()
If lstModules.ListIndex >= 0 Then
Dim s As String
s = Modules(lstModules.List(lstModules.ListIndex)).GetModuleInfo
Dim f As New frmObjText
f.Caption = "Module info for: " & lstModules.List(lstModules.ListIndex)
f.txtMain.text = s
f.Show
End If
End Sub
Private Sub InstallModules()
Modules.Add New SoftDist, "Software Distribution"
Modules.Add New SiteCtrl, "Site Control File"
Modules.Add New Methods, "Methods"
Modules.Add New Queries, "Queries"
Modules.Add New PDF, "Package Definition Files"
End Sub
Private Sub rdoLoopDis_Click()
txtLoop.Enabled = False
txtLoop.BackColor = &H8000000F
End Sub
Private Sub rdoLoopInf_Click()
txtLoop.Enabled = False
txtLoop.BackColor = &H8000000F
End Sub
Private Sub rdoLoopIter_Click()
txtLoop.Enabled = True
txtLoop.BackColor = &H80000005
End Sub
Private Sub rdoLoopMin_Click()
txtLoop.Enabled = True
txtLoop.BackColor = &H80000005
End Sub
|
<reponame>wtywtykk/STM32Framework_SaltyProject<gh_stars>1-10
Attribute VB_Name = "ModBitOp"
Option Explicit
Public Declare Function SHL8 Lib "BitOp.dll" (ByVal Val As Byte, ByVal Shift As Long) As Byte
Public Declare Function SHR8 Lib "BitOp.dll" (ByVal Val As Byte, ByVal Shift As Long) As Byte
Public Declare Function SHL16 Lib "BitOp.dll" (ByVal Val As Integer, ByVal Shift As Long) As Integer
Public Declare Function SHR16 Lib "BitOp.dll" (ByVal Val As Integer, ByVal Shift As Long) As Integer
Public Declare Function SHL32 Lib "BitOp.dll" (ByVal Val As Long, ByVal Shift As Long) As Long
Public Declare Function SHR32 Lib "BitOp.dll" (ByVal Val As Long, ByVal Shift As Long) As Long
Public Declare Function GetU16U8 Lib "BitOp.dll" (ByVal Val As Integer, ByVal Index As Long) As Byte
Public Declare Function SetU16U8 Lib "BitOp.dll" (ByVal Val16 As Integer, ByVal Val8 As Byte, ByVal Index As Long) As Integer
Public Declare Function GetU32U8 Lib "BitOp.dll" (ByVal Val As Long, ByVal Index As Long) As Byte
Public Declare Function SetU32U8 Lib "BitOp.dll" (ByVal Val32 As Long, ByVal Val8 As Byte, ByVal Index As Long) As Long
Public Declare Function GetU32U16 Lib "BitOp.dll" (ByVal Val As Long, ByVal Index As Long) As Integer
Public Declare Function SetU32U16 Lib "BitOp.dll" (ByVal Val32 As Long, ByVal Val16 As Integer, ByVal Index As Long) As Long
Public Declare Function SwitchU16Edition Lib "BitOp.dll" (ByVal Val As Integer) As Integer
Public Declare Function SwitchU32Edition Lib "BitOp.dll" (ByVal Val As Long) As Long
Public Declare Function CheckU8Bit Lib "BitOp.dll" (ByVal Val As Byte, ByVal Index As Long) As Boolean
Public Declare Function CheckU16Bit Lib "BitOp.dll" (ByVal Val As Integer, ByVal Index As Long) As Boolean
Public Declare Function CheckU32Bit Lib "BitOp.dll" (ByVal Val As Long, ByVal Index As Long) As Boolean
Public Declare Function U8Add Lib "BitOp.dll" (ByVal Val1 As Byte, ByVal Val2 As Byte) As Byte
Public Declare Function U8Sub Lib "BitOp.dll" (ByVal Val1 As Byte, ByVal Val2 As Byte) As Byte
Public Declare Function U8Cmp Lib "BitOp.dll" (ByVal Val1 As Byte, ByVal Val2 As Byte) As Long
Public Declare Function U16Add Lib "BitOp.dll" (ByVal Val1 As Integer, ByVal Val2 As Integer) As Integer
Public Declare Function U16Sub Lib "BitOp.dll" (ByVal Val1 As Integer, ByVal Val2 As Integer) As Integer
Public Declare Function U16Cmp Lib "BitOp.dll" (ByVal Val1 As Integer, ByVal Val2 As Integer) As Long
Public Declare Function U32Add Lib "BitOp.dll" (ByVal Val1 As Long, ByVal Val2 As Long) As Long
Public Declare Function U32Sub Lib "BitOp.dll" (ByVal Val1 As Long, ByVal Val2 As Long) As Long
Public Declare Function U32Cmp Lib "BitOp.dll" (ByVal Val1 As Long, ByVal Val2 As Long) As Long
Public Function GetRGB(ByVal Color As Long) As Byte()
Dim Result(3) As Byte
Result(0) = GetU32U8(Color, 0) 'R
Result(1) = GetU32U8(Color, 1) 'G
Result(2) = GetU32U8(Color, 2) 'B
Result(3) = GetU32U8(Color, 3) 'A
GetRGB = Result()
End Function
|
Option Base 1
Private Function norm(q As Variant) As Double
norm = Sqr(WorksheetFunction.SumSq(q))
End Function
Private Function negative(q) As Variant
Dim res(4) As Double
For i = 1 To 4
res(i) = -q(i)
Next i
negative = res
End Function
Private Function conj(q As Variant) As Variant
Dim res(4) As Double
res(1) = q(1)
For i = 2 To 4
res(i) = -q(i)
Next i
conj = res
End Function
Private Function addr(r As Double, q As Variant) As Variant
Dim res As Variant
res = q
res(1) = r + q(1)
addr = res
End Function
Private Function add(q1 As Variant, q2 As Variant) As Variant
add = WorksheetFunction.MMult(Array(1, 1), Array(q1, q2))
End Function
Private Function multr(r As Double, q As Variant) As Variant
multr = WorksheetFunction.MMult(r, q)
End Function
Private Function mult(q1 As Variant, q2 As Variant)
Dim res(4) As Double
res(1) = q1(1) * q2(1) - q1(2) * q2(2) - q1(3) * q2(3) - q1(4) * q2(4)
res(2) = q1(1) * q2(2) + q1(2) * q2(1) + q1(3) * q2(4) - q1(4) * q2(3)
res(3) = q1(1) * q2(3) - q1(2) * q2(4) + q1(3) * q2(1) + q1(4) * q2(2)
res(4) = q1(1) * q2(4) + q1(2) * q2(3) - q1(3) * q2(2) + q1(4) * q2(1)
mult = res
End Function
Private Sub quats(q As Variant)
Debug.Print q(1); IIf(q(2) < 0, " - " & Abs(q(2)), " + " & q(2));
Debug.Print IIf(q(3) < 0, "i - " & Abs(q(3)), "i + " & q(3));
Debug.Print IIf(q(4) < 0, "j - " & Abs(q(4)), "j + " & q(4)); "k"
End Sub
Public Sub quaternions()
q = [{ 1, 2, 3, 4}]
q1 = [{2, 3, 4, 5}]
q2 = [{3, 4, 5, 6}]
Dim r_ As Double
r_ = 7#
Debug.Print "q = ";: quats q
Debug.Print "q1 = ";: quats q1
Debug.Print "q2 = ";: quats q2
Debug.Print "r = "; r_
Debug.Print "norm(q) = "; norm(q)
Debug.Print "negative(q) = ";: quats negative(q)
Debug.Print "conjugate(q) = ";: quats conj(q)
Debug.Print "r + q = ";: quats addr(r_, q)
Debug.Print "q1 + q2 = ";: quats add(q1, q2)
Debug.Print "q * r = ";: quats multr(r_, q)
Debug.Print "q1 * q2 = ";: quats mult(q1, q2)
Debug.Print "q2 * q1 = ";: quats mult(q2, q1)
End Sub
|
Sub Demo()
Dim arr
arr = Array(1, 2, 3, 4, 5, 6, 7, 8, 9, 10)
Debug.Print "sum : " & Application.WorksheetFunction.Sum(arr)
Debug.Print "product : " & Application.WorksheetFunction.Product(arr)
End Sub
|
Dim tihead As TreeItem
Private Function Add(v As Integer, left As TreeItem, right As TreeItem) As TreeItem
Dim x As New TreeItem
x.Value = v
Set x.LeftChild = left
Set x.RightChild = right
Set Add = x
End Function
Private Sub Init()
Set tihead = Add(1, _
Add(2, _
Add(4, _
Add(7, Nothing, Nothing), _
Nothing), _
Add(5, Nothing, Nothing)), _
Add(3, _
Add(6, _
Add(8, Nothing, Nothing), _
Add(9, Nothing, Nothing)), _
Nothing))
End Sub
Private Sub InOrder(ti As TreeItem)
If Not ti Is Nothing Then
Call InOrder(ti.LeftChild)
Debug.Print ti.Value;
Call InOrder(ti.RightChild)
End If
End Sub
Private Sub PreOrder(ti As TreeItem)
If Not ti Is Nothing Then
Debug.Print ti.Value;
Call PreOrder(ti.LeftChild)
Call PreOrder(ti.RightChild)
End If
End Sub
Private Sub PostOrder(ti As TreeItem)
If Not ti Is Nothing Then
Call PostOrder(ti.LeftChild)
Call PostOrder(ti.RightChild)
Debug.Print ti.Value;
End If
End Sub
Private Sub LevelOrder(ti As TreeItem)
Dim queue As Object
Set queue = CreateObject("System.Collections.Queue")
queue.Enqueue ti
Do While (queue.Count > 0)
Set next_ = queue.Dequeue
Debug.Print next_.Value;
If Not next_.LeftChild Is Nothing Then queue.Enqueue next_.LeftChild
If Not next_.RightChild Is Nothing Then queue.Enqueue next_.RightChild
Loop
End Sub
Public Sub Main()
Init
Debug.Print "preorder: ";
Call PreOrder(tihead)
Debug.Print vbCrLf; "inorder: ";
Call InOrder(tihead)
Debug.Print vbCrLf; "postorder: ";
Call PostOrder(tihead)
Debug.Print vbCrLf; "level-order: ";
Call LevelOrder(tihead)
End Sub
|
<reponame>npocmaka/Windows-Server-2003
' Copy the Terminal Services ActiveX control since we don't build it, but need it for private builds
strReleasePath = "\\dsys-rel-fre\release\usa"
strCabFile = "msrdp.cab"
Set fileSystem = CreateObject ("Scripting.FileSystemObject")
Set releaseFolder = fileSystem.GetFolder(strReleasePath)
'Get the directory for the latest build
'This gets the latest build directory assuming the folders are listed alphabetically
Set subFolders = releaseFolder.SubFolders
For Each subFolder in subFolders
name = subFolder.Name
If InStr(1, name, "x86fre") Then
strBuild = name
End If
Next
strReleasePath = strReleasePath & "\" & strBuild & "\"
Set WshShell = CreateObject("WScript.Shell")
strPostBuildDir = WshShell.ExpandEnvironmentStrings("%_NTPOSTBLD%")
'For some reason, I get an Access Denied message if I try to copy the file programmatically,
'so I create a batch file to copy the cab
If fileSystem.FileExists(strReleasePath & strCabFile) Then
Set batchFile = fileSystem.CreateTextFile("CopyMsrdp.bat", True)
batchFile.WriteLine("copy " & strReleasePath & strCabFile & " " & strPostBuildDir)
batchFile.Close
Else
wscript.echo "File does NOT Exist: " & strReleasePath & strCabFile
End If
|
Public Sub foodemo()
'declare and create separately
Dim f As Foo
Dim f0 As Foo
Set f = New Foo
'set property
f.Bar = 25
'call method
f.DoubleBar
'alternative
Call f.DoubleBar
Debug.Print "f.Bar is "; f.Bar
Debug.Print "Five times f.Bar is "; f.MultiplyBar(5)
'declare and create at the same time
Dim f2 As New Foo
Debug.Print "f2.Bar is "; f2.Bar 'prints default value
'destroy an object
Set f = Nothing
'create an object or not, depending on a random number:
If Rnd() < 0.5 Then
Set f0 = New Foo
End If
'check if object actually exists
If f0 Is Nothing Then
Debug.Print "object f0 does not exist"
Else
Debug.Print "object f0 was created"
End If
'at the end of execution all remaining objects created in this sub will be released.
'this will trigger one or two "object destroyed" messages
'depending on whether f0 was created...
End Sub
|
' docopy.vbs
' This script (re)calculates the build number, creates a directory on the target machine
' with that build number as a directory name, then copies all the files identified in the
' filecopy.dat file to the destinations noted there.
BUILDDEST = "\\b11nlbuilds\sapi5"
BUILDER = "spgbld"
BUILDMAIL = "spgmake"
on error resume next
' VerifyPath takes the destination from the filecopy.dat, as modified to include BUILDDEST
' and the build number, and looks to see if the destination actually exists. It starts from
' the root of the name, BUILDDEST, and checks at each node to see if that folder exists; if
' not, it creates that folder, then continues down the destination name.
sub VerifyPath(pathname)
if right(pathname,1) <> "\" then 'strip off filename, if any
beginfname = InStrRev(pathname, "\")
pathname = left(pathname,len(pathname) - beginfname)
end if
lasttry = instr(pathname, BUILDDEST) + len(BUILDDEST) ' offset for known directory
do while lasttry < (len(pathname) - 1)
ptr = InStr(lasttry + 2, pathname, "\") - 1 'end of path component
trial = left(pathname, ptr)
if not fso.FolderExists(trial) then
fso.CreateFolder(trial)
end if
lasttry = ptr
loop
end sub
set wso = CreateObject("WScript.Shell")
USER = wso.ExpandEnvironmentStrings("%USERNAME%")
SAPIROOT = wso.ExpandEnvironmentStrings("%SAPIROOT%")
' The following if..then ensures that only the official builder will do
' the copy functions through the buildall command file. If the username
' in the environment is not that of the official builder, the loop will
' not be executed.
if USER = BUILDER then
set fso = CreateObject("Scripting.FileSystemObject")
' The following retrieves the build number from the
' currver.inc file that is generated by the build process
set verfile = fso.GetFile(SAPIROOT & "\build\currver.inc")
set fstream = verfile.OpenAsTextStream
do while fstream.AtEndOfStream <> True
linein = fstream.ReadLine
if left(linein, 15) = "#define VERSION" then
BuildNum = left(right(linein, 5), 4)
end if
loop
fstream.Close()
' Now read the data file to find out which files to copy and
' where to put them.
set file = fso.GetFile(SAPIROOT & "\builder\filecopy.dat")
set fstream = file.OpenAsTextStream
do while fstream.AtEndOfStream <> True
linein = fstream.ReadLine
if left(linein, 1) <> "#" then
fromto = split(linein, ",")
filefrom = SAPIROOT & "\" & trim(fromto(0))
fileto = BUILDDEST & "\" & BuildNum & "\" & trim(fromto(1))
VerifyPath(fileto)
fso.CopyFile filefrom, fileto
if err.number <> 0 then
wscript.echo "Error: " & err.description & ": copying " & filefrom & " to " & fileto
err.clear
end if
end if
loop
fstream.Close()
' Now an xcopy to get all the source & pdbs
wso.Run("xcopy /s /i " & SAPIROOT & "\src\*.cpp " & BUILDDEST & "\" & BuildNum & "\src")
wso.Run("xcopy /s /i " & SAPIROOT & "\src\*.h " & BUILDDEST & "\" & BuildNum & "\src")
wso.Run("xcopy /s /i " & SAPIROOT & "\src\*.pdb " & BUILDDEST & "\" & BuildNum & "\src")
wso.Run("xcopy /s /i " & SAPIROOT & "\QA\*.cpp " & BUILDDEST & "\" & BuildNum & "\src\QA")
wso.Run("xcopy /s /i " & SAPIROOT & "\QA\*.h " & BUILDDEST & "\" & BuildNum & "\src\QA")
wso.Run("xcopy /s /i " & SAPIROOT & "\QA\*.pdb " & BUILDDEST & "\" & BuildNum & "\src\QA")
' Clean up attributes on copied files
wso.Run("attrib -r -h " & BUILDDEST & "\" & BuildNum & " /s")
' Get rid of slm.ini files from copied files
wso.Run("del /s " & BUILDDEST & "\" & BuildNum & "\slm.ini")
else
permstring = "You are not the official builder, therefore your build "
permstring = permstring & "will not be copied to the build repository. Please look "
permstring = permstring & "for your result binaries in the appropriate directories."
msgbox permstring, , "SPG Build Process"
end if
|
<filename>admin/darwin/src/msitools/scripts/widiffdb.vbs
' Windows Installer utility to report the differences between two databases
' For use with Windows Scripting Host, CScript.exe only, lists to stdout
' Copyright (c) Microsoft Corporation. All rights reserved.
' Simply generates a transform between the databases and then view the transform
'
Option Explicit
Const icdLong = 0
Const icdShort = &h400
Const icdObject = &h800
Const icdString = &hC00
Const icdNullable = &h1000
Const icdPrimaryKey = &h2000
Const icdNoNulls = &h0000
Const icdPersistent = &h0100
Const icdTemporary = &h0000
Const msiOpenDatabaseModeReadOnly = 0
Const msiOpenDatabaseModeTransact = 1
Const msiOpenDatabaseModeCreate = 3
Const iteViewTransform = 256
If Wscript.Arguments.Count < 2 Then
Wscript.Echo "Windows Installer database difference utility" &_
vbNewLine & " Generates a temporary transform file, then display it" &_
vbNewLine & " 1st argument is the path to the original installer database" &_
vbNewLine & " 2nd argument is the path to the updated installer database" &_
vbNewLine &_
vbNewLine & "Copyright (C) Microsoft Corporation. All rights reserved."
Wscript.Quit 1
End If
' Cannot run with GUI script host, as listing is performed to standard out
If UCase(Mid(Wscript.FullName, Len(Wscript.Path) + 2, 1)) = "W" Then
WScript.Echo "Cannot use WScript.exe - must use CScript.exe with this program"
Wscript.Quit 2
End If
' Connect to Windows Installer object
On Error Resume Next
Dim installer : Set installer = Nothing
Set installer = Wscript.CreateObject("WindowsInstaller.Installer") : CheckError
' Create path for temporary transform file
Dim WshShell : Set WshShell = Wscript.CreateObject("Wscript.Shell") : CheckError
Dim tempFilePath:tempFilePath = WshShell.ExpandEnvironmentStrings("%TEMP%") & "\diff.tmp"
' Open databases, generate transform, then list transform
Dim database1 : Set database1 = installer.OpenDatabase(Wscript.Arguments(0), msiOpenDatabaseModeReadOnly) : CheckError
Dim database2 : Set database2 = installer.OpenDatabase(Wscript.Arguments(1), msiOpenDatabaseModeReadOnly) : CheckError
Dim different : different = Database2.GenerateTransform(Database1, tempFilePath) : CheckError
If different Then
database1.ApplyTransform tempFilePath, iteViewTransform + 0 : CheckError' should not need error suppression flags
ListTransform database1
End If
' Open summary information streams and compare them
Dim sumInfo1 : Set sumInfo1 = database1.SummaryInformation(0) : CheckError
Dim sumInfo2 : Set sumInfo2 = database2.SummaryInformation(0) : CheckError
Dim iProp, value1, value2
For iProp = 1 to 19
value1 = sumInfo1.Property(iProp) : CheckError
value2 = sumInfo2.Property(iProp) : CheckError
If value1 <> value2 Then
Wscript.Echo "\005SummaryInformation [" & iProp & "] {" & value1 & "}->{" & value2 & "}"
different = True
End If
Next
If Not different Then Wscript.Echo "Databases are identical"
Wscript.Quit 0
Function DecodeColDef(colDef)
Dim def
Select Case colDef AND (icdShort OR icdObject)
Case icdLong
def = "LONG"
Case icdShort
def = "SHORT"
Case icdObject
def = "OBJECT"
Case icdString
def = "CHAR(" & (colDef AND 255) & ")"
End Select
If (colDef AND icdNullable) = 0 Then def = def & " NOT NULL"
If (colDef AND icdPrimaryKey) <> 0 Then def = def & " PRIMARY KEY"
DecodeColDef = def
End Function
Sub ListTransform(database)
Dim view, record, row, column, change
On Error Resume Next
Set view = database.OpenView("SELECT * FROM `_TransformView` ORDER BY `Table`, `Row`")
If Err <> 0 Then Wscript.Echo "Transform viewing supported only in builds 4906 and beyond of MSI.DLL" : Wscript.Quit 2
view.Execute : CheckError
Do
Set record = view.Fetch : CheckError
If record Is Nothing Then Exit Do
change = Empty
If record.IsNull(3) Then
row = "<DDL>"
If NOT record.IsNull(4) Then change = "[" & record.StringData(5) & "]: " & DecodeColDef(record.StringData(4))
Else
row = "[" & Join(Split(record.StringData(3), vbTab, -1), ",") & "]"
If record.StringData(2) <> "INSERT" AND record.StringData(2) <> "DELETE" Then change = "{" & record.StringData(5) & "}->{" & record.StringData(4) & "}"
End If
column = record.StringData(1) & " " & record.StringData(2)
if Len(column) < 24 Then column = column & Space(24 - Len(column))
WScript.Echo column, row, change
Loop
End Sub
Sub CheckError
Dim message, errRec
If Err = 0 Then Exit Sub
message = Err.Source & " " & Hex(Err) & ": " & Err.Description
If Not installer Is Nothing Then
Set errRec = installer.LastErrorRecord
If Not errRec Is Nothing Then message = message & vbNewLine & errRec.FormatText
End If
Wscript.Echo message
Wscript.Quit 2
End Sub
|
VERSION 5.00
Object = "{F9043C88-F6F2-101A-A3C9-08002B2F49FB}#1.2#0"; "COMDLG32.OCX"
Begin VB.Form frmMain
Caption = "MiscFixes"
ClientHeight = 6825
ClientLeft = 270
ClientTop = 450
ClientWidth = 9390
LinkTopic = "Form1"
ScaleHeight = 6825
ScaleWidth = 9390
StartUpPosition = 3 'Windows Default
Begin VB.CheckBox chkPopulate
Caption = "&Populate Help Image"
Height = 255
Left = 120
TabIndex = 12
Top = 1560
Width = 1935
End
Begin VB.TextBox txtOutput
Height = 4335
Left = 120
MultiLine = -1 'True
ScrollBars = 3 'Both
TabIndex = 15
Top = 2400
Width = 9135
End
Begin VB.CommandButton cmdClose
Caption = "Close"
Height = 375
Left = 8400
TabIndex = 14
Top = 1920
Width = 855
End
Begin VB.CommandButton cmdBrowse
Caption = "..."
Height = 255
Index = 3
Left = 8880
TabIndex = 11
Top = 1200
Width = 375
End
Begin VB.CommandButton cmdBrowse
Caption = "..."
Height = 255
Index = 2
Left = 8880
TabIndex = 8
Top = 840
Width = 375
End
Begin VB.CommandButton cmdBrowse
Caption = "..."
Height = 255
Index = 1
Left = 8880
TabIndex = 5
Top = 480
Width = 375
End
Begin VB.CommandButton cmdBrowse
Caption = "..."
Height = 255
Index = 0
Left = 8880
TabIndex = 2
Top = 120
Width = 375
End
Begin MSComDlg.CommonDialog dlgCommon
Left = 2040
Top = 1560
_ExtentX = 847
_ExtentY = 847
_Version = 393216
End
Begin VB.TextBox txtIn
Height = 285
Index = 3
Left = 1200
TabIndex = 10
Top = 1200
Width = 7575
End
Begin VB.TextBox txtIn
Height = 285
Index = 1
Left = 1200
TabIndex = 4
Top = 480
Width = 7575
End
Begin VB.CommandButton cmdGo
Caption = "Go"
Height = 375
Left = 7440
TabIndex = 13
Top = 1920
Width = 855
End
Begin VB.TextBox txtIn
Height = 285
Index = 2
Left = 1200
TabIndex = 7
Top = 840
Width = 7575
End
Begin VB.TextBox txtIn
Height = 285
Index = 0
Left = 1200
TabIndex = 1
Top = 120
Width = 7575
End
Begin VB.Label lbl
Caption = "HH&T To Add"
Height = 255
Index = 3
Left = 120
TabIndex = 9
Top = 1200
Width = 1095
End
Begin VB.Label lbl
Caption = "&Output CAB"
Height = 255
Index = 1
Left = 120
TabIndex = 3
Top = 480
Width = 855
End
Begin VB.Label lbl
Caption = "&SubSite XML"
Height = 255
Index = 2
Left = 120
TabIndex = 6
Top = 840
Width = 1095
End
Begin VB.Label lbl
Caption = "&Input CAB"
Height = 255
Index = 0
Left = 120
TabIndex = 0
Top = 120
Width = 855
End
End
Attribute VB_Name = "frmMain"
Attribute VB_GlobalNameSpace = False
Attribute VB_Creatable = False
Attribute VB_PredeclaredId = True
Attribute VB_Exposed = False
Option Explicit
' Make sure that these letters correspond to the Alt key combinations.
Private Const OPT_CAB_IN_C As String = "i"
Private Const OPT_CAB_OUT_C As String = "o"
Private Const OPT_SS_XML_C As String = "s"
Private Const OPT_HHT_C As String = "t"
Private Const OPT_POPULATE_C As String = "p"
Private Const OPT_CLOSE_ON_WARNING_C As String = "qw"
Private Const OPT_CLOSE_ALWAYS_C As String = "qa"
Private Const OPT_HELP_C As String = "h,?,help"
Private Enum INPUT_INDEX_E
II_CAB_IN_E = 0
II_CAB_OUT_E = 1
II_SS_XML_E = 2
II_HHT_E = 3
End Enum
Private Const MAX_INPUT_INDEX_C As Long = 3
Private p_strSeparator As String
Private p_blnWarning As Boolean
Private p_blnError As Boolean
Private p_clsSizer As Sizer
Private Sub p_DisplayHelp()
Dim str As String
str = "Usage: " & vbCrLf & vbCrLf & _
App.EXEName & " /i <Input CAB> /o <Output CAB> /s <SubSite XML> /t <HHT To Add> /p /qw /qa" & vbCrLf & vbCrLf & _
"The /s, /t, /p, /qw, and /qa arguments are optional." & vbCrLf & _
"/qw makes the window go away even if there are Warnings." & vbCrLf & _
"/qa makes the window go away even if there are Errors and/or Warnings." & vbCrLf & _
"""" & App.EXEName & " /?"" displays this message."
Output str, LOGGING_TYPE_NORMAL_E
Output p_strSeparator, LOGGING_TYPE_NORMAL_E
End Sub
Private Sub Form_Load()
cmdGo.Default = True
cmdClose.Cancel = True
Set p_clsSizer = New Sizer
SetLogFile
Output "Version " & App.Major & "." & App.Minor & "." & App.Revision, LOGGING_TYPE_NORMAL_E
p_strSeparator = String(80, "-")
Output p_strSeparator, LOGGING_TYPE_NORMAL_E
p_ProcessCommandLine
End Sub
Private Sub p_ProcessCommandLine()
Dim strCommand As String
Dim blnCloseOnWarning As Boolean
Dim blnCloseAlways As Boolean
Dim blnClose As Boolean
strCommand = Trim$(Command$)
If (strCommand = "") Then
Exit Sub
End If
txtIn(II_CAB_IN_E) = GetOption(strCommand, OPT_CAB_IN_C, True)
txtIn(II_CAB_OUT_E) = GetOption(strCommand, OPT_CAB_OUT_C, True)
txtIn(II_SS_XML_E) = GetOption(strCommand, OPT_SS_XML_C, True)
txtIn(II_HHT_E) = GetOption(strCommand, OPT_HHT_C, True)
If (OptionExists(strCommand, OPT_POPULATE_C, True)) Then
chkPopulate.Value = vbChecked
End If
blnCloseOnWarning = OptionExists(strCommand, OPT_CLOSE_ON_WARNING_C, True)
blnCloseAlways = OptionExists(strCommand, OPT_CLOSE_ALWAYS_C, True)
If (OptionExists(strCommand, OPT_HELP_C, True)) Then
p_DisplayHelp
ElseIf (Len(strCommand) <> 0) Then
cmdGo_Click
If (p_blnError) Then
' If an error occurred, then close the window only if OPT_CLOSE_ALWAYS_C is specified.
If (blnCloseAlways) Then
blnClose = True
End If
ElseIf (p_blnWarning) Then
' If a warning occurred, but there was no error, then close the window only if
' OPT_CLOSE_ON_WARNING_C or OPT_CLOSE_ALWAYS_C is specified.
If (blnCloseOnWarning Or blnCloseAlways) Then
blnClose = True
End If
Else
' If there was no warning or error, then close the window.
blnClose = True
End If
If (blnClose) Then
cmdClose_Click
End If
End If
End Sub
Private Sub cmdGo_Click()
On Error GoTo LError
Dim strCABIn As String
Dim strCABOut As String
Dim strSubSiteXML As String
Dim strHHT As String
Dim blnPopulateHelpImage As Boolean
Output "Start: " & Date & " " & Time, LOGGING_TYPE_NORMAL_E
strCABIn = Trim$(txtIn(II_CAB_IN_E).Text)
strCABOut = Trim$(txtIn(II_CAB_OUT_E).Text)
strSubSiteXML = Trim$(txtIn(II_SS_XML_E).Text)
strHHT = Trim$(txtIn(II_HHT_E).Text)
If (chkPopulate.Value = vbChecked) Then
blnPopulateHelpImage = True
End If
If ((strCABIn = "") Or (strCABOut = "")) Then
Output "Please specify the Input and Output CABs", LOGGING_TYPE_ERROR_E
GoTo LError
End If
Me.Enabled = False
MainFunction strCABIn, strCABOut, strSubSiteXML, strHHT, blnPopulateHelpImage
LEnd:
Output "End: " & Date & " " & Time, LOGGING_TYPE_NORMAL_E
Output "The log file is: " & GetLogFileName, LOGGING_TYPE_NORMAL_E
Output p_strSeparator, LOGGING_TYPE_NORMAL_E
Me.Enabled = True
Exit Sub
LError:
GoTo LEnd
End Sub
Private Sub cmdClose_Click()
Unload Me
End Sub
Private Sub cmdBrowse_Click(Index As Integer)
On Error GoTo LError
dlgCommon.CancelError = True
dlgCommon.Flags = cdlOFNHideReadOnly
dlgCommon.Filter = "All Files (*.*)|*.*"
dlgCommon.ShowOpen
txtIn(Index).Text = dlgCommon.FileName
LEnd:
Exit Sub
LError:
Select Case Err.Number
Case cdlCancel
' Nothing. The user cancelled.
End Select
GoTo LEnd
End Sub
Private Sub Form_Activate()
On Error GoTo LError
p_SetSizingInfo
DoEvents
LError:
End Sub
Private Sub Form_Resize()
On Error GoTo LError
p_clsSizer.Resize
LError:
End Sub
Private Sub p_SetSizingInfo()
Dim intIndex As Long
For intIndex = 0 To MAX_INPUT_INDEX_C
p_clsSizer.AddControl txtIn(intIndex)
Set p_clsSizer.ReferenceControl(DIM_RIGHT_E) = Me
p_clsSizer.ReferenceDimension(DIM_RIGHT_E) = DIM_WIDTH_E
p_clsSizer.AddControl cmdBrowse(intIndex)
Set p_clsSizer.ReferenceControl(DIM_LEFT_E) = Me
p_clsSizer.ReferenceDimension(DIM_LEFT_E) = DIM_WIDTH_E
Next
p_clsSizer.AddControl cmdGo
Set p_clsSizer.ReferenceControl(DIM_LEFT_E) = Me
p_clsSizer.ReferenceDimension(DIM_LEFT_E) = DIM_WIDTH_E
p_clsSizer.AddControl cmdClose
Set p_clsSizer.ReferenceControl(DIM_LEFT_E) = Me
p_clsSizer.ReferenceDimension(DIM_LEFT_E) = DIM_WIDTH_E
p_clsSizer.AddControl txtOutput
Set p_clsSizer.ReferenceControl(DIM_RIGHT_E) = Me
p_clsSizer.ReferenceDimension(DIM_RIGHT_E) = DIM_WIDTH_E
Set p_clsSizer.ReferenceControl(DIM_BOTTOM_E) = Me
p_clsSizer.ReferenceDimension(DIM_BOTTOM_E) = DIM_HEIGHT_E
End Sub
Public Sub Output( _
ByVal i_str As String, _
ByVal i_enumLoggingType As LOGGING_TYPE_E _
)
OutputToTextBoxAndWriteLog txtOutput, i_str, i_enumLoggingType
If (i_enumLoggingType = LOGGING_TYPE_ERROR_E) Then
p_blnError = True
ElseIf (i_enumLoggingType = LOGGING_TYPE_WARNING_E) Then
p_blnWarning = True
End If
End Sub
|
<gh_stars>0
WScript.Echo("Goodbye, World!")
|
<gh_stars>1-10
class flattener
dim separator
sub class_initialize
separator = ","
end sub
private function makeflat( a )
dim i
dim res
for i = lbound( a ) to ubound( a )
if isarray( a( i ) ) then
res = res & makeflat( a( i ) )
else
res = res & a( i ) & separator
end if
next
makeflat = res
end function
public function flatten( a )
dim res
res = makeflat( a )
res = left( res, len( res ) - len(separator))
res = split( res, separator )
flatten = res
end function
public property let itemSeparator( c )
separator = c
end property
end class
|
On Error Resume Next
'Ask for non-existent class to force error from CIMOM
Set t_Service = GetObject("winmgmts://./root/default")
Set t_Object = t_Service.Get("Nosuchclass000")
if Err = 0 Then
WScript.Echo "Got a class"
Else
WScript.Echo ""
WScript.Echo "Err Information:"
WScript.Echo ""
WScript.Echo " Source:", Err.Source
WScript.Echo " Description:", Err.Description
WScript.Echo " Number", Err.Number
'Create the last error object
set t_Object = CreateObject("WbemScripting.SWbemLastError")
WScript.Echo ""
WScript.Echo "WBEM Last Error Information:"
WScript.Echo ""
WScript.Echo " Operation:", t_Object.Operation
WScript.Echo " Provider:", t_Object.ProviderName
strDescr = t_Object.Description
strPInfo = t_Object.ParameterInfo
strCode = t_Object.StatusCode
if (strDescr <> nothing) Then
WScript.Echo " Description:", strDescr
end if
if (strPInfo <> nothing) Then
WScript.Echo " Parameter Info:", strPInfo
end if
if (strCode <> nothing) Then
WScript.Echo " Status:", strCode
end if
WScript.Echo ""
Err.Clear
set t_Object2 = CreateObject("WbemScripting.SWbemLastError")
if Err = 0 Then
WScript.Echo "Got the error object again - this shouldn't have happened!"
Else
Err.Clear
WScript.Echo "Couldn't get last error again - as expected"
End if
End If
|
<reponame>LaudateCorpus1/RosettaCodeData
Len(string|varname)
|
DHRYSTONE 2.1 BENCHMARK REPORTING FORM
MANUF:
MODEL:
PROC:
CLOCK:
OS:
OVERSION:
COMPILER:
CVERSION:
OPTIONS:
NOREG:
REG:
NOTES:
DATE:
SUBMITTER:
MAILTO: uunet!pcrat!dry2
|
<filename>admin/wmi/wbem/scripting/test/vbscript/iproutetable.vbs
on error resume next
set n = getobject ("winmgmts://alanbos2/root/snmp/test")
set routingtable = n.instancesof ("SNMP_RFC1213_MIB_ipRouteTable")
for each route in routingtable
WScript.Echo route.path_.relpath
result = route.Fred ()
if err <> 0 then
WScript.Echo Hex(Err.Number), Err.Description, Err.Source
Err.Clear
end if
next
|
Function gcd(u As Long, v As Long) As Long
Dim t As Long
Do While v
t = u
u = v
v = t Mod v
Loop
gcd = u
End Function
Function lcm(m As Long, n As Long) As Long
lcm = Abs(m * n) / gcd(m, n)
End Function
|
VERSION 5.00
Begin VB.Form frmFolderChooser
BorderStyle = 1 'Fixed Single
Caption = "Choose folder"
ClientHeight = 3495
ClientLeft = 45
ClientTop = 330
ClientWidth = 4680
LinkTopic = "Form1"
MaxButton = 0 'False
MinButton = 0 'False
ScaleHeight = 3495
ScaleWidth = 4680
StartUpPosition = 3 'Windows Default
Begin VB.CommandButton cmdCancel
Caption = "Cancel"
Height = 375
Left = 3720
TabIndex = 3
Top = 3000
Width = 855
End
Begin VB.CommandButton cmdOK
Caption = "OK"
Height = 375
Left = 2760
TabIndex = 2
Top = 3000
Width = 855
End
Begin VB.DirListBox Dir1
Height = 2340
Left = 120
TabIndex = 1
Top = 480
Width = 4455
End
Begin VB.DriveListBox Drive1
Height = 315
Left = 120
TabIndex = 0
Top = 120
Width = 4455
End
End
Attribute VB_Name = "frmFolderChooser"
Attribute VB_GlobalNameSpace = False
Attribute VB_Creatable = False
Attribute VB_PredeclaredId = True
Attribute VB_Exposed = False
Option Explicit
Private p_FSO As Scripting.FileSystemObject
Private p_intIndex As Long
Public Event FolderChosen(ByVal intIndex As Long, ByVal strFolder As String)
Private Sub Form_Load()
cmdOK.Default = True
cmdCancel.Cancel = True
Set p_FSO = New Scripting.FileSystemObject
End Sub
Private Sub Form_Unload(Cancel As Integer)
Set p_FSO = Nothing
End Sub
Private Sub cmdOK_Click()
RaiseEvent FolderChosen(p_intIndex, Dir1.Path)
Unload Me
End Sub
Private Sub cmdCancel_Click()
Unload Me
End Sub
Private Sub Drive1_Change()
Dir1.Path = Mid$(Drive1.Drive, 1, 2) & "\"
End Sub
Public Sub SetFolder( _
ByVal i_intIndex As Long, _
ByVal i_strFolder As String _
)
Dim strDrive As String
Dim strFolder As String
If (p_FSO.FolderExists(i_strFolder)) Then
strFolder = i_strFolder
Else
strFolder = p_FSO.GetSpecialFolder(TemporaryFolder)
End If
Drive1.Drive = p_FSO.GetDriveName(strFolder)
Dir1.Path = strFolder
p_intIndex = i_intIndex
End Sub
|
'*********************************************************************
'
' datetime.vbs
'
' Purpose: test datetime functionality
'
' Parameters: none
'
' Returns: 0 - success
' 1 - failure
'
'*********************************************************************
on error resume next
set scriptHelper = CreateObject("WMIScriptHelper.WSC")
scriptHelper.logFile = "c:\temp\datetime.txt"
scriptHelper.loggingLevel = 3
scriptHelper.testName = "DATETIME"
scriptHelper.testStart
'*****************************
' Create a datetime object
'*****************************
set datetime = CreateObject("WbemScripting.SWbemDatetime")
if err <> 0 then
scriptHelper.writeErrorToLog err, "Failed to create datetime"
else
scriptHelper.writeToLog "Datetime created correctly", 2
end if
'*****************************
' Create a Variant date
'*****************************
myDate = CDate ("January 20 11:56:32")
'*****************************
' Test 1 - Set as local date
'*****************************
datetime.SetVarDate (myDate)
if datetime.Value <> "20000120195632.000000-480" then
scriptHelper.writeErrorToLog null, "Incorrect DMTF value"
else
scriptHelper.writeToLog "DMTF value reported correctly", 2
end if
if datetime.Year <> 2000 then
scriptHelper.writeErrorToLog null, "Incorrect Year value"
else
scriptHelper.writeToLog "Year value reported correctly", 2
end if
if datetime.YearSpecified <> true then
scriptHelper.writeErrorToLog null, "Incorrect YearSpecified value"
else
scriptHelper.writeToLog "YearSpecified value reported correctly", 2
end if
if datetime.Month <> 1 then
scriptHelper.writeErrorToLog null, "Incorrect Month value"
else
scriptHelper.writeToLog "Month value reported correctly", 2
end if
if datetime.MonthSpecified <> true then
scriptHelper.writeErrorToLog null, "Incorrect MonthSpecified value"
else
scriptHelper.writeToLog "MonthSpecified value reported correctly", 2
end if
if datetime.Day <> 20 then
scriptHelper.writeErrorToLog null, "Incorrect Day value"
else
scriptHelper.writeToLog "Day value reported correctly", 2
end if
if datetime.DaySpecified <> true then
scriptHelper.writeErrorToLog null, "Incorrect DaySpecified value"
else
scriptHelper.writeToLog "DaySpecified value reported correctly", 2
end if
if datetime.Hours <> 19 then
scriptHelper.writeErrorToLog null, "Incorrect Hours value"
else
scriptHelper.writeToLog "Hours value reported correctly", 2
end if
if datetime.HoursSpecified <> true then
scriptHelper.writeErrorToLog null, "Incorrect HoursSpecified value"
else
scriptHelper.writeToLog "HoursSpecified value reported correctly", 2
end if
if datetime.Minutes <> 56 then
scriptHelper.writeErrorToLog null, "Incorrect Minutes value"
else
scriptHelper.writeToLog "Minutes value reported correctly", 2
end if
if datetime.MinutesSpecified <> true then
scriptHelper.writeErrorToLog null, "Incorrect MinutesSpecified value"
else
scriptHelper.writeToLog "MinutesSpecified value reported correctly", 2
end if
if datetime.Seconds <> 32 then
scriptHelper.writeErrorToLog null, "Incorrect Seconds value"
else
scriptHelper.writeToLog "Seconds value reported correctly", 2
end if
if datetime.SecondsSpecified <> true then
scriptHelper.writeErrorToLog null, "Incorrect SecondsSpecified value"
else
scriptHelper.writeToLog "SecondsSpecified value reported correctly", 2
end if
if datetime.MicroSeconds <> 0 then
scriptHelper.writeErrorToLog null, "Incorrect Microseconds value"
else
scriptHelper.writeToLog "Microseconds value reported correctly", 2
end if
if datetime.MicroSecondsSpecified <> true then
scriptHelper.writeErrorToLog null, "Incorrect MicrosecondsSpecified value"
else
scriptHelper.writeToLog "MicrosecondsSpecified value reported correctly", 2
end if
if datetime.UTC <> -480 then
scriptHelper.writeErrorToLog null, "Incorrect UTC value"
else
scriptHelper.writeToLog "UTC value reported correctly", 2
end if
if datetime.UTCSpecified <> true then
scriptHelper.writeErrorToLog null, "Incorrect UTCSpecified value"
else
scriptHelper.writeToLog "UTCSpecified value reported correctly", 2
end if
if datetime.IsInterval <> false then
scriptHelper.writeErrorToLog null, "Incorrect IsInterval value"
else
scriptHelper.writeToLog "IsInterval value reported correctly", 2
end if
varDate = datetime.GetVarDate
if err <> 0 then
scriptHelper.writeErrorToLog err, "Failed to get VarDate"
else
scriptHelper.writeToLog "Vardate retrieved correctly: " & VarDate, 2
end if
'*****************************
'Test Interval strings
'*****************************
dateTime.IsInterval = true
dateTime.Day = 100
dateTime.Hours = 1
dateTime.Minutes = 0
dateTime.Seconds = 3
if datetime.Value <> "00000100010003.000000:000" then
scriptHelper.writeErrorToLog null, "Failed to get correct interval value"
else
scriptHelper.writeToLog "Interval value retrieved correctly", 2
end if
'*****************************
'Test wildcard strings
'*****************************
datetime.Value = "19980416******.000000+***"
if datetime.Value <> "19980416******.000000+***" then
scriptHelper.writeErrorToLog null, "Failed to get correct wildcard value"
else
scriptHelper.writeToLog "Wildcard value retrieved correctly", 2
end if
'*****************************
'Test invalid strings
'*****************************
datetime.Value = "199*0416******.000000+***"
if err <> 0 then
scriptHelper.writeToLog "Invalid value correctly rejected:" & err.Description, 2
err.clear
else
scriptHelper.writeErrorToLog null, "Invalid value erroneously accepted"
end if
'*****************************
'Test setting of individual properties
'*****************************
set datetime = CreateObject("WbemScripting.SWbemDatetime")
if err <> 0 then
scriptHelper.writeErrorToLog err, "Failed to create datetime"
else
scriptHelper.writeToLog "Datetime created correctly", 2
end if
datetime.Year = 2000
datetime.Month = 5
datetime.Day = 8
datetime.Hours = 14
datetime.Minutes = 20
datetime.Seconds = 32
datetime.Microseconds = 123456
datetime.UTC = 320
if datetime.Value <> "20000508142032.123456+320" then
scriptHelper.writeErrorToLog null, "Failed to get correct property-set value"
else
scriptHelper.writeToLog "Property-set value retrieved correctly", 2
end if
'*****************************
'Test effects of changing IsInterval property
'*****************************
datetime.IsInterval = true
if datetime.Value <> "00000008142032.123456:000" then
scriptHelper.writeErrorToLog null, "Failed to get correct interval value"
else
scriptHelper.writeToLog "Interval value retrieved correctly", 2
end if
datetime.Day = 99999999
if datetime.Value <> "99999999142032.123456:000" then
scriptHelper.writeErrorToLog null, "Failed to get correct interval value"
else
scriptHelper.writeToLog "Interval value retrieved correctly", 2
end if
datetime.IsInterval = false
if datetime.Day <> 31 then
scriptHelper.writeErrorToLog null, "Failed to get correct Day value"
else
scriptHelper.writeToLog "Day value retrieved correctly", 2
end if
datetime.IsInterval = true
datetime.Day = 0
if datetime.Value <> "00000000142032.123456:000" then
scriptHelper.writeErrorToLog null, "Failed to get correct interval value"
else
scriptHelper.writeToLog "Interval value retrieved correctly", 2
end if
datetime.IsInterval = false
if datetime.Day <> 1 then
scriptHelper.writeErrorToLog null, "Failed to get correct Day value"
else
scriptHelper.writeToLog "Day value retrieved correctly", 2
end if
'*****************************
'Test conversion between local and non-local formats
'*****************************
dateTime.SetVarDate (CDate ("January 20 11:56:32"))
if datetime.Value <> "20000120195632.000000-480" then
scriptHelper.writeErrorToLog null, "Failed to get correct datetime value " & datetime.Value
else
scriptHelper.writeToLog "Datetime value retrieved correctly", 2
end if
if dateTime.GetVarDate () <> "1/20/2000 11:56:32 AM" then
scriptHelper.writeErrorToLog null, "Failed to get correct local vardate value"
else
scriptHelper.writeToLog "Local vardate value retrieved correctly", 2
end if
if dateTime.GetVarDate (false) <> "1/20/2000 7:56:32 PM" then
scriptHelper.writeErrorToLog null, "Failed to get correct non-local vardate value"
else
scriptHelper.writeToLog "Non-Local vardate value retrieved correctly", 2
end if
if dateTime.GetFileTime () <> "125928429920000000" then
scriptHelper.writeErrorToLog null, "Failed to get correct local filetime value"
else
scriptHelper.writeToLog "Local filetime value retrieved correctly", 2
end if
if dateTime.GetFileTime (false) <> "125928717920000000" then
scriptHelper.writeErrorToLog null, "Failed to get correct non-local filetime value"
else
scriptHelper.writeToLog "Non-Local filetime value retrieved correctly", 2
end if
'*************************************************
'Test invariance of filetime down to 1ms precision
'*************************************************
datetime.SetFileTime "126036951652031260"
if datetime.GetFileTime <> "126036951652031260" then
scriptHelper.writeErrorToLog null, "Failed to preserve filetime to 1ms precision"
else
scriptHelper.writeToLog "Filetime value preserved to 1ms precision correctly", 2
end if
scriptHelper.testComplete
if scriptHelper.statusOK then
WScript.Echo "PASS"
WScript.Quit 0
else
WScript.Echo "FAIL"
WScript.Quit 1
end if
|
Option Explicit
'----------------------------------------------------------------------
Private Function coin_count(coins As Variant, amount As Long) As Variant
'return type will be Decimal
Dim s() As Variant
Dim n As Long, c As Long
ReDim s(amount + 1)
s(1) = CDec(1)
For c = LBound(coins) To UBound(coins)
For n = coins(c) To amount
s(n + 1) = CDec(s(n + 1) + s(n - coins(c) + 1))
Next n
Next c
coin_count = s(amount + 1)
End Function
'----------------------------------------------------------------------
Sub Main()
Dim us_common_coins As Variant
Dim us_coins As Variant
'The next line creates 0-based array
us_common_coins = Array(25, 10, 5, 1)
Debug.Print coin_count(us_common_coins, 100)
us_coins = Array(100, 50, 25, 10, 5, 1)
Debug.Print coin_count(us_coins, 100000)
End Sub
|
<reponame>npocmaka/Windows-Server-2003
VERSION 5.00
Object = "{EAB22AC0-30C1-11CF-A7EB-0000C05BAE0B}#1.1#0"; "shdocvw.dll"
Object = "{831FDD16-0C5C-11D2-A9FC-0000F8754DA1}#2.0#0"; "mscomctl.ocx"
Begin VB.Form HssX
Caption = "HSC Extensions Manager"
ClientHeight = 8235
ClientLeft = 3135
ClientTop = 2280
ClientWidth = 6240
LinkTopic = "Form1"
ScaleHeight = 8235
ScaleWidth = 6240
Begin MSComctlLib.StatusBar StatusBar1
Align = 2 'Align Bottom
Height = 285
Left = 0
TabIndex = 21
Top = 7950
Width = 6240
_ExtentX = 11007
_ExtentY = 503
_Version = 393216
BeginProperty Panels {8E3867A5-8586-11D1-B16A-00C0F0283628}
NumPanels = 1
BeginProperty Panel1 {8E3867AB-8586-11D1-B16A-00C0F0283628}
EndProperty
EndProperty
End
Begin VB.TextBox txtAuxFolder
Height = 300
Left = 30
TabIndex = 18
Top = 1245
Width = 5355
End
Begin VB.TextBox txtCabFile
Height = 300
Left = 30
TabIndex = 17
Top = 720
Width = 5355
End
Begin VB.CommandButton cmdExecuteExts
Caption = "E&xecute Extensions"
Height = 375
Left = 3750
TabIndex = 16
Top = 7560
Width = 1800
End
Begin MSComctlLib.ListView lstvwExtensions
Height = 2070
Left = 30
TabIndex = 15
Top = 3195
Width = 6150
_ExtentX = 10848
_ExtentY = 3651
LabelWrap = -1 'True
HideSelection = -1 'True
OLEDropMode = 1
Checkboxes = -1 'True
_Version = 393217
ForeColor = -2147483640
BackColor = -2147483643
BorderStyle = 1
Appearance = 1
OLEDropMode = 1
NumItems = 1
BeginProperty ColumnHeader(1) {BDD1F052-858B-11D1-B16A-00C0F0283628}
Object.Width = 2540
EndProperty
End
Begin VB.Frame fraSKU
Caption = "SKU"
Height = 1575
Left = 30
TabIndex = 5
Top = 1560
Width = 6135
Begin VB.CheckBox chkStandard
Caption = "32-bit Standard"
Height = 255
Left = 240
TabIndex = 14
Top = 480
Width = 1695
End
Begin VB.CheckBox chkProfessional
Caption = "32-bit Professional"
Height = 255
Left = 240
TabIndex = 13
Top = 720
Width = 1695
End
Begin VB.CheckBox chkServer
Caption = "32-bit Server"
Height = 255
Left = 3120
TabIndex = 12
Top = 240
Width = 2055
End
Begin VB.CheckBox chkAdvancedServer
Caption = "32-bit Advanced Server"
Height = 255
Left = 3120
TabIndex = 11
Top = 480
Width = 2055
End
Begin VB.CheckBox chkDataCenterServer
Caption = "32-bit Datacenter Server"
Height = 255
Left = 3120
TabIndex = 10
Top = 960
Width = 2055
End
Begin VB.CheckBox chkProfessional64
Caption = "64-bit Professional"
Height = 255
Left = 240
TabIndex = 9
Top = 960
Width = 1695
End
Begin VB.CheckBox chkAdvancedServer64
Caption = "64-bit Advanced Server"
Height = 255
Left = 3120
TabIndex = 8
Top = 720
Width = 2055
End
Begin VB.CheckBox chkDataCenterServer64
Caption = "64-bit Datacenter Server"
Height = 255
Left = 3120
TabIndex = 7
Top = 1200
Width = 2055
End
Begin VB.CheckBox chkWindowsMillennium
Caption = "Windows Me"
Height = 255
Left = 240
TabIndex = 6
Top = 240
Width = 1695
End
End
Begin SHDocVwCtl.WebBrowser wb
Height = 2235
Left = 45
TabIndex = 4
Top = 5280
Width = 6165
ExtentX = 10874
ExtentY = 3942
ViewMode = 0
Offline = 0
Silent = 0
RegisterAsBrowser= 0
RegisterAsDropTarget= 1
AutoArrange = 0 'False
NoClientEdge = 0 'False
AlignLeft = 0 'False
ViewID = "{0057D0E0-3573-11CF-AE69-08002B2E1262}"
Location = "res://C:\WINNT\system32\shdoclc.dll/dnserror.htm#http:///"
End
Begin VB.CommandButton cmdClose
Caption = "&Close"
Height = 375
Left = 5565
TabIndex = 3
Top = 7560
Width = 675
End
Begin VB.CommandButton cmdGo
Caption = "&Go"
Height = 315
Left = 5415
TabIndex = 2
Top = 240
Width = 675
End
Begin VB.TextBox txtExtensionsFolder
Height = 300
Left = 30
TabIndex = 0
Top = 240
Width = 5355
End
Begin VB.Label Label3
Caption = "Auxiliary Folder for Storing Extensions Output:"
Height = 240
Left = 75
TabIndex = 20
Top = 1035
Width = 3555
End
Begin VB.Label Label2
Caption = "Cab File Location:"
Height = 240
Left = 45
TabIndex = 19
Top = 525
Width = 3000
End
Begin VB.Label Label1
Caption = "Extension Tools Directory Location:"
Height = 240
Left = 30
TabIndex = 1
Top = 15
Width = 3000
End
Begin VB.Menu mnuExt
Caption = "Extension Right Click Menu"
Visible = 0 'False
Begin VB.Menu mnuEdit
Caption = "Edit"
End
Begin VB.Menu mnuDelete
Caption = "Delete"
End
End
End
Attribute VB_Name = "HssX"
Attribute VB_GlobalNameSpace = False
Attribute VB_Creatable = False
Attribute VB_PredeclaredId = True
Attribute VB_Exposed = False
' ==========================================================================================
Option Explicit
Private m_strTempXMLFile As String ' Temporary File for XML Rendering
Private m_oDomList As IXMLDOMNodeList ' List of Extensions
Private WithEvents m_oHssExt As HssExts
Attribute m_oHssExt.VB_VarHelpID = -1
Private m_oFs As Scripting.FileSystemObject
Private m_bIndrag As Boolean ' This variable is used to control
' dragging inside the Listview
Private m_oCachedExt As IXMLDOMNode ' This is the Cached DOMNODE
' which is saved on MouseUp
' event from the ListView.
' We need to cache it because
' Menus are event driven.
Private m_dblTimeLeftButtonDown As Double ' Tracks how long the Mouse Down button was pressed.
Private Sub Form_Initialize()
Set m_oHssExt = New HssExts
Set m_oFs = New Scripting.FileSystemObject
End Sub
Private Sub Form_Load()
With Me
.txtExtensionsFolder = App.Path + "\Extensions"
.txtAuxFolder = App.Path + "\AuxFolder"
.txtCabFile = App.Path + "\hcdata.cab"
End With
' Let's Get a Temporary File Name
m_strTempXMLFile = Environ$("TEMP") + "\" + m_oFs.GetTempName + ".xml"
Dim oFh As Scripting.TextStream
Set oFh = m_oFs.CreateTextFile(m_strTempXMLFile)
oFh.WriteLine "<Note>When you click on an extension in the List Above, the HSS Tool Extension XML Entry will show up here</Note>"
oFh.Close
wb.Navigate m_strTempXMLFile
' let's kick the first Extensions Search.
' txtExtensionsFolder_Change
End Sub
Private Sub chkAdvancedServer_Click()
cmdGo_Click
End Sub
Private Sub chkAdvancedServer64_Click()
cmdGo_Click
End Sub
Private Sub chkDataCenterServer_Click()
cmdGo_Click
End Sub
Private Sub chkDataCenterServer64_Click()
cmdGo_Click
End Sub
Private Sub chkProfessional_Click()
cmdGo_Click
End Sub
Private Sub chkProfessional64_Click()
cmdGo_Click
End Sub
Private Sub chkServer_Click()
cmdGo_Click
End Sub
Private Sub chkStandard_Click()
cmdGo_Click
End Sub
Private Sub chkWindowsMillennium_Click()
cmdGo_Click
End Sub
Private Sub cmdExecuteExts_Click()
m_oHssExt.ExecuteExtensions m_oDomList, Me.txtCabFile, Me.txtAuxFolder
End Sub
Private Sub cmdClose_Click()
Unload Me
End Sub
Private Sub cmdGo_Click()
Dim oDomList As IXMLDOMNodeList
Set m_oDomList = m_oHssExt.GetExtensionsList(Me.txtExtensionsFolder, SkuCollection)
With Me.lstvwExtensions
.LabelEdit = lvwManual
.ListItems.Clear
.View = lvwReport
.ColumnHeaders(1).Text = "Select Extensions to Run"
.ColumnHeaders(1).Width = lstvwExtensions.Width - 85
If (m_oDomList Is Nothing) Then GoTo Common_Exit
Dim oDomNode As IXMLDOMNode
Dim l As ListItem
For Each oDomNode In m_oDomList
Set l = .ListItems.Add(Text:=oDomNode.selectSingleNode("display-name").Text)
Set l.Tag = oDomNode
Next
End With
Common_Exit:
End Sub
Private Function SkuCollection() As Scripting.Dictionary
Set SkuCollection = New Scripting.Dictionary
If (Me.chkAdvancedServer) Then
SkuCollection.Add "ADV", "ADV"
End If
If (Me.chkAdvancedServer64) Then
SkuCollection.Add "ADV64", "ADV64"
End If
If (Me.chkDataCenterServer) Then
SkuCollection.Add "DAT", "DAT"
End If
If (Me.chkDataCenterServer64) Then
SkuCollection.Add "DAT64", "DAT64"
End If
If (Me.chkProfessional) Then
SkuCollection.Add "PRO", "PRO"
End If
If (Me.chkProfessional64) Then
SkuCollection.Add "PRO64", "PRO64"
End If
If (Me.chkServer) Then
SkuCollection.Add "SRV", "SRV"
End If
If (Me.chkStandard) Then
SkuCollection.Add "STD", "STD"
End If
If (Me.chkWindowsMillennium) Then
SkuCollection.Add "WINME", "WINME"
End If
End Function
Private Sub lstvwExtensions_Click()
DisplayTaxonomyEntry2 lstvwExtensions, m_oDomList, wb
End Sub
Private Sub lstvwExtensions_ItemCheck(ByVal Item As MSComctlLib.ListItem)
Dim oElem As IXMLDOMElement
' Set oElem = m_oDomList.Item(lstvwExtensions.HitTest(Item.Left, Item.Top).Index - 1).selectSingleNode("run-this-extension")
Set oElem = Item.Tag
Set oElem = oElem.selectSingleNode("run-this-extension")
oElem.Text = IIf(Item.Checked, "yes", "no")
End Sub
Private Sub lstvwExtensions_DragDrop(source As Control, x As Single, y As Single)
DoDragDrop lstvwExtensions, source, x, y
End Sub
Private Sub lstvwExtensions_DragOver(source As Control, x As Single, y As Single, State As Integer)
DoDragOver lstvwExtensions, source, x, y, State
End Sub
Private Sub lstvwExtensions_MouseDown(Button As Integer, Shift As Integer, x As Single, y As Single)
If (Button = vbLeftButton) Then
m_dblTimeLeftButtonDown = HighResTimer
End If
End Sub
Private Sub lstvwExtensions_MouseUp(Button As Integer, Shift As Integer, x As Single, y As Single)
' Debug.Print "Button = " & Button & " - Shift = " & Shift
Select Case Button
Case vbRightButton
If (Not lstvwExtensions.HitTest(x, y) Is Nothing) Then
Set m_oCachedExt = lstvwExtensions.HitTest(x, y).Tag
PopupMenu mnuExt
Set m_oCachedExt = Nothing
End If
Case vbLeftButton
m_dblTimeLeftButtonDown = 0
End Select
End Sub
Private Sub lstvwExtensions_MouseMove(Button As Integer, Shift As Integer, x As Single, y As Single)
DoMouseMove lstvwExtensions, Button, Shift, x, y
End Sub
Sub DoMouseMove(lvw As ListView, Button As Integer, Shift As Integer, x As Single, y As Single)
If (Button = vbLeftButton) Then
If (LeftButtonWasPressedLongEnough) Then ' Signal a Drag operation.
' Set the drag icon with the CreateDragImage method.
If (Not lvw.SelectedItem Is Nothing) Then
m_bIndrag = True ' Set the flag to true.
lvw.DragIcon = lvw.SelectedItem.CreateDragImage
lvw.Drag vbBeginDrag ' Drag operation.
End If
End If
Else
m_bIndrag = False
End If
' Debug.Print "DoMouseMove Called from " & lvw.Name; "_MouseMove. m_bIndrag = " & m_bIndrag
End Sub
Private Function LeftButtonWasPressedLongEnough() As Boolean
LeftButtonWasPressedLongEnough = False
If (m_dblTimeLeftButtonDown <> 0) Then
LeftButtonWasPressedLongEnough = ((HighResTimer - m_dblTimeLeftButtonDown) > 0.4)
End If
End Function
Sub DoDragOver(lvw As ListView, source As Control, x As Single, y As Single, State As Integer)
If m_bIndrag = True Then
' Set DropHighlight to the mouse's coordinates.
Set lvw.DropHighlight = lvw.HitTest(x, y)
End If
End Sub
Sub DoDragDrop(lvw As ListView, _
source As Control, x As Single, y As Single _
)
If lvw.DropHighlight Is Nothing Then GoTo Common_Exit
If (lvw Is source) Then
' We are on the Same Tree, so we need
If lvw.SelectedItem.Index = lvw.DropHighlight.Index Then GoTo Common_Exit
' Temporary Variables to keep The List view Item contents.
Dim strLi1 As String, strSli1 As String, strSli2 As String
Dim oTag As Object, bChecked As Boolean
' The direction in which the List Items will be moved
' on the list to make room for the move
Dim lDirection As Long
If (lvw.DropHighlight.Index < lvw.SelectedItem.Index) Then
lDirection = -1
Else
lDirection = 1
End If
With lvw.SelectedItem
bChecked = .Checked
Set oTag = .Tag
strLi1 = .Text
' strSli1 = .ListSubItems(1).Text
' strSli2 = .ListSubItems(2).Text
End With
Dim i As Long
For i = lvw.SelectedItem.Index To lvw.DropHighlight.Index - lDirection Step lDirection
With lvw.ListItems
.Item(i).Checked = .Item(i + lDirection).Checked
Set .Item(i).Tag = .Item(i + lDirection).Tag
.Item(i) = .Item(i + lDirection)
' .Item(i).ListSubItems(1) = .Item(i + lDirection).ListSubItems(1)
' .Item(i).ListSubItems(2) = .Item(i + lDirection).ListSubItems(2)
End With
Next i
With lvw.DropHighlight
.Checked = bChecked
Set .Tag = oTag
.Text = strLi1
' .ListSubItems(1).Text = strSli1
' .ListSubItems(2).Text = strSli2
End With
Debug.Print lvw.SelectedItem.Text & " dropped on " & lvw.DropHighlight.Text
End If
Common_Exit:
' This is the exit Condition for Shutting Down the Drag operation
Set lvw.DropHighlight = Nothing: m_bIndrag = False
Exit Sub
End Sub
Private Sub lstvwExtensions_OLEDragDrop( _
Data As MSComctlLib.DataObject, _
Effect As Long, Button As Integer, _
Shift As Integer, _
x As Single, _
y As Single _
)
If Data.GetFormat(vbCFFiles) Then
Dim vFN
For Each vFN In Data.Files
' Screen.MousePointer = vbHourglass
' Screen.MousePointer = 99
' Screen.MouseIcon = LoadPicture(Environ$("WINDIR") + "\cursors\wait_m.cur")
Select Case UCase$(m_oFs.GetExtensionName(vFN))
Case "EXE", "VBS", "JS", "BAT", "PL"
If (Not m_oHssExt.ExtensionExists(m_oFs.GetFileName(vFN))) Then
Dim oFext As frmExt: Set oFext = New frmExt
oFext.DropFile Nothing, vFN, "MSFT"
cmdGo_Click
Else
MsgBox "This Extension was already added to the Extensions System " + vbCrLf + _
"in case you want to update it, please remove first the old " + vbCrLf + _
"extension and then retry the operation", vbInformation, _
Me.Caption
End If
End Select
' Screen.MousePointer = vbDefault
Next vFN
End If
End Sub
Private Sub m_oHssExt_RunStatus(ByVal strExt As String, bCancel As Boolean)
Me.StatusBar1.SimpleText = strExt
End Sub
Private Sub mnuDelete_Click()
m_oHssExt.DeleteExtension m_oCachedExt
cmdGo_Click
End Sub
Private Sub mnuEdit_Click()
MsgBox "Edit Menu"
End Sub
Private Sub txtExtensionsFolder_Change()
Dim bEnabled As Boolean
bEnabled = m_oFs.FolderExists(Me.txtExtensionsFolder)
With Me
.txtAuxFolder.Enabled = bEnabled
.txtCabFile.Enabled = bEnabled
.lstvwExtensions.Enabled = bEnabled
.fraSKU.Enabled = bEnabled
.cmdExecuteExts.Enabled = bEnabled
.cmdGo.Enabled = bEnabled
End With
cmdGo_Click
End Sub
Sub DisplayTaxonomyEntry2(oList As ListView, oResultsList As IXMLDOMNodeList, wBrowser As WebBrowser)
If (oList.SelectedItem Is Nothing) Then GoTo Common_Exit
Dim oDom As DOMDocument: Set oDom = New DOMDocument
oDom.loadXML oList.SelectedItem.Tag.xml
oDom.save m_strTempXMLFile
wBrowser.Navigate m_strTempXMLFile
Common_Exit:
End Sub
|
<reponame>LaudateCorpus1/RosettaCodeData<filename>Task/Align-columns/Visual-Basic/align-columns-1.vb<gh_stars>1-10
Sub AlignCols(Lines, Optional Align As AlignmentConstants, Optional Sep$ = "$", Optional Sp% = 1)
Dim i&, j&, D&, L&, R&: ReDim W(UBound(Lines)): ReDim C&(0)
For j = 0 To UBound(W)
W(j) = Split(Lines(j), Sep)
If UBound(W(j)) > UBound(C) Then ReDim Preserve C(UBound(W(j)))
For i = 0 To UBound(W(j)): If Len(W(j)(i)) > C(i) Then C(i) = Len(W(j)(i))
Next i, j
For j = 0 To UBound(W): For i = 0 To UBound(W(j))
D = C(i) - Len(W(j)(i))
L = Choose(Align + 1, 0, D, D \ 2)
R = Choose(Align + 1, D, 0, D - L) + Sp
Debug.Print Space(L); W(j)(i); Space(R); IIf(i < UBound(W(j)), "", vbLf);
Next i, j
End Sub
|
VERSION 5.00
Begin VB.Form Form1
Caption = "Form1"
ClientHeight = 12675
ClientLeft = 60
ClientTop = 345
ClientWidth = 13095
LinkTopic = "Form1"
ScaleHeight = 12675
ScaleWidth = 13095
StartUpPosition = 3 'Windows Default
Begin VB.CommandButton Cancelc
BackColor = &H000000FF&
Caption = "Cancel (c)"
Height = 855
Left = 10320
Style = 1 'Graphical
TabIndex = 39
Top = 4560
Width = 1455
End
Begin VB.CommandButton CancelButton
BackColor = &H000000FF&
Caption = "Cancel (s)"
Height = 855
Left = 8160
MaskColor = &H8000000A&
Style = 1 'Graphical
TabIndex = 38
Top = 4560
Width = 1335
End
Begin VB.ListBox ContextResults
Height = 2985
ItemData = "Form1.frx":0000
Left = 120
List = "Form1.frx":0002
TabIndex = 37
Top = 6840
Width = 3135
End
Begin VB.ListBox ContextList
Height = 1815
ItemData = "Form1.frx":0004
Left = 8520
List = "Form1.frx":0006
TabIndex = 35
Top = 2040
Width = 3135
End
Begin VB.CommandButton DeleteContext
Caption = "Delete All"
Height = 495
Left = 10200
TabIndex = 34
Top = 1320
Width = 1335
End
Begin VB.CommandButton AddContext
Caption = "Add"
Height = 495
Left = 8760
TabIndex = 33
Top = 1320
Width = 855
End
Begin VB.TextBox ContextValue
Height = 375
Left = 10200
TabIndex = 32
Top = 600
Width = 1335
End
Begin VB.TextBox ContextName
Height = 375
Left = 8520
TabIndex = 31
Top = 600
Width = 1335
End
Begin VB.CommandButton Command20
Caption = "Async Put Class (s)"
Height = 615
Left = 5520
TabIndex = 29
Top = 4920
Width = 1455
End
Begin VB.CommandButton Command19
Caption = "Sync Put Class"
Height = 615
Left = 3840
TabIndex = 28
Top = 4920
Width = 1335
End
Begin VB.TextBox QueryBox
Height = 375
Left = 360
TabIndex = 25
Text = "select * from Win32_LogicalDisk"
Top = 1320
Width = 3135
End
Begin VB.CommandButton Command18
Caption = "Async Put Obj (s)"
Height = 615
Left = 2160
TabIndex = 19
Top = 4920
Width = 1335
End
Begin VB.CommandButton Command17
Caption = "Sync Put Obj"
Height = 615
Left = 720
TabIndex = 18
Top = 4920
Width = 1215
End
Begin VB.CheckBox Check1
Caption = "Use Object Methods"
Height = 375
Left = 720
TabIndex = 17
TabStop = 0 'False
Top = 5880
Width = 2175
End
Begin VB.CommandButton Command16
Caption = "Sync NotificationQuery (c)"
Height = 615
Left = 5520
TabIndex = 16
Top = 3960
Width = 1455
End
Begin VB.CommandButton Command15
Caption = "Sync NotificationQuery"
Height = 615
Left = 3840
TabIndex = 15
Top = 3960
Width = 1335
End
Begin VB.CommandButton Command14
Caption = "Async ReferencesTo (c)"
Height = 615
Left = 2160
TabIndex = 14
Top = 3960
Width = 1335
End
Begin VB.CommandButton Command13
Caption = "Sync ReferencesTo"
Height = 615
Left = 720
TabIndex = 13
Top = 3960
Width = 1215
End
Begin VB.CommandButton Command12
Caption = "Async AssociatorsOf (c)"
Height = 615
Left = 2160
TabIndex = 12
Top = 3000
Width = 1335
End
Begin VB.CommandButton Command11
Caption = "Sync AssociatorsOf"
Height = 615
Left = 720
TabIndex = 11
Top = 3000
Width = 1215
End
Begin VB.CommandButton Command10
Caption = "Async SubclassesOf (c)"
Height = 615
Left = 5520
TabIndex = 10
Top = 3000
Width = 1455
End
Begin VB.CommandButton Command9
Caption = "Sync SubclassesOf"
Height = 615
Left = 3840
TabIndex = 9
Top = 3000
Width = 1335
End
Begin VB.CommandButton Command8
Caption = "Async InstancesOf (s)"
Height = 615
Left = 5520
TabIndex = 8
Top = 2040
Width = 1455
End
Begin VB.CommandButton Command7
Caption = "Sync InstncesOf"
Height = 615
Left = 3840
TabIndex = 7
Top = 2040
Width = 1335
End
Begin VB.CommandButton Command6
Caption = "Async Delete (s)"
Height = 615
Left = 2160
TabIndex = 6
Top = 2040
Width = 1335
End
Begin VB.CommandButton Command5
Caption = "Sync Delete"
Height = 615
Left = 720
TabIndex = 5
Top = 2040
Width = 1215
End
Begin VB.CommandButton Command4
Caption = "Async Get (s)"
Height = 615
Left = 5520
TabIndex = 4
Top = 600
Width = 1455
End
Begin VB.CommandButton Command3
Caption = "Sync Get"
Height = 615
Left = 3840
TabIndex = 3
Top = 600
Width = 1335
End
Begin VB.Timer Timer1
Interval = 100
Left = 2400
Top = 12480
End
Begin VB.ListBox List1
Height = 2985
ItemData = "Form1.frx":0008
Left = 3600
List = "Form1.frx":000A
TabIndex = 2
Top = 6840
Width = 3735
End
Begin VB.CommandButton Command2
Caption = "Query Async (s)"
Height = 615
Left = 2160
TabIndex = 1
Top = 600
Width = 1335
End
Begin VB.CommandButton Command1
Caption = "Query Sync"
Height = 615
Left = 720
TabIndex = 0
Top = 600
Width = 1215
End
Begin VB.Frame Frame1
Caption = "Operations"
Height = 6375
Left = 0
TabIndex = 30
Top = 240
Width = 7455
Begin VB.Line Line5
BorderColor = &H000000FF&
X1 = 600
X2 = 7080
Y1 = 4560
Y2 = 4560
End
Begin VB.Line Line4
X1 = 600
X2 = 7080
Y1 = 3600
Y2 = 3600
End
Begin VB.Line Line3
X1 = 600
X2 = 7200
Y1 = 2640
Y2 = 2640
End
Begin VB.Line Line2
X1 = 600
X2 = 7200
Y1 = 1680
Y2 = 1680
End
Begin VB.Line Line1
X1 = 3720
X2 = 3720
Y1 = 240
Y2 = 5520
End
End
Begin VB.Frame Frame2
Caption = "Context"
Height = 3855
Left = 8160
TabIndex = 36
Top = 360
Width = 3975
End
Begin VB.Label ObjectPathLabel
Caption = "Null"
Height = 375
Left = 1560
TabIndex = 27
Top = 11160
Width = 4575
End
Begin VB.Label Label4
Caption = "Put Obj Path:"
Height = 375
Left = 240
TabIndex = 26
Top = 11160
Width = 1215
End
Begin VB.Label LastErrorString
Height = 375
Left = 2280
TabIndex = 24
Top = 10560
Width = 2415
End
Begin VB.Label Label1
Caption = "Status:"
Height = 255
Left = 480
TabIndex = 23
Top = 9960
Width = 615
End
Begin VB.Label Label3
Caption = "Last Error:"
Height = 375
Left = 240
TabIndex = 22
Top = 10560
Width = 735
End
Begin VB.Label LastError
Height = 375
Left = 1200
TabIndex = 21
Top = 10560
Width = 975
End
Begin VB.Label Status
Height = 255
Left = 1200
TabIndex = 20
Top = 9960
Width = 2055
End
Begin VB.Image Image3
Height = 480
Left = 240
Picture = "Form1.frx":000C
Top = 12600
Visible = 0 'False
Width = 480
End
Begin VB.Image Image2
Height = 480
Left = 1680
Picture = "Form1.frx":07FE
Top = 12480
Visible = 0 'False
Width = 480
End
Begin VB.Image Image1
Height = 480
Left = 7800
Picture = "Form1.frx":0B08
Top = 7320
Width = 480
End
End
Attribute VB_Name = "Form1"
Attribute VB_GlobalNameSpace = False
Attribute VB_Creatable = False
Attribute VB_PredeclaredId = True
Attribute VB_Exposed = False
Dim WithEvents someSink As SWbemSink
Attribute someSink.VB_VarHelpID = -1
Dim WithEvents classSink As SWbemSink
Attribute classSink.VB_VarHelpID = -1
Dim WithEvents tmpSink1 As SWbemSink
Attribute tmpSink1.VB_VarHelpID = -1
Dim WithEvents tmpSink2 As SWbemSink
Attribute tmpSink2.VB_VarHelpID = -1
Dim WithEvents tmpSink3 As SWbemSink
Attribute tmpSink3.VB_VarHelpID = -1
Dim WithEvents tmpSink4 As SWbemSink
Attribute tmpSink4.VB_VarHelpID = -1
Dim WithEvents tmpSink5 As SWbemSink
Attribute tmpSink5.VB_VarHelpID = -1
Dim WithEvents tmpSink6 As SWbemSink
Attribute tmpSink6.VB_VarHelpID = -1
Dim WithEvents tmpSink7 As SWbemSink
Attribute tmpSink7.VB_VarHelpID = -1
Dim WithEvents tmpSink8 As SWbemSink
Attribute tmpSink8.VB_VarHelpID = -1
Dim WithEvents tmpSink9 As SWbemSink
Attribute tmpSink9.VB_VarHelpID = -1
Dim WithEvents tmpSink10 As SWbemSink
Attribute tmpSink10.VB_VarHelpID = -1
Dim WithEvents tmpSink11 As SWbemSink
Attribute tmpSink11.VB_VarHelpID = -1
Dim WithEvents tmpSink12 As SWbemSink
Attribute tmpSink12.VB_VarHelpID = -1
Dim WithEvents tmpSink13 As SWbemSink
Attribute tmpSink13.VB_VarHelpID = -1
Dim WithEvents tmpSink14 As SWbemSink
Attribute tmpSink14.VB_VarHelpID = -1
Dim WithEvents tmpSink15 As SWbemSink
Attribute tmpSink15.VB_VarHelpID = -1
Dim WithEvents tmpSink16 As SWbemSink
Attribute tmpSink16.VB_VarHelpID = -1
Dim WithEvents tmpSink17 As SWbemSink
Attribute tmpSink17.VB_VarHelpID = -1
Dim obj As SWbemObject
Dim context As SWbemNamedValueSet
Dim tmpContext As SWbemNamedValueSet
Dim services As SWbemServices
Dim locator As SWbemLocator
Dim myimage As Boolean
Private Sub AddContext_Click()
Dim res As SWbemNamedValue
Set res = context.Add(ContextName.Text, ContextValue.Text)
ContextList.AddItem (ContextName.Text & "=" & ContextValue.Text)
End Sub
Private Sub CancelButton_Click()
someSink.Cancel
End Sub
Private Sub Cancelc_Click()
classSink.Cancel
End Sub
Private Sub classSink_OnCompleted(ByVal hResult As WbemScripting.WbemErrorEnum, ByVal pErrorObject As WbemScripting.ISWbemObject, ByVal pAsyncContext As WbemScripting.ISWbemNamedValueSet)
Dim str As String
GetErrorString hResult, str
Call DisplayContext("OnCompleted(" & str & ") ", pAsyncContext)
Call HandleErrors(hResult, "", pErrorObject)
End Sub
Private Sub classSink_OnObjectPut(ByVal pObjectPath As WbemScripting.ISWbemObjectPath, ByVal pAsyncContext As WbemScripting.ISWbemNamedValueSet)
Call DisplayContext("OnObjectPut", pAsyncContext)
ObjectPathLabel.Caption = pObjectPath.path
End Sub
Private Sub classSink_OnObjectReady(ByVal pObject As WbemScripting.ISWbemObject, ByVal pAsyncContext As WbemScripting.ISWbemNamedValueSet)
Call DisplayContext("OnObjectReady", pAsyncContext)
List1.AddItem (pObject.Path_.Class)
End Sub
Private Sub classSink_OnProgress(ByVal upperBound As Long, ByVal current As Long, ByVal message As String, ByVal pAsyncContext As WbemScripting.ISWbemNamedValueSet)
Call DisplayContext("OnProgress", pAsyncContext)
MsgBox ("OnProgress called - upper: " & upperBound & " current: " & current & " str: " & message)
End Sub
Private Sub Command1_Click()
Dim myenum As Object
Dim obj As SWbemObject
Begin
On Error GoTo ErrorHandler
Set myenum = services.ExecQuery(QueryBox.Text)
For Each obj In myenum
List1.AddItem (obj.Path_.RelPath)
Next
Status.Caption = "Completed"
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command10_Click()
Dim result As Object
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
services.SubclassesOfAsync classSink, "Cim_LogicalDevice", , , tmpContext
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Cim_LogicalDevice")
obj.SubclassesAsync_ classSink, , , tmpContext
End If
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command11_Click()
Dim myenum As Object
Dim computer As SWbemObject
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
Set myenum = services.AssociatorsOf("Win32_LogicalDisk.DeviceID=""C:""", "Win32_SystemDevices")
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Win32_LogicalDisk.DeviceID=""C:""")
Set myenum = obj.Associators_("Win32_SystemDevices")
End If
For Each computer In myenum
List1.AddItem (computer.Path_.Class)
Next
Status.Caption = "Completed"
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command12_Click()
Dim result As Object
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
services.AssociatorsOfAsync classSink, "Win32_LogicalDisk.DeviceID=""C:""", "Win32_SystemDevices", , , , , , , , , , tmpContext
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Win32_LogicalDisk.DeviceID=""C:""")
obj.AssociatorsAsync_ classSink, "Win32_SystemDevices", , , , , , , , , , tmpContext
End If
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command13_Click()
Dim myenum As Object
Dim computer As SWbemObject
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
Set myenum = services.ReferencesTo("Win32_LogicalDisk.DeviceID=""C:""", "Win32_SystemDevices")
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Win32_LogicalDisk.DeviceID=""C:""")
Set myenum = obj.References_("Win32_SystemDevices")
End If
For Each computer In myenum
List1.AddItem (computer.Path_.Class)
Next
Status.Caption = "Completed"
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command14_Click()
Dim result As Object
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
services.ReferencesToAsync classSink, "Win32_LogicalDisk.DeviceID=""C:""", "Win32_SystemDevices", , , , , , , tmpContext
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Win32_LogicalDisk.DeviceID=""C:""")
obj.ReferencesAsync_ classSink, "Win32_SystemDevices", , , , , , , tmpContext
End If
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command15_Click()
Dim myenum As Object
Dim ev As SWbemObject
Begin
On Error GoTo ErrorHandler
Set myenum = services.ExecNotificationQuery("select * from __InstanceCreationEvent where TargetInstance isa ""Rogers""")
For Each ev In myenum
List1.AddItem (ev.Path_.Class)
Exit For
Next
Status.Caption = "Completed"
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command16_Click()
Begin
On Error GoTo ErrorHandler
services.ExecNotificationQueryAsync classSink, "select * from __InstanceCreationEvent where TargetInstance isa ""Rogers""", , , , tmpContext
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command17_Click()
Dim rogers As SWbemObject
Dim path As SWbemObjectPath
Begin
On Error GoTo ErrorHandler
Set rogers = services.Get("Rogers.num=1")
rogers.Dummy = rogers.Dummy + 1
Set path = rogers.Put_
Status.Caption = "Completed"
ObjectPathLabel.Caption = path.path
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command18_Click()
Dim rogers As SWbemObject
Dim result As Object
Begin
On Error GoTo ErrorHandler
Set rogers = services.Get("Rogers.num=1")
rogers.Dummy = rogers.Dummy + 1
rogers.PutAsync_ someSink, , , tmpContext
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command19_Click()
Dim rogers As SWbemObject
Dim path As SWbemObjectPath
Begin
On Error GoTo ErrorHandler
Set rogers = services.Get("Rogers")
Set path = rogers.Put_
Status.Caption = "Completed"
ObjectPathLabel.Caption = path.path
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command2_Click()
Begin
On Error GoTo ErrorHandler
services.ExecQueryAsync someSink, QueryBox.Text, , , , tmpContext
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command20_Click()
Dim rogers As SWbemObject
Dim result As Object
Begin
On Error GoTo ErrorHandler
Set rogers = services.Get("Rogers")
rogers.Dummy = rogers.Dummy + 1
rogers.PutAsync_ someSink, , , tmpContext
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command3_Click()
Dim disk As SWbemObject
Begin
On Error GoTo ErrorHandler
Set disk = services.Get("Win32_LogicalDisk.DeviceID=""C:""")
List1.AddItem (disk.DeviceID)
Status.Caption = "Completed"
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command4_Click()
Dim result As Object
Begin
On Error GoTo ErrorHandler
services.GetAsync someSink, "Win32_LogicalDisk.DeviceID=""C:""", , , tmpContext
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command5_Click()
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
services.Delete ("Rogers.num=1")
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Rogers.num=1")
obj.Delete_
End If
Status.Caption = "Completed"
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command6_Click()
Dim result As Object
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
services.DeleteAsync someSink, "Rogers.num=1", , , tmpContext
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Rogers.num=1")
obj.DeleteAsync_ someSink, , , tmpContext
End If
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command7_Click()
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
Set myenum = services.InstancesOf("Win32_LogicalDisk")
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Win32_LogicalDisk")
Set myenum = obj.Instances_
End If
For Each disk In myenum
List1.AddItem (disk.DeviceID)
Next
Status.Caption = "Completed"
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command8_Click()
Dim result As Object
Begin
On Error GoTo ErrorHandler
If Check1 = 0 Then
services.InstancesOfAsync someSink, "Win32_LogicalDisk", , , tmpContext
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Win32_LogicalDisk")
obj.InstancesAsync_ someSink, , , tmpContext
End If
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub Command9_Click()
Begin
Dim myClass As SWbemObject
On Error GoTo ErrorHandler
If Check1 = 0 Then
Set myenum = services.SubclassesOf("Cim_LogicalDevice")
Else
List1.AddItem ("Object Operation")
Set obj = services.Get("Cim_LogicalDevice")
Set myenum = obj.Subclasses_
End If
For Each myClass In myenum
List1.AddItem (myClass.Path_.Class)
Next
Status.Caption = "Completed"
Exit Sub
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
End Sub
Private Sub DeleteContext_Click()
context.DeleteAll
ContextList.Clear
End Sub
Private Sub Form_Load()
'Set services = GetObject("WinMgmts:")
Set locator = CreateObject("WbemScripting.SWbemLocator")
Set services = locator.ConnectServer()
services.Security_.ImpersonationLevel = wbemImpersonationLevelImpersonate
Set someSink = New SWbemSink
Set classSink = New SWbemSink
Set context = New SWbemNamedValueSet
On Error GoTo ErrorHandler
Set tmpSink1 = someSink
Set tmpSink2 = someSink
Set tmpSink3 = someSink
Set tmpSink4 = someSink
Set tmpSink5 = someSink
Set tmpSink6 = someSink
Set tmpSink7 = someSink
Set tmpSink8 = someSink
Set tmpSink9 = someSink
Set tmpSink10 = someSink
Set tmpSink11 = someSink
Set tmpSink12 = someSink
Set tmpSink13 = someSink
Set tmpSink14 = someSink
Set tmpSink15 = someSink
Set tmpSink16 = someSink
Set tmpSink17 = someSink
ErrorHandler:
Call HandleErrors(Err.Number, Err.Description, Nothing)
myimage = True
End Sub
Private Sub someSink_OnCompleted(ByVal hResult As WbemScripting.WbemErrorEnum, ByVal pErrorObject As WbemScripting.ISWbemObject, ByVal pAsyncContext As WbemScripting.ISWbemNamedValueSet)
Dim str As String
GetErrorString hResult, str
Call DisplayContext("OnCompleted(" & str & ") ", pAsyncContext)
Call HandleErrors(hResult, "", pErrorObject)
End Sub
Private Sub someSink_OnObjectPut(ByVal pObjectPath As WbemScripting.ISWbemObjectPath, ByVal pAsyncContext As WbemScripting.ISWbemNamedValueSet)
DisplayContext "OnObjectPut", pAsyncContext
ObjectPathLabel.Caption = pObjectPath.path
End Sub
Private Sub someSink_OnObjectReady(ByVal pObject As WbemScripting.ISWbemObject, ByVal pAsyncContext As WbemScripting.ISWbemNamedValueSet)
DisplayContext "OnObjectReady", pAsyncContext
List1.AddItem (pObject.Path_.RelPath)
End Sub
Private Sub someSink_OnProgress(ByVal upperBound As Long, ByVal current As Long, ByVal message As String, ByVal pAsyncContext As WbemScripting.ISWbemNamedValueSet)
DisplayContext "OnProgress", pAsyncContext
MsgBox ("OnProgress called - upper: " & upperBound & " current: " & current & " str: " & message)
End Sub
Private Sub Timer1_Timer()
If myimage = True Then
Image1.Picture = Image2.Picture
myimage = False
Else
Image1.Picture = Image3.Picture
myimage = True
End If
End Sub
Private Sub HandleErrors(ByVal hResult As Long, ByVal str As String, ByVal pErrorObject As WbemScripting.ISWbemObject)
Dim tmpStr As String
Status.Caption = "Completed"
LastError.Caption = hResult
GetErrorString hResult, tmpStr
LastErrorString.Caption = tmpStr
End Sub
Private Sub GetErrorString(ByVal hResult As Long, ByRef str As String)
If (hResult = 0) Then
str = "Success"
ElseIf (str = "") Then
Select Case hResult
Case WbemErrorEnum.wbemErrFailed
str = "wbemErrFailed"
Case WbemErrorEnum.wbemErrNotFound
str = "wbemErrNotFound"
Case WbemErrorEnum.wbemErrAccessDenied
str = "wbemErrAccessDenied"
Case WbemErrorEnum.wbemErrProviderFailure
str = "wbemErrProviderFailure"
Case WbemErrorEnum.wbemErrTypeMismatch
str = "wbemErrTypeMismatch"
Case WbemErrorEnum.wbemErrOutOfMemory
str = "wbemErrOutOfMemory"
Case WbemErrorEnum.wbemErrInvalidContext
str = "wbemErrInvalidContext"
Case WbemErrorEnum.wbemErrInvalidParameter
str = "wbemErrInvalidParameter"
Case WbemErrorEnum.wbemErrNotAvailable
str = "wbemErrNotAvailable"
Case WbemErrorEnum.wbemErrCriticalError
str = "wbemErrCriticalError"
Case WbemErrorEnum.wbemErrInvalidStream
str = "wbemErrInvalidStream"
Case WbemErrorEnum.wbemErrNotSupported
str = "wbemErrNotSupported"
Case WbemErrorEnum.wbemErrInvalidSuperclass
str = "wbemErrInvalidSuperclass"
Case WbemErrorEnum.wbemErrInvalidNamespace
str = "wbemErrInvalidNamespace"
Case WbemErrorEnum.wbemErrInvalidObject
str = "wbemErrInvalidObject"
Case WbemErrorEnum.wbemErrInvalidClass
str = "wbemErrInvalidClass"
Case WbemErrorEnum.wbemErrProviderNotFound
str = "wbemErrProviderNotFound"
Case WbemErrorEnum.wbemErrInvalidProviderRegistration
str = "wbemErrInvalidProviderRegistration"
Case WbemErrorEnum.wbemErrProviderLoadFailure
str = "wbemErrProviderLoadFailure"
Case WbemErrorEnum.wbemErrInitializationFailure
str = "wbemErrInitializationFailure"
Case WbemErrorEnum.wbemErrTransportFailure
str = "wbemErrTransportFailure"
Case WbemErrorEnum.wbemErrInvalidOperation
str = "wbemErrInvalidOperation"
Case WbemErrorEnum.wbemErrInvalidQuery
str = "wbemErrInvalidQuery"
Case WbemErrorEnum.wbemErrInvalidQueryType
str = "wbemErrInvalidQueryType"
Case WbemErrorEnum.wbemErrAlreadyExists
str = "wbemErrAlreadyExists"
Case WbemErrorEnum.wbemErrOverrideNotAllowed
str = "wbemErrOverrideNotAllowed"
Case WbemErrorEnum.wbemErrPropagatedQualifier
str = "wbemErrPropagatedQualifier"
Case WbemErrorEnum.wbemErrPropagatedProperty
str = "wbemErrPropagatedProperty"
Case WbemErrorEnum.wbemErrUnexpected
str = "wbemErrUnexpected"
Case WbemErrorEnum.wbemErrIllegalOperation
str = "wbemErrIllegalOperation"
Case WbemErrorEnum.wbemErrCannotBeKey
str = "wbemErrCannotBeKey"
Case WbemErrorEnum.wbemErrIncompleteClass
str = "wbemErrIncompleteClass"
Case WbemErrorEnum.wbemErrInvalidSyntax
str = "wbemErrInvalidSyntax"
Case WbemErrorEnum.wbemErrNondecoratedObject
str = "wbemErrNondecoratedObject"
Case WbemErrorEnum.wbemErrReadOnly
str = "wbemErrReadOnly"
Case WbemErrorEnum.wbemErrProviderNotCapable
str = "wbemErrProviderNotCapable"
Case WbemErrorEnum.wbemErrClassHasChildren
str = "wbemErrClassHasChildren"
Case WbemErrorEnum.wbemErrClassHasInstances
str = "wbemErrClassHasInstances"
Case WbemErrorEnum.wbemErrQueryNotImplemented
str = "wbemErrQueryNotImplemented"
Case WbemErrorEnum.wbemErrIllegalNull
str = "wbemErrIllegalNull"
Case WbemErrorEnum.wbemErrInvalidQualifierType
str = "wbemErrInvalidQualifierType"
Case WbemErrorEnum.wbemErrInvalidPropertyType
str = "wbemErrInvalidPropertyType"
Case WbemErrorEnum.wbemErrValueOutOfRange
str = "wbemErrValueOutOfRange"
Case WbemErrorEnum.wbemErrCannotBeSingleton
str = "wbemErrCannotBeSingleton"
Case WbemErrorEnum.wbemErrInvalidCimType
str = "wbemErrInvalidCimType"
Case WbemErrorEnum.wbemErrInvalidMethod
str = "wbemErrInvalidMethod"
Case WbemErrorEnum.wbemErrInvalidMethodParameters
str = "wbemErrInvalidMethodParameters"
Case WbemErrorEnum.wbemErrSystemProperty
str = "wbemErrSystemProperty"
Case WbemErrorEnum.wbemErrInvalidProperty
str = "wbemErrInvalidProperty"
Case WbemErrorEnum.wbemErrCallCancelled
str = "wbemErrCallCancelled"
Case WbemErrorEnum.wbemErrShuttingDown
str = "wbemErrShuttingDown"
Case WbemErrorEnum.wbemErrPropagatedMethod
str = "wbemErrPropagatedMethod"
Case WbemErrorEnum.wbemErrUnsupportedParameter
str = "wbemErrUnsupportedParameter"
Case WbemErrorEnum.wbemErrMissingParameter
str = "wbemErrMissingParameter"
Case WbemErrorEnum.wbemErrInvalidParameterId
str = "wbemErrInvalidParameterId"
Case WbemErrorEnum.wbemErrNonConsecutiveParameterIds
str = "wbemErrNonConsecutiveParameterIds"
Case WbemErrorEnum.wbemErrParameterIdOnRetval
str = "wbemErrParameterIdOnRetval"
Case WbemErrorEnum.wbemErrInvalidObjectPath
str = "wbemErrInvalidObjectPath"
Case WbemErrorEnum.wbemErrOutOfDiskSpace
str = "wbemErrOutOfDiskSpace"
Case WbemErrorEnum.wbemErrRegistrationTooBroad
str = "wbemErrRegistrationTooBroad"
Case WbemErrorEnum.wbemErrRegistrationTooPrecise
str = "wbemErrRegistrationTooPrecise"
Case WbemErrorEnum.wbemErrTimedout
str = "wbemErrTimedout"
Case Else
str = hResult
End Select
End If
End Sub
Private Sub Begin()
List1.Clear
ContextResults.Clear
Status.Caption = "In Progress"
ObjectPathLabel.Caption = "Null"
If (context.Count = 0) Then
Set tmpContext = Nothing
Else
Set tmpContext = context
End If
End Sub
Private Sub DisplayContext(ByVal str As String, Optional ByVal asyncContext As WbemScripting.ISWbemNamedValueSet)
Dim i As SWbemNamedValue
ContextResults.AddItem (str)
If asyncContext Is Nothing Then
ContextResults.AddItem ("Empty")
Else
For Each i In asyncContext
ContextResults.AddItem (i.Name & "=" & i.Value)
Next
End If
End Sub
|
<reponame>LaudateCorpus1/RosettaCodeData
Public Sub circles()
tests = [{0.1234, 0.9876, 0.8765, 0.2345, 2.0; 0.0000, 2.0000, 0.0000, 0.0000, 1.0; 0.1234, 0.9876, 0.1234, 0.9876, 2.0; 0.1234, 0.9876, 0.8765, 0.2345, 0.5; 0.1234, 0.9876, 0.1234, 0.9876, 0.0}]
For i = 1 To UBound(tests)
x1 = tests(i, 1)
y1 = tests(i, 2)
x2 = tests(i, 3)
y2 = tests(i, 4)
R = tests(i, 5)
xd = x2 - x1
yd = y1 - y2
s2 = xd * xd + yd * yd
sep = Sqr(s2)
xh = (x1 + x2) / 2
yh = (y1 + y2) / 2
Dim txt As String
If sep = 0 Then
txt = "same points/" & IIf(R = 0, "radius is zero", "infinite solutions")
Else
If sep = 2 * R Then
txt = "opposite ends of diameter with centre " & xh & ", " & yh & "."
Else
If sep > 2 * R Then
txt = "too far apart " & sep & " > " & 2 * R
Else
md = Sqr(R * R - s2 / 4)
xs = md * xd / sep
ys = md * yd / sep
txt = "{" & Format(xh + ys, "0.0000") & ", " & Format(yh + xs, "0.0000") & _
"} and {" & Format(xh - ys, "0.0000") & ", " & Format(yh - xs, "0.0000") & "}"
End If
End If
End If
Debug.Print "points " & "{" & x1 & ", " & y1 & "}" & ", " & "{" & x2 & ", " & y2 & "}" & " with radius " & R & " ==> " & txt
Next i
End Sub
|
VERSION 5.00
Begin VB.Form Form1
Caption = "Form1"
ClientHeight = 3675
ClientLeft = 120
ClientTop = 465
ClientWidth = 5580
LinkTopic = "Form1"
ScaleHeight = 245
ScaleMode = 3 'Pixel
ScaleWidth = 372
StartUpPosition = 3 'Windows Default
Begin VB.PictureBox Pic
AutoRedraw = -1 'True
AutoSize = -1 'True
Height = 3015
Left = 0
ScaleHeight = 197
ScaleMode = 3 'Pixel
ScaleWidth = 253
TabIndex = 0
Top = 0
Width = 3855
End
End
Attribute VB_Name = "Form1"
Attribute VB_GlobalNameSpace = False
Attribute VB_Creatable = False
Attribute VB_PredeclaredId = True
Attribute VB_Exposed = False
Option Explicit
Const RED As Integer = &HF800
Const GREEN As Integer = &H7E0
Const BLUE As Integer = &H1F
Private Function ConvertRGB888ToRGB565(RGB888 As Long) As Integer
Dim RGB888Val() As Byte
RGB888Val = GetRGB(RGB888)
Dim R As Byte
Dim G As Byte
Dim B As Byte
R = RGB888Val(0)
G = RGB888Val(1)
B = RGB888Val(2)
ConvertRGB888ToRGB565 = (SHL16(R, 8) And RED) Or (SHL16(G, 3) And GREEN) Or (SHR16(B, 3) And BLUE)
End Function
Private Sub OpenOutputFile()
Dim SplitPath() As String
Dim OutputPath As String
SplitPath = Split(VBA.Command$, ".")
If UBound(SplitPath()) > 0 Then
SplitPath(UBound(SplitPath())) = "SP"
OutputPath = Join(SplitPath(), ".")
Else
OutputPath = VBA.Command$ & ".SP"
End If
On Error Resume Next
Kill OutputPath
On Error GoTo 0
Open OutputPath For Binary Access Write As #1
End Sub
Private Sub CloseOutputFile()
Close #1
End Sub
Private Sub Form_Load()
If VBA.Command$ = "" Then
MsgBox "No input file!", vbCritical
End
End If
On Error GoTo Err
Set Pic.Picture = LoadPicture(VBA.Command$)
OpenOutputFile
Dim PicWidth As Integer
Dim PicHeight As Integer
PicWidth = Pic.ScaleWidth
PicHeight = Pic.ScaleHeight
Put #1, , PicWidth
Put #1, , PicHeight
Dim x As Long
Dim y As Long
For y = 0 To PicHeight - 1
For x = 0 To PicWidth - 1
Put #1, , ConvertRGB888ToRGB565(Pic.Point(x, y))
Next x
Next y
CloseOutputFile
MsgBox "Done", vbInformation
End
Err:
MsgBox Err.Description, vbCritical
End
End Sub
|
<reponame>MakeNowJust/quine
Imports System
Module Main
Sub Main()
Dim s As String = "Imports System{0}Module Main{0} Sub Main(){0} Dim s As String = {1}{2}{1}{0} Console.WriteLine(s,Chr(10),Chr(34),s){0} End Sub{0}End Module"
Console.WriteLine(s,Chr(10),Chr(34),s)
End Sub
End Module
|
<gh_stars>10-100
Attribute VB_Name = "Errors"
Option Explicit
Const FACILITY_WIN32 As Long = 7
' These are Win32 Errors.
' They are tested against returns from WIN32 APIS using these
' error codes, BUT They must be translated into COM Errors
' using the HRESULT_FROM_WIN32 function below when
' returning then from a COM Object
Public Const ERROR_FILE_NOT_FOUND As Long = 2
Public Const ERROR_DISK_FULL As Long = 112
' These Are COM Errors
Public Const E_NOTIMPL As Long = &H80004001
Public Const E_FAIL As Long = &H80004005
Public Const E_INVALIDARG As Long = &H80070057
Public Const E_UNEXPECTED As Long = &H8000FFFF
Public Const errBase As Long = vbObject + 9999
Public Const errContainsGarbageChar As Long = errBase + 1
Public Const errContainsStopSign As Long = errBase + 2
Public Const errContainsStopWord As Long = errBase + 3
Public Const errContainsOperatorShortcut As Long = errBase + 4
Public Const errContainsVerbalOperator As Long = errBase + 5
Public Const errAlreadyExists As Long = errBase + 6
Public Const errDoesNotExist As Long = errBase + 7
Public Const errTooLong As Long = errBase + 8
Public Const errMultiWord As Long = errBase + 9
Public Const errCancel As Long = errBase + 10
Public Const errRefNodeCannotBeDescendent As Long = errBase + 11
Public Const errDatabaseVersionIncompatible As Long = errBase + 12
Public Const errNotConfiguredForNavigateLink As Long = errBase + 13
Public Const errContainsQuote As Long = errBase + 14
Public Const errParentCannotBeLeaf As Long = errBase + 15
Public Const errBadKeywordsFormat As Long = errBase + 16
Public Const errNodeOrTopicAlreadyModified As Long = errBase + 17
Public Const errBadSpreadsheet As Long = errBase + 18
Public Const errOutOfOrderingNumbers As Long = errBase + 19
Public Const errNotPermittedForAuthoringGroup As Long = errBase + 20
Public Const errAuthoringGroupDiffers As Long = errBase + 21
Public Const errAuthoringGroupNotPresent As Long = errBase + 22
Public Const errVendorStringNotConfigured As Long = errBase + 23
Public Const errProductIdOrVersionMissing As Long = errBase + 24
Function HRESULT_FROM_WIN32(ByVal lngWin32Err As Long) As Long
If (lngWin32Err <> 0) Then
HRESULT_FROM_WIN32 = lngWin32Err And &HFFFF
HRESULT_FROM_WIN32 = HRESULT_FROM_WIN32 Or (FACILITY_WIN32 * &H10000)
HRESULT_FROM_WIN32 = HRESULT_FROM_WIN32 Or &H80000000
Else
HRESULT_FROM_WIN32 = 0
End If
End Function
Public Sub DisplayDatabaseLockedError( _
)
MsgBox "The database is locked because another user is saving his/her changes. " & _
"Please try again later.", vbExclamation + vbOKOnly
End Sub
Public Sub DisplayDatabaseVersionError( _
)
MsgBox "The database version is incompatible.", vbExclamation + vbOKOnly
End Sub
Public Sub DisplayAuthoringGroupError( _
)
Select Case Err.Number
Case errNotPermittedForAuthoringGroup
MsgBox "Your Authoring Group does not have sufficient permissions to " & _
"perform this operation.", _
vbExclamation + vbOKOnly
Case errAuthoringGroupDiffers
MsgBox "You cannot modify something that was created in a different " & _
"Authoring Group.", _
vbExclamation + vbOKOnly
Case errAuthoringGroupNotPresent
MsgBox "The Database has not been configured with an Authoring Group.", _
vbExclamation + vbOKOnly
End Select
End Sub
|
on error resume next
set l = CreateObject ("WbemScripting.SwbemLocator")
set ns = l.Open ("root\cimv2")
ns.filter_ = Array ("Win32_service")
for each p in ns
WScript.Echo p.Path_.Path
next
set os = ns.ExecQuery ("select * from win32_process go select * from win32_service")
for each p in os
WScript.Echo p.Name
next
|
<reponame>LaudateCorpus1/RosettaCodeData
'N-queens problem - non recursive & structured - vbs - 24/02/2017
const l=15
dim a(),s(),u(): redim a(l),s(l),u(4*l-2)
for i=1 to l: a(i)=i: next
for n=1 to l
m=0
i=1
j=0
r=2*n-1
Do
i=i-1
j=j+1
p=0
q=-r
Do
i=i+1
u(p)=1
u(q+r)=1
z=a(j): a(j)=a(i): a(i)=z 'swap a(i),a(j)
p=i-a(i)+n
q=i+a(i)-1
s(i)=j
j=i+1
Loop Until j>n Or u(p)<>0 Or u(q+r)<>0
If u(p)=0 Then
If u(q+r)=0 Then
m=m+1 'm: number of solutions
'x="": for k=1 to n: x=x&" "&a(k): next: msgbox x,,m
End If
End If
j=s(i)
Do While j>=n And i<>0
Do
z=a(j): a(j)=a(i): a(i)=z 'swap a(i),a(j)
j=j-1
Loop Until j<i
i=i-1
p=i-a(i)+n
q=i+a(i)-1
j=s(i)
u(p)=0
u(q+r)=0
Loop
Loop Until i=0
wscript.echo n &":"& m
next 'n
|
'//+----------------------------------------------------------------------------
'//
'// File: cab.frm
'//
'// Module: pbadmin.exe
'//
'// Synopsis: The options dialog in PBA
'//
'// Copyright (c) 1997-1999 Microsoft Corporation
'//
'// Author: quintinb Created Header 09/02/99
'//
'//+----------------------------------------------------------------------------
VERSION 5.00
Object = "{F9043C88-F6F2-101A-A3C9-08002B2F49FB}#1.2#0"; "COMDLG32.OCX"
Begin VB.Form frmCab
BorderStyle = 3 'Fixed Dialog
Caption = "options"
ClientHeight = 2595
ClientLeft = 2775
ClientTop = 1545
ClientWidth = 5955
Icon = "cab.frx":0000
KeyPreview = -1 'True
LinkTopic = "Form1"
LockControls = -1 'True
MaxButton = 0 'False
MinButton = 0 'False
PaletteMode = 1 'UseZOrder
ScaleHeight = 2595
ScaleWidth = 5955
ShowInTaskbar = 0 'False
WhatsThisButton = -1 'True
WhatsThisHelp = -1 'True
Begin VB.CommandButton Command1
Cancel = -1 'True
Caption = "cancel"
Height = 375
Left = 4425
TabIndex = 7
Top = 2070
WhatsThisHelpID = 10040
Width = 1335
End
Begin VB.CommandButton cmbcab
Caption = "ok"
Default = -1 'True
Height = 375
Left = 4410
TabIndex = 6
Top = 1530
WhatsThisHelpID = 10030
Width = 1335
End
Begin VB.TextBox txtUrl
Height = 285
Left = 225
MaxLength = 100
TabIndex = 1
Top = 495
WhatsThisHelpID = 70000
Width = 5520
End
Begin VB.TextBox UIDText
Height = 315
Left = 210
MaxLength = 64
TabIndex = 3
Top = 1350
WhatsThisHelpID = 70010
Width = 2730
End
Begin VB.TextBox PWDText
Height = 330
IMEMode = 3 'DISABLE
Left = 225
MaxLength = 64
PasswordChar = "*"
TabIndex = 5
Top = 2085
WhatsThisHelpID = 70020
Width = 2715
End
Begin MSComDlg.CommonDialog CommonDialog1
Left = 2940
Top = -30
_ExtentX = 847
_ExtentY = 847
_Version = 393216
End
Begin VB.Label ServerLabel
BackStyle = 0 'Transparent
Caption = "server"
Height = 255
Left = 210
TabIndex = 0
Top = 240
WhatsThisHelpID = 70000
Width = 5520
End
Begin VB.Label UIDLabel
BackStyle = 0 'Transparent
Caption = "uid"
Height = 255
Left = 225
TabIndex = 2
Top = 1125
WhatsThisHelpID = 70010
Width = 2790
End
Begin VB.Label pwdLabel
BackStyle = 0 'Transparent
Caption = "pwd"
Height = 270
Left = 225
TabIndex = 4
Top = 1815
WhatsThisHelpID = 70020
Width = 2670
End
End
Attribute VB_Name = "frmcab"
Attribute VB_GlobalNameSpace = False
Attribute VB_Creatable = False
Attribute VB_PredeclaredId = True
Attribute VB_Exposed = False
Option Explicit
Dim configuration As Recordset
Function LoadOptionsRes()
Dim cRef As Integer
On Error GoTo LoadErr
cRef = 5200
Me.Caption = LoadResString(cRef + 25) & " " & gsCurrentPB
ServerLabel.Caption = LoadResString(cRef + 21)
UIDLabel.Caption = LoadResString(cRef + 22)
pwdLabel.Caption = LoadResString(cRef + 23)
cmbcab.Caption = LoadResString(1002)
Command1.Caption = LoadResString(1003)
' set fonts
SetFonts Me
On Error GoTo 0
Exit Function
LoadErr:
Exit Function
End Function
Function TrimURL(URL As String) As String
URL = Trim(URL)
TrimURL = URL
If LCase(Left(URL, 4)) = "ftp:" Then
TrimURL = Right(URL, Len(URL) - 4)
End If
If LCase(Left(URL, 5)) = "http:" Then
TrimURL = Right(URL, Len(URL) - 5)
End If
Do While Left(TrimURL, 1) = "/"
TrimURL = Right(TrimURL, Len(TrimURL) - 1)
Loop
Do While Left(TrimURL, 1) = "\"
TrimURL = Right(TrimURL, Len(TrimURL) - 1)
Loop
End Function
Private Sub cmbcab_Click()
Dim rt As Integer
Screen.MousePointer = 11
rt = SetOptions(txtUrl.Text, UIDText.Text, PWDText.Text)
If rt = 1 Then
UIDText.SetFocus
ElseIf rt = 2 Then
PWDText.SetFocus
End If
Screen.MousePointer = 0
Unload Me
Exit Sub
ErrTrap:
Screen.MousePointer = 0
MsgBox LoadResString(6056) & Chr(13) & Chr(13) & Err.Description, vbExclamation
Exit Sub
Exit Sub
End Sub
Private Sub Command1_Click()
Unload Me
End Sub
Private Sub Form_Activate()
txtUrl.SetFocus
End Sub
Private Sub Form_KeyPress(KeyAscii As Integer)
CheckChar KeyAscii
End Sub
Private Sub Form_Load()
Dim RS, configuration As Recordset
Dim i As Integer
Dim myPos As Integer
On Error GoTo LoadErr
If gsCurrentPB = "" Then
Exit Sub
End If
CenterForm Me, Screen
'SSTab1.Tab = 0
LoadOptionsRes
Set configuration = gsyspb.OpenRecordset("Configuration", dbOpenSnapshot)
If configuration.RecordCount <> 0 Then
If Not IsNull(configuration!URL) Then
txtUrl.Text = configuration!URL
End If
If Not IsNull(configuration!ServerPWD) Then
PWDText.Text = configuration!ServerPWD
End If
If Not IsNull(configuration!ServerUID) Then
UIDText.Text = configuration!ServerUID
End If
End If
configuration.Close
Set configuration = Nothing
Screen.MousePointer = 0
Exit Sub
LoadErr:
Screen.MousePointer = 0
MsgBox LoadResString(6056) & Chr(13) & Chr(13) & Err.Description, vbExclamation
End Sub
Private Sub LoadList(list As Control, sTableName As String, sName As String, sID As String)
Dim RS As Recordset
list.Clear
Set Gsyspbpost = DBEngine.Workspaces(0).OpenDatabase(locPath + "pbserver.mdb")
Set RS = Gsyspbpost.OpenRecordset("SELECT " & sName & "," & sID & " FROM " & sTableName)
While Not RS.EOF
list.AddItem RS(sName)
list.ItemData(list.NewIndex) = RS(sID)
RS.MoveNext
Wend
RS.Close
Gsyspbpost.Close
End Sub
Sub selectListItem(list As Control, ByVal ID As Long)
Dim i As Integer
For i = 0 To list.ListCount - 1
If list.ItemData(i) = ID Then
list.Selected(i) = True
End If
Next i
End Sub
Private Sub Form_QueryUnload(Cancel As Integer, UnloadMode As Integer)
If UnloadMode = vbFormControlMenu Then
Cancel = False
Command1_Click
End If
End Sub
Private Sub Form_Unload(Cancel As Integer)
Set configuration = Nothing
End Sub
Private Sub PWDText_GotFocus()
SelectText PWDText
End Sub
Private Sub txtUrl_GotFocus()
SelectText txtUrl
End Sub
Private Sub txtUrl_KeyPress(KeyAscii As Integer)
Select Case KeyAscii
Case 32 'space
KeyAscii = 0
Beep
'MsgBox LoadResString(6018), vbInformation
End Select
End Sub
Private Sub UIDText_GotFocus()
SelectText UIDText
End Sub
Private Sub UIDText_KeyPress(KeyAscii As Integer)
Select Case KeyAscii
'0-9 a-z A-Z Bkspc ctrl-C ctrl-V
'Case 48 To 57, 97 To 122, 65 To 90, 8, 3, 22
' do nothing
' upper case
'Case 48 To 57
' KeyAscii = KeyAscii + 32 ' shift to lower case
'Case Else
' KeyAscii = 0
' Beep
' MsgBox LoadResString(6018), vbInformation
Case 32 'space
KeyAscii = 0
Beep
Case Else
'do nothing
End Select
End Sub
|
<filename>Task/Function-composition/VBScript/function-composition-1.vb
option explicit
class closure
private composition
sub compose( f1, f2 )
composition = f2 & "(" & f1 & "(p1))"
end sub
public default function apply( p1 )
apply = eval( composition )
end function
public property get formula
formula = composition
end property
end class
|
<reponame>mullikine/RosettaCodeData<filename>Task/Stable-marriage-problem/VBA/stable-marriage-problem-1.vba
Sub M_snb()
c00 = "_abe abi eve cath ivy jan dee fay bea hope gay " & _
"_bob cath hope abi dee eve fay bea jan ivy gay " & _
"_col hope eve abi dee bea fay ivy gay cath jan " & _
"_dan ivy fay dee gay hope eve jan bea cath abi " & _
"_ed jan dee bea cath fay eve abi ivy hope gay " & _
"_fred bea abi dee gay eve ivy cath jan hope fay " & _
"_gav gay eve ivy bea cath abi dee hope jan fay " & _
"_hal abi eve hope fay ivy cath jan bea gay dee " & _
"_ian hope cath dee gay bea abi fay ivy jan eve " & _
"_jon abi fay jan gay eve bea dee cath ivy hope " & _
"_abi bob fred jon gav ian abe dan ed col hal " & _
"_bea bob abe col fred gav dan ian ed jon hal " & _
"_cath fred bob ed gav hal col ian abe dan jon " & _
"_dee fred jon col abe ian hal gav dan bob ed " & _
"_eve jon hal fred dan abe gav col ed ian bob " & _
"_fay bob abe ed ian jon dan fred gav col hal " & _
"_gay jon gav hal fred bob abe col ed dan ian " & _
"_hope gav jon bob abe ian dan hal ed col fred " & _
"_ivy ian col hal gav fred bob abe ed jon dan " & _
"_jan ed hal gav abe bob jon col ian fred dan "
sn = Filter(Filter(Split(c00), "_"), "-", 0)
Do
c01 = Mid(c00, InStr(c00, sn(0) & " "))
st = Split(Left(c01, InStr(Mid(c01, 2), "_")))
For j = 1 To UBound(st) - 1
If InStr(c00, "_" & st(j) & " ") > 0 Then
c00 = Replace(Replace(c00, sn(0), sn(0) & "-" & st(j)), "_" & st(j), "_" & st(j) & "." & Mid(sn(0), 2))
Exit For
Else
c02 = Filter(Split(c00, "_"), st(j) & ".")(0)
c03 = Split(Split(c02)(0), ".")(1)
If InStr(c02, " " & Mid(sn(0), 2) & " ") < InStr(c02, " " & c03 & " ") Then
c00 = Replace(Replace(Replace(c00, c03 & "-" & st(j), c03), sn(0), sn(0) & "-" & st(j)), "_" & st(j), "_" & st(j) & "." & Mid(sn(0), 2))
Exit For
End If
End If
Next
sn = Filter(Filter(Filter(Split(c00), "_"), "-", 0), ".", 0)
Loop Until UBound(sn) = -1
MsgBox Replace(Join(Filter(Split(c00), "-"), vbLf), "_", "")
End Sub
|
<gh_stars>1-10
Dim onlyInstance as Boolean
onlyInstance = not App.PrevInstance
|
For k = 1 To 5
count = 0
increment = 1
WScript.StdOut.Write "K" & k & ": "
Do Until count = 10
If PrimeFactors(increment) = k Then
WScript.StdOut.Write increment & " "
count = count + 1
End If
increment = increment + 1
Loop
WScript.StdOut.WriteLine
Next
Function PrimeFactors(n)
PrimeFactors = 0
arrP = Split(ListPrimes(n)," ")
divnum = n
Do Until divnum = 1
For i = 0 To UBound(arrP)-1
If divnum = 1 Then
Exit For
ElseIf divnum Mod arrP(i) = 0 Then
divnum = divnum/arrP(i)
PrimeFactors = PrimeFactors + 1
End If
Next
Loop
End Function
Function IsPrime(n)
If n = 2 Then
IsPrime = True
ElseIf n <= 1 Or n Mod 2 = 0 Then
IsPrime = False
Else
IsPrime = True
For i = 3 To Int(Sqr(n)) Step 2
If n Mod i = 0 Then
IsPrime = False
Exit For
End If
Next
End If
End Function
Function ListPrimes(n)
ListPrimes = ""
For i = 1 To n
If IsPrime(i) Then
ListPrimes = ListPrimes & i & " "
End If
Next
End Function
|
'***************************************************************************
'This script tests the manipulation of qualifier values, in the case that the
'qualifier is an array type
'***************************************************************************
Set Service = GetObject("winmgmts:root/default")
On Error Resume Next
Set aClass = Service.Get()
aClass.Path_.Class = "ARRAYQUAL00"
aClass.Qualifiers_.Add "q1", Array (1, 20, 3)
str = "The initial value of q1 is [1,20,3]: {"
for x=LBound(aClass.Qualifiers_("q1")) to UBound(aClass.Qualifiers_("q1"))
str = str & aClass.Qualifiers_("q1")(x)
if x <> UBound(aClass.Qualifiers_("q1")) Then
str = str & ", "
End if
next
str = str & "}"
WScript.Echo str
WScript.Echo ""
'Verify we can report the value of an element of the qualifier value
v = aClass.Qualifiers_("q1")
WScript.Echo "By indirection the first element of q1 has value [1]:",v(0)
'Verify we can report the value directly
WScript.Echo "By direct access the first element of q1 has value [1]:", aClass.Qualifiers_("q1")(0)
'Verify we can set the value of a single qualifier value element
aClass.Qualifiers_("q1")(1) = 11
WScript.Echo "After direct assignment the second element of q1 has value [11]:", aClass.Qualifiers_("q1")(1)
Set Qualifier = aClass.Qualifiers_("q1")
Qualifier.Value(2) = 37
WScript.Echo "After direct assignment the third element of q1 has value [37]:", aClass.Qualifiers_("q1")(2)
'Verify we can set the value of a single qualifier value element
Set v = aClass.Qualifiers_("q1")
v(1) = 345
WScript.Echo "After indirect assignment the first element of q1 has value [345]:", aClass.Qualifiers_("q1")(1)
'Verify we can set the value of an entire qualifier value
aClass.Qualifiers_("q1") = Array (5, 34, 178871)
WScript.Echo "After direct array assignment the second element of q1 has value [34]:", aClass.Qualifiers_("q1")(1)
str = "After direct assignment the entire value of q1 is [5,34,178871] {"
for x=LBound(aClass.Qualifiers_("q1")) to UBound(aClass.Qualifiers_("q1"))
str = str & aClass.Qualifiers_("q1")(x)
if x <> UBound(aClass.Qualifiers_("q1")) Then
str = str & ", "
End if
next
str = str & "}"
WScript.Echo str
aClass.Put_ ()
if Err <> 0 Then
WScript.Echo Err.Description
Err.Clear
End if
|
<gh_stars>1-10
Function parse_ip(addr)
'ipv4 pattern
Set ipv4_pattern = New RegExp
ipv4_pattern.Global = True
ipv4_pattern.Pattern = "(\d{1,3}\.){3}\d{1,3}"
'ipv6 pattern
Set ipv6_pattern = New RegExp
ipv6_pattern.Global = True
ipv6_pattern.Pattern = "([0-9a-fA-F]{0,4}:){2}[0-9a-fA-F]{0,4}"
'test if address is ipv4
If ipv4_pattern.Test(addr) Then
port = Split(addr,":")
octet = Split(port(0),".")
ipv4_hex = ""
For i = 0 To UBound(octet)
If octet(i) <= 255 And octet(i) >= 0 Then
ipv4_hex = ipv4_hex & Right("0" & Hex(octet(i)),2)
Else
ipv4_hex = "Erroneous Address"
Exit For
End If
Next
parse_ip = "Test Case: " & addr & vbCrLf &_
"Address: " & ipv4_hex & vbCrLf
If UBound(port) = 1 Then
If port(1) <= 65535 And port(1) >= 0 Then
parse_ip = parse_ip & "Port: " & port(1) & vbCrLf
Else
parse_ip = parse_ip & "Port: Invalid" & vbCrLf
End If
End If
End If
'test if address is ipv6
If ipv6_pattern.Test(addr) Then
parse_ip = "Test Case: " & addr & vbCrLf
port_v6 = "Port: "
ipv6_hex = ""
'check and extract port information if any
If InStr(1,addr,"[") Then
'extract the port
port_v6 = port_v6 & Mid(addr,InStrRev(addr,"]")+2,Len(addr)-Len(Mid(addr,1,InStrRev(addr,"]")+1)))
'extract the address
addr = Mid(addr,InStrRev(addr,"[")+1,InStrRev(addr,"]")-(InStrRev(addr,"[")+1))
End If
word = Split(addr,":")
word_count = 0
For i = 0 To UBound(word)
If word(i) = "" Then
If i < UBound(word) Then
If Int((7-(i+1))/2) = 1 Then
k = 1
ElseIf UBound(word) < 6 Then
k = Int((7-(i+1))/2)
ElseIf UBound(word) >= 6 Then
k = Int((7-(i+1))/2)-1
End If
For j = 0 To k
ipv6_hex = ipv6_hex & "0000"
word_count = word_count + 1
Next
Else
For j = 0 To (7-word_count)
ipv6_hex = ipv6_hex & "0000"
Next
End If
Else
ipv6_hex = ipv6_hex & Right("0000" & word(i),4)
word_count = word_count + 1
End If
Next
parse_ip = parse_ip & "Address: " & ipv6_hex &_
vbCrLf & port_v6 & vbCrLf
End If
'test if the address in invalid
If ipv4_pattern.Test(addr) = False And ipv6_pattern.Test(addr) = False Then
parse_ip = "Test Case: " & addr & vbCrLf &_
"Address: Invalid Address" & vbCrLf
End If
End Function
'Testing the function
ip_arr = Array("127.0.0.1","127.0.0.1:80","::1",_
"[::1]:80","fc00:e968:6179::de52:7100:93e3","[2605:2fc00:e968:6179::de52:7100:93e3]:80","RosettaCode")
For n = 0 To UBound(ip_arr)
WScript.StdOut.Write parse_ip(ip_arr(n)) & vbCrLf
Next
|
100 REM ****************************
110 REM MAIN TEST
120 REM ****************************
121 REM
122 REM
125 REM *********** CLEAR *********
130 CLEAR
135 REM *********** RETURN *********
140 RETURN
145 REM *********** LIST *********
150 LIST
155 REM *********** RUN *********
160 RUN
165 REM *********** END *********
170 END
175 REM *********** GOTO *********
180 GOTO 100
185 REM *********** GOSUB *********
190 GOSUB 100
195 REM *********** LET *********
180 LET x = 12
185 REM *********** INPUT *********
200 INPUT "sdsdsd";y
225 REM *********** IF *********
220 IF x=13 THEN PRINT "xx"
230 REM *********** IF with assign *********
240 IF x=13 THEN LET y=12
245 IF x=13 THEN y=12
245 REM *********** PRINT *********
250 PRINT "xx"
260 REM *********** FOR *********
270 FOR i=10 TO 15 STEP 20
275 FOR i=10 TO 15
260 REM *********** NEXT *********
261 NEXT i
300 REM *********** DIM *********
310 DIM j(14)
400 REM *********** SQR *********
410 LET h = SQR(12)
420 REM *********** CHR *********
430 LET h = CHR$(12)
440 REM *********** COLON TWO STATEMENTS *********
450 PRINT "1" : PRINT "2"
460 REM *********** COLON COMMENT *********
470 PRINT "1" : REM
480 PRINT "1" : REM 44
490 REM *********** NAKED ASSIGN *********
500 j=77
490 REM *********** PRINT EXPRESSION *********
510 PRINT CHR$ (7)
1000 TEXT
1010 HGR
490 REM *********** LEN *********
520 ll = LEN("abc")
490 REM *********** CALL *********
11 CALL 5010
490 REM *********** ASC *********
520 ll = ASC("a")
490 REM *********** MID *********
520 ll = MID$("abc",1,2)
490 REM *********** STRING VAR *********
520 d$="sdsd"
490 REM *********** PLOT *********
550 HPLOT 0,YS TO 279,YS
550 VPLOT 0,YS TO 279,YS
560 VPLOT 0,YS TO 279,YS
490 REM *********** PR *********
1000 PR#1
1001 PR#2
490 REM *********** FLOATS *********
20 XC = -0.5 : REM CENTER COORD X
30 YC = 0 : REM " " Y
40 S = 2 : REM SCALE
490 REM *********** NEQ *********
40 IF N< > 0 GOTO 80
490 REM *********** TAB *********
10 VTAB 6: HTAB 3
|
Option Base 1
Private Function pivotize(m As Variant) As Variant
Dim n As Integer: n = UBound(m)
Dim im() As Double
ReDim im(n, n)
For i = 1 To n
For j = 1 To n
im(i, j) = 0
Next j
im(i, i) = 1
Next i
For i = 1 To n
mx = m(i, i)
row_ = i
For j = i To n
If m(j, i) > mx Then
mx = m(j, i)
row_ = j
End If
Next j
If i <> Row Then
For j = 1 To n
tmp = im(i, j)
im(i, j) = im(row_, j)
im(row_, j) = tmp
Next j
End If
Next i
pivotize = im
End Function
Private Function lu(a As Variant) As Variant
Dim n As Integer: n = UBound(a)
Dim l() As Double
ReDim l(n, n)
For i = 1 To n
For j = 1 To n
l(i, j) = 0
Next j
Next i
u = l
p = pivotize(a)
a2 = WorksheetFunction.MMult(p, a)
For j = 1 To n
l(j, j) = 1#
For i = 1 To j
sum1 = 0#
For k = 1 To i
sum1 = sum1 + u(k, j) * l(i, k)
Next k
u(i, j) = a2(i, j) - sum1
Next i
For i = j + 1 To n
sum2 = 0#
For k = 1 To j
sum2 = sum2 + u(k, j) * l(i, k)
Next k
l(i, j) = (a2(i, j) - sum2) / u(j, j)
Next i
Next j
Dim res(4) As Variant
res(1) = a
res(2) = l
res(3) = u
res(4) = p
lu = res
End Function
Public Sub main()
a = [{1, 3, 5; 2, 4, 7; 1, 1, 0}]
Debug.Print "== a,l,u,p: =="
result = lu(a)
For i = 1 To 4
For j = 1 To UBound(result(1))
For k = 1 To UBound(result(1), 2)
Debug.Print result(i)(j, k),
Next k
Debug.Print
Next j
Debug.Print
Next i
a = [{11, 9,24, 2; 1, 5, 2, 6; 3,17,18, 1; 2, 5, 7, 1}]
Debug.Print "== a,l,u,p: =="
result = lu(a)
For i = 1 To 4
For j = 1 To UBound(result(1))
For k = 1 To UBound(result(1), 2)
Debug.Print Format(result(i)(j, k), "0.#####"),
Next k
Debug.Print
Next j
Debug.Print
Next i
End Sub
|
Const MAX = 20
Const ITER = 1000000
Function expected(n As Long) As Double
Dim sum As Double
For i = 1 To n
sum = sum + WorksheetFunction.Fact(n) / n ^ i / WorksheetFunction.Fact(n - i)
Next i
expected = sum
End Function
Function test(n As Long) As Double
Dim count As Long
Dim x As Long, bits As Long
For i = 1 To ITER
x = 1
bits = 0
Do While Not bits And x
count = count + 1
bits = bits Or x
x = 2 ^ (Int(n * Rnd()))
Loop
Next i
test = count / ITER
End Function
Public Sub main()
Dim n As Long
Debug.Print " n avg. exp. (error%)"
Debug.Print "== ====== ====== ========"
For n = 1 To MAX
av = test(n)
ex = expected(n)
Debug.Print Format(n, "@@"); " "; Format(av, "0.0000"); " ";
Debug.Print Format(ex, "0.0000"); " ("; Format(Abs(1 - av / ex), "0.000%"); ")"
Next n
End Sub
|
Set objfso = CreateObject("Scripting.FileSystemObject")
parent_folder = objfso.GetParentFolderName(WScript.ScriptFullName) & "\"
Set objcsv = objfso.OpenTextFile(parent_folder & "in.csv",1,False)
Set objhtml = objfso.OpenTextFile(paren_folder & "out.html",2,True)
objhtml.Write(csv_to_html(objcsv.ReadAll))
objcsv.Close
objhtml.Close
Set objfso = Nothing
Function csv_to_html(s)
row = Split(s,vbCrLf)
'write the header
tmp = "<html><head><head/><body><table border=1 cellpadding=10 cellspacing=0>"
For i = 0 To UBound(row)
field = Split(row(i),",")
If i = 0 Then
tmp = tmp & "<tr><th>" & replace_chars(field(0)) & "</th><th>" & replace_chars(field(1)) & "</th><tr>"
Else
tmp = tmp & "<tr><td>" & replace_chars(field(0)) & "</td><td>" & replace_chars(field(1)) & "</td><tr>"
End If
Next
'write the footer
tmp = tmp & "</table></body></html>"
csv_to_html = tmp
End Function
Function replace_chars(s)
replace_chars = Replace(Replace(s,"<","<"),">",">")
End Function
|
<reponame>robinkjoy/JSON2RTLRegFile
' Enumerations https://msdn.microsoft.com/en-us/library/office/aa211923(v=office.11).aspx
Option Explicit
Sub InsertRegTable(oWord, oRange, regStrings)
With oRange
.Paragraphs.Add
With .Paragraphs(1)
.Style = -3
.Range.Text = regStrings(0)
End With
.Paragraphs.Add
With .Paragraphs(2)
.Style = -1
End With
End With
oRange.Tables.Add oRange.Paragraphs(2).Range, 4, 5
Dim oTable
Set oTable = oRange.Tables(1)
' Set border properties
With oTable.Borders
.InsideLineStyle = 1
.OutsideLineStyle = 1
End With
' Set table properties
With oTable
.Columns(1).Width = oWord.InchesToPoints(1.1)
.Columns(2).Width = oWord.InchesToPoints(0.5)
.Columns(3).Width = oWord.InchesToPoints(0.5)
.Columns(4).Width = oWord.InchesToPoints(1.1)
.Columns(5).Width = oWord.InchesToPoints(3.2)
Dim row
For Each row In .Rows
row.Cells.VerticalAlignment = 1
Next
End With
' Insert table contents
SetHeader oTable, 1, 1, "Register Name"
oTable.Cell(1, 2).Range.Text = regStrings(1)
SetHeader oTable, 2, 1, "Offset"
oTable.Cell(2, 2).Range.Text = regStrings(2)
SetHeader oTable, 3, 1, "Fields"
SetHeader oTable, 4, 1, "Field Name"
SetHeader oTable, 4, 2, "Bits"
SetHeader oTable, 4, 3, "Type"
SetHeader oTable, 4, 4, "Default Value"
SetHeader oTable, 4, 5, "Description"
' Merge cells
MergeCells oWord.ActiveDocument, oTable, 1, 2, 1, 5
MergeCells oWord.ActiveDocument, oTable, 2, 2, 2, 5
MergeCells oWord.ActiveDocument, oTable, 3, 1, 3, 5
oTable.Cell(3, 1).Range.ParagraphFormat.Alignment = 1
End Sub
Sub InsertFieldRow(oTable, fieldStrings)
Dim oRow
oTable.Rows.Add
Set oRow = oTable.Rows(oTable.Rows.Count)
Dim i
For i = 0 To 4
oRow.Cells(i + 1).Range.Text = fieldStrings(i)
oRow.Cells(i + 1).Range.Font.Bold = False
Next
End Sub
Sub MergeCells(doc, oTable, si, sj, ei, ej)
doc.Range(oTable.Cell(si, sj).Range.Start, oTable.Cell(ei, ej).Range.End).Cells.Merge
End Sub
Sub SetHeader(oTable, row, col, header)
With oTable.Cell(row, col).Range
.Text = header
.Font.Bold = True
End With
End Sub
|
<reponame>LaudateCorpus1/RosettaCodeData
Public s As String '-- (eg "101101100010")
Public t As Integer '-- scratch
Function s1()
s1 = Len(s) = 12
End Function
Function s2()
t = 0
For i = 7 To 12
t = t - (Mid(s, i, 1) = "1")
Next i
s2 = t = 3
End Function
Function s3()
t = 0
For i = 2 To 12 Step 2
t = t - (Mid(s, i, 1) = "1")
Next i
s3 = t = 2
End Function
Function s4()
s4 = Mid(s, 5, 1) = "0" Or ((Mid(s, 6, 1) = "1" And Mid(s, 7, 1) = "1"))
End Function
Function s5()
s5 = Mid(s, 2, 1) = "0" And Mid(s, 3, 1) = "0" And Mid(s, 4, 1) = "0"
End Function
Function s6()
t = 0
For i = 1 To 12 Step 2
t = t - (Mid(s, i, 1) = "1")
Next i
s6 = t = 4
End Function
Function s7()
s7 = Mid(s, 2, 1) <> Mid(s, 3, 1)
End Function
Function s8()
s8 = Mid(s, 7, 1) = "0" Or (Mid(s, 5, 1) = "1" And Mid(s, 6, 1) = "1")
End Function
Function s9()
t = 0
For i = 1 To 6
t = t - (Mid(s, i, 1) = "1")
Next i
s9 = t = 3
End Function
Function s10()
s10 = Mid(s, 11, 1) = "1" And Mid(s, 12, 1) = "1"
End Function
Function s11()
t = 0
For i = 7 To 9
t = t - (Mid(s, i, 1) = "1")
Next i
s11 = t = 1
End Function
Function s12()
t = 0
For i = 1 To 11
t = t - (Mid(s, i, 1) = "1")
Next i
s12 = t = 4
End Function
Public Sub twelve_statements()
For i = 0 To 2 ^ 12 - 1
s = Right(CStr(WorksheetFunction.Dec2Bin(64 + i \ 128)), 5) _
& Right(CStr(WorksheetFunction.Dec2Bin(256 + i Mod 128)), 7)
For b = 1 To 12
Select Case b
Case 1: If s1 <> (Mid(s, b, 1) = "1") Then Exit For
Case 2: If s2 <> (Mid(s, b, 1) = "1") Then Exit For
Case 3: If s3 <> (Mid(s, b, 1) = "1") Then Exit For
Case 4: If s4 <> (Mid(s, b, 1) = "1") Then Exit For
Case 5: If s5 <> (Mid(s, b, 1) = "1") Then Exit For
Case 6: If s6 <> (Mid(s, b, 1) = "1") Then Exit For
Case 7: If s7 <> (Mid(s, b, 1) = "1") Then Exit For
Case 8: If s8 <> (Mid(s, b, 1) = "1") Then Exit For
Case 9: If s9 <> (Mid(s, b, 1) = "1") Then Exit For
Case 10: If s10 <> (Mid(s, b, 1) = "1") Then Exit For
Case 11: If s11 <> (Mid(s, b, 1) = "1") Then Exit For
Case 12: If s12 <> (Mid(s, b, 1) = "1") Then Exit For
End Select
If b = 12 Then Debug.Print s
Next
Next
End Sub
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.