Search is not available for this dataset
content
stringlengths
0
376M
<filename>rtl/periph/ps2kc.vhd -- Copyright (c) 2015, $ME -- All rights reserved. -- -- Redistribution and use in source and synthezised forms, with or without modification, are permitted -- provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this list of conditions -- and the following disclaimer. -- -- 2. Redistributions in synthezised form must reproduce the above copyright notice, this list of conditions -- and the following disclaimer in the documentation and/or other materials provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED -- WARRANTIES, INCLUDING, BUT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR -- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT LIMITED -- TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) -- HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING -- NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- -- MiSTer PS/2 inputs -> KC87 Keymatrix -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ps2kc is port ( clk : in std_logic; res_n : in std_logic; scancode : in std_logic_vector(7 downto 0); scanstate : in std_logic; rcvd : in std_logic; matrixXin : in std_logic_vector(7 downto 0); matrixXout : out std_logic_vector(7 downto 0); matrixYin : in std_logic_vector(7 downto 0); matrixYout : out std_logic_vector(7 downto 0); joystick_0 : in std_logic_vector(31 downto 0); joystick_1 : in std_logic_vector(31 downto 0) ); end; architecture rtl of ps2kc is type keyMatrixType is array(8 downto 1) of std_logic_vector(8 downto 1); -- init mit 1 funktioniert in ise nicht, Quartus kanns :P signal keyMatrix : keyMatrixType := (others => (others => '1')); signal joystick_0_old : std_logic_vector(31 downto 0) := (others => '0'); signal joystick_1_old : std_logic_vector(31 downto 0) := (others => '0'); begin -- ps/2-codes in eine 8x8 matrix umkopieren die weitgehend der des kc entspricht process(clk, scancode, rcvd, matrixXin, matrixYin, keyMatrix) begin if rising_edge(clk) then if (rcvd='1') then case scancode is --- Zeile 1 when x"45" => keyMatrix(1)(1) <= scanstate; -- 0 (_) => (0 =) when x"16" => keyMatrix(1)(2) <= scanstate; -- 1 ! when x"1e" => keyMatrix(1)(3) <= scanstate; -- 2 " when x"26" => keyMatrix(1)(4) <= scanstate; -- 3 (#) => (3 §) when x"25" => keyMatrix(1)(5) <= scanstate; -- 4 $ when x"2e" => keyMatrix(1)(6) <= scanstate; -- 5 % when x"36" => keyMatrix(1)(7) <= scanstate; -- 6 & when x"3d" => keyMatrix(1)(8) <= scanstate; -- 7 (') => (7 /) --- Zeile 2 when x"3e" => keyMatrix(2)(1) <= scanstate; -- 8 ( when x"46" => keyMatrix(2)(2) <= scanstate; -- 9 ) when x"61" => keyMatrix(2)(3) <= scanstate; -- : * => (< >) when x"5b" => keyMatrix(2)(4) <= scanstate; -- ; + => (+ *) when x"41" => keyMatrix(2)(5) <= scanstate; -- , < => (, ;) when x"4a" => keyMatrix(2)(6) <= scanstate; -- = - => (- _) when x"49" => keyMatrix(2)(7) <= scanstate; -- . > => (. :) when x"4e" => keyMatrix(2)(8) <= scanstate; -- ? / => (ß ?) --- Zeile 3 -- @ when x"1c" => keyMatrix(3)(2) <= scanstate; -- A when x"32" => keyMatrix(3)(3) <= scanstate; -- B when x"21" => keyMatrix(3)(4) <= scanstate; -- C when x"23" => keyMatrix(3)(5) <= scanstate; -- D when x"24" => keyMatrix(3)(6) <= scanstate; -- E when x"2b" => keyMatrix(3)(7) <= scanstate; -- F when x"34" => keyMatrix(3)(8) <= scanstate; -- G --- Zeile 4 when x"33" => keyMatrix(4)(1) <= scanstate; -- H when x"43" => keyMatrix(4)(2) <= scanstate; -- I when x"3b" => keyMatrix(4)(3) <= scanstate; -- J when x"42" => keyMatrix(4)(4) <= scanstate; -- K when x"4b" => keyMatrix(4)(5) <= scanstate; -- L when x"3a" => keyMatrix(4)(6) <= scanstate; -- M when x"31" => keyMatrix(4)(7) <= scanstate; -- N when x"44" => keyMatrix(4)(8) <= scanstate; -- O --- Zeile 5 when x"4d" => keyMatrix(5)(1) <= scanstate; -- P when x"15" => keyMatrix(5)(2) <= scanstate; -- Q when x"2d" => keyMatrix(5)(3) <= scanstate; -- R when x"1b" => keyMatrix(5)(4) <= scanstate; -- S when x"2c" => keyMatrix(5)(5) <= scanstate; -- T when x"3c" => keyMatrix(5)(6) <= scanstate; -- U when x"2a" => keyMatrix(5)(7) <= scanstate; -- V when x"1d" => keyMatrix(5)(8) <= scanstate; -- W --- Zeile 6 when x"22" => keyMatrix(6)(1) <= scanstate; -- X when x"1a" => keyMatrix(6)(2) <= scanstate; -- Y when x"35" => keyMatrix(6)(3) <= scanstate; -- Z when x"0d" => keyMatrix(6)(4) <= scanstate; -- Tab when x"05" => keyMatrix(6)(5) <= scanstate; -- Pause Cont => (F1) when x"70" => keyMatrix(6)(6) <= scanstate; -- INS DEL => (Einfg) when x"0e" => keyMatrix(6)(7) <= scanstate; -- ^ when x"71" => keyMatrix(6)(8) <= scanstate; -- (Entf) => DEL when x"66" => keyMatrix(6)(8) <= scanstate; -- (Backspace) => DEL --- Zeile 7 when x"6b" => keyMatrix(7)(1) <= scanstate; -- Cursor <- when x"74" => keyMatrix(7)(2) <= scanstate; -- Cursor -> when x"72" => keyMatrix(7)(3) <= scanstate; -- Cursor down when x"75" => keyMatrix(7)(4) <= scanstate; -- Cursor up when x"76" => keyMatrix(7)(5) <= scanstate; -- ESC when x"5a" => keyMatrix(7)(6) <= scanstate; -- Enter when x"0c" => keyMatrix(7)(7) <= scanstate; -- Stop => F4 when x"29" => keyMatrix(7)(8) <= scanstate; -- Space --- Zeile 8 -- (8)(1) Shift when x"59" => keyMatrix(8)(1) <= scanstate; -- rshift when x"12" => keyMatrix(8)(1) <= scanstate; -- lshift when x"03" => keyMatrix(8)(2) <= scanstate; -- Color => (F5) when x"14" => keyMatrix(8)(3) <= scanstate; -- Contr when x"0b" => keyMatrix(8)(4) <= scanstate; -- Graphic => (F6) when x"06" => keyMatrix(8)(5) <= scanstate; -- List => (F2) when x"04" => keyMatrix(8)(6) <= scanstate; -- Run => (F3) when x"58" => keyMatrix(8)(7) <= scanstate; -- Shift Lock when x"5d" => keyMatrix(8)(8) <= scanstate; -- => (# ') when others =>null; end case; else -- joystick if joystick_0_old /= joystick_0 then keyMatrix(7)(1) <= not joystick_0(1); -- joystick 1 left keyMatrix(7)(2) <= not joystick_0(0); -- joystick 1 right keyMatrix(7)(3) <= not joystick_0(2); -- joystick 1 down keyMatrix(7)(4) <= not joystick_0(3); -- joystick 1 up keyMatrix(7)(5) <= not joystick_0(4); -- joystick 1 fire end if; joystick_0_old <= joystick_0; if joystick_1_old /= joystick_1 then keyMatrix(8)(1) <= not joystick_1(1); -- joystick 2 left keyMatrix(8)(2) <= not joystick_1(0); -- joystick 2 right keyMatrix(8)(3) <= not joystick_1(2); -- joystick 2 down keyMatrix(8)(4) <= not joystick_1(3); -- joystick 2 up keyMatrix(8)(5) <= not joystick_1(4); -- joystick 2 fire end if; joystick_1_old <= joystick_1; end if; end if; -- matrix zeilen und spalten fuer pio kombinieren for i in 0 to 7 loop matrixXout(i) <= (keyMatrix(1)(i+1) or matrixYin(0)) and (keyMatrix(2)(i+1) or matrixYin(1)) and (keyMatrix(3)(i+1) or matrixYin(2)) and (keyMatrix(4)(i+1) or matrixYin(3)) and (keyMatrix(5)(i+1) or matrixYin(4)) and (keyMatrix(6)(i+1) or matrixYin(5)) and (keyMatrix(7)(i+1) or matrixYin(6)) and (keyMatrix(8)(i+1) or matrixYin(7)); if ((keyMatrix(i+1) or matrixXin)="11111111") then matrixYout(i) <= '1'; else matrixYout(i) <= '0'; end if; end loop; end process; end;
<reponame>MaxIV-KitsControls/PandABlocks-FPGA<filename>targets/PandABox/SlowFPGA/src/hdl/temp_sensors.vhd<gh_stars>0 -------------------------------------------------------------------------------- -- PandA Motion Project - 2016 -- Diamond Light Source, Oxford, UK -- SOLEIL Synchrotron, GIF-sur-YVETTE, France -- -- Author : Dr. <NAME> (<EMAIL>) -------------------------------------------------------------------------------- -- -- Description : Read I2C temperature sensors in loop. -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; library work; use work.top_defines.all; use work.support.all; use work.addr_defines.all; entity temp_sensors is port ( -- 50MHz system clock clk_i : in std_logic; reset_i : in std_logic; -- I2C Interface sda : inout std_logic; scl : inout std_logic; -- Status registers TEMP_MON : out std32_array(4 downto 0) ); end temp_sensors; architecture rtl of temp_sensors is type i2c_fsm_t is (INIT, READ_DATA, NEXT_SLAVE); -- Array of Slave addresses constant SLAVE_ARRAY : std8_array(4 downto 0) := ( "01001100", "01001011", "01001010", "01001001", "01001000"); signal i2c_fsm : i2c_fsm_t; signal busy_prev : std_logic; signal i2c_ena : std_logic; signal i2c_addr : std_logic_vector(6 downto 0); signal i2c_rw : std_logic; signal i2c_data_wr : std_logic_vector(7 downto 0); signal i2c_busy : std_logic; signal i2c_data_rd : std_logic_vector(7 downto 0); signal i2c_ack_error : std_logic; signal i2c_rise : std_logic; signal data_rd : std_logic_vector(15 downto 0); signal i2c_start : std_logic; signal sda_din : std_logic; signal scl_din : std_logic; signal sda_t : std_logic; signal scl_t : std_logic; component icon port ( CONTROL0 : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0) ); end component; component ila port ( CONTROL : INOUT STD_LOGIC_VECTOR(35 DOWNTO 0); CLK : IN STD_LOGIC; DATA : IN STD_LOGIC_VECTOR(63 DOWNTO 0); TRIG0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ); end component; signal CONTROL0 : STD_LOGIC_VECTOR(35 DOWNTO 0); signal DATA : STD_LOGIC_VECTOR(63 DOWNTO 0); signal TRIG0 : STD_LOGIC_VECTOR(7 DOWNTO 0); signal slave_index : natural range 0 to 4; begin -------------------------------------------------------------------- -- 3-state I2C I/O Buffers -------------------------------------------------------------------- iobuf_scl : iobuf port map ( I => '0', O => scl_din, IO => scl, T => scl_t ); iobuf_sda : iobuf port map ( I => '0', O => sda_din, IO => sda, T => sda_t ); -- Generate Internal from system clock start_presc : entity work.prescaler port map ( clk_i => clk_i, reset_i => reset_i, PERIOD => TO_SVECTOR(50_000_000,32), -- 1sec pulse_o => i2c_start ); i2c_master_inst : entity work.i2c_master generic map ( input_clk => 50_000_000, bus_clk => 10_000 ) port map ( clk => clk_i, reset => reset_i, ena => i2c_ena, addr => i2c_addr, rw => i2c_rw, data_wr => i2c_data_wr, busy => i2c_busy, data_rd => i2c_data_rd, ack_error => i2c_ack_error, sda => sda_din, scl => scl_din, sda_t => sda_t, scl_t => scl_t ); -------------------------------------------------------------------- -- Main read state machine loops through all SLAVES -------------------------------------------------------------------- i2c_rise <= i2c_busy and not busy_prev; process(clk_i) variable busy_cnt : natural range 0 to 3; begin if rising_edge(clk_i) then if (reset_i = '1') then i2c_fsm <= INIT; slave_index <= 0; busy_cnt := 0; busy_prev <= '1'; i2c_rw <= '1'; i2c_ena <= '0'; i2c_addr <= (others => '0'); i2c_data_wr <= X"00"; data_rd <= (others => '0'); TEMP_MON <= (others => (others => '0')); else busy_prev <= i2c_busy; case (i2c_fsm) is -- Wait for 1 sec to start when INIT => if (i2c_busy = '0' and i2c_start = '1') then i2c_fsm <= READ_DATA; end if; -- Pointer register defaults to temperature reg. So, -- directly Read i2 bytes from the current slave when READ_DATA => if (i2c_rise = '1') then busy_cnt := busy_cnt + 1; end if; case busy_cnt is -- Initiate the transaction by writing to -- pointer register. -- '0' is write, '1' is read when 0 => i2c_ena <= '1'; i2c_addr <= SLAVE_ARRAY(slave_index)(6 downto 0); i2c_rw <= '1'; -- read when 1 => if (i2c_busy = '0') then data_rd(15 downto 8) <= i2c_data_rd; end if; when 2 => i2c_ena <= '0'; if (i2c_busy = '0') then data_rd(7 downto 0) <= i2c_data_rd; busy_cnt := 0; i2c_fsm <= NEXT_SLAVE; end if; when others => NULL; end case; -- Latch read data and move to next slave when NEXT_SLAVE => if (i2c_start = '1') then if (slave_index = 4) then slave_index <= 0; else slave_index <= slave_index + 1; end if; i2c_fsm <= READ_DATA; end if; -- Latch and sign convert sensor data. It has 0.5C -- resolution TEMP_MON(slave_index) <= std_logic_vector(resize(signed(data_rd(15 downto 8)), 32)); when others => NULL; end case; end if; end if; end process; end rtl;
<reponame>lefmylonas/auto_power_systems_simulator<filename>hardware-oriented section/top_level.vhd<gh_stars>1-10 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE WORK.PS_SIM_PACKAGE.ALL; USE WORK.PS_SIM_SUPPORT_PACKAGE.ALL; ENTITY TOP_LEVEL is port(rst,clk: in std_logic; V_s: in matrix(1 to No_Vk, 1 to 1) := (others=>(others=>(others=>'0'))); I_s: in matrix(1 to No_Src-No_Vk, 1 to 1); Vnodal: out matrix(1 to No_Nodes, 1 to 1); Ibranch: out matrix(1 to No_Brn, 1 to 1)); END TOP_LEVEL; ARCHITECTURE arch of TOP_LEVEL is COMPONENT PS_SIM port(rst,clk: in std_logic; V_s: in matrix(1 to No_Vk, 1 to 1) := (others=>(others=>(others=>'0'))); I_s: in matrix(1 to No_Src-No_Vk, 1 to 1); Vnodal: out matrix(1 to No_Nodes, 1 to 1); Ibranch: out matrix(1 to No_Brn, 1 to 1)); END COMPONENT; begin T1: if (No_Vk /= 0) generate -- Voltage sources are found U1: entity work.PS_SIM(arch1) port map(rst=>rst,clk=>clk,V_s=>V_s,I_s=>I_s,Vnodal=>Vnodal,Ibranch=>Ibranch); end generate; T2: if (No_Vk = 0) generate -- Only current sources are found U2: entity work.PS_SIM(arch2) port map(rst=>rst,clk=>clk,V_s=>open,I_s=>I_s,Vnodal=>Vnodal,Ibranch=>Ibranch); end generate; end arch;
<reponame>miguelviladev/laboratorio-de-sistemas-digitais LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY contadorincdecnbitstb IS END contadorincdecnbitstb; ARCHITECTURE stimulus OF contadorincdecnbitstb IS SIGNAL s_resetar, s_incdec, s_entradaclock : STD_LOGIC; SIGNAL s_contagem : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN uut : ENTITY work.contadorincdecnbits(behavioral) PORT MAP( resetar => s_resetar, incdec => s_incdec, entradaclock => s_entradaclock, contagem => s_contagem); contagem => s_contagem); PROCESS IS BEGIN s_entradaclock <= '0'; WAIT FOR 100 ns; s_entradaclock <= '1'; WAIT FOR 100 ns; END PROCESS; PROCESS IS BEGIN s_incdec <= '1'; WAIT FOR 1000 ns; s_incdec <= '0'; WAIT FOR 1000 ns; s_incdec <= '1'; WAIT FOR 2000 ns; s_resetar <= '1'; WAIT FOR 200 ns; s_resetar <= '0'; WAIT FOR 300 ns; END PROCESS;
-- (c) Copyright 1995-2019 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:hls:compute_weight_2:1.0 -- IP Revision: 1904051711 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY compute_weight_compute_weight_2_0_0 IS PORT ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; input_r_TVALID : IN STD_LOGIC; input_r_TREADY : OUT STD_LOGIC; input_r_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); input_r_TLAST : IN STD_LOGIC_VECTOR(0 DOWNTO 0); output_r_TVALID : OUT STD_LOGIC; output_r_TREADY : IN STD_LOGIC; output_r_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); output_r_TLAST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END compute_weight_compute_weight_2_0_0; ARCHITECTURE compute_weight_compute_weight_2_0_0_arch OF compute_weight_compute_weight_2_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF compute_weight_compute_weight_2_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT compute_weight_2 IS PORT ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; input_r_TVALID : IN STD_LOGIC; input_r_TREADY : OUT STD_LOGIC; input_r_TDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); input_r_TLAST : IN STD_LOGIC_VECTOR(0 DOWNTO 0); output_r_TVALID : OUT STD_LOGIC; output_r_TREADY : IN STD_LOGIC; output_r_TDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); output_r_TLAST : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT compute_weight_2; ATTRIBUTE IP_DEFINITION_SOURCE : STRING; ATTRIBUTE IP_DEFINITION_SOURCE OF compute_weight_compute_weight_2_0_0_arch: ARCHITECTURE IS "HLS"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_INFO OF output_r_TLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 output_r TLAST"; ATTRIBUTE X_INTERFACE_INFO OF output_r_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 output_r TDATA"; ATTRIBUTE X_INTERFACE_INFO OF output_r_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 output_r TREADY"; ATTRIBUTE X_INTERFACE_PARAMETER OF output_r_TVALID: SIGNAL IS "XIL_INTERFACENAME output_r, TDATA_NUM_BYTES 4, TUSER_WIDTH 0, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}} TDATA {datatype {name {attribs {resolve_type immediate dependency {}" & " format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 32} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0} real {float {sigwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 24}}}}} TDATA_WIDTH 32}, TDEST_WIDTH 0, TID_WIDTH 0, HAS_TREADY 1, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 1, FREQ_HZ 100000000, PHASE 0.000, CL" & "K_DOMAIN compute_weight_processing_system7_0_0_FCLK_CLK0"; ATTRIBUTE X_INTERFACE_INFO OF output_r_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 output_r TVALID"; ATTRIBUTE X_INTERFACE_INFO OF input_r_TLAST: SIGNAL IS "xilinx.com:interface:axis:1.0 input_r TLAST"; ATTRIBUTE X_INTERFACE_INFO OF input_r_TDATA: SIGNAL IS "xilinx.com:interface:axis:1.0 input_r TDATA"; ATTRIBUTE X_INTERFACE_INFO OF input_r_TREADY: SIGNAL IS "xilinx.com:interface:axis:1.0 input_r TREADY"; ATTRIBUTE X_INTERFACE_PARAMETER OF input_r_TVALID: SIGNAL IS "XIL_INTERFACENAME input_r, TDATA_NUM_BYTES 4, TUSER_WIDTH 0, LAYERED_METADATA undef, TDEST_WIDTH 0, TID_WIDTH 0, HAS_TREADY 1, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 1, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN compute_weight_processing_system7_0_0_FCLK_CLK0"; ATTRIBUTE X_INTERFACE_INFO OF input_r_TVALID: SIGNAL IS "xilinx.com:interface:axis:1.0 input_r TVALID"; ATTRIBUTE X_INTERFACE_PARAMETER OF ap_rst_n: SIGNAL IS "XIL_INTERFACENAME ap_rst_n, POLARITY ACTIVE_LOW, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {RST {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}"; ATTRIBUTE X_INTERFACE_INFO OF ap_rst_n: SIGNAL IS "xilinx.com:signal:reset:1.0 ap_rst_n RST"; ATTRIBUTE X_INTERFACE_PARAMETER OF ap_clk: SIGNAL IS "XIL_INTERFACENAME ap_clk, ASSOCIATED_BUSIF input_r:output_r, ASSOCIATED_RESET ap_rst_n, LAYERED_METADATA xilinx.com:interface:datatypes:1.0 {CLK {datatype {name {attribs {resolve_type immediate dependency {} format string minimum {} maximum {}} value {}} bitwidth {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 1} bitoffset {attribs {resolve_type immediate dependency {} format long minimum {} maximum {}} value 0}}}}, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMA" & "IN compute_weight_processing_system7_0_0_FCLK_CLK0"; ATTRIBUTE X_INTERFACE_INFO OF ap_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 ap_clk CLK"; BEGIN U0 : compute_weight_2 PORT MAP ( ap_clk => ap_clk, ap_rst_n => ap_rst_n, input_r_TVALID => input_r_TVALID, input_r_TREADY => input_r_TREADY, input_r_TDATA => input_r_TDATA, input_r_TLAST => input_r_TLAST, output_r_TVALID => output_r_TVALID, output_r_TREADY => output_r_TREADY, output_r_TDATA => output_r_TDATA, output_r_TLAST => output_r_TLAST ); END compute_weight_compute_weight_2_0_0_arch;
<filename>simulation/modelsim/finalproject/finalproject_cpu_jtag_debug_module_wrapper/_primary.vhd library verilog; use verilog.vl_types.all; entity finalproject_cpu_jtag_debug_module_wrapper is port( MonDReg : in vl_logic_vector(31 downto 0); break_readreg : in vl_logic_vector(31 downto 0); clk : in vl_logic; dbrk_hit0_latch : in vl_logic; dbrk_hit1_latch : in vl_logic; dbrk_hit2_latch : in vl_logic; dbrk_hit3_latch : in vl_logic; debugack : in vl_logic; monitor_error : in vl_logic; monitor_ready : in vl_logic; reset_n : in vl_logic; resetlatch : in vl_logic; tracemem_on : in vl_logic; tracemem_trcdata: in vl_logic_vector(35 downto 0); tracemem_tw : in vl_logic; trc_im_addr : in vl_logic_vector(6 downto 0); trc_on : in vl_logic; trc_wrap : in vl_logic; trigbrktype : in vl_logic; trigger_state_1 : in vl_logic; jdo : out vl_logic_vector(37 downto 0); jrst_n : out vl_logic; st_ready_test_idle: out vl_logic; take_action_break_a: out vl_logic; take_action_break_b: out vl_logic; take_action_break_c: out vl_logic; take_action_ocimem_a: out vl_logic; take_action_ocimem_b: out vl_logic; take_action_tracectrl: out vl_logic; take_action_tracemem_a: out vl_logic; take_action_tracemem_b: out vl_logic; take_no_action_break_a: out vl_logic; take_no_action_break_b: out vl_logic; take_no_action_break_c: out vl_logic; take_no_action_ocimem_a: out vl_logic; take_no_action_tracemem_a: out vl_logic ); end finalproject_cpu_jtag_debug_module_wrapper;
--------------------------------------------------------------------------------- -- This is free and unencumbered software released into the public domain. -- -- Anyone is free to copy, modify, publish, use, compile, sell, or -- distribute this software, either in source code form or as a compiled -- binary, for any purpose, commercial or non-commercial, and by any -- means. -- -- In jurisdictions that recognize copyright laws, the author or authors -- of this software dedicate any and all copyright interest in the -- software to the public domain. We make this dedication for the benefit -- of the public at large and to the detriment of our heirs and -- successors. We intend this dedication to be an overt act of -- relinquishment in perpetuity of all present and future rights to this -- software under copyright law. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, -- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF -- MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. -- IN NO EVENT SHALL THE AUTHORS BE LIABLE FOR ANY CLAIM, DAMAGES OR -- OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, -- ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR -- OTHER DEALINGS IN THE SOFTWARE. -- -- For more information, please refer to <http://unlicense.org/> --------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package can_aximm_pkg is --generic ( --Package Generics go here. --); constant golden_c : std_logic_vector(31 downto 0) := x"A1A2A3A4"; constant C_S_AXI_ADDR_WIDTH : integer := 7; constant C_S_AXI_DATA_WIDTH : integer := 32; constant package_version_c : String := "20210528_1505"; component can_aximm_top is generic ( system_freq : real := 96.0000e+6; internal_phy : boolean := false ); port ( mclk_i : in std_logic; rst_i : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH - 1 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH - 1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8) - 1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH - 1 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH - 1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; --Simple IRQs tx_irq_o : out std_logic; rx_irq_o : out std_logic; --external PHY signals txo_o : out std_logic; txo_t : out std_logic; rxi : in std_logic; --internal phy can_l : inout std_logic; can_h : inout std_logic ); end component; component can_clk is generic ( system_freq : real := 96.0000e+6 -- the system frequency ); port ( mclk_i : in std_logic; rst_i : in std_logic; baud_rate_i : in std_logic_vector(11 downto 0); -- baudrate in N x kbps, n = 0...2**12 clk_sync_i : in std_logic; tx_clken_o : out std_logic; rx_clken_o : out std_logic; fb_clken_o : out std_logic ); end component; component can_rx is port ( rst_i : in std_logic; mclk_i : in std_logic; rx_clken_i : in std_logic; fb_clken_i : in std_logic; --can signals can be bundled in TUSER usr_eff_o : out std_logic; -- 32 bit can_id + eff/rtr/err flags can_id : in std_logic_vector (31 downto 0);-- 32 bit can_id + eff/rtr/err flags usr_id_o : out std_logic_vector(28 downto 0); -- 32 bit can_id + eff/rtr/err flags can_id : in std_logic_vector (31 downto 0);-- 32 bit can_id + eff/rtr/err flags usr_rtr_o : out std_logic; -- 32 bit can_id + eff/rtr/err flags can_id : in std_logic_vector (31 downto 0);-- 32 bit can_id + eff/rtr/err flags usr_dlc_o : out std_logic_vector(3 downto 0); usr_rsvd_o : out std_logic_vector(1 downto 0); data_o : out std_logic_vector(63 downto 0); data_ready_i : in std_logic; data_valid_o : out std_logic; data_last_o : out std_logic; --status reg_id_i : in std_logic_vector(28 downto 0); reg_id_mask_i : in std_logic_vector(28 downto 0); promiscuous_i : in std_logic; busy_o : out std_logic; rx_crc_error_o : out std_logic; send_ack_o : out std_logic; --Signals to PHY ch_ready_i : in std_logic; collision_i : in std_logic; rxdata_i : in std_logic ); end component; component can_tx is port ( rst_i : in std_logic; mclk_i : in std_logic; tx_clken_i : in std_logic; --can signals can be bundled in TUSER usr_eff_i : in std_logic; -- 32 bit can_id + eff/rtr/err flags can_id : in std_logic_vector (31 downto 0);-- 32 bit can_id + eff/rtr/err flags usr_id_i : in std_logic_vector(28 downto 0); -- 32 bit can_id + eff/rtr/err flags can_id : in std_logic_vector (31 downto 0);-- 32 bit can_id + eff/rtr/err flags usr_rtr_i : in std_logic; -- 32 bit can_id + eff/rtr/err flags can_id : in std_logic_vector (31 downto 0);-- 32 bit can_id + eff/rtr/err flags usr_dlc_i : in std_logic_vector(3 downto 0); usr_rsvd_i : in std_logic_vector(1 downto 0); data_i : in std_logic_vector(63 downto 0); data_ready_o : out std_logic; data_valid_i : in std_logic; data_last_i : in std_logic; --status rtry_error_o : out std_logic; ack_error_o : out std_logic; arb_lost_o : out std_logic; busy_o : out std_logic; --Signals to PHY ch_ready_i : in std_logic; collision_i : in std_logic; read_ack_i : in std_logic; txdata_o : out std_logic; txen_o : out std_logic ); end component; component can_phy is generic ( internal_phy : boolean := false ); port ( rst_i : in std_logic; mclk_i : in std_logic; --configs force_error_i : in std_logic; lock_dominant_i : in std_logic; loopback_i : in std_logic; --stats stuff_violation_o : out std_logic; collision_o : out std_logic; channel_ready_o : out std_logic; --commands read_ack_o : out std_logic; send_ack_i : in std_logic; -- data channel; tx_clken_i : in std_logic; rx_clken_i : in std_logic; fb_clken_i : in std_logic; tx_i : in std_logic; tx_en_i : in std_logic; rx_o : out std_logic; rx_sync_o : out std_logic; --external PHY txo_o : out std_logic; txo_t : out std_logic; rxi : in std_logic; --internal phy can_l : inout std_logic; can_h : inout std_logic ); end component; component can_aximm is generic ( C_S_AXI_ADDR_WIDTH : integer := 7; C_S_AXI_DATA_WIDTH : integer := 32 ); port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH - 1 downto 0); S_AXI_AWPROT : in std_logic_vector(2 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH - 1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8) - 1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH - 1 downto 0); S_AXI_ARPROT : in std_logic_vector(2 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH - 1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; g1_i : in std_logic_vector(31 downto 0); iso_mode_o : out std_logic; fd_enable_o : out std_logic; promiscuous_o : out std_logic; sample_rate_o : out std_logic_vector(15 downto 0); rx_data_irq_i : in std_logic; rx_error_irq_i : in std_logic; tx_data_irq_i : in std_logic; tx_error_irq_i : in std_logic; rx_data_mask_o : out std_logic; rx_error_mask_o : out std_logic; tx_data_mask_o : out std_logic; tx_error_mask_o : out std_logic; stuff_violation_i : in std_logic; collision_i : in std_logic; channel_ready_i : in std_logic; loop_enable_o : out std_logic; insert_error_o : out std_logic; force_dominant_o : out std_logic; rx_data_valid_i : in std_logic; rx_read_done_o : out std_logic; rx_busy_i : in std_logic; rx_crc_error_i : in std_logic; rx_rtr_i : in std_logic; rx_ide_i : in std_logic; rx_reserved_i : in std_logic_vector(1 downto 0); id1_o : out std_logic_vector(28 downto 0); id1_mask_o : out std_logic_vector(28 downto 0); rx_size_i : in std_logic_vector(3 downto 0); rx_id_i : in std_logic_vector(28 downto 0); rx_data0_i : in std_logic_vector(31 downto 0); rx_data1_i : in std_logic_vector(31 downto 0); tx_ready_i : in std_logic; tx_valid_o : out std_logic; tx_busy_i : in std_logic; tx_arb_lost_i : in std_logic; tx_retry_error_i : in std_logic; tx_rtr_o : out std_logic; tx_eff_o : out std_logic; tx_reserved_o : out std_logic_vector(1 downto 0); tx_dlc_o : out std_logic_vector(3 downto 0); tx_id_o : out std_logic_vector(28 downto 0); tx_data0_o : out std_logic_vector(31 downto 0); tx_data1_o : out std_logic_vector(31 downto 0) ); end component; procedure crc15(vector : inout std_logic_vector(14 downto 0); input : in std_logic); --procedure crc15( signal vector : inout std_logic_vector(14 downto 0); input : in std_logic); end can_aximm_pkg; package body can_aximm_pkg is procedure crc15(vector : inout std_logic_vector(14 downto 0); input : in std_logic) is variable crc_v : std_logic_vector(15 downto 0); begin --input and shift crc_v(15 downto 1) := vector; --xor crc_v(0) := crc_v(15) xor crc_v(14) xor crc_v(10) xor crc_v(8) xor crc_v(7) xor crc_v(4) xor crc_v(3) xor input; --output vector := crc_v(14 downto 0); end procedure; -- procedure crc15( signal vector : inout std_logic_vector(14 downto 0); input : in std_logic) is -- variable crc_v : std_logic_vector(14 downto 0); -- begin -- crc_v := vector; -- crc15(crc_v,input); -- vector <= crc_v; -- end procedure; end package body;
-------------------------------------------------------------------------------- -- This file is part of the ParaNut project. -- -- Copyright (C) 2013-2019 <NAME> <<EMAIL>> -- <NAME>, <<EMAIL>> -- Hochschule Augsburg, University of Applied Sciences -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation and/or -- other materials provided with the distribution. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED -- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE -- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR -- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON -- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- -- Description: -- ParaNut top level module. Contains EXU, LSU, IFU, MEMU. -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library paranut; use paranut.paranut_pkg.all; use paranut.paranut_config.all; use paranut.types.all; use paranut.memu.all; use paranut.memu_lib.all; use paranut.ifu.all; use paranut.lsu.all; use paranut.exu.all; use paranut.dbg.all; use paranut.intc.all; -- pragma translate_off use paranut.text_io.all; use paranut.txt_util.all; use paranut.tb_monitor.all; -- pragma translate_on entity mparanut is generic ( -- CFG_NUT_CPU_CORES : integer := 1; -- CFG_MEMU_CACHE_BANKS : integer := 1 CLK_FREQ_HZ : integer := 100_000_000 ); port ( -- Ports (WISHBONE master) clk_i : in std_logic; rst_i : in std_logic; ack_i : in std_logic; -- normal termination err_i : in std_logic; -- termination w/ error rty_i : in std_logic; -- termination w/ retry dat_i : in std_logic_vector(CFG_MEMU_BUSIF_WIDTH-1 downto 0); -- input data bus cyc_o : out std_logic; -- cycle valid output stb_o : out std_logic; -- strobe output we_o : out std_logic; -- indicates write transfer sel_o : out std_logic_vector((CFG_MEMU_BUSIF_WIDTH/8)-1 downto 0); -- byte select outputs adr_o : out TWord; -- address bus outputs dat_o : out std_logic_vector(CFG_MEMU_BUSIF_WIDTH-1 downto 0); -- output data bus cti_o : out std_logic_vector(2 downto 0); -- cycle type identifier bte_o : out std_logic_vector(1 downto 0); -- burst type extension -- Other du_stall : in std_logic; ex_int : in std_logic_vector(CFG_NUT_EX_INT-1 downto 0); -- JTAG tck : in std_logic; tms : in std_logic; tdi : in std_logic; tdo : out std_logic ); end mparanut; architecture RTL of mparanut is -- MEMU: busif, read ports (rp), write ports (wp) signal mi : memu_in_type; signal mo : memu_out_type; -- IFU signal ifui : ifu_in_vector(0 to CFG_NUT_CPU_CORES-1); signal ifuo : ifu_out_vector(0 to CFG_NUT_CPU_CORES-1); -- LSU signal lsui : lsu_in_vector(0 to CFG_NUT_CPU_CORES-1); signal lsuo : lsu_out_vector(0 to CFG_NUT_CPU_CORES-1); -- others --signal icache_enable, dcache_enable: std_logic; -- EXU signals signal exui : exu_in_vector(0 to CFG_NUT_CPU_CORES-1); signal exuo : exu_out_vector(0 to CFG_NUT_CPU_CORES-1); signal cepui : cepu_in_vector(0 to CFG_NUT_CPU_CORES-1); signal cepuo : cepu_out_type; -- INTC signals signal intci : intc_in_type; signal intco : intc_out_type; -- DBG signals signal bifwbi : busif_wishbone_out_type; signal bifwbo : busif_wishbone_in_type; signal jtagi : jtag_in_type; signal jtago : jtag_out_type; signal dmo : dm_out_type; signal reset_or : STD_LOGIC; signal ifu_reset : STD_LOGIC_VECTOR(0 to CFG_NUT_CPU_CORES-1); signal dbg_addressed : STD_LOGIC; -- Histogram -- signal emhci : exu_memu_hist_ctrl_in_vector(0 to CFG_NUT_CPU_CORES-1); -- pragma translate_off -- signal lsui_reg : lsu_in_vector(0 to CFG_signal rp_rd_i : STD_LOGIC;NUT_CPU_CORES-1); -- signal lsuo_reg : lsu_out_vector(0 to CFG_NUT_CPU_CORES-1); -- pragma translate_on function OR_reduce(d: std_logic_vector) return std_logic is constant all_zeros: std_logic_vector(d'range) := (others => '0'); begin if d = all_zeros then return '0'; else return '1'; end if; end OR_reduce; begin -- MemU dbg_addressed <= '1' when mo.bifwbo.adr_o(31 downto 16) = "0000000000000000" else '0'; mi.bifwbi.ack_i <= bifwbo.ack_i when dbg_addressed = '1' else ack_i; mi.bifwbi.err_i <= bifwbo.err_i when dbg_addressed = '1' else err_i; mi.bifwbi.rty_i <= bifwbo.rty_i when dbg_addressed = '1' else rty_i; mi.bifwbi.dat_i <= bifwbo.dat_i when dbg_addressed = '1' else dat_i; cyc_o <= '0' when dbg_addressed = '1' else mo.bifwbo.cyc_o; stb_o <= '0' when dbg_addressed = '1' else mo.bifwbo.stb_o; we_o <= '0' when dbg_addressed = '1' else mo.bifwbo.we_o; bsel_le : if (CFG_NUT_LITTLE_ENDIAN) generate sel_o <= mo.bifwbo.sel_o; end generate; bsel_be : if (not CFG_NUT_LITTLE_ENDIAN) generate -- TODO: Add reverse order based on CFG_MEMU_BUSIF_WIDTH sel_o(3) <= mo.bifwbo.sel_o(0); sel_o(2) <= mo.bifwbo.sel_o(1); sel_o(1) <= mo.bifwbo.sel_o(2); sel_o(0) <= mo.bifwbo.sel_o(3); end generate; adr_o <= mo.bifwbo.adr_o; dat_o <= mo.bifwbo.dat_o; cti_o <= mo.bifwbo.cti_o; bte_o <= mo.bifwbo.bte_o; MemU : mmemu port map ( clk => clk_i, reset => reset_or, mi => mi, mo => mo ); -- DBGU bifwbi <= mo.bifwbo; --~ bifwbi.cyc_o <= mo.bifwbo.cyc_o; --~ bifwbi.stb_o <= mo.bifwbo.stb_o; --~ bifwbi.we_o <= mo.bifwbo.we_o; --~ bifwbi.adr_o <= mo.bifwbo.adr_o; --~ bifwbi.dat_o <= mo.bifwbo.dat_o; jtagi.tck <= tck; jtagi.tms <= tms; jtagi.tdi <= tdi; tdo <= jtago.tdo; slv_bsel_le : if (CFG_NUT_LITTLE_ENDIAN) generate bifwbi.sel_o <= mo.bifwbo.sel_o; end generate; slv_bsel_be : if (not CFG_NUT_LITTLE_ENDIAN) generate -- TODO: Add reverse order based on CFG_MEMU_BUSIF_WIDTH bifwbi.sel_o(3) <= mo.bifwbo.sel_o(0); bifwbi.sel_o(2) <= mo.bifwbo.sel_o(1); bifwbi.sel_o(1) <= mo.bifwbo.sel_o(2); bifwbi.sel_o(0) <= mo.bifwbo.sel_o(3); end generate; DBG : dbg_wrapper port map ( clk => clk_i, reset => rst_i, bifwbi => bifwbi, bifwbo => bifwbo, jtagi => jtagi, jtago => jtago, dmo => dmo ); -- reset_or used in all other modules so DBGU can reset them reset_or <= dmo.dbg_reset or rst_i; -- IntC IntC : mintc_wrapper port map ( clk => clk_i, reset => reset_or, intci => intci, intco => intco, ex_int => ex_int ); -- IFUs IFUs : for n in 0 to CFG_NUT_CPU_MODE2_CORES-1 generate IFU : mifu_wrapper port map ( clk => clk_i, reset => ifu_reset(n), ifui => ifui(n), ifuo => ifuo(n), rpi => mi.rpi(CFG_NUT_CPU_CORES+n), rpo => mo.rpo(CFG_NUT_CPU_CORES+n), icache_enable => cepuo.icache_enable ); end generate IFUs; IFU_RESET_SIG : for n in 0 to CFG_NUT_CPU_CORES-1 generate ifu_reset(n) <= reset_or or exuo(n).ifu_reset; end generate IFU_RESET_SIG; MODE1_RP : for n in CFG_NUT_CPU_MODE2_CORES to CFG_NUT_CPU_CORES-1 generate -- unuse read ports mi.rpi(CFG_NUT_CPU_CORES+n).port_rd <= '0'; mi.rpi(CFG_NUT_CPU_CORES+n).port_bsel <= (others => '-'); mi.rpi(CFG_NUT_CPU_CORES+n).port_direct <= '-'; mi.rpi(CFG_NUT_CPU_CORES+n).port_adr <= (others => '-'); --mo.rpo(CFG_NUT_CPU_CORES+n).port_ack end generate MODE1_RP; -- LSUs LSUs : for n in 0 to CFG_NUT_CPU_CORES-1 generate LSUs_SIMPLE : if (CFG_LSU_SIMPLE) generate LSU : mlsu_simple port map ( clk => clk_i, reset => rst_i, lsui => lsui(n), lsuo => lsuo(n), rpi => mi.rpi(n), rpo => mo.rpo(n), wpi => mi.wpi(n), wpo => mo.wpo(n), dcache_enable => cepuo.dcache_enable ); end generate; LSUs_COMPLEX : if (not CFG_LSU_SIMPLE) generate LSU : mlsu_wrapper port map ( clk => clk_i, reset => reset_or, lsui => lsui(n), lsuo => lsuo(n), rpi => mi.rpi(n), rpo => mo.rpo(n), wpi => mi.wpi(n), wpo => mo.wpo(n), dcache_enable => cepuo.dcache_enable ); end generate; end generate LSUs; -- hist_ctrl_gen : if (CFG_NUT_HISTOGRAM) generate -- hist_ctrl_in_gen : for n in 0 to CFG_NUT_CPU_CORES-1 generate -- hist_ctrl_in_gen_cepu : if (n = 0) generate -- emhci(n).cache_line_fill <= mo.mhco.cache_line_fill; -- emhci(n).cache_line_wb <= mo.mhco.cache_line_wb; -- end generate; -- emhci(n).cache_read_hit_ifu <= mo.mhco.cache_read_hit(CFG_NUT_CPU_CORES+n); -- emhci(n).cache_read_miss_ifu <= mo.mhco.cache_read_miss(CFG_NUT_CPU_CORES+n); -- emhci(n).cache_read_hit_lsu <= mo.mhco.cache_read_hit(n); -- emhci(n).cache_read_miss_lsu <= mo.mhco.cache_read_miss(n); -- emhci(n).cache_write_hit_lsu <= mo.mhco.cache_write_hit(n); -- emhci(n).cache_write_miss_lsu <= mo.mhco.cache_write_miss(n); -- end generate; -- end generate; -- EXUs EXUs : for n in 0 to CFG_NUT_CPU_CORES-1 generate EXUCePUs : if (n = 0) generate -- CePU EXUCePU : mexu_wrapper generic map ( CEPU_FLAG => true, CAPABILITY_FLAG => true, CPU_ID => n, CLK_FREQ_HZ => CLK_FREQ_HZ ) port map ( clk => clk_i, reset => reset_or, -- to IFU ifui => ifui(n), ifuo => ifuo(n), -- to Load/Store Unit (LSU) lsui => lsui(n), lsuo => lsuo(n), -- to/from CePU exui => exui(n), exuo => exuo(n), -- to/from CoPUs cepui => cepui(n), cepuo => cepuo, -- from Debug Module dbg_req => dmo.dbg_request(n), -- to/from IntC intco => intci, intci => intco ); -- route signals for CePU exui(n).ex_i <= OR_reduce(cepui(0).pnx); exui(n).m2_ir_valid <= '-'; exui(n).m2_ir <= (others => '-'); exui(n).m2_pc <= (others => '-'); end generate EXUCePUs; EXUMode2CoPUs: if (n > 0 and n < CFG_NUT_CPU_MODE2_CORES) generate -- CoPUs EXUMode2CoPU: mexu_wrapper generic map ( CEPU_FLAG => false, CAPABILITY_FLAG => true, CPU_ID => n, CLK_FREQ_HZ => CLK_FREQ_HZ ) port map ( clk => clk_i, reset => reset_or, -- to IFU ifui => ifui(n), ifuo => ifuo(n), -- to Load/Store Unit (LSU) lsui => lsui(n), lsuo => lsuo(n), -- to/from CePU/CoPU exui => exui(n), exuo => exuo(n), -- to/from CoPUs cepui => cepui(n), cepuo => open, -- from Debug Module dbg_req => dmo.dbg_request(n), -- to/from IntC intco => open, intci.ir_request => '-', intci.ir_id => (others => '-') ); -- don't care about cepui on CoPUs cepui(n).pnhaltreq <= (others => '-'); cepui(n).pnx <= (others => '-'); -- route signals for CoPUs exui(n).ex_i <= exuo(0).ex_o; exui(n).m2_ir_valid <= ifuo(0).ir_valid; exui(n).m2_ir <= ifuo(0).ir; exui(n).m2_pc <= ifuo(0).pc; end generate EXUMode2CoPUs; EXUMode1CoPUs: if (n >= CFG_NUT_CPU_MODE2_CORES) generate -- CoPUs EXUMode1CoPU: mexu_wrapper generic map ( CEPU_FLAG => false, CAPABILITY_FLAG => false, CPU_ID => n, CLK_FREQ_HZ => CLK_FREQ_HZ ) port map ( clk => clk_i, reset => reset_or, -- to IFU ifui => open, -- open ifuo => ifuo(0), -- CePU IFU signals -- to Load/Store Unit (LSU) lsui => lsui(n), lsuo => lsuo(n), -- to/from CePU/CoPU exui => exui(n), exuo => exuo(n), -- to/from CoPUs cepui => cepui(n), cepuo => open, -- from Debug Module dbg_req => dmo.dbg_request(n), -- to/from IntC intco => open, intci.ir_request => '-', intci.ir_id => (others => '-') ); -- don't care about cepui on CoPUs cepui(n).pnhaltreq <= (others => '-'); cepui(n).pnx <= (others => '-'); -- route signals for CoPUs exui(n).ex_i <= exuo(0).ex_o; exui(n).m2_ir_valid <= '-'; exui(n).m2_ir <= (others => '-'); exui(n).m2_pc <= (others => '-'); end generate EXUMode1CoPUs; -- route signals for every CPU exui(n).enable <= cepuo.pnce(n); exui(n).linked <= cepuo.pnlm(n); exui(n).sync_next <= exuo(0).sync_o; exui(n).xsel <= cepuo.pnxsel(n); cepui(0).pnhaltreq(n) <= exuo(n).haltreq; cepui(0).pnx(n) <= exuo(n).ex_o; end generate EXUs; -- route exception & sync daisy chain... MoreThanOne: if (CFG_NUT_CPU_CORES_LD > 0) generate -- All other CPUs CoPUs : for n in 0 to CFG_NUT_CPU_CORES-2 generate exui(n).cause_i <= exuo(n+1).cause_o; exui(n).epc_i <= exuo(n+1).epc_o; exui(n).sync_i <= exuo(n+1).sync_o; end generate CoPUs; -- Last CoPU exui(CFG_NUT_CPU_CORES-1).cause_i <= (others => '-'); exui(CFG_NUT_CPU_CORES-1).epc_i <= (others => '-'); exui(CFG_NUT_CPU_CORES-1).sync_i <= '1'; end generate MoreThanOne; CePUOnly: if (CFG_NUT_CPU_CORES_LD = 0) generate -- CePU only: exui(0).cause_i <= (others => '-'); exui(0).epc_i <= (others => '-'); exui(0).sync_i <= '1'; end generate CePUOnly; -- pragma translate_off process (clk_i) begin if (clk_i'event and clk_i='1') then for n in 0 to CFG_NUT_CPU_CORES-1 loop if (cepuo.pnce(n) = '0') then monitor(n).halted <= true; else monitor(n).halted <= false; end if; end loop; end if; -- if (CFG_DBG_LSU_TRACE) then -- for n in 0 to CFG_NUT_CPU_CORES-1 loop -- if (lsui_reg(n).rd = '1' and lsuo_reg(n).ack = '1') then -- INFO("EXU(" & str(n) & ") LSU read: " & hstr(lsui_reg(n).adr) & -- " DATA: " & hstr(lsuo(n).rdata) & -- " WIDTH: " & hstr(lsui_reg(n).width)); -- end if; -- if (lsui(n).wr = '1' and lsui_reg(n).wr = '0') then -- INFO("EXU(" & str(n) & ") LSU write: " & hstr(lsui(n).adr) & -- " DATA: " & hstr(lsui(n).wdata) & -- " WIDTH: " & hstr(lsui(n).width)); -- end if; -- end loop; -- lsui_reg <= lsui; -- lsuo_reg <= lsuo; -- end if; -- if (CFG_DBG_BUS_TRACE) then -- if (mi.bifwbi.ack_i = '1') then -- if (mo.bifwbo.we_o = '0') then -- INFO ("MEM read: " & hstr(mo.bifwbo.adr_o) & -- " DATA: " & hstr(mi.bifwbi.dat_i) & -- " BSEL: " & hstr(mo.bifwbo.sel_o)); -- else -- INFO ("MEM write: " & hstr(mo.bifwbo.adr_o) & -- " DATA: " & hstr(mo.bifwbo.dat_o) & -- " BSEL: " & hstr(mo.bifwbo.sel_o)); -- end if; -- end if; -- end if; -- end if; end process; -- pragma translate_on end RTL;
library ieee; use ieee.std_logic_1164.all; Entity mux is Generic(W : integer); Port (D0, D1 : in std_logic_vector(W-1 downto 0); S : in std_logic; Y : out std_logic_vector(W-1 downto 0)); End; Architecture behave of mux is begin y <= D0 when S = '0' else D1; end;
<gh_stars>0 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity test_asm is -- Port ( ); end test_asm; architecture Behavioral of test_asm is component cartaASM is Port( clk, clr, ini, z, a0: in STD_LOGIC; la, lb, ea, eb, ec: out STD_LOGIC ); end component; signal ini, clr, clk, z, a0 : std_logic := '0'; signal la, lb, ea, eb, ec : std_logic := '0'; begin uu : cartaASM Port Map( ini => ini, clr => clr, clk => clk, z => z, a0 => a0, la => la, lb => lb, ea => ea, eb => eb, ec => ec ); clock : process begin clk <= '0'; wait for 5ns; clk <= '1'; wait for 5 ns; end process; test : process begin clr <= '1'; wait for 30 ns; clr <= '0'; wait for 60 ns; ini <= '1'; wait for 10 ns; ini <= '0'; wait for 50 ns; a0 <= '1'; wait for 10 ns; a0 <= '0'; wait for 20 ns; a0 <= '1'; wait for 10 ns; a0 <= '0'; wait for 120 ns; z <= '1'; wait; end process; end Behavioral;
<reponame>hossameldin1995/riscv_vhdl<filename>rtl/riverlib/core/fpu_d/fadd_d.vhd --! --! Copyright 2019 <NAME>, <EMAIL> --! --! Licensed under the Apache License, Version 2.0 (the "License"); --! you may not use this file except in compliance with the License. --! You may obtain a copy of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, --! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. --! See the License for the specific language governing permissions and --! limitations under the License. --! library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity DoubleAdd is generic ( async_reset : boolean ); port ( i_nrst : in std_logic; i_clk : in std_logic; i_ena : in std_logic; i_add : in std_logic; i_sub : in std_logic; i_eq : in std_logic; i_lt : in std_logic; i_le : in std_logic; i_max : in std_logic; i_min : in std_logic; i_a : in std_logic_vector(63 downto 0); i_b : in std_logic_vector(63 downto 0); o_res : out std_logic_vector(63 downto 0); o_illegal_op : out std_logic; o_overflow : out std_logic; o_valid : out std_logic; o_busy : out std_logic ); end; architecture arch_DoubleAdd of DoubleAdd is type RegistersType is record busy : std_logic; ena : std_logic_vector(7 downto 0); a : std_logic_vector(63 downto 0); b : std_logic_vector(63 downto 0); result : std_logic_vector(63 downto 0); illegal_op : std_logic; overflow : std_logic; add : std_logic; sub : std_logic; eq : std_logic; lt : std_logic; le : std_logic; max : std_logic; min : std_logic; flMore : std_logic; flEqual : std_logic; flLess : std_logic; preShift : integer range 0 to 4095; signOpMore : std_logic; expMore : std_logic_vector(10 downto 0); mantMore : std_logic_vector(52 downto 0); mantLess : std_logic_vector(52 downto 0); mantLessScale : std_logic_vector(104 downto 0); mantSum : std_logic_vector(105 downto 0); lshift : integer range 0 to 127; mantAlign : std_logic_vector(104 downto 0); expPostScale : std_logic_vector(11 downto 0); expPostScaleInv : integer range 0 to 4095; mantPostScale : std_logic_vector(104 downto 0); end record; constant R_RESET : RegistersType := ( '0', (others => '0'), -- busy, ena (others => '0'), (others => '0'), (others => '0'), -- a, b, result '0', '0', '0', '0', -- illegal_op, overflow, add, sub '0', '0', '0', '0', '0', -- eq, lt, le, max, min '0', '0', '0', -- flMore, flEqual, flLess 0, '0', (others => '0'), -- preShift, signOpMore, expMore (others => '0'), (others => '0'), (others => '0'), -- mantMore, mantLess, mantLessScale (others => '0'), 0, (others => '0'), -- mantSum, lshift, mantAlign (others => '0'), 0, (others => '0') -- expPostScale, expPostScaleInv, mantPostScale ); constant zero105 : std_logic_vector(104 downto 0) := (others => '0'); signal r, rin : RegistersType; begin -- registers: comb : process(i_nrst, i_ena, i_add, i_sub, i_eq, i_lt, i_le, i_max, i_min, i_a, i_b, r) variable v : RegistersType; variable signOp : std_logic; variable signA : std_logic; variable signB : std_logic; variable signOpB : std_logic; variable mantA : std_logic_vector(52 downto 0); variable mantB : std_logic_vector(52 downto 0); variable mantDif : std_logic_vector(53 downto 0); variable expDif : std_logic_vector(11 downto 0); variable v_flMore : std_logic; variable v_flEqual : std_logic; variable v_flLess : std_logic; variable vb_preShift : std_logic_vector(11 downto 0); variable v_signOpMore : std_logic; variable vb_expMore : std_logic_vector(10 downto 0); variable vb_mantMore : std_logic_vector(52 downto 0); variable vb_mantLess : std_logic_vector(52 downto 0); variable mantMoreScale : std_logic_vector(104 downto 0); variable mantLessScale : std_logic_vector(104 downto 0); variable vb_mantSum : std_logic_vector(105 downto 0); variable vb_mantSumInv : std_logic_vector(104 downto 0); variable vb_lshift : integer range 0 to 127; variable vb_lshift_p1 : integer range 0 to 127; variable vb_lshift_p2 : integer range 0 to 127; variable vb_mantAlign : std_logic_vector(104 downto 0); variable vb_expPostScale : std_logic_vector(11 downto 0); variable vb_mantPostScale : std_logic_vector(104 downto 0); variable mantShort : std_logic_vector(52 downto 0); variable tmpMant05 : std_logic_vector(51 downto 0); variable mantOnes : std_logic; variable mantEven : std_logic; variable mant05 : std_logic; variable rndBit : std_logic; variable mantZeroA : std_logic; variable mantZeroB : std_logic; variable allZero : std_logic; variable sumZero : std_logic; variable nanA : std_logic; variable nanB : std_logic; variable nanAB : std_logic; variable overflow : std_logic; variable resAdd : std_logic_vector(63 downto 0); variable resEQ : std_logic_vector(63 downto 0); variable resLT : std_logic_vector(63 downto 0); variable resLE : std_logic_vector(63 downto 0); variable resMax : std_logic_vector(63 downto 0); variable resMin : std_logic_vector(63 downto 0); begin v := r; v.ena := r.ena(6 downto 0) & (i_ena and not r.busy); if i_ena = '1' then v.busy := '1'; v.add := i_add; v.sub := i_sub; v.eq := i_eq; v.lt := i_lt; v.le := i_le; v.max := i_max; v.min := i_min; v.a := i_a; v.b := i_b; v.illegal_op := '0'; v.overflow := '0'; end if; signOp := r.sub or r.le or r.lt; signA := r.a(63); signB := r.b(63); signOpB := signB xor signOp; mantA(51 downto 0) := r.a(51 downto 0); mantA(52) := '0'; if r.a(62 downto 52) /= zero105(10 downto 0) then mantA(52) := '1'; end if; mantB(51 downto 0) := r.b(51 downto 0); mantB(52) := '0'; if r.b(62 downto 52) /= zero105(10 downto 0) then mantB(52) := '1'; end if; if r.a(62 downto 52) /= "00000000000" and r.b(62 downto 52) = "00000000000" then expDif := ('0' & r.a(62 downto 52)) - "000000000001"; elsif r.a(62 downto 52) = "00000000000" and r.b(62 downto 52) /= "00000000000" then expDif := "000000000001" - ('0' & r.b(62 downto 52)); else expDif := ('0' & r.a(62 downto 52)) - ('0' & r.b(62 downto 52)); end if; mantDif := ('0' & mantA) - ('0' & mantB); if expDif = X"000" then vb_preShift := expDif; if mantDif = zero105(53 downto 0) then v_flMore := not signA and (signA xor signB); v_flEqual := not (signA xor signB); v_flLess := signA and (signA xor signB); v_signOpMore := signA; vb_expMore := r.a(62 downto 52); vb_mantMore := mantA; vb_mantLess := mantB; elsif mantDif(53) = '0' then -- A > B v_flMore := not signA; v_flEqual := '0'; v_flLess := signA; v_signOpMore := signA; vb_expMore := r.a(62 downto 52); vb_mantMore := mantA; vb_mantLess := mantB; else v_flMore := signB; v_flEqual := '0'; v_flLess := not signB; v_signOpMore := signOpB; vb_expMore := r.b(62 downto 52); vb_mantMore := mantB; vb_mantLess := mantA; end if; elsif expDif(11) = '0' then v_flMore := not signA; v_flEqual := '0'; v_flLess := signA; vb_preShift := expDif; v_signOpMore := signA; vb_expMore := r.a(62 downto 52); vb_mantMore := mantA; vb_mantLess := mantB; else v_flMore := signB; v_flEqual := '0'; v_flLess := not signB; vb_preShift := not expDif + 1; v_signOpMore := signOpB; vb_expMore := r.b(62 downto 52); vb_mantMore := mantB; vb_mantLess := mantA; end if; if r.ena(0) = '1' then v.flMore := v_flMore; v.flEqual := v_flEqual; v.flLess := v_flLess; v.preShift := conv_integer(vb_preShift); v.signOpMore := v_signOpMore; v.expMore := vb_expMore; v.mantMore := vb_mantMore; v.mantLess := vb_mantLess; end if; -- Pre-scale 105-bits mantissa if preShift < 105: -- M = {mantM, 52'd0} mantLessScale := r.mantLess & zero105(51 downto 0); if r.ena(1) = '1' then if r.preShift = 0 then v.mantLessScale := mantLessScale; else v.mantLessScale := (others => '0'); for i in 1 to 104 loop if i = r.preShift then v.mantLessScale := zero105(i-1 downto 0) & mantLessScale(104 downto i); end if; end loop; end if; end if; mantMoreScale := r.mantMore & zero105(51 downto 0); -- 106-bits adder/subtractor if (signA xor signOpB) = '1' then vb_mantSum := ('0' & mantMoreScale) - ('0' & r.mantLessScale); else vb_mantSum := ('0' & mantMoreScale) + ('0' & r.mantLessScale); end if; if r.ena(2) = '1' then v.mantSum := vb_mantSum; end if; -- To avoid timing constrains violation occured in Vivado Studio -- try to implement parallel demuxultiplexer splitted on 2 parts vb_mantSumInv(0) := '0'; for i in 0 to 103 loop vb_mantSumInv(i + 1) := r.mantSum(103 - i); end loop; vb_lshift_p1 := 0; for i in 0 to 63 loop if vb_lshift_p1 = 0 and vb_mantSumInv(i) = '1' then vb_lshift_p1 := i; end if; end loop; vb_lshift_p2 := 0; for i in 0 to 40 loop if vb_lshift_p2 = 0 and vb_mantSumInv(64 + i) = '1' then vb_lshift_p2 := 64 + i; end if; end loop; -- multiplexer if r.mantSum(105) = '1' then -- shift right vb_lshift := 127; elsif r.mantSum(104) = '1' then vb_lshift := 0; elsif vb_lshift_p1 /= 0 then vb_lshift := vb_lshift_p1; else vb_lshift := vb_lshift_p2; end if; if r.ena(3) = '1' then v.lshift := vb_lshift; end if; -- Prepare to mantissa post-scale vb_mantAlign := (others => '0'); if r.lshift = 127 then vb_mantAlign := r.mantSum(105 downto 1); elsif r.lshift = 0 then vb_mantAlign := r.mantSum(104 downto 0); else for i in 1 to 104 loop if i = r.lshift then vb_mantAlign := r.mantSum(104-i downto 0) & zero105(i-1 downto 0); end if; end loop; end if; if r.lshift = 127 then if r.expMore = "11111111111" then vb_expPostScale := ('0' & r.expMore); else vb_expPostScale := ('0' & r.expMore) + 1; end if; else if r.expMore = "00000000000" and r.lshift = 0 then vb_expPostScale := X"001"; else vb_expPostScale := ('0' & r.expMore) - conv_std_logic_vector(r.lshift, 12); end if; end if; if (signA xor signOpB) = '1' then -- subtractor only: result value becomes with exp=0 if r.expMore /= "00000000000" and (vb_expPostScale(11) = '1' or vb_expPostScale = X"000") then vb_expPostScale := vb_expPostScale - 1; end if; end if; if r.ena(4) = '1' then v.mantAlign := vb_mantAlign; v.expPostScale := vb_expPostScale; v.expPostScaleInv := conv_integer((not vb_expPostScale) + 1); end if; -- Mantissa post-scale: -- Scaled = SumScale>>(-ExpSum) only if ExpSum < 0; vb_mantPostScale := r.mantAlign; if r.expPostScale(11) = '1' then for i in 1 to 104 loop if i = r.expPostScaleInv then vb_mantPostScale := zero105(i-1 downto 0) & r.mantAlign(104 downto i); end if; end loop; end if; if r.ena(5) = '1' then v.mantPostScale := vb_mantPostScale; end if; -- Rounding bit mantShort := r.mantPostScale(104 downto 52); tmpMant05 := r.mantPostScale(51 downto 0); mantOnes := '0'; if mantShort(52) = '1' and mantShort(51 downto 0) = X"fffffffffffff" then mantOnes := '1'; end if; mantEven := r.mantPostScale(52); mant05 := '0'; if tmpMant05 = X"8000000000000" then mant05 := '1'; end if; rndBit := r.mantPostScale(51) and not(mant05 and not mantEven); -- Check Borders mantZeroA := '0'; if r.a(51 downto 0) = zero105(51 downto 0) then mantZeroA := '1'; end if; mantZeroB := '0'; if r.b(51 downto 0) = zero105(51 downto 0) then mantZeroB := '1'; end if; -- Exceptions allZero := '0'; if r.a(62 downto 0) = zero105(62 downto 0) and r.b(62 downto 0) = zero105(62 downto 0) then allZero := '1'; end if; sumZero := '0'; if r.mantPostScale = zero105 then sumZero := '1'; end if; nanA := '0'; if r.a(62 downto 52) = "11111111111" then nanA := '1'; end if; nanB := '0'; if r.b(62 downto 52) = "11111111111" then nanB := '1'; end if; nanAB := nanA and mantZeroA and nanB and mantZeroB; overflow := '0'; if r.expPostScale = X"7FF" then -- positive overflow := '1'; end if; -- Result multiplexers: if (nanAB and signOp) = '1' then resAdd(63) := signA xor signOpB; elsif nanA = '1' then -- when both values are NaN, value B has higher priority if sign=1 resAdd(63) := signA or (nanB and signOpB); elsif nanB = '1' then resAdd(63) := signOpB xor (signOp and not mantZeroB); elsif allZero = '1' then resAdd(63) := signA and signOpB; elsif sumZero = '1' then resAdd(63) := '0'; else resAdd(63) := r.signOpMore; end if; if (nanA or nanB) = '1' then resAdd(62 downto 52) := (others => '1'); elsif r.expPostScale(11) = '1' or sumZero = '1' then resAdd(62 downto 52) := (others => '0'); else resAdd(62 downto 52) := r.expPostScale(10 downto 0) + (mantOnes and rndBit and not r.overflow); end if; if (nanA and mantZeroA and nanB and mantZeroB) = '1' then resAdd(51) := '1'; resAdd(50 downto 0) := (others => '0'); elsif nanA = '1' and (nanB and signOpB) = '0' then -- when both values are NaN, value B has higher priority if sign=1 resAdd(51) := '1'; resAdd(50 downto 0) := r.a(50 downto 0); elsif nanB = '1' then resAdd(51) := '1'; resAdd(50 downto 0) := r.b(50 downto 0); elsif r.overflow = '1' then resAdd(51 downto 0) := (others => '0'); else resAdd(51 downto 0) := mantShort(51 downto 0) + rndBit; end if; resEQ(63 downto 1) := (others => '0'); resEQ(0) := r.flEqual; resLT(63 downto 1) := (others => '0'); resLT(0) := r.flLess; resLE(63 downto 1) := (others => '0'); resLE(0) := r.flLess or r.flEqual; if (nanA or nanB) = '1' then resMax := r.b; elsif r.flMore = '1' then resMax := r.a; else resMax := r.b; end if; if (nanA or nanB) = '1' then resMin := r.b; elsif r.flLess = '1' then resMin := r.a; else resMin := r.b; end if; if r.ena(6) = '1' then if r.eq = '1' then v.result := resEQ; elsif r.lt = '1' then v.result := resLT; elsif r.le = '1' then v.result := resLE; elsif r.max = '1' then v.result := resMax; elsif r.min = '1' then v.result := resMin; else v.result := resAdd; end if; v.illegal_op := nanA or nanB; v.overflow := overflow; v.busy := '0'; v.add := '0'; v.sub := '0'; v.eq := '0'; v.lt := '0'; v.le := '0'; v.max := '0'; v.min := '0'; end if; if not async_reset and i_nrst = '0' then v := R_RESET; end if; rin <= v; end process; o_res <= r.result; o_illegal_op <= r.illegal_op; o_overflow <= r.overflow; o_valid <= r.ena(7); o_busy <= r.busy; -- registers: regs : process(i_nrst, i_clk) begin if async_reset and i_nrst = '0' then r <= R_RESET; elsif rising_edge(i_clk) then r <= rin; end if; end process; end;
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.2 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fir_c_rom is generic( dwidth : integer := 16; awidth : integer := 6; mem_size : integer := 59 ); port ( addr0 : in std_logic_vector(awidth-1 downto 0); ce0 : in std_logic; q0 : out std_logic_vector(dwidth-1 downto 0); clk : in std_logic ); end entity; architecture rtl of fir_c_rom is signal addr0_tmp : std_logic_vector(awidth-1 downto 0); type mem_array is array (0 to mem_size-1) of std_logic_vector (dwidth-1 downto 0); signal mem : mem_array := ( 0 => "1111111010000110", 1 => "1111111110110111", 2 => "0000000000011011", 3 => "0000000010101010", 4 => "0000000100101010", 5 => "0000000101100000", 6 => "0000000100101110", 7 => "0000000010101000", 8 => "0000000000001110", 9 => "1111111110110000", 10 => "1111111111000000", 11 => "0000000000110101", 12 => "0000000010111010", 13 => "0000000011011000", 14 => "0000000000101000", 15 => "1111111010011100", 16 => "1111110010011101", 17 => "1111101011111101", 18 => "1111101010101010", 19 => "1111110001000110", 20 => "1111111111001101", 21 => "0000010001101100", 22 => "0000100010110011", 23 => "0000101100001101", 24 => "0000101001010111", 25 => "0000011001100001", 26 => "0000000000011001", 27 => "1111100101010000", 28 => "1111010000011110", 29 => "0111001000101101", 30 => "1111010000011110", 31 => "1111100101010000", 32 => "0000000000011001", 33 => "0000011001100001", 34 => "0000101001010111", 35 => "0000101100001101", 36 => "0000100010110011", 37 => "0000010001101100", 38 => "1111111111001101", 39 => "1111110001000110", 40 => "1111101010101010", 41 => "1111101011111101", 42 => "1111110010011101", 43 => "1111111010011100", 44 => "0000000000101000", 45 => "0000000011011000", 46 => "0000000010111010", 47 => "0000000000110101", 48 => "1111111111000000", 49 => "1111111110110000", 50 => "0000000000001110", 51 => "0000000010101000", 52 => "0000000100101110", 53 => "0000000101100000", 54 => "0000000100101010", 55 => "0000000010101010", 56 => "0000000000011011", 57 => "1111111110110111", 58 => "1111111010000110" ); attribute syn_rom_style : string; attribute syn_rom_style of mem : signal is "select_rom"; attribute ROM_STYLE : string; attribute ROM_STYLE of mem : signal is "distributed"; attribute EQUIVALENT_REGISTER_REMOVAL : string; begin memory_access_guard_0: process (addr0) begin addr0_tmp <= addr0; --synthesis translate_off if (CONV_INTEGER(addr0) > mem_size-1) then addr0_tmp <= (others => '0'); else addr0_tmp <= addr0; end if; --synthesis translate_on end process; p_rom_access: process (clk) begin if (clk'event and clk = '1') then if (ce0 = '1') then q0 <= mem(CONV_INTEGER(addr0_tmp)); end if; end if; end process; end rtl; Library IEEE; use IEEE.std_logic_1164.all; entity fir_c is generic ( DataWidth : INTEGER := 16; AddressRange : INTEGER := 59; AddressWidth : INTEGER := 6); port ( reset : IN STD_LOGIC; clk : IN STD_LOGIC; address0 : IN STD_LOGIC_VECTOR(AddressWidth - 1 DOWNTO 0); ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR(DataWidth - 1 DOWNTO 0)); end entity; architecture arch of fir_c is component fir_c_rom is port ( clk : IN STD_LOGIC; addr0 : IN STD_LOGIC_VECTOR; ce0 : IN STD_LOGIC; q0 : OUT STD_LOGIC_VECTOR); end component; begin fir_c_rom_U : component fir_c_rom port map ( clk => clk, addr0 => address0, ce0 => ce0, q0 => q0); end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity test_FFA is generic (N : natural := 8); end entity; architecture bhv of test_FFA is component FFA --generic (N : natural := 8; P : integer := 3; period : time := 1000 ns); generic (N : natural := 8; P : integer := 3); port (FW : in std_logic_vector (N-1 downto 0); en : in std_logic; ffa : inout std_logic); end component; signal FWt : std_logic_vector (N-1 downto 0) := std_logic_vector(to_unsigned(2,N)); signal FWt1 : std_logic_vector (N-1 downto 0) := std_logic_vector(to_unsigned(3,N)); signal FWt2 : std_logic_vector (N-1 downto 0) := std_logic_vector(to_unsigned(4,N)); signal FWt3 : std_logic_vector (N-1 downto 0) := std_logic_vector(to_unsigned(5,N)); signal ent, ffat, ffat1, ffat2, ffat3 : std_logic; begin uut : FFA generic map (N => N, P => 3) port map (FW => FWt, en => ent, ffa => ffat); uut1: FFA generic map (N => N, P => 3) port map (FW => FWt1, en => ent, ffa => ffat1); uut2: FFA generic map (N => N, P => 3) port map (FW => FWt2, en => ent, ffa => ffat2); uut3: FFA generic map (N => N, P => 3) port map (FW => FWt3, en => ent, ffa => ffat3); ent <= '0', '1' after 300 ns; end architecture;
library verilog; use verilog.vl_types.all; entity alu is port( alu_a : in vl_logic_vector(31 downto 0); alu_b : in vl_logic_vector(31 downto 0); alu_ctrl : in vl_logic_vector(3 downto 0); alu_y : out vl_logic_vector(31 downto 0); alu_comp : out vl_logic_vector(1 downto 0) ); end alu;
-- © IBM Corp. 2020 -- This softcore is licensed under and subject to the terms of the CC-BY 4.0 -- license (https://creativecommons.org/licenses/by/4.0/legalcode). -- Additional rights, including the right to physically implement a softcore -- that is compliant with the required sections of the Power ISA -- Specification, will be available at no cost via the OpenPOWER Foundation. -- This README will be updated with additional information when OpenPOWER's -- license is available. LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; LIBRARY ibm; USE ibm.std_ulogic_support.all; USE ibm.std_ulogic_unsigned.all; USE ibm.std_ulogic_function_support.all; LIBRARY support; USE support.power_logic_pkg.all; LIBRARY tri; USE tri.tri_latches_pkg.all; LIBRARY clib ; entity xuq_lsu_cmp_cmp31 is generic( expand_type: integer := 2 ); port( d0 :in std_ulogic_vector(0 to 30); d1 :in std_ulogic_vector(0 to 30); eq :out std_ulogic ); end xuq_lsu_cmp_cmp31; architecture xuq_lsu_cmp_cmp31 of xuq_lsu_cmp_cmp31 is constant tiup : std_ulogic := '1'; constant tidn : std_ulogic := '0'; signal eq01 :std_ulogic_vector(0 to 30) ; signal eq03_b : std_ulogic_vector(0 to 11); signal eq06 : std_ulogic_vector(0 to 5); signal eq18_b : std_ulogic_vector(0 to 1); begin u_eq01: eq01(0 to 30) <= not( d0(0 to 30) xor d1(0 to 30) ); u_eq03_00: eq03_b( 0) <= not( eq01( 0) and eq01( 1) and eq01( 2) ); u_eq03_01: eq03_b( 1) <= not( eq01( 3) and eq01( 4) and eq01( 5) ); u_eq03_02: eq03_b( 2) <= not( eq01( 6) and eq01( 7) and eq01( 8) ); u_eq03_03: eq03_b( 3) <= not( eq01( 9) and eq01(10) and eq01(11) ); u_eq03_04: eq03_b( 4) <= not( eq01(12) and eq01(13) and eq01(14) ); u_eq03_05: eq03_b( 5) <= not( eq01(15) and eq01(16) and eq01(17) ); u_eq03_06: eq03_b( 6) <= not( eq01(18) and eq01(19) and eq01(20) ); u_eq03_07: eq03_b( 7) <= not( eq01(21) and eq01(22) ); u_eq03_08: eq03_b( 8) <= not( eq01(23) and eq01(24) ); u_eq03_09: eq03_b( 9) <= not( eq01(25) and eq01(26) ); u_eq03_10: eq03_b(10) <= not( eq01(27) and eq01(28) ); u_eq03_11: eq03_b(11) <= not( eq01(29) and eq01(30) ); u_eq06_00: eq06( 0) <= not( eq03_b( 0) or eq03_b( 1) ); u_eq06_01: eq06( 1) <= not( eq03_b( 2) or eq03_b( 3) ); u_eq06_02: eq06( 2) <= not( eq03_b( 4) or eq03_b( 5) ); u_eq06_03: eq06( 3) <= not( eq03_b( 6) or eq03_b( 7) ); u_eq06_04: eq06( 4) <= not( eq03_b( 8) or eq03_b( 9) ); u_eq06_05: eq06( 5) <= not( eq03_b(10) or eq03_b(11) ); u_eq18_00: eq18_b( 0) <= not( eq06(0) and eq06(1) and eq06(2) ); u_eq18_01: eq18_b( 1) <= not( eq06(3) and eq06(4) and eq06(5) ); u_eq36_00: eq <= not( eq18_b( 0) or eq18_b( 1) ); end;
<filename>rtl/riscv_local_memmap.vhd<gh_stars>10-100 ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:13:30 03/09/2017 -- Design Name: -- Module Name: riscv_local_memmap - rtl -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- Bonfire CPU -- (c) 2016,2017 <NAME> -- See license.md for License -- Bonfire CPU local memory mapped devices -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity riscv_local_memmap is generic ( TIMER_XLEN : natural := 32 ); port ( -- bus interface clk_i: in std_logic; rst_i: in std_logic; wbs_cyc_i: in std_logic; wbs_stb_i: in std_logic; wbs_we_i: in std_logic; wbs_sel_i: in std_logic_vector(3 downto 0); wbs_ack_o: out std_logic; wbs_adr_i: in std_logic_vector(15 downto 2); wbs_dat_i: in std_logic_vector(31 downto 0); wbs_dat_o: out std_logic_vector(31 downto 0); timer_irq_o : out std_logic ); end riscv_local_memmap; architecture rtl of riscv_local_memmap is signal mtime : unsigned(TIMER_XLEN-1 downto 0) := (others=>'0'); signal mtimecmp : unsigned(TIMER_XLEN-1 downto 0) := (others=>'0'); signal cs : std_logic; signal timer_irq : std_logic :='0'; begin timer_irq_o<=timer_irq; cs <= '1' when wbs_adr_i(15 downto 4) = "000000000000" and wbs_cyc_i='1' and wbs_stb_i='1' else '0'; wbs_ack_o <= cs; --TODO: Add Support for mtime and mtimecmp > 32 Bit with wbs_adr_i(3 downto 2) select wbs_dat_o <= std_logic_vector(mtime(31 downto 0)) when "00", std_logic_vector(mtimecmp(31 downto 0)) when "10", (others=>'X') when others; process(clk_i) begin if rising_edge(clk_i) then if rst_i='1' then mtime <= (others=>'0'); mtimecmp <= (others=>'0'); timer_irq<='0'; else mtime <= mtime + 1; if mtimecmp /= 0 and mtime=mtimecmp then timer_irq <= '1'; end if; if cs='1' and wbs_we_i='1' then case wbs_adr_i(3 downto 2) is when "10" => mtimecmp(31 downto 0) <= unsigned(wbs_dat_i); timer_irq <= '0'; when "11" => if mtimecmp'high > 31 then mtimecmp(mtimecmp'high downto 32) <= unsigned(wbs_dat_i(mtimecmp'high-32 downto 0)); timer_irq <= '0'; end if; when others => end case; end if; end if; end if; end process; end rtl;
LIBRARY ieee ; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; PACKAGE ula_package IS COMPONENT ula_struct IS PORT ( operacao : IN STD_LOGIC_VECTOR (7 DOWNTO 0); operA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); operB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); Result : buffer STD_LOGIC_VECTOR(7 DOWNTO 0); Cin : IN STD_LOGIC; N,Z,C,B,V : buffer STD_LOGIC ); END COMPONENT; END ula_package;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:24:15 04/11/2018 -- Design Name: -- Module Name: dzielnik - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity divider is port( signal clock_in: in std_logic; signal clock_out: out std_logic ); end divider; architecture Behavioral of dzielnik is signal y:std_logic_vector(25 downto 0):="00000000000000000000000000"; constant n:integer :=50000; constant m:integer :=n/2; begin div:process(clock_in) is begin if rising_edge(clock_in) then if y=n-1 then y<="00000000000000000000000000"; elsif (true) then y<=y+1; end if; if y = m then clock_out<='0'; elsif y ="00000000000000000000000000" then clock_out<='1'; end if; end if; end process div; end Behavioral;
<reponame>ankurshaswat/COL216 library IEEE; use IEEE.STD_LOGIC_1164.all; entity reverse_8 is port ( inp : in std_logic_vector(31 downto 0); slct : in std_logic; oup : out std_logic_vector(31 downto 0)); end entity reverse_8; architecture arch_1 of reverse_8 is begin with slct select oup(15 downto 0) <= inp(7 downto 0)&inp(15 downto 8) when '1', inp(15 downto 0) when others; with slct select oup(31 downto 16) <= inp(23 downto 16)&inp(31 downto 24) when '1', inp(31 downto 16) when others; end architecture arch_1;
<gh_stars>1-10 -- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2020.2 (win64) Build 3064766 Wed Nov 18 09:12:45 MST 2020 -- Date : Tue Oct 5 21:04:10 2021 -- Host : Duller running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_s00_data_fifo_0 -prefix -- system_s00_data_fifo_0_ system_s00_data_fifo_0_sim_netlist.vhdl -- Design : system_s00_data_fifo_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_s00_data_fifo_0_xpm_cdc_async_rst is port ( src_arst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_arst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is 2; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is 0; attribute INV_DEF_VAL : string; attribute INV_DEF_VAL of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is "1'b1"; attribute RST_ACTIVE_HIGH : integer; attribute RST_ACTIVE_HIGH of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is 1; attribute VERSION : integer; attribute VERSION of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is "TRUE"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is "true"; attribute keep_hierarchy : string; attribute keep_hierarchy of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of system_s00_data_fifo_0_xpm_cdc_async_rst : entity is "ASYNC_RST"; end system_s00_data_fifo_0_xpm_cdc_async_rst; architecture STRUCTURE of system_s00_data_fifo_0_xpm_cdc_async_rst is signal arststages_ff : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of arststages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of arststages_ff : signal is "true"; attribute xpm_cdc of arststages_ff : signal is "ASYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \arststages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \arststages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[0]\ : label is "ASYNC_RST"; attribute ASYNC_REG_boolean of \arststages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \arststages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[1]\ : label is "ASYNC_RST"; begin dest_arst <= arststages_ff(1); \arststages_ff_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => '0', PRE => src_arst, Q => arststages_ff(0) ); \arststages_ff_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => arststages_ff(0), PRE => src_arst, Q => arststages_ff(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ is port ( src_arst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_arst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is 2; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is 0; attribute INV_DEF_VAL : string; attribute INV_DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is "xpm_cdc_async_rst"; attribute RST_ACTIVE_HIGH : integer; attribute RST_ACTIVE_HIGH of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is 1; attribute VERSION : integer; attribute VERSION of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is "TRUE"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is "true"; attribute keep_hierarchy : string; attribute keep_hierarchy of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ : entity is "ASYNC_RST"; end \system_s00_data_fifo_0_xpm_cdc_async_rst__3\; architecture STRUCTURE of \system_s00_data_fifo_0_xpm_cdc_async_rst__3\ is signal arststages_ff : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of arststages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of arststages_ff : signal is "true"; attribute xpm_cdc of arststages_ff : signal is "ASYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \arststages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \arststages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[0]\ : label is "ASYNC_RST"; attribute ASYNC_REG_boolean of \arststages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \arststages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[1]\ : label is "ASYNC_RST"; begin dest_arst <= arststages_ff(1); \arststages_ff_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => '0', PRE => src_arst, Q => arststages_ff(0) ); \arststages_ff_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => arststages_ff(0), PRE => src_arst, Q => arststages_ff(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ is port ( src_arst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_arst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is 2; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is 0; attribute INV_DEF_VAL : string; attribute INV_DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is "xpm_cdc_async_rst"; attribute RST_ACTIVE_HIGH : integer; attribute RST_ACTIVE_HIGH of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is 1; attribute VERSION : integer; attribute VERSION of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is "TRUE"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is "true"; attribute keep_hierarchy : string; attribute keep_hierarchy of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ : entity is "ASYNC_RST"; end \system_s00_data_fifo_0_xpm_cdc_async_rst__4\; architecture STRUCTURE of \system_s00_data_fifo_0_xpm_cdc_async_rst__4\ is signal arststages_ff : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of arststages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of arststages_ff : signal is "true"; attribute xpm_cdc of arststages_ff : signal is "ASYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \arststages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \arststages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[0]\ : label is "ASYNC_RST"; attribute ASYNC_REG_boolean of \arststages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \arststages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[1]\ : label is "ASYNC_RST"; begin dest_arst <= arststages_ff(1); \arststages_ff_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => '0', PRE => src_arst, Q => arststages_ff(0) ); \arststages_ff_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => arststages_ff(0), PRE => src_arst, Q => arststages_ff(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ is port ( src_arst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_arst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is 2; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is 0; attribute INV_DEF_VAL : string; attribute INV_DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is "xpm_cdc_async_rst"; attribute RST_ACTIVE_HIGH : integer; attribute RST_ACTIVE_HIGH of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is 1; attribute VERSION : integer; attribute VERSION of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is "TRUE"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is "true"; attribute keep_hierarchy : string; attribute keep_hierarchy of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ : entity is "ASYNC_RST"; end \system_s00_data_fifo_0_xpm_cdc_async_rst__5\; architecture STRUCTURE of \system_s00_data_fifo_0_xpm_cdc_async_rst__5\ is signal arststages_ff : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of arststages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of arststages_ff : signal is "true"; attribute xpm_cdc of arststages_ff : signal is "ASYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \arststages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \arststages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[0]\ : label is "ASYNC_RST"; attribute ASYNC_REG_boolean of \arststages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \arststages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[1]\ : label is "ASYNC_RST"; begin dest_arst <= arststages_ff(1); \arststages_ff_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => '0', PRE => src_arst, Q => arststages_ff(0) ); \arststages_ff_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => arststages_ff(0), PRE => src_arst, Q => arststages_ff(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ is port ( src_arst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_arst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is "1'b0"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is 2; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is 0; attribute INV_DEF_VAL : string; attribute INV_DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is "1'b1"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is "xpm_cdc_async_rst"; attribute RST_ACTIVE_HIGH : integer; attribute RST_ACTIVE_HIGH of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is 1; attribute VERSION : integer; attribute VERSION of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is "TRUE"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is "true"; attribute keep_hierarchy : string; attribute keep_hierarchy of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ : entity is "ASYNC_RST"; end \system_s00_data_fifo_0_xpm_cdc_async_rst__6\; architecture STRUCTURE of \system_s00_data_fifo_0_xpm_cdc_async_rst__6\ is signal arststages_ff : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of arststages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of arststages_ff : signal is "true"; attribute xpm_cdc of arststages_ff : signal is "ASYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \arststages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \arststages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[0]\ : label is "ASYNC_RST"; attribute ASYNC_REG_boolean of \arststages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \arststages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \arststages_ff_reg[1]\ : label is "ASYNC_RST"; begin dest_arst <= arststages_ff(1); \arststages_ff_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => '0', PRE => src_arst, Q => arststages_ff(0) ); \arststages_ff_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => dest_clk, CE => '1', D => arststages_ff(0), PRE => src_arst, Q => arststages_ff(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_s00_data_fifo_0_xpm_cdc_sync_rst is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is "1'b1"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is 5; attribute INIT : string; attribute INIT of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is "1"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is 0; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is 0; attribute VERSION : integer; attribute VERSION of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is "TRUE"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is "true"; attribute keep_hierarchy : string; attribute keep_hierarchy of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of system_s00_data_fifo_0_xpm_cdc_sync_rst : entity is "SYNC_RST"; end system_s00_data_fifo_0_xpm_cdc_sync_rst; architecture STRUCTURE of system_s00_data_fifo_0_xpm_cdc_sync_rst is signal syncstages_ff : STD_LOGIC_VECTOR ( 4 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[3]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[3]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[3]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[4]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[4]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[4]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(4); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); \syncstages_ff_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(2), Q => syncstages_ff(3), R => '0' ); \syncstages_ff_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(3), Q => syncstages_ff(4), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ is port ( src_rst : in STD_LOGIC; dest_clk : in STD_LOGIC; dest_rst : out STD_LOGIC ); attribute DEF_VAL : string; attribute DEF_VAL of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is "1'b1"; attribute DEST_SYNC_FF : integer; attribute DEST_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is 5; attribute INIT : string; attribute INIT of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is "1"; attribute INIT_SYNC_FF : integer; attribute INIT_SYNC_FF of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is 0; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is "xpm_cdc_sync_rst"; attribute SIM_ASSERT_CHK : integer; attribute SIM_ASSERT_CHK of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is 0; attribute VERSION : integer; attribute VERSION of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is 0; attribute XPM_MODULE : string; attribute XPM_MODULE of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is "TRUE"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is "true"; attribute keep_hierarchy : string; attribute keep_hierarchy of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is "true"; attribute xpm_cdc : string; attribute xpm_cdc of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ : entity is "SYNC_RST"; end \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\; architecture STRUCTURE of \system_s00_data_fifo_0_xpm_cdc_sync_rst__2\ is signal syncstages_ff : STD_LOGIC_VECTOR ( 4 downto 0 ); attribute RTL_KEEP : string; attribute RTL_KEEP of syncstages_ff : signal is "true"; attribute async_reg : string; attribute async_reg of syncstages_ff : signal is "true"; attribute xpm_cdc of syncstages_ff : signal is "SYNC_RST"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \syncstages_ff_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \syncstages_ff_reg[0]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[0]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[1]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[1]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[1]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[2]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[2]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[2]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[3]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[3]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[3]\ : label is "SYNC_RST"; attribute ASYNC_REG_boolean of \syncstages_ff_reg[4]\ : label is std.standard.true; attribute KEEP of \syncstages_ff_reg[4]\ : label is "true"; attribute XPM_CDC of \syncstages_ff_reg[4]\ : label is "SYNC_RST"; begin dest_rst <= syncstages_ff(4); \syncstages_ff_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => src_rst, Q => syncstages_ff(0), R => '0' ); \syncstages_ff_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(0), Q => syncstages_ff(1), R => '0' ); \syncstages_ff_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(1), Q => syncstages_ff(2), R => '0' ); \syncstages_ff_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(2), Q => syncstages_ff(3), R => '0' ); \syncstages_ff_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => dest_clk, CE => '1', D => syncstages_ff(3), Q => syncstages_ff(4), R => '0' ); end STRUCTURE; `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2020.2" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block <KEY> `protect key_keyowner="Synopsys", key_keyname="<KEY>", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block <KEY> `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VELOCE-RSA", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block <KEY> `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-2", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Real Intent", key_keyname="RI-RSA-KEY-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Xilinx", key_keyname="xilinxt_2020_08", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect key_keyowner="Metrics <EMAIL> Inc.", key_keyname="DSim", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 673696) `protect data_block GbIDW6YHKHEJfCQwodTec49deudPY4PCe9lgZL+6BXMXCgWpinCmLkLAKqiGNdoq9HO1xOuCeojh y/t4lCccgMFGczB550dteUAGzOQCxELsJ6rRs4YY7mwuOGlQnSYNA42EuoZvbQBBx2aZzdN4aHj8 UCtyDwBPuKA8Xa14Y8kAHNp1J0Q8HJlthJQRbQ1+1tJavIzburXLqHQe22OmH4WXgSIOgz5u+CO4 949pwtkMGn0ItuQLSmEK3bakQQgBxB4TWr/hQ3Jgq9RN0KCqdvWluQrVFgXgMHXr8jVQuoe2p+65 EO28PzEnooLw3SB+HYYov1HChphD9u2FuFaAsZfVuA41Hs3FzefSV4gMv3+Hzi72EG9X/vCaSQnl bDrJp0inlMF6DdXBZoCihxQYQtyy/cPNnRFAsI3yn3Oo8BwwMwyBEmHeWcK7BE0XniwKqRK7fxvG C8ca3WCvDHfMx5KGy8dMGizGobaHz9BVj4gE/6fFpVHTCGrm0vJOmEC6hNXNDr2a6twFFziegMxO o24kT2rIwH7FRMbKvVnAyNptnW2e5F32xFp5r5ls17DEwAJ9GwaGzswuEdBFHWBioHB8myWg9rZP 2EBvfvRwyf8rrTtBMYoa54RS/gUjzFl/KU8Y6kzpQ68oxQLZJOShJokChMmWttEB6kYBVSQftU/c 06CKOuO9zJKR1GLejLRku2/zUotXwR29MffxMd7mF+cv4wLedb9H9ZWE69hWhirJMiwdVanHE7DW vvKBYTDiMe3M5MTEE6+9DBWhVoOWndP3o0b94pqNXfc6fxfsgtA5oC/kDsTEdupv+pIigEmDjClQ SXprb9nKqZC+pdtGRqhqMYrEhbNphSg1eeHHutrdT6albVixPRi83y0mAstq0In5+XwJjzFq+elE yr8KIf7fxBKzHMXB5T2LDJ1SBB3D0ndLLpB6DuzcDHRCjo63YYNOTKvDN3zPD1W2CF5tfXVodBQ9 WfOv+wfxeBElRp3l9KB+dNBxVkg2FK23Zvd1HmyyWG/CaYMxWsUtKKlH/KT9GBSngShBUuWoCty3 Awj0eyU37nHPAY72yOqkVQqy+IOSWkXvI266g0xj6ZbFV0Cwlt4xRh/ZrgkpJY24CafR7z4AYFoQ oG8Gj71E3AJqamYGkKjczEEA0CK3G/ZFZ0lfWvhIe6zcnPFCoefyJGKJFb/ICiSbjFVKgTK2dpCd 37Bn07/qSJAj6X3aumypLukVZvFJH3oM5NXRw2cX9TaEfGSDdDLk/4+IxK4z6cmmu/qWaNOe5tvI AYCf+vX0jvrKA3Pv/1SVTP9Wz9oCKSg3cHKaP2lnclnpNWZASWTiO1BtoPrccMA9efVAO8Z/Y8+g WYjoL3oswLBAEqENWSf8KeYLhrIJZpuHYOuXPXzPfSupA3I7ZWu7ZTIUycJK93PYvhNBvyqdA+4I 4jKvlpJy/irY4VeG2W+uG7KDIur2J1+KysnCNRXKSaYYsyzAAtaS/coYpRtMsl3DnVOhm+RAWGHE hfXa3GZVzQ7iRwFr7smm2d/wqoLQt/ZuMm3ox8s0WdLNl6rrVRPOMZ9/kfUBCi7ZcJ7CI6/2UuAF ZeBNuWkUgb8LNNMDbqJKQ3E1zfLjrTdbtk5xDWujj/BbrXnE9T76el/r9QXeDuEnfx6xdha6nziy ySOGMLNJ8EU/E2KZ4uYvy6HlqnXHJm/XXyevtJhiMKHLJmCyOM8KIjhDyFgkNTbA8EZiuorWiqTV eptYxmp9ptNG5t39kDReLwulcgLpShl+hzTUoVDID+1b+pQ/1wKAUFqh34sk09oMJ05aLTJUle11 xXk3SK31Xx7nxZVH9oe21rNRLYR8xt01kunIQATICtkqaHqOuWf4Tx3aX4ifNxa0Clic4Txt+ZlB 3367YvC64+sexFy7R/WSZECh86zqa31CPelkzkCLlZCt5GHRcHN0anZJ/EfnwWlrZtFvR+e0/M/M /pnHEJs8GidjqvvA38BoPB9ZX8u2vwiLEEaPfTykH3oPB2QYzBu7R7V1n4uv2CjoluKo5N+BNqcc psoZlmuneTrGasWjv1yYj1QpFYph5/qZioA0Jl3gfOnDEbLb00RTuW8MmOjtiD6cxy82lYcZiFdG ClgKo8982v9sTZmyB7WorZms5zDMUPgLb/Qa/xoyxyqUoc2z2MQ9iCFEBiGAS5maZMTicgyjRB0E 83LfOXnEAsOdwdrErmPPr+JNpyl3hDgsc5KYg979wNzo22uFmmxRHKfubHf/prIOnnECNm1GLjjM hJUqZ3OrR6Npf5VU7zX8dlRElgXgLTPSskP86QFvb9eHF6CLqVUdzGmsr27bGWrQbz908JIWzeLU CZEaAqZgJgpcCBmwGRNwhga+suz4MqxTp6OYYyYblz7KyaqoMyh3JRb5yEm/Dsw2F+Y495aLHbxg 4cdmbuSWYNnmU//2AaGq/6iZOepVx+n48/H+wh1xOLPyxz3VN17fxNhQw/bZWk6rSf9W5P7BXPcR 8vMDHtLxWCiSpKePzdhBH2U8k7iQRTJdXVdMIronM9HTrjcY5S7QFWzVnEB0M2gY446wPxBfgEOI 6WnUE3UqG9mgyiJpMy9zXgYwC3yH9ga6CwGGKRkXgQ7HNEWIyw4cSwMIXyeP4G7fm1BHM1vX/C3J GbVfkBOvG4DItSPiNyjeBJsNvHxAWRIxYan9aw1ag8U222aXNhpeFIlhc2iXgr69+N8thqOrfIbd +4pNPRHl9oOIpKkYQ3Oi/eiBPfgXCWFggJvLRv4NgRPg/6d2p/67xzjhuHS4gHd0BjWk6bg/yI64 V7Ihz9yYJmjxDufUvXN56JsDPwulMbppvnAgfn+izUJ3cCOlZptaIAeqifRIwkeYFO2f67wDeRxH IButb9Fau/OJl9JNTLhkX9nItRFTLEuByCguc5dpoO1495Toi9jpBSkYDKYRSFEKe9KGntGFdeTr rcWeF/7O1mvnoIG2Xlh3IWv8FqN/aQeTw3AHSxTQYxkQgkriAqiApXkSWXKm5aK87gXGrXR1shRS kJrHF1eR5tbe7bYfgjo1SUycoXm5Wp4I2auN6Z/ervSHCueapoaCCHXsq2hEI4J/g8nu95tFdI2j yeY/dWz3Zo/O85JEdGMUvyqmwj9KuWkLi9hkeiJLcXojcehqIQArL7PQEQibDqtPY3IwWiBzoUfv xXKHuX/ZYZEdzy4RBP67heGkukGfXHjKVXr/qrzUUHhXkrQAqpaObOARqoBbOnl2x6f3i64wEHg4 TTu7nLXQTwGmsAO6Zu4u7lup60B69CVGV6lbXQVH3NVVZnKPRf+qF1gImx9R2rWfGOHbOlEotrt9 fh+qQCR92f1gVtbye1aQS2GFI15wRY4i83aW6OSMGiDSkfU5enNum5+nwmVgMQjQJChe+qeYywQH oUlkYKxAguUsamFbZV7o6d3jD0t1hodWehsAgOVHUoTkTbRRS9dlPmWH2vu6sQOrYiRpkXGy/2nH gey6/HkCVjGu/OlwVQkCxohHyRJ5coTfqpvlLBkZeIC5xA7szBGD749x0IbA/G1jgEBBsMEfV8Qy 41krd161/LEsOl5w++Cd/mZEj5BO6+0M38DTwVB0AGKwHuikMtx0HaEz3V3jtpRQz6ufazEPDgCe LuDJEyw5T0XtIuACVrrGXVIdCdqB0sCkgVDzJsnEJYa/2rpucyJOuZholJImgPB2U/Klq66PEKxY JxXTKqhTKArIf6LthPjLEmLCN8aFQEbVZtLGbukz4KwdfYM0SlaRTqDBe2fHzCoHg4b5OdDMtQv4 yUREH6RwMbzjWIn79OgPdDenpTcfwTgatHB+6CxYH4aDv6ySCbL+PIZiN17wa//+DVEZ1if9BBgv cAMGGoq6gkZcx51oodc5hHm/zMq8AZJ4gy5D2uZW+2VgqepznqdGApurVMoHCXuC+sBlpmf+Yyeh u8KfvQ6CufZxIbMRXAR4/UJ4qvIgo2Gd4FhGgBcgAEs84W0ZPbVBepIpo2he7uDUDyABMCTN2NX9 oPszDIVKiBlkknFKqKDzURR/PHP04NmDdpD6w7hHg676+3Nk+v11W++mUy0YcMgomlP4dZVymGq1 mO8VTeRleMKIhL22MOsh2OItApKG5SjV4Q7cCFXMg2+MJ1hzM0OrBY5LR2SPqcZycRuDOG1eUmSn 7mUo591Ee4F3lrTZiPJmXndDW3ddLCp9o/qWQr/MtyPGtH1AQ8cYKJ15u0VHpgyxHnUL0Vz4O2DW hI8SexyfG1JKfroF//MOSYlVZzYjDI1rmVI/4yeD9ITcRnZf690q+Pe8ma9mm0pWQ/Tvq4xpUk5L zvqGwYq69xbrnnrJCdK0ia1u7ZTDMs4h7FcR1G8jbOrFWSsjkLJpRuFVy2YV4YONoI1/mwQJSmu7 GrfKGF91t9nsNRxqM4bpyEFFIVf0yZJgGp7rAN6niNmLrETLgzGXzm93h00hLy/JRVNlzMIJc+qE MsK9NCYnQiL4M9DZPx7P0az8JQOsxd3g1HDmXwDi4homiZ881pQML+JfIqxZK0ifCOGyqDu9DVqe EGpPrWDiyYHddHkoBu8YMuF39PMQnuIF0xrcGn5zTEFf7V2I9PH0JoajfjQdI0xLxwf3k2HH+cWU PglJT6GCIRiNc+Uv5RZKc2PhH7d43OKLMZf/Z0ob9wo1FiG+q7aFb2kf3r8FNS8EHUmFc11bu5pK oZe64iF9QSiYiEkCgpHsw1V3dqHz8mwS+p5RMgD058AGNUjT/YWO9hcaxILFgcpiHK7xt5sbKJSs nTqezgSs7V685xyLdcQPEtf8SyKqaINWyNbiu+Mcl8VVyzKQGNdnuFJUCvlrnYQ4cP0+DupCMGaS HPGM3YlBoki6W6z3JdHamYTsXLVKsrWTWoiqFrQy6HXoY6zFMr1PbwpdHx54/j9Uh8jFl4PSS5PD NhzS02zwisEJj9kswcB0LlyH/I41Ebc8HcDe2ThUhxcoKUyAwUFcQrdoSYwWzhBOZYkwk5TnP+g0 52tj1FE94TNPFxzoybTgFdnVe+OdyczHdYHEMeK4xHs9SxdgHJQjxvh8WP9O2nEVWQo5SAFsX397 J7m7AOYqoizHvSXK4UzN6IjMhFobO18eV5Rjc1F+T6KaJJpBS5fsHlwLQiofXWDlGg7DRBTb0pWG 4/prvrjB2ayd2sw55rW0w5VGUF6SMXpUDLHLy4fNFxAsZ/ReUp8OOcGJf3QXXq057JMxB2RZ1DWD 42ZczkWupeKpJQVaxOpw7YLdOgNrLUWhNvdjUyP1y3YKQvcnMZl1XW6wnb+XWqJaScU1JRiJCJ9h vaf61qygo401WM4wzUFoTnW+jVFmQABuIiWN0FwkareqLx/teRO+M0EpXxONwJ1DQwVSsevGew6x mCn78XWBI/s5z6G1mVw76oYkXQ3RjS6pm1P5RlPwJEA3LiuktDiIlaUYSjCF+k5dtHnofUUSaBLy diZZ7ves0VJ98hXD3F2hYjl+cZr1ZxhluJJ7d97eZlGGYbT/Ag/pyMRPzL7CSQm2qyu5t/razYez ILC3km7p7W33tzy+5VxB+wHiTEMcNuADq96Ai0tBmdMY7VdHA/4eJNmQM9ZZ+Tz9GZfDPYMFni/F /Vi+4OOG/RjyKFXH33od2d03WmwA7WCf9XYi9ufXyITQNwEGuIzClDbS+OrGfM+o0jyS66ZtdORw m7UyIytt1IIBVciy0RFZhT+f34Hf0YATz7dt33GW50KxXWDZbAR0ysxLAA589DneNp/7wRtstE5q 5sOFmmMLz1DQTkCnG/AacwWTrVZvzvFbJThfH3enMXVM/mcwyYD2U6VOtHZO1q0gV4aBT07r82pU MIoCNzqxoGRiVDGZB4SVK8Pq3mx1fI+iEGzhFBOl4h9kiyUcRGRyT+gxgCn19Yz0vMwdNr+rKunp nqgi+tMWrVKZc4thBnmuuy7yNU+WKgB8yePVytWmxx7EYL5Y8f9ljNk2etRloFJ2FGWnwktSnNI2 gsI7Bs4ltANuWydgvmw7r1FJl1Bqs6iuIT2HE6DUcWZNt3/4dNi7TpSeGw56Aj5ssPkxpzjl+McY GjPPxqsLndFoaYLRxosITew8lzsrEFyB2Intvo5vN3wRjKyPrU9fmKwNR+QkBG0R0/tJkM0AMBxR a3Ikq+AjGslOKC7c1awIY6A8PoJ/ZwL5Iqo0LlXva6TLAMS1kWA/DqCoqECnSl6kDRQ3r46sJj44 wdLajn/4UHy0Tm8aQwkdkjlucOT+wpTPOlHLUwACyTYe5JtsLd/Le9E3IGyBeNh07k6eUZ3GrDGv hF1INVJmgW8Wbv/KC6Ky4tptkmZVYOX8btvI7qje1PcQsyYws7oN3smGpm/TMYrrBbZxbrO6boNt 0jHxykwL9p9ucryTQCWBBf1y65Ibz56JgokAiBfuzTQXBTv8joN/BrenOhJIERutlx5Gqq0njz+8 K2i/SrIOlsK5GpnW1OUPZqxMLdiOvmUYS5VvTNSwRMdu6ZLM4SkYq51fldMiX20v6unDYkpx5XiX OQXB8Uej8pEg5W8hRBJgS+Ez+qDaN80zzk+PMQ8nJEWbfmg9QyGJPLNJ4RCFb189Er+UPPWE5LIz vmKf8CW1iIHVLOpgd6A2jrxOWyPOPHZ+yH31oFsRSWPeHxPMMqrbrs2Qi6HmtWHkesgKfIA4Tydz yZPkOVQT6BkVQWAWYeDnOyN/zty/b36PCv2XhwyjqLOzmBj8jtlJOTwC8Iut42elF9y5J0eRcR2/ qHzNrsusXe29PQR7JFAHQi4+KX3+I5R9cPsr8wBzOPdSbWKD304eM7c+S6jtJkHMPbrfNquIgmHz Kvn5kc7gx+XR1G1EnslCxp2oJn0WhODiDXyeq5G3hX4GvMnLJvyAOwLpy4mGiQClIVyZdX+4XscW SnyU6kMfNfJmccCZLP4neSqBxve53xozMfe+M11MxQ0PimnIv8XtKsvmjtuT238yrY79xTMdBnlx HTuGLnYHXeH+MOBhPzmdg5FDsfEoYR90n5yyA1KjZlJkytc2EjinO1nUaktZ+ybDTw5Qxo2ep7B2 f1MCunW4RLA1mAltS0E1x5g/pdgDFHYwrbC4mYvYxT59d6XBXO1cNYuV+l43lfIIIppGyeNgQBps ESPRHZQ5Io5XDi+FDXKoFxU3mZk/mxE4B2CfXr/8xlFtqLG+PjGGdQzoJP5/CQ91Y3DKkfwo8qJA GkbfCCTObMx0vR1S2NEF0/oAngu17QJ0/yBtxBNgmiVXWrabZa1bmFkXqwxZVHFPw+GlWdBm6gIL OxLEBZZAg35H0tn7xC4lz6qhT4xWpyG7B6NPJIzENwBjOWegFTu4Ry0r8gSt6K8LA6AI+f3smWHc 2cg9TvMKp5ZTjAnkHMlGWNnmopo/euuLj4n/WgwrWMRGmCc+FyAGTPlYX6jNm2M/1mUDlxjUQrcn fN68pUuOMjizeaCTKAf1dfWqOpjU3cygCJRbTjJLKJ4qwhSy0qdr8pas9tubmKKEe/vaWBCuzg4D Q80b/fPcS/i5p1wqlrtigDKmqC1KHTh28i9Ef4rdypcNVp49I+47jOhrBdAN7lGiX+x0GWmmEx85 ZicdQDoaExPHNXb5r5Jsy0qwDL3L8mgnFsDsX2rpr/fMbiLUUDWu5vMfztfr2NesGeuBAFXRUMFi 4p0U6lPT2hZJQRO8/L2oOZComMigyfKcYXhZbcf7/Hn/6ah2fsKrrrYdJAVvQovHnYPWQUmYaYnl ah6yxpZJ1IgB8RWaO2qiudyGl3vGWLT/b2kv3s2VfRCp7OXmV3nOBz9ZN+IMR1cDR7wPeSsiYERK QLxrOAYD3XTopv2l1Xy89+st3+4qNK7pMPEdYVGUJJfuZUAa4cw8FteIUlfZADUk9xudUyKMHu4f v7I1olW0bHsBEAVoFtT2gBpYTaVH5KipfTH46VsB82SGHUSeuJyhSYJEUiytTWeRdJ2MR4mWb3+7 LwrgOw1wneeLPFNWJ4zoilFvnN0Fy90Xe+xDe5TkezVlJBOnr/Y2hOIGk1F2JALrVdVxVb4QHehj TkBZrB4ODQaWAFEwgmCDKkPhdcM9FHDd/oNGx+knZgKEezPUhZm7StYag/h6TRHWR9EQciUKCwUu v4w59hmJhDzenX1wegOgNrl/x7P0NAtyEnUNBz9ZL6pVD/Lv4Pd5d6Jpvv68NzLszhBULDgT+Si/ oxOqPVzXG6r/e3Ak83Syii5JyMaUGHDZGYHipVoXP5/9zaQ60Visfy+eiXIKpGe5Qi/rLC7yMRTy z+w9aE7Y++6X8ODkNpTJyT/0bThdJ/2pjXYcuzyFIetn7Zjt59QPTmkTzMBRHp6hTdNw0qo2b+1z UyRQZH8WX3qUBbx9jf53WZXKO6S7HXddKbd61BrJUtQXDmcvcwd/UFE7CDIowSakvHbmjaO/HSaV sj2M8vmZuIvH98hUacIBlSbjkhT2Gi82LGwq1+LRNn5vmUlOWpoO8UIXecdn7lO/1qdthvy8o/ce c2iHG51RRauH610DfHBOocZbgHaCJK4aWEitiecUn5T5+DymaZNIItZ+k31EnJ18on/ZfSSJUwf3 1ZZ47qT5xsHV6ncysYN8Qy6wL4Ss6oOTW5d0AUehexP1FnbNJ4b7pFn3UsOanLK1ePPyaCPHvjWM G7ci9nOOCFsQYzIQNOhYJmEhBbDqVCglamiFFn6nzcozowbYGab1fHLTlm71ecvkEGG+/yM7nPCI ZpaXP1DzaA5dX6yP4x2GkeA7RglsVh4io79D0c//hj0eJT3cQjfoGPEobJ0DQciYwi5DzLutiVVT n9s8J2MpKgq82Ealcq3+fg4YjFz191REVuZMed/tiAsXvID4w0rTKFTo+v+CWEl+xmHzIDynyaNz Ju1ICBEdijRCOutLsKbDyMk9jcFhiWF5fl7EuWOuUkov0FqGm3RnCOlBsxO7nwK5Yg9O4o47fmYS k9SeUQ8MVfiznJInw01a9j/H5SalBKEQkRApdufEoXnq9B0VJWbtyfjnkYabWr7CR9Sqhf7P/tpk wtFnm4af2RASG94ath5Z5nDdcpIAk9dABh7ZLpLMEwYDgBr15+Ok4+GyXfA83Y2LrXU3GhQkzaU2 Jfnx2Ete2BpP6Fozt4/uB9BPB04no97Pm6XKyxnL75vrYyFXIgvD2XwkNfygShBKS/lRReSqJ3Pt Dsreb+mIbmzgG12kTlBP+pRvbd+z0zYpODw55J7R/hcHokGaRyw7af6mcpu7JblVMbTcWQf0BnA5 0/RNRZGrjK8VsbuqXYfsHeoJm98i5Kc+E9eQPukbVLfwcbvWqG5bLiYec1zMm1FmBQxlXb6+OLLT dyrZ/IufUTCOTeeAdVr2wJ3PObRpt2TZq38dzIsvqrMB1U6q/moAvRNd3oasWbUEfKfIwzlzQ7FL wmdDB0FOO62D+MamXp6hMct1MJoC0qHksXQWCzveIilwAfLRweaN6pgTF8y33/X2wF9DZe6zhCm6 Kgw2KutH596JpuywQuLddESrbRchuo3CO7qlSLmqMwvVai4IUpOwuiCb6ersLyAsOVy5S7r2DSye H7YgHZxf/AxubOcMRqtcb3zREwyvPFNJt4NtO2Sog7M2v5OCV7jw0cjIvJSwR61QsaAm+fWdDmHS D2Q1X4u9Q9qbpGL7A/1s2VRxhlDXzN+WcSkVT9iKBtU2g55as4bcjmuOZRUV5ZBsUB8Mmx6TqwT3 4hg8A6vzBM+H8vEue1nfH2L9ZFbPL/iekZm9uxXMoMuDtsY6t5lXM0ltIS1iKO9hAoQ7v3EqiHVl y8SPV5l7zZixr3u0VXlZNmzrc84brcqo6zMYSBLL/eXMy/ajWW+9UtVflaVVz7ulcaJOLFTBTl1F nYjgOAH4kMU060MPYLokpoGD33zEMQZNbE+iKoO3M2nKNaU1+MPS135tfA0H7CNj/VQUZjokXSJ0 0kWSzhNXPnjcjTT6eaFdMcdieQSM/8tcQ4aS/CJI5hc9PlNnCZrkFkqphlxmiJbTInVVSNQGcwPD Lx2spEbStRbGStKjOhwjnes0Q+8qoUPOizjU+y5uDndQHbnJhFJnxHM7KxD6SwHgLyuzoAtN1PlK xHjPOcdSWZbT3vftifXKV4nVIY94CF4PYPs6jBiCRSuwv1GPGkW+CnYriGYSNYiyXsS+bRhkwWUz jUtofa/NlzfDYyfxIoRNBR+PD339ZBHOTicswtWDdY3t8GUMJt7fR0XAOrs+dMzRqptZLMQNpPK3 Dhze2IX7wsduS3f75heICoGOuMA8d+t1sCeY9WMxIj9k1UFGlZqR6/Wj90jmKwUcF45dY6R8Zne/ QFLd5Hm1MztRf1PVmaHmT4Cg0IC8TB9mFmDr/AjxaqMLLMiD/fvKHXKC1kptKnuNwH4z8IM8gHm3 B8FaxoLM0/G3pPgqFPBWSWpjG9Y3hDh8xI3GW6n5PT0DCqopz38Js4QtQiJzPbr4F/kkAxtU0C6O wUwKma7nCIXc1TdZRtebyrlLPyFTm7zJUTxf3gz8xNTSf/yCn3IiC3ZM81T/WbRfCesYmgZRKSls BXvcq0gaXeBO1LZyArAlV8MgZV+UHUYEb4C4fe1i5mXBDaNXl2V2Tnm0ZDzGvPJMj6pKO+UPy1kl hIf+V6gEDt2dmnkmzMrjYeoQ+mlpIqq24inxZHEPhexjZToNOz1iSTXtOn1jl1/Jyj3WGDmPPMeI E8wk2oWl4Q9df2/FYj3c/OUssY1IlAcNJeZYh/ZyTTr7qeAf/wvp0f+Ocpq8YZsmb7Lw//0+qitQ PezYjAwunGJoNOlTrOAsKJrwTEhMwo9FXgDeIXyHFqmIH0W80m8pdakkVOwIoIAcOB1YLifwRHOC t+yJtmAlwH7iA5Nr+ngHjx6xTYRzlL34o/YImgercGG0U+RxGSKg0eC6cDp1Th7kXWfpGveaf9xJ Yx63HOyfLHNduO7Swb/5v0uhqUVa8jA/GzHu7rMXrB6dBwPP3Is456XvwdtpHKFnW3fYqLiIO8j6 o8X81FpC+J6Uo0zMPgxS9c/aK0GGSP/piCn9UbKg7+AyBANATbBkGTXL6hKS+NVisw0Oh2LfK9n6 hBFiB8rED7QRw9qsID+lw4PlgqaxZPoKHvxfC7wJv6PgVLeOoD5mzRb361fA5OLBdeJrwsPPQE3P yuh0qyIPdWFokgBSACrOrMgzvj0O9LcimMNeVi3ACvhcmg3GS1XGs4V5OMVbOo2mJmkQJgZGD8Gz OgND9Tl/2YllAXAsVc1GZ329rNpQfo15BBh0IV0K14mnXUBQ1oXuFfg4k9KhN1jpi4vHjfe26rvl MbUQOydLklxxp5LhUYwCFCogd7H8K4QOAq+6IxYfmxddQoncLRqjGlM17MTAvkRqzaHq25J+UMtk 341dbhASPBJQLHa7EdrZC0KLfBCBag8z8jIsqCquaK76Z5oSvqtVM8kSrO1KPFaRaoVHSZQqoRqi Zip/aKIjT+wsc7ZlSXB3Usp5wgcEivyCryEJWJxfFWlP/7KgWM5SH+HVjnxHFORihrYwJXl4F/XQ dDkFcRgYwaVu7xUPxhF3DcIR+BpdyxWLFHVztqZNqwchnQoknUrT8C4OcB4ymhj85uImS5OykMVX Oht73q6OuZblfY0G55JjZ81niQTIMYgYCDz2eVKc1jniKj8JvAzItKeu2sKJY+iaLuJsixP4V70i 89x5/jbBkusHhEyQD6uFsDEkwfFSt7+oIuadIPv+AnXK+UuDw6o5PnxoeVp+NWmyyyTXXIV9J14U VwYpAgb35qwpRPzsf6jBNRqhBbF9V77B5XW0jnX/ciXj9vMgcAr4MwTraBZJo+irsBtVLLIG6336 aH3UVx/yLOkxrxawgstx9ib4bOmB+W8hlxHnY6PkVh8wTma/XO6I6tgu0iMntdNaNIqYkRcOd8yJ oUknK/1plB7GnCUbAj1JU9grHY3CH2QbvQl8fpye9sXKG16ail2uTDiYV/N8YGo5VTjJHG183iMZ AoJuBtgtWuBMXe5K5Bh0f7kd0W38MqVSrt8gT3cDEeOZsTYJKxhUmmJpTopMn2MF12i54L9YWsdW miheco2g/NooRuLrfYzluzoptJaXiNZSGyqujuulmcbukoUESnNlVcQURu8oxQcltNf8CqQJcJoa IdbQj5eOO3JwcMA0sLBlUHEqz55VzxyKtWzuMZHLIqKtJmNkWmVy4MykCWmDY1NIVnvqZtDmlFOe 0zXpBBWuMDYzCwEQvo6uzhRnYU6ElW5+LdRdnCrqlFzK/1YEAi2UNycoda0RN820eGWvi0RDRHjo kcezjnMZGjq0NJjTDdjHToIJMzLHAwrgAhT3QepTCqDNzc8hlG9b1wndC9sxp3T3bJAsmyKI6umq vnehpyA6mIh3TZOm2L6+FmgsQ4VUlRAacBZQeln09qPvCcQgNJRvvk11Ga2VO2ti6A2Wv6kPLAHQ WPO9wDbnJAszLIa7kWjUjzKK25DZhkb5lGM/lMDfQfAhkkLy1I+vDY1sdWq0JLg4aI6qG5nWAnff Tm2aKB2CpSs5EZGtrmOoIjze33zhMvnRFUfSaxoyCcOAwXoqSEPNbCHSE6gfsq/Pp/dgCCuQYrfb K431JJbVwmgzuk+TQIOznXGx3Ggg9B6/e8DKni4wv8e3cOgiPHnTB5YRPHjXQK3GmEt0oepKAWiw OoJ5fAqFSfLpu0QUIoGcfzbkh8bGzxnkSuBq7CLtq0JQWun9VWuAk1sK5RyhsZrDNVuJpqKMHyDd 5veP8vyURk5dKvU1NwPq9ws9QzDFONrRoHhsgV1RrPMAERff1ocs9lmirC6EAqHQwoyOPioTnr6u AS1paD8OdMhK1GotIBXrjtstSjEHuq4fkU1ElfhtiMbpu/XRZy36Qscg0U2IQ/CDdbJzrHGwq86E eOr3+VW3ByRFzX6glfsmGyl02ZJUsB8wnxGtWtVRr1YJvOPWXSwxVvwl01+CmiSAftaOsXPSDbzQ MNRk5WkXaXcjIS752GX3feY1FQQvaNGBmn+yXI0ZuHu9uSw6q11lFAdbnUeYh3cDpNXpwiJuMykb AaB5ovwAqYYwAIkCMYRc/w/dit4vXFOKewQv6nBUOwEPFJtmncpNvM+4b4wzi6LMPDYaMYqYSJsg 8332EmgrdE3zpbGSuOAnDADInnLtuywBuwLJcuWOPPwSGAJYSuOPI9++/EXqCplFvuoVUUmO7LHI umUyTkwS5k+QZK2LohNJPV0K9MEr1WlbbGa0lKwv77JLDioM7unneb3H2kvxvvciAY5zTfNl+cYY 5GO+IplWe7fi2ECuL28ye8BW8b+K4e/G2v4mrg9tTa+AHV7tvhFC9bOPVc3L9EidBkxmZD9Xt8zv 30GMxjZULjmkAPzDB6RRnuYytQJlEWGu7K6fZUvGcAINWCromswjYzsiIUjgDmvfCKqLuL2MCAck TBG6eb0ylbN+gCcOVkDbmZy2bEDXtjW67JX29eIOlpWtLiJOrnlJwZxg+VBuzeGoYJtZ70o6E78E pF93rCfMVtt8RkKkdnCetOo44RIXR7XuGXRWUatkJiYT/SpZW0PdXolM+l2G8GuJ7YZ5bBe9f72c XH4NHc+n5LjS1dttKfk98LrUELszqPPfR4KnodY04WsVPg5ABOgkBg7PGOEdVlX4RE4vd0h50lYN 2qZjgICfNedsLmS0GBgOumyVit6LPbBzLmV5efZ/8sh8T4Vl+/xVMEjRvr6kgG9WRgrUaMtP/4i2 ons0X7xN7ayTZupmkCeLwt3tcFnizYwUtP/nbb5SytCEA1RD6gDDAiUzycv4N1vRFZmIyRxdMDXI oYZrw07bYmgHTOaViFtveRtdF4doeTnXiFjQFnF3tqYdH9nE+EwTgty9zkLjKM30cvUfHKI4uFKA +JvqGJiDQeM0z4w+1fD5mJYjtYvXOQn4UZDDNU0yKmncv4mrs4RZOZpi6GrHo3ijo9t+lH1530Zt 7bjdydlxPMNjXebgkXWvPXhYGj1FswIskoTHgTlAO2FkcGZTwNNmxVpqvV1S3qqlmE1MVuECjZ57 IDJXXyTdnQ/XZ6nVHD+HyVg5bK8GJqzWEtjmDOF3uDh+W1qIDl0ennpCvh5mNRXGurZqFtI7+vL5 fGwQ4/A5MyHSrtZq7hyFv+oftS2CvQa2Vj/ah9Zod9QFJjcQRJ4mF+KDeDaX94/C2LpWktLbtUqj V38L/aA3kpcPzZW60yRaOclbHtjEtrlYcQBC8OPJCU7TDK1EsGPvIu+suEvZgYUDK0jQ6Q8eFtPS LqIrG66iHB8aKLYknFWpxTa/PyzIQ0B14+PWd4qWQvU2O0btjWiIw3Dx+rCwtFHizfxdoYMLs73S 616nR5+mzIvJq21/q01NQ70JdOBPD/KyndQJ7lYxwLuoeY9VGULED1KS5KqODemx4MjLXg5JN6Lk FrqVHileonGQLjeY/GYAsboXjrEiJpPsarqr0Kc5J0E5om4Tcr//QAY/9ESvy+QauB/EUs4bq1BV DVNc6YrQf6MoOgJo2eiQSXf0+qJBORLcNaz5U62OVokJnVtuazQ5KCCXsUbtIOR6YfWnYEoLkTF9 yTewjaj9p56k0qBM1ZdyVvAAU2N+t4fUxfzQMSfYKoeZKaZRKwgMU5nl+24WhFcNubBTEx8qbq78 8IFbRbwP/LrRfYpE0zZe6OL88En7UEmGNHMnIryJRBMuIWSAmWNIa0h1Qa+RKIhwtJrkfKRZ6fdY zuAbeolfT0jiq/PYwViCJZBh7P0Fd+O35vYtPmCRYwQKebWiibtxrPYzI4S83hJ8RQ+dpf6Ob+FJ X7szii6Hh6prvzhLERtWFWL3QT2rQmVuLgA7xmkwzsuiau1DLJ6mEjuCfeFj4nHUgansv1rg7KnI PF+xGo+XjfeQ/2ch5oUF5Txu1n9h0ASnUg3V/JwlTUfGznUQmPcam6Hb6OFa+CAqdXpaWX60xaIt bfXDSUI/V4/dYiNRmJbITLudJp/W8NSQ+IjwyTegj3Ie9+o+XwTEvV/1w+Z83PtJld6GQZvecz5K D5hG3KS7lACOsBED3Rwaa1quzwLD/c/BYtnz+iFbisg3ZVICaWqieltRxkTEU6gEFck2qr/4chU/ marVM4FJ/yLMBC7oOCFtVJBOmXYvV99XHRTYM7vffLwpmNVd6yDZJDudHJPn5LPa6mUrftkmHbMs qQgcUj/vDDw4EFUm870cFffZij5dOjGmf1otpyENUmWjsVFZSzbMMziEHCpl5PQeknJ181waVjl9 5NTpZS2P3jV+8optq8V5GxBGpCbGzTOtjdQA0eCzjzCLhad6KXaqxcEoit+HQD6UVIk4tppVf/dh pXxpEsRav4uqEav73wiuQIz7cVIMyC9SR5LN0+oJXGO7rVSMJqan8ZQ8IL63sdJ1Gr1PuJAUkEXr VM6psJcJmP1pyNjUquhpaKq7uEuPOgSieQFwVnOXK4E8w82Q3Yzh27pileovAFHdhfKZB4cNWtlV ym2Ssirw8b8D7itVlLFH3G+lFGfaxMGvAaGmBkOJ4eI8YVZwUsC1XKhMWc/PmblPXbajHljCAjPf tvKb6RRHsFSs3ldn19SRcGGzAIZzH2+HkxzqB8EdkgVk7QM1Vr2PWAXJz8lBF44bM73PQQtfGezO soPxc885R5pzOcxrEWFdVvdQRjP8hRqyaK1vQyf10Voo1KgMOtho1XlDTecE+QqQc6wnA//kgFtv p22tUl+QGYjVITC1qtITG2eHwhrwx44ALhxNQHQVESrfCXQUOiH4QeZQk5nJLtHmSminMqwIXHPB Y91GzluKiqehlBcwG9a9LNLIdbJ9IYeG0IRQzWfxyTVOGsyU5voWn4YYhu+cSBM5GBFlc723d0fo YzoeFvidyy4L3MeAtEZpm6Q+wE7mVZi7Ynp6pRJifqCr31DzM4YdAktTiDwLzOJW+RWv43DUbqvK 5L+I/iMZiEn8ioFA3yu6+/EbDJvPfospaB7o7rZoG/zXGtxwM+DRmxnv9McfwRjllKS/d8vS6SWz cHg+elF+nPTKrUFyJwvntRJIAOR2MkPwc+LE0eGFJfEGfjuNiUJLwleTNgxWwUjehC5g1/dMs3qb ZVQ8dQP59tKxNS+S6KNntV6QB8MzuHAJpJHrTbVcmldPUtH+6CFl2omee1vlU+rlGlmZOG07nrq2 Kd+Wp07u8O0n804ZlNZgmHnHu5s36Z9Tt1yRlbQejbZhJM1sQTrx38vSRLqWnCk+xt0n3+chFeai TPPs5PKSihvEkYDzD0apPrJXZC01O6CNsa0CkiO6ifVOKchshZoWQSLTZtnhtorxLlmgJEGOMisM svIhBWY+EoieyBiMRH5MoVk0M12H8KGczF2jvzqVx/17VRcE5ovY6muTwB5wdvLwblsI54svi4DS ApScpbHB5ECdKLnhJoTzT7ONyV1oY3QpILEflN5u+NCFZHu4VSl/8IDU6kgq3dsmGbUUmIUWEkNm Gs2MAHdkJoHStLHBgo66I7MnkYJS7EoSr7gG7lqgFP7qd64LqDTd8iFJcy4/TMRnhiidEmyuoX8F gBqGh0aPrcOk1DGCDdzF55O+be1Y22hUIjRL1vpvgrElDyv/BlEmvFIjl32jL92C8yClxfH2VMkI G4b6LVGDAfNL8clEdygHx5pMQOonSXV1mruESyK9NTBGV1HBypONlqahvOOZdmOATpzVnrZdTWGk pERDGM/4JVhoNLVQRkycnFKh6+MFyIpE1PgJ0RwglbUhZNsa8hCsQzJthGlWWb7jdhbjyVcv44eX MV8VRzgMujSw6ic4+xnfxBKLK0cLdaycGgvySEfXgxaxWxqqj8P+/vgukFAF3vWiPZ7bZ4igwPet g2e1TRCFZG0BfVFTBnqfM5jZtZJGvaqLtFEqUhJ2VGMHqMzrdMA25gVfI85QqIckMCMdSxmcgDid dqxgYojPYqWUNhSQQJw5GfEJ45pD1vouWngsKSlVOZ83gYuH26ppo6k4EHMAgB3P7kEwxyBXK2+3 N+Rr6+pRozhhASmUH1AU71Jo99vHdXS9S+DymZnH+9gMZp+i/sfuSwKp4W637qFt0dwyWhe/NhC1 5KvfFh/zJ6Cf3lBJZiRDL28myjlyATawGGX8TZAAxCcEDWcIxRZffv7QMVtXPrt3b9Fu859Z0rsQ HXGrcZQq/2oauzHDyl/ASie12B5DGz1weDKNjilbd7kSuvNTI+bTkkGzuIzbf+SUTV/+O3ZwPBbc kxRx6AjQlv7/CYMTIyEKcnaawrMgTVp11iJ5HkUBQLn+Rf6GQJuabxixWNmtaudI3B6hznGEthF8 PRmcI/w97UvL8NEGRNt+sGAglI8lTC1Rdi60zLxmcXJsHCEem1/p6rHBPfBCyStoOfs9tRWJ/bqo BKCbq4YBt+3w2Uw9oyBHTd7gLGGEcB3Dxh8OOxQd8S3uHaTFY9xAjpUQWs+j+zl9ZmvBto5nobqD SG8R6W6crgKnlOkntU+OzDDV7GDMYpuRqLtT0qfB8+8hm5/8is0XArbSZMZ/+BOs3Oov1CncVxO8 fQMK4FBPgh5hYWPb2AGcc1/0HlKE2kXyrOgcUdQVxgUmrO9euJE/xUfAV0XKElqVmgBlTdpiRzp1 R9z5n0HT+wht2BOXExgS3dkv8/m4L1z/tSD/bp9JmZRn5aPk4vyRxeM0X6LNXeoejbualw2f3Bp2 IHhy7SwLIstS1SLJmwYb0fDUDE6+jvA4Y+zD1CR+9uqEgKnZfTB947/ZDnLRiy8QPfcLydosP55f z1bvpaAeoxr4tfFa+m3ZMwhhAsbfJG0hZq3Iwpo9LRVDQeAHZlM0auD3/TMTpCbb0XoCB4KYAqmd gETLq+gENKLsABCPNxCtfdFCP5jHBXSPVV295IiO5FvOM4Z1o1uInEwLc4VB93pCCLVdgUA7HqYv zSCMSl/WYDNqqnguVRni1BbT6yJFfDDjbupYUW5O601UFUsVaqDImox/PMbIsw1SISr76eTDxZ68 Bpbq9m03nle9SfFlpQ4pUQXhwLIXKcqOXiFqN4cVKNyLl95D918enu2mt8PxxUJQKur/vX50Ss2n xUVI7t1dxHHIbdPqnImH58lgC2SwyQxJKfvSuS8h/LrN60Kb51dbORyGcRwrKyCOuV0D19nVhxdV /yusyVfqZZ9ixonaGNqU8NayyDUtGpNeegCdWyVYUgAl+p6vqugg6QGVAMOA7keu5iWTJfQOJzF3 sX/sD95HC7THSk98m1aKtHAqY1wHg0WBUKOU7ivNRM+A0A3CdFvx9+zAsIMh8p3znpHlZ8lVhYDr 2FRp7RwECA5yMQTDPwtQCQQugrxqiU0vgfCpMD2GyXNzV7YCGg4o9HkwdCgb/H3WUjf2AIHEVchC TlXre+rNJ+DIB/SFLtc5J2EvJnwghv/EfILV+Bi5v1ngaHX2k/ahFusZifzLKDeV7S5C8Tp8gnSR h1YI0/ZIkdqTTmJ6AePYTIEIPD1gaO4f7fE8NLeDtd9xgAfvI+C8Z+YyjsgclK1EJGK27Fp3b5aM C8sWttRWG68AX4MFdBgw1c3X1UwPx1mm29GlheNyGXSkX7+PXnDeCpyGYJJP34LMfBCijOapW2ln jToYwXoKQ/buLonxMkkWt71tMrmrHQtHzOZdqLesy980eyZlnDFxFcqsPfT9QMchbN7/DZ8aAvSC tyq47tNzjqbxAfeRRz10tLvSPd4pBjiHoGqKROZtjADOCuKBV2ri80HjYuIgV9qWPNvZtmYM1ftV t0Soz+DxEL6eHnSxSDL0q9WPuunr3hZKpvgHzWq5HtD5HVmZK9l2mZ303RLgKF3UYH/dThV28xGW X17pE7FotD+DpqiR8WIaMFDVV23WNHQFKt2iFTNUWyQSH9ekGi/MOilL5w3MHmZ8Kl/S4Uy6hORg 234CmT2GmaspbW59cxjDUCP+zQTDCTKI/4p6diFWaK8lR7SW5sowOVDDK3YZM7xA0DpLt7R51SWa 0E4ZKC2feFjGTORM5Yw3obom5iS2hxo/WXIZg6OZjDTYdVN+11hTuRmcvtfYeU1EwyqapP+wFlv2 aNSYNQsTCD43/HubhdHzjhs6wOhkn7/VAFyMZfWTt5Q4sBYLBPmo5CJa1DSPmy4gJPvPciX+8VtT ywXPdl1CZnV14Kev+B1tmydAJXgp6hmjga2jU/Ee25NAWM7QCpc9LrI4dwOlzeyPwEvIY5hfDohN YwVYUsqPDyjfO0GnyqJ0fppwTl8lo9UG94YN1GffXFltFZIF7pq7thg/K3bgxypqOCXS997uJJoJ cR3XwzhE6JaFKHM9pEYRkqAP5ez07jYBgLt/tWJgaqwP7D9cSxth3HO3Pby4FmoxykDOUSymAPjP p7QxHCq5jtIzDlNyCu9pou9RMgENziR1fBKN4TH0vt8EKal4vgRu1ePCYizrug0eyOnuvsSpR6yg km25vsF/4/3c8T7MYsdxb+MnJKyGx6DuaQLllguRYglOE8uIFMEF20SjiwLB5LjzfJTksOIc+c/L JYz9cI3x5MJ4l9n4zlUjOTP/zfQ7+6lGvGYlZtqJj+wknKIff6MMaVruJmPRI1urWifKX7m+uVu0 eCqwCaxDm06N+L7wIkw2gnaiw8A8Za8fCY1Z4acKdxb3nxP1GyTknz80kvNuxhcWxtbVfv5c++cn ZQg+b81tUqqlsdagOXkmGwvddbHI16UBALYqPf9QKfUNum5F80/sV/8+mYFbr0Rmo2yWv8lCpnvz lzUEj7oox4nSA3rKxGe0PXxXiUMb2PXikpoAM5lthsLbcRjIndvEU0DCLjM+B4P0tIb6LCb9ta2u jwdBJxiUxtLV7ZUocKeu4kF3xEV4Pr3QLBeOY18HZaIq66oI3PcKzMhrOvWshjkpLri8Cg6n6C1Y XWY+F7RjgV5SIT049S9/MSrRCsBM71mD+yzmLsrrJiRwtr1lTWPSBByFmYPvherB/yP8DInSBHUl B64bcQQodTgV/naKljA9otkkTzC/kxVU5elWEf/oVodOawbGNZtkXoVq1uwA7zxEwblZ3q4BDhL4 n1942+H6k0LMIapjGHQeYhcSvfyyXfQDPsrR+d06IO11MUmSQaiNn2nf1aOB9pRd8b6AjJVyqHKv +NKSy9FfK8NfSmtJbqljgew216+3liCJTyMvimuos2J08wvqpydRYjB7In83iMF1qeM0DoGzCEZs o83Zfu7HuXFlbALqGW1wThDtjJoppidTTxInTnPdUocAdhPejlMsQ7zklecI6YAdD4NDDP/B9bD5 DJ8WWTEkUDxNsxwdaLTVVuWWwOePCIgOzBnLpst9KylNGl4nOoOeDmpy/wFuHQJt6yKzQjvIyh7+ dvCxPNlpxmELoTkZrCjnTiyGAY17YNKTEbSynQxaaCDHZqBqFtAAK5NtixOZmhhYgM79W5z+Z7AX JROuCp+fjIETefXYODjptPCblJKzv+2mMZVvaggSNrCq8Ex4DsUzzc0f6v94sqKEPuiMmKvlVMQC 2p63cq6xpSUc8uYVlKndk7SxiFQwl7cxNTVTUbHPTYBh3/5z3GpYN03yxizRIyBkCRNSJ58XsJpz ozIkyhEuSzTK6ekYA6zh1TzTxod8T2Xi5BzaZH4Ptn0ZlNQuiylk5ty1CQv9gyYgc98lEKnhhAJe KdGV4NRG1zYdRgL7BDBtnR8m3GBNWVpAfXr9be+1R3ugInI65pFUHeW+QFchnrb03yUurJv3xXLB xnDfpkGCwRptFCc10CmuXih9VMT3SXGci8doZkJOtmMqxZ66edrlrAMP/8uVTNy7qNqkD2bu2fu8 bLODar7rG6id0y141v1U40L191BTi3+J2XIFI6FJ4PSbRt86nqnQhJDBsS++kHEOAKwBpnhP4fsU fduTMBtLXtI8UFGOJY0/8B4VO1nWeM3U0VsHt2v9aaR+sM9oLw0qdRwowtWqs8hF6prrbLWxw9oq NcwQ6nIbRRuIpYONTg7CpfrYvWyHrcKclwuTUYs9ZVxE4K8ssBb7OuaBuJxjRuEd21o/d72InHjj LaBFdNbKg/k7uThQ3rlXzw1W9Jt9Kcf2gnesYhCIQiK1MCu2phCN8RuL8Cfedc61H8o3oRh68wSP sr6r/RyBLV3KRcYebvRcGgWd2T5gIfJigIhabF7XSt1WXSnXHSWKAm3YPEnJRE76FpxH/morA6xD fD5XWuXlgVmglx0mOL4pKRj7oiGncMxmcH04JGPX9TIYkgsQknryI6bRqgWLywsj6US6uIrZUlay xGmFdlpWTdXIEWpMIg/lxZVPTUYOR7QH7SXZj6cCfy+qgqyLqeqCSCt1YLGhKQcUo2EBhighwb0n VGF+xYeoizRcnFK/5r87+jM85nVh+b3+H1zAl2c/ZSgEwqihswCUiCPqI+PvfkZ3NXK6RjeNa/pN HFMW56mENwhBThQhD3tNg4txSwnjm5TJs3YL9xbwE2ORLlifrLv0cv2xrmFnogZl15oWqDfAjJzw vlTu4pDjG3eewUbZ14/9kOCLyogpe2hwMUeD/hIpDPkRua9kFI2SaFcbHPX0SFPodXHvN0JHeG7W voswEMXhbzN8wtuedRxJFsKI6bcWWpuAho/tlb+7iY7lsMrYP9e8ckJ03D3PUUdGwKhNnSOxkiiC ktG/2sX+aP1X4oHShJtV439w3DNjpjaLyr8Z6gGdfMWozfGvdKT8eowkr7QJsSSoOeOyXFFXVUYd tA1oN5IZbg6B/HcuvSDOuMkBKMLYJCujDiOBl0MItMh6LWaI96W+Me3JiMRfjxqplkA4Mkg2gV4d Z3ctOu4LmnlOv0UFM/qj4mltOEd+8TRG7oQSN5WIcxjvDYX5TwZidh3fJ8+o9lA66uYKg0RUqdsy sm2jqnl1S2dH6fQSnDpeMjPEeeOPFJ/oIHgz9W2oOjd4f6V02X9x1U713XlmhwlnLO0zdCXC1gFR M6MJg3edRSfmjWVxYYmPINpebN/urmytslgf6qYQIPpL4gaFs+ur4ejx7KFjFCoSZAlhO/LfCvh4 Uy7aiGW65sLJldlsa8LuC6+4J14SfSDmf+86tc3+oVEnf1FZB05+4gT/EuA+kG9NwPKIfJkKat+7 xx7z8qXKIywSBrEORi3GgU67xiDOZZBgGTiuKJxJB5Hcal/chdtx1SCwp4UUZE+J4YjW9F87pyK1 dOZdzUUXToiVCFCidDvubFSS8Ar9OTMFMTrqTKFeUlS8v0CJvnVOgXuXpXBTJG2LNw1Xc0nvd9JJ eAjO/rE3lX7QsaBWbfHuxi3F+Oma4Ai2aEHawIozifSZRgYOPmg+kQHstmQFZnyouDV+7C40u6il G35dM7GewZdZEsR3J5u4ZHXNfp2ajnLsfBVjo7+FiUFugSnjTCbeZ/AZ6KbADPfglu1iELKvH5g5 aOEvS+WlX0nf44soSPp9WRn4znrr6//sHi77h7vEuCuKP2cMVuyUGqo9nzx+pwAkqdDq/eMzALe3 xh906HsOP5OQWmzaSwp68l0FSNsNj7Z/eo0VZ9utiiI4gKsubYrXBFEYUw693D1bxlaTiD3unpW7 rhUrcuFCXi5gqxAKn/Akb65w7BBC85FySv1+W9pK5t89FNrAsvmjVT6ryOr89KMYXy3lVb1AUmc7 IoYYmV/+a4K1R637ieyFN/iEKy9CUalOtASUPBosVm5DkuH71KvNC0CimjOrqjBJsegohZoVn0X4 QmJkqIILZ1nzfDKdXoSQntCBd0i7Og3VvHpdBxzzbQaCHSW0kNeWERAjigXN0qH5/Glt4S00Q89v NrC4+eMxYigTg/jKBVN+wyBWviiA47Q2/u2jln3rAouqTRu9G0CsBVL6Mo8bv3mhWXGhEjnswT/i +4H1psqgk65zrRAIs42KEmxh0xGQfGCkF54r+ELhAWxySlvf1stcT+YQoQtdP8lTFwxqeIRtwNMD auHgM+7XbTawx/QXvjK3oPQPnKBNKaDoW4kqXNvWaypgGj98dDF6Vbg3wu8BldAKGETYL9GhMLh8 ltGQ5Oh55EqDpwLGkb+PTKzxxh+LpxS03ILiUA291WtN3KEwLZoQbu/p8EtBTfMkc0r4cpLTTTPz 7tzStQS6pFrdqPvCWzzUveIrxquE4KpwB2vRaVAUBv59aCJl7ILJMeatraFCvsog+Qo/7n9KJkNY fuuuuIuvXefvoEp64p7nl0fi4/BCQ/GpF4ZbWAHTXXP36zDmtC9CJgHEqnrYYP1ETjgQPsWGdX1Z g4316ODqsPbaxaghWd0PJz+ELl0iyAjSMX3/KjeunEpDO9Ct+niPC2FsPS35kqB46NYQlFsyZbcG OerNazGFkKKMTo2e5hPquikNwrM16vXDSTFEvqvNflaFoB0q8BOBtwK/fGMEiz6Tt3w2d3+MBJJ8 +2jrKkiGPjQdB4BcXPwUpcQ1jZjUNJWAcoeMxInsXTEwi3doPyvmkn6BCd20hm7as4gqilNZTdrZ XCiyawGh09JufQw/hDDATRy4/xTF1MI53QdHnLiPW9vadMI9QylYieIn2SYmFrB5m1JYzfuWT+mp fQcpgMMJbFogcjib5bisoHrd7Bx5mH+NSqqc5a+PlCsuD6hOroA89aHyo0bKu+Lu4vsPOeXK0CLG Tu4izfc5VhLdpcRKXuW6Od/hL9yrhik9Lf9SSb5e+f49Xg6ALQw1H8zR2tKtX32S/MAi+2xZE0lz Givot5YbM+nAxT4DQLYSkAzS1XzNPQpCGj9a2jyuuiysQCTYiqXXETs/6dw52//YwFCGI66zSXTB 6/3QeJUMQYf29iypqOXCwgmh6jrxzHdTiryTRG+jMAQsaXOhY8mxsT3g8+jgc3mbaF2lPma4/dGu 011//KT0XlU1YaqAUK1bQqRiP4hmq7mR2HZDAFodrzGhh3nswn8MoHvTpcn+cFqJdqt1RSBVT4WP QGYn9zbt2bQgicnACbxxM+54XaccXFGfLjfP92MO6ZCwJV4BrebP1rV9SaF9opVbKHpe0KdIXRM7 rnuSP5fesdWVjWWBNdXkIETizvEQKW7tDZB0b1HWQHCfDr3jFjoivf/Tca9iMv7l8V7R/5AWxTmA Nq1Ut//nrBPWiE1aQjVhg+bRrPJxCRl0+VE1RUKg91zaW80CkyVRA//Rfdw4jfipcG+weEZuTPox 9/Wagj0tqmgOE68tTqLcj9ZWb2nRebWhs6QClFaSnc86a7FuiHj5GIhU82Qkc4pVDOypwZpuCSLf BoK6PvywYgwatiGS2CPNwMSTMnmPldIs2BhjKqm9Z5dvuRpBAGiLpGVwsWu98++drL4WpKT+sN16 RYaSXXnN790xmevBp8eykm9L1TkzAUXv/HFNDPpFxXmVP7kegUMGnjilkWGRQZrHZjEIkPpTu3ZV OsT8WfEB5nYP4ZO2KwtfxD/gJNxbrlr9eU6yEPJuinG++op+Hbrg26EH/GaHweUrrzkdENiO79is c+p7p9VYin3hWJdFfd3PE31zi03asE7Qy8tX5fVwwi7V6JmK6xFaGWwldGT78adkc4H7Xf03/zEG wFncMB43ito2OF5m93PurA75wu/TY3x8jvcOc1FOqQvipM/8a4mBorazi70abZQD+dtHx2ypqVlt YbWwuWuUFm7kZQurudXs/TXibCipzSpv8jXDazhXfZAwPGtd/oPf25tkiiswmDD3k/fQFDCEs2DO SsrcBgRpM5CCd2mbVg5QzP/qxtrJnDnKvxVU//qejWVpjrAHjlG+Z43wI7QjnSdeaQM2rOb/bVm+ qyHrJzErsnbweNRrX7++1cNpxoaM9/CNRfa6H8H77vEbrzhEeguGM34rwr2xZr4Fl/A22WIf1Y10 tKM23MXOJJGHhYOb0VMetRe1WYZFHmh9lWrx1gvJ9k5LYz9v/ULu36gCksNJEzrhfTB6yjceMgu6 KW5/+eDuwjh9rRSep8tp/DNB4S39JPBp4i+RRieiDkqdRcnrRYNl9hKEmNzmydrhLmBPkVZtMl1U 0g+6pxHHpaoOyw4jlWxq7Z3PRFQuS8Cjb/Lx8eYFIHbpJAVO1yZQR50ue46jp901EJrTltidoVHd dZnPQVlxA1rKb1BtXAoxdPHqze7ZrJwKy0QOVgAwMUaMXeEwnRm0WTnnFCfU+Rvk2+1JEhboKhFy y7L9NBk6sZ8x2VdrwYpqdMCcE5O4Gu9g111WGvS0y4WUSOZGWscOHVpyS45Z8lShozVNMOYSMWd+ aSvf2dmzLLoJKMl/5wgc7/v78RmsT0d9RGwvaKoK6fRNilOEDY5VY0Va+sFTNSP0OlgqMBw7XqsA FjphEMQe5lUAPh8PlTrEgXMnFHC8YNyVrXg1BcjXjeIMx/co+ma6x4z/2SjJ3UDvxta4YLtwkws+ aUBZMhAGOR3jTnmPZVkWRpp0ZcNOtZzzRHZ3Xv1aGRKfGFpvf3ZJSQQNe8SEXS/XvkcPqvLzFu7q 1xvwHn5oKP286sLSioY25uJKR3sGzybW+FRiNLEtY4ZeDxyVYAcOVZYn6jMFuhpl1j+YpgGeLRlM 266xpfXpE9fIVGN8a1hu/Mr3BFoBz0SRniEhSZT9lCaB6Dm1dtgvFa9GcZkoew5tOhCOn2wfDvx1 LSxkPpE88uousd23Mg58OloCE0RbhjEqifOjr9d2DpVpzVJt0enKnnQX2EjG3/lt90okWQjH1Hmc YSRSFSj2WAv9LEUnVjwt86WyRFH5+hXiAN4yE2DLal1CdzMVY2iBCcotjiF9/v9RpEaAQddSw9Tb H0oHwIuph9lIe2XTNavDFtmDiEu5i6EQjLY11QOEMeuQ7Opiz5K70raZf+oEVbnGs13mrP0ICy06 qDaNZ/q5UZL1w0u2+V1aGc5cvOmOuzmPPXq6Oe4n+h5vIaSRswSqTvbUYxab4PLJIWYDM8b45Qjv oBnpzaicH2ZmLDWUL+9wAh11D65sFhjvY+4u90rAupRLS9r9GPRnPNsOLwtWCtKax/LnDyPBSoOB c7G/VJU2rNqOvsc3QXkaAw7vG3FCD+m/IqSf6ZYOlhoKM3ph6VNNQHbCUs0VVTZAxl5771Guf0Tj UckbR1OusUql/TVGoLCLMYmnic03bW3dIaTACAfUNmaIn8S5MbPTMZxKHJY35P/6ITF+nrJCQMqP +wtk8GzBCX0N5UdZf0b0fEnZvOj1rHdAzIBz1KOa4YczBFFtFEXQ0gpq3mCBEuiBlO/T7ItsDSQm q+JFjGMGIQHEzgIxPGXCPvnQjTlEKZTXiexMME/CW0rlYSdjo13cas8vsv4MmF6vQpm3mmE/4GTF 3Fg3dTVcyYofHHEyDLO+QZ33gSNUnLP8F6Owk5GiD5Y/W/x01Y/77A1A7jcoTmuJ4tj+Bd21ZKte DaGdWRcnVwotj8vMNrRSTCceyC1RJXa7WUW9kBhDKmx2QRi+Xi/gtXDvQHRstaagKxL66zZyVz06 hdvUu9x6g20dnDwMaof4w/I4T5aFN28uzEtOtM0Ka88GZTVaE2MFhDhAbLdTuxhi1ntxJ5ragK0b jYxpbP0yfzcBgbnHEkDf4XpxOkkxx1SPhTcCVX2FsDO60E+F7JzxP7z29xdRN0N4UsYHSB07I05u IUSoFIMw6rR5p7XnPvk8AmPZJ8nmmosDVhK4pSmLiAGQu+LpxW2/AySYu1LxalpPV9hx9G/VwpVj YT7sMPRpnfsSxrP9f85FlcLhCsU2S6uc9eQW548YV1IrYJe5kajNER7CaASJQmF8fvfR3R3c04+u KuMR4QHomPYPtPt+eHAX5k5MxDWQFCxHA8Q0Cufi3IJeg1IUskQYTicGQCgJC80uDYvYPtNPQ3n8 oajb3UAfLZsn7o5/X0KPtUuIGgIRZf+2/aj3gjp17qftvmyp8snMVNU2BikvwWALACvM73l4mleS XTmSeQOwLYUY72LNpiJgxRL3iO0clBIsezvuHJWVBFLoNhdpn7yMjw25//Tw1KXJAxzF6KI79vMN 5t87XuDv1IFwYOQyotFvB8XbcHJhYfGFpU1eZj4ZrPgn8/AZMrd5hTQV3X1c3QEgv/jP8Csf8Uq5 yr5dG2hag3VkzkpooBdNQyqVb0cj/3kOoaLMgAkht4P4H1uP2j2DXZH6CGz4aWKWrmzylenp0Qn9 2Xxj9R/oPe3wxy3noXqDke8GSfoJxMJ3EoaRmkhXQFWRXTbYtAp1psRxRVRq6Dk6Ig58EaebjjLr h6qQLWNu9IE2leZXt4jFXLkGGkOmoeEifUCyJsf4P7cxL4fZGfpMjO+O8VRk7xdWVd+bCcqKJdqh SzOoT6KulIV3i1/EUbg7pBzZArM9OlxYj1pVXMlP0qFPy+6xzrf0HXjR2BH9+9UM0x5QTWJInYva WLJ6oPRxS2wRpHG5zZ/j2Uvi5pvBWf20SIbQ9ZYu2Tf6aWQcnmyO6UbwdfnOQ9cqzqSLw7F6qvEx NYbM4/28rXotsPySB1R8raexj5Uutzi0lb8M3lwZ2TNH3k9jcG1GJDm56oZv75HMdVr+7xXJlBUb +lwL18QuZOuMTiTaCrmoVVnxOtZujqdyUq4w67QoU+SYSWGgAYO3BQ1cVxOtywjAya4un0YTUGrv T6BwNCEsjBFjzTnAD8eefAOS5ToyBlb0M9KRFcpFyO1xgugwWOUVoG3dCN47jhCLOS0ikf55uoVt df9VIJnpeZ753rOHoewcNAoBajisLk0DKGg++TG5NTG6wmlOXk76b6ghQzN6HUZNs1y70Ds/jxl6 9tcFat8nUCzwRY0xM/8yE4MbFCw5mDes4kiqezjGUZrEdOZ250wihqaH7RKO5gOKeiXjiM6zEd09 1bzDw7kxVnUlLdjwhQZFIySCBodtjcd8vYQEEGvRmD/9VhywCw7/qgWCR/FWInWJzMns1T/5gvEr 3pVoULZOgyJDYWpGFwUZdLmsXEIu650QYrqTKlNOOa/3bslPa0FcD/MZJm0bCz3P6A9HWOuBXiLp 8Dm3VT2PxSXfpK658n2WIPXf02zhgK6QG9gOD78KoIN/LQAkvYzufuZsuWrtmBNcNI5V+050IP5w HmSTC9qLi5vWtPF8nV2i1SJGO/g2q+Yibu4bjhvY4aEkG7oowIMOpwF0nXF67WJixGUkpn5AvIFx gbY2yuB7zmT3qZBJXo75tfjRRWfvoMaPHDq7J8yLt3dsBzqkj01E2YWfGzhw2FGgXQh6S4tKR3YD U3SRogAOM4X/Gm44MiEbaIBI4XOcv+inqA7StqqDjWyMfgRjEKP76+VNQWWJxDAmBh8XQsDlhhHi WxxZzubqVjziqNEHl97tqSIS3wGlmUIXIQCRFCGdG8cfyqjS6UO8xlBZcZ3Ux3IRhZ/ITs4tkyIG TP3f0MkXXEequpVkG0/XIqxX5SjEpOhORIpDRraCQEemicTuaUcPKon5rYx4qZ53U7CLgKOGAJtw f0ty/M55L89spSEPWvunod+rKJKdh9qWE1BPwqcZ4zO5dv1yj49qhvmDp7sp92qKq2f70bpr7Hvq yT59IYDZlsRj2En+sms1NEDXgnhobtl7eV5mhPuqsfK8gKdKSfMFbmzZWZ9qW4APXmyJ4rA5KQiZ aVCXX8q+EvnZg1QqnWAk/g6PEZzKT/a4srDgMU/AMvgjmoIPHpKWXEYgm2ajZvV3qCPU/2XvVWgy +OoojOU5n6Y2+8SuwbA2BnusNbVOqCqoaXy5I+H/3M9Y9JOXR0CFeFkOQIqkFqtAG+Lwfe1+IrYu pmcmXdWrTefIuZxz/ujm7LWFjP70SNHy97HtSI6/Onky3kSZwRiqf2JbU9zwkTHz+NpzboXyn/B+ 3/nXcfvvmmDulbLZAt6+ZXtYw5MhTkVW3S9sU8TWqYwpizbxpL2Wl2waO6XnvdXQeBOOQoB3mHuh fIJ7/s8o5hWXKXMj8IM6xyCY9mDxUUrz/HhKU+tVIdQTj9m7Yv/uLukWeg9ZgEa/veDk1q57Flyi miE1mfSZtSdaGL8/wBeaaYEQKv4DVQpeaRHJKNUGkIOanqx3NNeovxxzVvbCuNZ5uh5NsJh1Z+/E Nifct4qZ+vvP4dNLiD5FqFMK9rX04KfS6BGy2bkZdzKKzwUVJ2EcsFjf199mNRfKTCw6zrplpoGG qdVRBATWFkwt0FWS4bPjzRv5XdeRpwIDQRUMFwk1k08el7UmadPJyyPlou4l6XTzC0QsEbY53zrw AtpqCeTJTPvb0ztX/BmSDYVmA//QmzGn5iyenQU/zhV86uUoOhA/DdJbpi2wgUKmgG/HARiqXAw+ vcv4pYuIK/wndz2W0sag0qfEy8gnChx9V9k0/eIaU2lpcGg4CXD3TOwcbSXYsHa/PQxOh9fRlJTh fn9XAJytjvxNT6VRwSwwuKnV09Rymqtle2YJuj4tN04M4EuUXgQE/I7LzY43MoKsDhGLT3jxCgN7 ld0N7JOI1mq/EQTDYNza/eGsLy/wondoJut4up78zVoG6nXBE8L4uIf5hebMS+zjzLjueNwLPsl7 sOrDtGr/qiEwp7WKq3LxFMS5z4wKaMgOBgDCDg9Kbd+AgMjqxKbl4xfTbB7Bs3JvwdkXjWcFyUXU o99IWjSHntE1noNwPXJ6JR5LcbD//shy7wZCDBLWwEdomC7agHvYq/rQ8EUAnQueg9jZuZzZKMPd x+khv7UFu1nLlO0z6seXl9V0OftRw9/Zawln0LXw5dswnYzwtuVvjUF3lOihr039Ii+8hRCzLdMD K+TTIxSD/GAUwz8voyOy830bZBOzDWeOo+0TNCeNAwzUmspAyiXdsAbC7p+lFX8ABQKaHk1WI1j0 lHfNxQFFramo/ydXTWUZK28tj9wBFaYLenU5tk3yIuW2mS2VhS+LG2W8CJzkuKRrwyBScpJdZFYU AGV7ZMz5TDJxZi+Y/5dRVs16rozziS6Z2Twx9FdiLPAz/c5Z0oSzgdjLrlUpHNRaeQItS8AwfFg0 oP0eMsMW12FBRWK7bSYhgfAuzftmFQAbMD8qe5yHBe7aTRvmRn+PrZHi9P82ToSs3G4GADs3AZDV gmN4kje0Xl4N+G2ml192RVlbkugFPNUwZVcfUCfuGik7JUpzQo9hMJTGUPd8Kfqc4ENyOa34ui3Z oHijRu+Sk029QADNaopgU/aGBdWz4m32kQyI/F1cYSwrZilL/AKdtMPmyB2FGd5TtrB0Z0la59f5 WWdKGR+vn0sSBTPjjQUlVTKumC49Eq6T4oMs/249DZIgU7XoWvoAAq37G1Nj8Ud4QZ9hO9zb4uv4 kW19WORomW7YV3RR6h4TaTa+jzn6p1sNq5OET/fIB8bAyUCiufH6pILru2Sbu9Mqhh43opoeA6A+ sIO2VdR2ivFG270eNWYBP/gXPTHIbycSTR6juoPRLcqPje5VcwScmCJuZNwHUXWTSo1ZpFxbUrxG aLb+V53NPkyW2eP1SL1uuBTaJJooFhLWQpd5IuZXbtGNAJNlgvFHwt5yf+kFN1uV0Il2qkU9SsM9 eT8ugGubX1SQbwqmnLRP3NsBUmRoxM5nkUUeCJGI1q/UzFLR8GmXEtzwjxsgp6GHxUd2jx6MDfaC u+DLOb4D8mYFV/Df/WmmmwYb1MQhZv6VOYfR2i2Hp6mk5Id5r6zu5cxoNuLsw/hyd0F/iPB0+kwN ZHeaODXbCLzpywNCGvr5d2I0m346LR4+fHyuEkmMPya3rlguVNR/u/3vW6xw+pExHFRXgXfcJmOs 3Nfwc6srMMN9Y5G8MRpwMBARMSg1qc7KeJMvMjAxDlnm0X0j2X6iJ9DdX1XoyZQ36eKdIB4AR+uX 5SXEzvlfyEqDKMuyCefXwL6jlKqey//NRJ+mjhguZjVXKt9Lm1uB5ihEfhf1AlVHsvcLDVRiUP5x TfFqKsq920z2NHlEVMtFGj9RMoMSdWC2wGEhptw90tC9QU9fiSQ8nxpueb14neOMgrco+7SoT3XJ YiOYpDi2v4MAi8bTSN+DWXVCK8RTj8hkxFhJZBaDEFKbvBykxtMicCb8wirgXdw41Gu08482S6f5 QBSfRU4hK+ghwdBLH1XyYAUOdt3SdlEvMcZrxEczUWXGgY48yxGlS+ePbKrPgrVO2YI5ZXGappRj wkRQrVB/xdx2nlKtv+xBSWqeaM72Rn51XrgrZfML48nNh9P6ujvRXnAjvlzLR7UIOgouAktFJjrk qpAvPiDwZUx6mZdIUF8g3kP+V42lPuuFD5+Add9SMKnvlq9L2mGXvYn2Su8ZI56WYnsucrAtjdar ztFApUleM9ujAwxMYp4vlEwkwUYBD/WVe350TMEGKPlbSQMjmwMWhOg2wuHhVQd0ztIrAoGmnk/Q rl2cwv82wfT0gpky6nshZfJ4uQcCNCCunibWV0tuFMSjHy3BwF+WWqrPg8WHvemIF6iao8PMcgUv 5q394QO9XZ5+OltJxWfwFzIxNHAWqjPScwPoKjU02tAFkK/Ka0JRcTXj49BNBqgzC0rAp3boIL82 Bwft7etjt0PmGr6RCQXh5mjgPxy5zn/2FMabOw+qrmtf/Xcnn+P1hAG/rSm8SWHLOJq2DVGtiL0s 95oXb2TvOaDM4xIg8eZt34URhJAD2oaXjzuRolHbaY5KgtMZyHpB4zIQ0V0C75P7y25nEJhKTd+m /oHxet7WkHWdcBY2X+Bd1FS2zMU9ZXlMMznDdgch5cXD84eqts6AyYdDThc7/dqjETdSCvVU1j+g iaYuO8B2osQjYX66fal0idk4A/bpnXgV8nvzKb07zD04TA49mAhewow1atAmmGwkznN4YE5efSip MWbBIfUMD8JRTUGqyWE6MBl5AHQDCRApWjRvsHA2CfodUopUjo9o1ibteMX6oOVrednYWuM7wW+w arEZkzS6jyp/3vTzA4vYNRRca7rLwXJo2qiGWsmMeqPjNseTop0ORmiKkeTG19khOjfAwCwvtFrW Ukl0mmUHe3wAUkrAWw9N7CaBuj2eTZ88vvVhiWnI53IpkRiQRIXcA9mL9UnxAk1hNLwaa3IvQ3ac 1uFeNxyVki5K7AqLejA2q5Gz46ZXgV7lApmzj7JIFSmPxizm+6joGS8KmyHQ4+2W9NZRXx1fMcic TV6/lmDvxFVaz4XqvlhWiH+6TMOW9fTeaJRA4nbc1k7NuPXpdyJv9OAc23GhZSzhNYH0rCNVJjbB 1VScdvkzYL2VwxOIQGATjl5KzJOwBU5w3y4OBxctRA39XYcMUuqWl3FfHQJOJiAtjMldY1CUMRuo NPwrZoFRpQYeLV/KxEKbEQ03kBlvISN/SQxEjGUZP3zj6VvBLTkZVBMhTAXlBhLu9/UHPhOFhT49 e0P51wzHEFCBsSnBzHdV9OxnoP68zDTWnMw4EdqeZek+ZyxMypIkUJltKOLM6SqZ4mswj7Iv9134 MZI56ZsYZ4M5DnT9VUarOJx3SlAijN7a48tIfQgTZWA4zESrpipWjHRIwCC8niTgpYhrmRiGTHro zeLjnwNSDtQVeIn5eaaiRGt3+jNmzszhw80AGhChVagWQIyZ7SevJxg+US4agHADwJzjF1MG4wND D+RlzkDifzVI0d1kYksY9L2bmwIuVEDXWS/tT0HKU0jzbPB1TMVYqyBa592W0nbXRRO69zC0H4H0 OsjEiJCHFjL3XZlco1JWDXw0yy5N2bSptH4my44siIvtgZKJGlm2aw7WP99uTOMbeWQNSoO6yy+9 s/kVvOBiLZS36YzHBVaLy3Pwyb4v9itK8+/soX5BjG6Ei84OdwslAwRaVcXIL3+dIcR0jI71AIjq 2YHGyuIEMMLfvXkIIZIHIio1bb1eI7LikB6htNUH3tEjWGIOA2+bBu8bGSAXusjxa0pdtqFsP8S4 xhWOZIM++J+fhwFbSvXIprPR7RapO/+nuQ95xknj2xvrkO9fFmruLEsBanf/npNUiBN/EopSCDZX q96q540ocmLaHKeU8bR72XmWFMCaUDVm3GCOL7NPh9EtHcr9FJNBpyw+zITquwODsuzd69d3xcPp CbAZ0OrRP2G/59bwCbz29EBnO08prVw9r913VFUCTA6PMtSlo5pfz85TzAsmcXa3tqBNVAcMXS9U OUU5jF3RTq0PLxUiOpDhTVc/sJ9uE4egncjkPx14K0Kz5rojwVwB/i62EmXy+eEvslQmRxwbpnvP /cSVw3yM/IBA/cKHd2BjlcooKv2p9IcWSQu5QR9BJpAsciCJCYrSkR/SOYnNt+OnTeldPFNRWNdX 7oE4wgl/1N2HF8UIhLj6upQ3E8+VNlaGGoGjeyn8q9Ye+NQqQKM1P7WCbVwAq3ahwfhjBdcAuLpV wlw19A/08yoMUucv5t0a7cT/fIwqsKENhIqIYNy8yyUAu7dWUKyt29P/My5+zbMO5TZq9cJ6WIY+ uZa2PWPGadOAZ5459VzXsLXZj6bTbHn4lZVOjVUR4HA8xoD10SfZWCo2F1QOSyIyL4fsYgsNCuU+ sfbBR8/gBzvx9I8npswmqQ6wV9geS4jIP1fcJnEKCkYzI/xAA5SuwFRoqfcNcASa8c9ZIsS0afN7 q5ZyiUgAQtHi3PxUriP1T+WaNkqURO2iv/4Y9xDj/1t+RkRQPUQ9ATJe3xfrXQXTiVdJctxwq2Uk vj/+4Q7FRshKYGJ6LICSYmJymGFV2dQNb1h/AuEvJCEq0LsAbiMtlDnKtx0Hlj13JumDN/Ttvz0c ZMp5gpmfRiPOBoj7eB0j0TvteVENs3cNmKHZuQl7R/cHNpvRWmmR2I7S6XYG3Mriy9JyR7edTjjy uSzXM4gFQz6dvdRaZ5Q6narLqqBK+PxjSfqeKQKSjOzVNIezox7jNZcWzIpXC57iVDyYsjBkHXnN AYx7QYTZp4dnT2UsiYI86b61uBw3sBJSm05z/Sy4dq6OUZeCMXx/EcclLfVK/zFJlOD/8SBPBlqP sUt34PPSgQlCz6fx/eVq/K9l4L0EQ0TQT5bjn+UGIBv2qpvq291WAjSBM43H/EG3KvyQfgxFCRCj 0kPVR/jkUJvqnWmHJpU1nwc1lF9K5dj6Wh+xs3UyaV165+3vrAy/gJpAsGtT9GQhQ/EztMuPep6C VCCm6odY1fNCr+Q1jPQ0k3+WQ6E0bM6oL6xf+iQGa/8uSAklM5Zt20vAYHegO+Ad74OgsaHR65Um +kqvb6z513WOBGd1iOG1IZnzyO7JKH3Po5QoSGBqCu/GPzp+CfzaHkbmRMEyPtFcl6ru90zBXYuw zVe67Hogrs6AC26tdAqwHAqvL0cDEPAkCJgtRZe0xpmoSBfH4edIZ2x2ILZ+jRiySbbIVh8WfhEF JFHH3Ph194tL8LK1IKqGZD0wYFrs9tF39YRaxdgc04EFGQC1qrgcthqGWt1xblyqYYLEYPe/dqV1 lj/4ix350VjmhjLeXxdwjA446mcBA5l3hNqGgTzHHMrlKBWDmwQ+S8B8O8jAIL37zQuvbyKp4mho 5FD5gS1EIt0w5s9Jbzu3m7RXrRkSUhjV6Eg0vqmOP5uk83lihAsOGQVytDbvEONRDx+BslZAgbuV xXmdHyBxc7ZqzRzEawltFGFiRdQTnrtF+wKH1zwG8gDuKB+m+7KACxzao9y2CKXxg1Bq5xXQCPrr hu08btytiV0Sn80Pvy1YxnRfLoJuW8MBKWTIqlRZlHyn/fmyxxcH8hm9wW5yYhRte5zudIunwxtG 3nfUhd5LGz/Fm08ngFdjMUhwbASW+MrOC3kY49mbdtK48WTIyCqDwbKD0p3UXykAnpeLdtaoS25l 4YXZ9OoPPYhTbX59tSqhjLxwbnIHQB6ThRlM4ro3AO48NeNMK7GN/G+txR3pDVPy2+Q1tzDKWGnV Td+B9+kzcB5c20Ooy/Sh5ZwwC01E8kcwKFS6uvgVlL+M2HV8VNdOA4wIEeU6SHQS9SayKfpdscfi acvg/yMV9HrSjG829SsP74IZdsHz7blHdNUjQbU2WwF6LtyqzARJ+tME6DHqy+e4jFZ/wtIw0lo9 xiUI8Yc9GHASbenRpEk6YtN9a5/F2/Odtn82eUKPj27/RDOZ4LhGeiTUpuh731tkT7liZL2M+aa2 JAtTY1HbDxzRUv4pd4Yv66f8ENVmS3/cs9IXyrStQny4+XFi0Gjf8Ij3GKwJmk3LOo78cjN+Ly+I hgJsuez1OcF7i5uNE2+OB0Dn+tISeQXRGltIVZm76HZ+LyaV5oodrjUNewlB7NsaeCQhTpC0f02L YTjf4WR95PJRmVCfvRAnqTjvyxfrDej0ByR2Ev7fTXQWJPJTep41WDww+SUGb+FlG6o8AAdD9CYl 5//5iUbsuzI6PgYktZIM4Ev5JZb+oU78iWU6UC0Ou5LOfxah9MeE68cRPRJleC8RyXJtWlF5CR3E gOaNjboNAeUjmWhVn0xWzSIbACRsXYxVKHE/JTRy3cwLUaeEz4v9VlBPGqxe0B/IH9fpHb9ChU1s uYE4UV0ehikLhJeab3fj1SYGGXEV9bSZmaSpDhB+DUJZTpOYr/Yhcw1z37JgZZpdG6G68B37aGi+ lFmrb/G2zkNCXeZ19VHBXSmS/SpIuCNtSo+oPeXWuvvzle6xE690RaZqZhSTyyZll91imo4OGC7e p1UjSAOPsAFj6KDabvltGc4Xpb8RO6Q1QUvlGuAnA9x7KfcApWDo8xYP2hcof9dPLHHi7jARsXCI eq3kWnCe+TTISKpl/K4ZfLFZquQfmsFSpVAXyVY/aelE1rL9VQjAs/3rGHuH+LrIdIxZOvujrsYC 3ojGMKlI+jBe15FhqjqRDmzzVgqlJU6tSjUQ+IcibE2X7lkuSIgd5m2ecYEHdX5Yd0KseGnyOSuf BK/rYz6ibaXpetx+vAwyNEcjB0nnkjvOIXGGoacLdKs1HHMLx43b4QglPbP9rc9a6cHWi9qG9zBJ I8ltsg1qWdimoZevAduZv25IUrQl0LjCimAwQ4IwJjyFJ+tySwrsR9dfQFlgAa323wdt9Md4AZ1P gCp0/F93zkXn+muTCSVuW+inupghkbj5mlrC6xi/093/hzwd1nZZadVrvycKEhPO+UTps8MPFHOh uOoMPV8UYyobFr9A6KyiBRX612E/n6Pq0t5mhf3UCyC1Ew1Jtmc6huN8dVkYhnfxVVEBOOIdVbbF yxYqz1JhQhWTzf8nEigVUkEPIXr7yck77mgzzA7vcxgvLtII94CVaxRsE33efttEKMPs3aRG2fte TwGnyT747EKo0VSTZMgh6HxYt0ukdBXp+mhEdejPlhEmvHKlq6jcX79CPKzjJn8bfF/fNuWLJphI JvMuMHAnBmgvpzeXlAyQqQsgE3ogSoZ47wjpxIZD0W2C2H7u7QAcoiNsTR7+5Fg15ZBCfTTqADAF EfKtZWQvZV6oRZkixJYtrqgy6NfKTC2QWtShZ072M1io7I49ejpkgZNqJtva1GF7PybLuhqeu/7J TfRSd790c1Rgwv0y6oa/MThPWbK2a0FhcO8XbIUpERMki+qdV7NhgOjY7+eLysI3w5ONU42u7q/I Wk/6/yffSgCFzk2ZCllj/ho/ylYLf5nEOs0lMZP0vrKLQDYXCTTwphH1aKavO9ueRsqowKPZLXGX 0is5wLM1mGLIBxSb05T5dT61nqG/Qj14K3Ew4DBn5IN7HGynTlbbOMyENtcXZk7hi3bE2IChrmR5 eVpIwk1Axx0WMPZb2BZZYyYbhcV6AtKn9SFYZsLaoY/miMPGVp4R1lk6sfzVp8N6u4QXQH0UqAQl 4PTQfUq+mf9A1DbgKiGEK8lkhSel58uIJtUhFFDkMOgIqIYrUwf36lPOzTJR532gKUa5Fo/I0N19 Wbm8igOaDP6vawB57j9yuSVssu8gl1HphtmU0DYfw0wkraAvuJ/t/Sw0Fy7iBOBwdSemVlQOgelS rqCZUbPoHis5oktvBJofUaBpuwluBS5lt7FAUMhupJHfTRRbxoEUBs4Bk7GBI976H7+UduTXF2qj tsk9GGuKkhwNPBHOL7167fvwESzQ42WRp5yw9rVeEfS2UpjG4y12JeC1W6rZ1VLvnb7wUWoN/co8 qd0TfBuNLWyc9OuaglUE7nvjAHiH5cnsmdX0KJHYY2VuL6b1QchMXrLSkrSLf3dAh0TPmp5PEj8B WgQRsfo/ljmm7VCvzosJYnoqiRfU3UpKuqHltYNfgIkmwxIfO1+t4EHq+RVJPT0FQnkN/IIHqi/L KH9Guk9fLOCK+KsxOIjSBzZeNnu9ODEbfieCTPPPbDnjaYE4ceZ8PbV7URqm6lGtIg+s7CshJ9Gm SG3nRIS0XOBIeDWuz/YuhVT8jPFEcisMMxqqMTe0tXsEy65Q+LLpCFH6jCx6AJcCqJkFFvwwA+Xk X7M1lLz6iiDZSa7qQyWC+sVrS/SGcavyRPX+0s3IaENy+CAm3B/EZgWeX+Krw/kvt+ZD+ovduA/G Og20OXfpVcKumq4yxakt4Ye3VLaPYdMeSm137MHumV04DVnjYhfbIpUPl3iUcJcGbik25JvDUQhV 53rviaIfRk9g/nTuJK13yaJfD36ErbCOkYPkEgBLzMU4c+Tg+Zu4EOz0mlsr85iBJP3ilE7XXUuU vK2LlO6dIa0b2m6TBv7X2b1vJXYOwVH+3tlhS23AD6tonoopl0+lULC9MSOTQX8MiPkayLvbXqxa YGJQ3cL1wwL75aruejvlQ4EhX/ojWvP9qsJgAIZWFnTnvMPysasjSxpT6YEuvaZ34yiG15NPUppR Xf6i4NiVsBlcxVvsE4vBrQR7GY0m7ufLRH4sgWBBs7cDIRfaCAiEnlqAJBkMLg7szz6M+gOWhOnt 7pGfdNUyxNHJXL3jZsTc6baLHYfjDX72pEX38YKsd3qkwtTOspsM1fRZUmQ9UEw1Jlf+XNqEI6eN jLhaa5q5xdaGHRNCexj+un1J6ydOzD0xjKVgZMW1p1SHDSweyWXDcdjltIUURGRIuir1fOEzYkcG eSHXpp+H+6lVt+ThZe7xBqWSjii0slOiCiqp1iXGCqibfY/0QJJI2dvGNjwQ8+eTI/krMk3BzM2f KzWkXWjKLvjR/3ud8K4LQx4p2B870sLG9rb2xEknfVvmbdSSwGf3KEYePXQsWRFL20OA3kz1vbgC XjJHHimt19mVC+LdVkpf/Chbegk9OQD+WXqI9hzAXqEMjstr+dWbUTdT3WRB/sGCF+M+bW82N6wB HI5txo35sCEeFmnjrS6yySC/Fo3FgDGTWx1WX11mVwZNCvQ4kwXU4JoTrmy/vDryN8gL7j+lNjNr ChX9ZC3kw+ANCW0xNu6rdxRAjNbmffFR+E1wcBhNVuIwq6k/aOSfn8/zKZ2ulZ/ipFAX8FRlWl47 RY/PbR+E/Cl57rCl/8JqmOYBfB6q2LrH0K4EUs1cs4Gu3ZxtavFcXnfiyG2bteK+UnhFmMXOooyB zcKyFVbwarxFof+CVMReokuKqo04u1cbJbMSXpUAWjpXf3XhYLWTTiZCjmlF3R9X7ePK7pGkN8PJ TW0gDkCbz/aH3MP22ecWeJD3DYEow2wgAhlx0p98+7RO9Yfnv+2mK+Mu/r+RSoqc+Zq72CychGyS fic/yp7tfNpOSfdwD+AxP1k8ibVjQN1up4BmnQ6Tbf3xPrOa9L2tnQzg9lpwynD6RLoaXpclns1z Olp+GmD3jdZcKKjLb/OdDvwGGT15tG+S9UfQojZmlL20ba0tNzajsrN/pxELtnaYPxD23CQN26qe QFKpFhOgfZT8bJnIL7CblNI3fJd/VxMujPrzZ559LBwbxdXCd4izyApFsbWozJgFMCZBfIbGXbpW 4rIL5ILpIM2lB5YRyIcJAav9YTYSHG/WU8Xz39HqbjZp+aBr9euByDEIscfiOKuvrK04UzwlEaC9 81gBtsPlyui/cePtdIR1wFsMxEIx62wXXjIu6vea5rS1YKqdtNenGHkhCTYV9ryjnqu4OmIR95HC 6Xv+yJPOd2mp/GzewcBShXqD+/FSSJ18V7Z4TrXsgp6L25Xo4X3yNAxRKM5UF9VDoSmWoqTgUXxZ bfU2XBqrLst3uP76gfSyVua1gvOKTPTFhNIjkEi/PAYM0g6vhpGWhCCXkJwOUZTO2fL4sUiKXxd7 dj67VDLuceTZbeg20ZYCy9HK1J6unMWNiB18b/rtA7Uws3/EiykKz3dI1vtapaShbMbufgWP1O5z rkqHoAbARiRW5JfM4+zRihjKtvfaY/DDMq5osMjop2n5CVomf0a4/VAtq8esXXsKujy4y0X7v8X6 zDvcwY0CxXTjxmZJ3ERtirqY8DwnIDmxXwQ2mps1bsXAugbsuoRyDR7pjMdq9Z8UiBcySkGtu3h5 G24K7kDxcQKyPwAOvfp10vR52aJ1I32LBaDVnKYJA2ydmd5ORZT0ChqsoWjVsk2A3+TNqI3y+yRT Etu6oXlGiZmpwgbxC4S4AKqu+a7+3Hh55ZnqDd8BLM+bD0V+Ws4rvvR8AsrsB8B25xzuYX2c54A1 x76XPEU+Q65y1QG7qPAtFEIoW2kywiWn3MrlXNJ8BEsoDP4xmcJjMYhBmMkMz1tOInVe0+iL4Qvc jEN5wvOrxrHuZvliBaY/nMZzV0r5pYgBkMHTaLMtIrfUuavMdN962ExtMS715WnV2jVrVE7AfvP8 YvZYeA+1NqbtiU+QqiZ8tZW3oYO48luVkZPFe1dcba/KC+ZFrnyyHsAUEHYCgtfFDVvDDD+SpMPw jhwsh48dy+/SD1w6/h8rGXiUVFCfkpbK/Tg6DPP6WaWFf+dN0UIqJH27wCWJbYQKQainV8Rz57aI lIgN3+4wQH2g406qa9qqJm5xdCl9RU2zfXiZC3/MrsZQjzNtkBxiDWNC9HRMW4hAzIf/sT/cJsHi r6CXg5hA1GPg+d4U/SN1Kg/WYIXC0ixvHRGAQzNdWPan0FV6/2FhJ3n/oSKOETlE3nx/4T2A/meV 8NX/RkCjd4LrbgZvgsXeeqgzpjFe3XfR8QApsdyZkXQb3LYUo1X4Rrkinu2JKaquQgNIvJJk+NfB yId6rfwAXGjaRuAP25jUGB/+acJBu5Kl4mFSC6twcbnY5f7QTbGVGdxeJpR0MSL8cElSR0LhXMEm SxjYAmDV8fzrvIYQZHr2/DwCTSKMD5MQQThpborGzwcyd8P6ZNr4mTvKMde7THj9CvfJTnDTckg2 r1UTQHfGTlU7BNdV/sXa4BjhhJcLjgfKcWH/lg2pyFB3GwaT5d7IZlCOfNoPMmPP32lmO/v4wR3l rOTWOMpuL5aV38uXhg6ZI7mSs/MBCZPLxOH6VSFpKcDYqf6H04w+2AEF9V93qSi9aN155pxX84jx 58cQA+TLrAgOeBkjzJx7euTnURjPAODQZ4oomw8cpj3qwLsp6gfXWhCWYI5nFg5FOUEbdtiNL+Pc cYASUHJWk/YcJ0h7m6YOJeEZVCjrOQXAYirdEmnIboY34sD+c0WtYwjC4cDemDKtnnt+9a0zjrYO 4y2EjPnocpvisw5hUh2sBaRNmirpfQ/Q0ZNrEJsL67GJzOulcLw/CTGKFHOzcaDKRQ9AjUqnWeHp pccCiNdUfGjTr3BpOKt+khXu4+5RtqkJ2787bXHhZBaS7eTXRR1jTqBcqkssjeRrFtPXnuqoGZOl 1kIgxmEhbaVv46VeUrYxl7nYOqNYybELW0ttV/WG21t6Yo15ZfB2veTnelhcFt2TtxCO0j9zuNz1 y4ebTfVJD6NLvCixbMjNae4IBlOrD/bToj6tDdEnt8foigrLgmNdaIrjh3qoXvQg+1cZaeNAHpPk jUv6kpN6laz5oNlk9wefbsAFJq5Dx3y3PKcLVXWrItEnu9cEQDpDhx6iF6lekl9XICiyWR68G4fm aWk13r+wSJtwTJYeJbiZbAaTQ/QbjuBPErSZ0C/5JHrCwGFurQc5XpeAvSr5GVhpTFIjWEquaJKq vCYbUcOKWExU0zadAhcA9L8vIUJw/JyYfbpJK1KLL0KLWsWeiBILM76r4eKocMmdcnD9dcjQQA9M hyejixWVNUKspUNkynzmsuJfO9FXkGwalt3yokHCxcNE3DmEZHJPBw3NJ+JY3pntIpMEddHp5dzP NxxmsE+FzjKcTth2DZ7bZtyutjSxEq58IF8mlCEAFCaL6FS5NJ1Aim1Hp5bIU7P1KGKZn19wahjM CvpnyGYeoUmvc3KS3msLQO/MIzCqUt67bC3QBzQkxmBg96ePm1CA59vM8pLVa3nVjsYY29buA/rt SVFtV/GMtqr3YVlkyEKRMmWFJivlJPBftJh2ZCTKX1AnTpn8lrB2A67k//QnoblfH7ea/DeNmpoU ekuOUz2m7IclqgZIktz5gmJKiP59lyOCMLAyLyx9bbFiMQiJwbQ2b5RGnCgX8ccr6qKpJC3exQZN seSP7ljmf+2o+t2CuOdxuZsMupcROg1I6Mj4MEFrpJytEMv2JwZzH9SjAFUo9xHn+c6RE/x2ixbj feaUvUfQvqMl3oJNqWjj2tYZX1j7j6ZbNCIJguxJer5TKNMHEQdem4CmJzHnCM53PNCCbkmAzdnJ xnhrVZ1ete3RplVnMGcns+02XV3xK7FIaiUnmE6MqGZoBESvMHt28iTRV+mvfVoI4ig0mMLbp7Rd ECqecddzKzDQ5MXoNUSA9w4jQHg1dhmey/+a7M7WaB3QrZ8iHQFxDSQTHQBbIgltzP05cnCYmwvT FeIebuyo+CMxIP5b1PchrX2CRP4CoJAsFFUC5ov6E5C54Q9HWX/yuRMmkvJfzVp25k5XZnJOP9Y+ Go+jwTGGKBsJjlvBSghf6ESkCnWbeQC0R2jeEoQ8pWCB4LXQ4hJldXwYZ+cGU1LWWwKazrA05vdj mkbeUZ552lCWwjd9+tTpVHZbCZ0khQaRAyQk+Dn5Yvb7lddMHUoR0k6a2qCjJNwKolZSOvDCOF2I hSxKb4K/mtckLBVOGmXzpm9KoqNugsM+qAgLVOCnT8ixblXL1mD/v5TEFqyNS6L0Ovq+InzR9dEV KXqyU4JfZhtkUvil4JXiWdWInuOm3I5a7pWEuWg4x14YPQwtzlXtlANu1QcnNO4oDiLcDMWVhpQJ as4LgSgl6BRJQSWYUcCPHbYT3ExgHa5uL6/i94n7K14y7bL6rLd3jWbrcNqPMEzRVshriARXi72J cFCIxmWR2e7lUurvRBS/aIekAQ9aCGtyhfMsKCFSINpJ0xoxt8jUlBRVy1ox8VALckm5ylX5bhPV 7v2NcXNIe9iQG3m98RVnPLJy2qLWptZuvQH/hfr3zqWXRlDWLA8SwKRQ6t3voW8rN7hX/eQGfGFy HdKtAsEWC0R4CekqCiQ91gPa6zgCmCwpav3l8LhgDVXuGq2VM/ueV4cemdd2q/YJ3NBXMQdaMmdW xq927bmo2OMlODcNZXs/vnPBu9syo8yBaPXfwPIleDSy0K6JSE9qlumswr7hzHSQ0OUKJb5h9I7a /uWrqvKSo0TuQrmDGdkZ6+GPS1xz7zu8ferLFhxuven0W3MKv+7ced2i1m17TEXxKjQ1E3izaF/V iardtgWsr3dfe4ZOI3uED48gSrsH5Cs6NxE6hzg7bpdh/JJO8j5izbM0qOYOHjR65sacjktuSP3M YToSGciXgxQ8HtP9u/bdVOMDg1KWySgLbdbOCuUMx1KetkLyssQhTp6ALUjJ22xbwF+fnOfjHCx1 0IgpHqASXPBJetnVsaton+CNvy9P+XmCi1seOChavT8hfIwkOzTpfWI0XehtGm1rpKX5wu+nK2kA klPgNQwqBp7LLpLXDxcB7pWfEdH9uTktu4ISS0Ic+44gAuYrpNByFCAOBNtq9wN0RbCnWKVqwGjn BaSx7l6bg+pNRtCqKE4Rw/w1bhZn2nnizeMlUNoBALw+bNc9LFNj57rD2zCUT/J28HCBc1gN835i /n/bxYUTZMjX9lX9pKqNzql1XjeEt7TR0I4J1O43o2aLr16BE+Y7o+pvev7745h+H/NSa5+wczA6 qHtOHxQHdlbPrt76nRl2e65cdVdQWXnSfyFRf/DlcrMZQv6+mXatbTNJVqvQ9Zut4fxLxkL66JuC E3Cl6jcdRNSY09L6qwMuLTYlJ+JUOQDTZQkhe6b8PdcVu/lFkG8MFISzcyET75hcdaHjTZumTrSL iYGDTXClHAC/J6NH8H8mrotEVbJkLWTKWtK05ShBx12RyT1veKV1/heoRZox/Jy5NJ7Zs1/2I4mC LV8Pom2cZ79qfQaUGE/r3zO1nWtU+9D+1JdUJDY0SmprjHiBW/viEycWA79Lubz9LKEO/suc1Cwt l/1BOMLkWkVeckITdUnlM5xDc2NIcp+tn64pVSPBIcQdV//ocVf7zteE6DSGLoksmW1W5JTTtcK1 dNWnBUbk+Exg+exbUDt/jtVtM91sNFLuBOm7F84CyVa2qC4KMRQGodK97l0EjMTlNAT7sX10/JiN 6lJWvbGDraoI45vbzrfwCT0NIRWtk5db4uSLEfOvefoRk/9mnjTVUIyMAuX0sFvMJQgaeKXE11ca p4azqzznYfaMealQKq9i2PgNwlfqcAhH1WWL9QrfMvQCCIFnafFOVlIPGeUcqz0UHi+4x7htfUMU rvJnrYu+ltpvi99PEBcn0VchyXT/rilX4nmHmrR4jEAdeV1A7LRiVsowNE8tw8jXbYyp4gNuYHCS o2GTkY39yo0arknjEPWV74WL6K6zE2vI6LQwWVjGZ70eKEAhvjVi8EyNTQY0ymzas16qvmwUvo6a HJPhqJ53IdfPm0O/goDtO1eDZQWWQHNL/Z/A2W+ZItd8oPeQPvNVCJCbOU48mB2OStrds5u+z2hg hyVkNtU6mgYl6GckgnugbFRT3hs7v5TyEqdaHSk4DK1wfBxSDe2xVPZIxXsJ6h+2LDmJ+q9OAnjR 8eyvZJzNXi1xJwJXM6uzvJBl505qsXIjPW5I/bDBu6owG1LqnVn2NNKZSs3uT/QSF4n26AQp5CQe MTFbphqrwPmbA/ShCIoZYwkgx6f9QKe1jodVBe9aD1IcUwiC6N3F7r5DoPkXgswzCuBXL7jHuE11 9Y84Pwot0K/8ekFbySFnqRA0WHYxHpddigjM4rbxDeS1zjNYJ/k8IPSaHRRMnBMWqujoDLzty/k6 7jbEmEAgK4xpzV6qwullaGIthAw+YoqsVbMMPCLPgol8wcf//nxwKvVnoPsEbQxONlOAEOfSVc9P 8n+2J522UFDoexD2JqsEAYdw51/ew6RyChgz2KAz83YX1YkVAKTcqjptePvdvhsXOJ0ZcYUzGh+W uTUNdwD3TxgHS4QjnyxnIXyAqNVR4ZuaLHWjdiDSPOqvlUkidF3iRrF6ew+vIYO5Ue3KRj3Z+rmP eq4VJquhuzp06FjRlXQzxtkznzI6KgTtIEKtoY+gssJKl8Vmv6O0UUqdnaFHKjZTvOneT12389Bo k54wXSpONdEagnrH0fs+RxHNW4ZeBPtdogK74g+xL7M+0rAWTvTZZHK8ibyozlb6Lo2wh7PP76Pe ZxdoiXqmFIOpX9KuFSfym1waMhJJH36gJoIiWaZt1hf+fcL2j+wrLY0gF4iM4+yXxrkPkNzdT05r stK4wmphSmCxfanS80MdGtClulLt+BEdKpalGBVF7rFmLPUeZO0kA9901jU+G/+6p/zBkQ/3H5hy rmYh9GI4tR8ze5o/tnweq3Vd+Rb2j14Cg8GP1GhJTLGul6yJ2rYP53GGMZX4P7NE4jRswsvK/8yJ Tgy02zkzV5Geg8GwmLqWQPRRMO1Po4wmkOzo4JWVXrdRjgIIv2LdDEftCMTSFukl/HYfXl5vS9YV 2yYwRv8B1nzCV+QhU94ljVhl+Dr16+fxfLItKdDQdrz+kkiziiU/4uGjg/2n0g52BcbjZLEs+mUQ WF4Bz3aqye/vwWQiL/IopmoqeL8PKxZve4pztgFRmWn9fix43H5A7gZwUVFabQ6eUwcoYOegR3p4 9bR2/nlhbh0QY/Gisce191WjT98ixfDHaaakEgh4jXmNm5K12pSTjy//aErReS9utzSvbgnz9S9x u+ug3I1AIQ81WeturJ9Xyu8y7SeVRu9/v+fzAnCJMbxH49HqZzqWJ3Y3ogd1qfVOCu1ru6wf3588 U5dFWqb8chyzMv4e4jOttQVGxRm4EewqraVHHY3WsWXs6UennpzkW0hnZGJm8lcE1PfAiF38mP/B QbHrYidoWAjRksIDpZYGQOhLKc8QpXJH3wVe8Q7tuyRyYXPlnPfYOoe4FviQ/SVzOSLzxPkBy3wJ GTSGHq3jdMHaQt48OWKJ54x892KDQAXw/92/3vWPGn6HofX5R/4SXAmQs3EE8g+6DwP27n4wQb0K v5FilJJM0OPRu7UF0/MdNFGlDmDYe+YjT7sytz44FqT7ayCp9vCam6/yVx9EPJbDGk4ciT+JPeEC MkmXJ4G4A5H2PG4pPDWSV3d72QtBkNvW0UlPoHqJ1NZz0+j2UbieSkIaOeTMZfqtfISRDi5zls93 vt6xmhOZa2/MdU6aItQWCeyucaELK8HV/lditrVfMm1JLymO+tB1Rtasxeg3lIExEuCNo+vp22FX 8y87tcJWNXUG5OqgklDTSvPyFTRKi+2yJdlbMo+0N58TAZTrnRCKTsPC1D+oGtxLLKX7I6i7lEPJ 1qqcZzleoxeWh/oo4h1OeEWg52bosWSIuT0yHJpK58QJSXPUPpTwCfawL+e07S1XIbQYukASHwCb UxE1EIV01ddp8qiLkMl4CFfDTYKKD4k8hQEFWnDc2ICkaLfxoP8G+EVfYbx7S3pfO5BGnkqottg4 c2BvddduaC+exIo/43kM5iKXzOUp984ADBtK9IUFgndY4oYT/nJXSeXGY6f6bT5XO536ywHZZ/av z77qXe4bqQ4IeJ0H6i1fb+agIVvdHcyq6xJFISCUwKOGU32CyvHwId/JPyIyFvYWSSFjCEkXREoZ XZxU0dZohSCiuIfJLwSrGKXOXL1Wqa8MIzfG+q2o3WB6ldgh/PM2gd+5V3sg86DhK37iEoTL/sk+ cPjuc/a9Ks+G+1rkwhPm8aR5g2yZkBYaalEmem+cSvPvQqj1TsLzr+9m6kTojsQPFdAsvAVfilyR GZ2yuK5KlMIBGAU/nsKi8725EerT1iz9oXHS5OcotQ7AZM9YZ/z6MAkZByue+ulItQ437q6A0ula zZG0eS2pvsIIFRTTKUNfBXMZ1Zf1inTMkOICOhHI6gO2D/xHQwgUHl19V4dS0lF4dbI2c2nYKi8N WnZNmIAg44zafCF0bzAKMf1oYCTw58Ek3HpJyVds8b1MF4pq5t68Fvcqzz5rokpKyfnWHirRxFz0 2yii5w9wvvpiguy/AzL2jswHglKjtAEDRuUD/MyVFs1C6Y+93qhqbbURVjtBFDtDA00UbwlwwVUt fSmhYyjiNyuEC/fvmf1074f4gBdft5wMhPDheTTY5kHkDarSIr6YFusciHTxr1Gn+tnWoN5PhujM eMEK7k/S3JQt5GULoQrnYS09lnv3cVU7NQZxYTNCHgIRiB37CaSMGw2BV710NVPDOjlWPMqPoorn ruW9OGU/lGqfNPQB5wyGy/MQbIH0RI8coMIvTLqdlMrpzWmh5ognwq/FAOrwx0X6DvCnN67tUxM5 K9wljGQsFDBIMbKtAByB+ZYswcuyUbafGWKDd7fG9NgHY+GqkQotNhfa4aiSChIwBwaGSo+MJeuA rCRZAcDuXLzxF/Io1VaZwZz9bKqI3Qjb6w/NKimid24e8pjGpTBxU9OGbvnHmA98Qxe4y0s+V6ih ND1qgOlA6Kaa69t4UJbnPrS3h8T8CxF/jMhPQ5o+/gxn+sODRDpg5RdJOlgfOQxVIJ0kB4RBqaCn mJINXY1bxXSyuxQAn41TXyk181Y+582o4EabwiU3UXNqfUyBjN5m9zHMf8Guvi9GZS6Kqlf57TyY TlIRGP9MFFvPC9n2BRJ0YxYkq9Xng1xAQWgtFFj74uTO/LivkeqUYphnm72n3/uHTTxKYikzef1U ZfipolJfLtlvc65s+IFvikkJRqizAHFgdWpJ3nLkkAfad6Md7jtOpxs6WD0Quh/KoBn/HlXTbYde pDX2KQKwJL/Z5gypQdecNnsYyxqwayWQT9KfQBIUago+WNXLSeYb7jhP9kQC7M2OBJZ3LTAOdJp6 /kj3Owow/wGeRS66xV8XEb9BMB3zMjjz6X6d5zBlZ1BHEoBYD5gc2bjkCCdQmLo1j1eS4wzYKGbH 4h/XcQ9ogau1NkpJrHxnQb+5Xa8yrmhLDGRcK6nCtnvk2CHAYaLX4iYK3UVGXDkl9gvCfQZQXbWK 5qUO2oUoSsk1KflD6789XG0MzRx2SuugLzDjlYQcQCHtgCQTV0hHM5v7LHnAgFqfmeVnTxJwf+kb 8YX8HpqZFlg9LcK2msP2aieEwigpcNSQpKF8RqpJFaxiJUsYeO274LbYXBqy46Bz9CY7hh2DBiqE 1kOom32SJ0C1PNt/xK2uAhoPOjyrGaczax79Ujjf0IOdP2UgGorNzw9Vs2B4lclq10geOzZq8Nho H0uNKSGK+E3DeJSLURsfb4etJOosK49cst4/89730TchXL9Yx/Ugr7BZljIBjYaeJkQrw5LcOXcF BoqXLYYocQ/jAux5ucOiVgBZD6S+eS4+f5VQeZxrqQDtZ0gRk1w8k5Yd9dgKaSNdim9jPw3ssJml SzuEV5+usP6jjhmioudnakK6o20scsW1fpOzOfiQxODOA9aV2jbpWhQVpnSs6D7vpL58irRgFtG2 SyTf2Y2gajc8S9hfTM1iT4GeMZZMyksSGj0DXH7oZ3iph2/kV8mk/Outi4kVngvtAhknMPoGxChx 3i+/rde6GYTca2XMAZ9fZ/jFNgIybm069Cg1QqsPhrkT+M5dw2hWBlEkaf4QpCIAKe//vwIZmlxA sIUP21Awrl1nlJNru8Spnqwh0U6CWwFdMSK8cBmFCaLHEQyMtPxIpbacQWI4jpli3g5uanWBiVMI w3KoKbqjUevm7FkIfevesTJ7JK7KuwFHkaP1jSKxk1J3vxMh+ie/9FTmm++H5p4C5Q7Ud0ptqPGA v89U9bStAf9+8EhYEG1d1lHXMuNUZhnq/Bn7zV6zbIsAuQBF/w43BcoHno6X6OmoKFnJMoRBc0mQ JA4Z3pjH90nHC3SA5PZJpmEhmO4H/5qkSD7+5iu8fryHVgVPEHEpKhCUiVzMSSditDrzUkoM3ZDr 4m1huSVjn4dKcM1jhO3VF2XEQw9Z/5cxb46y2JV0vW4d2sSNg1FgLrr+qpnHLcLmPXNDyFpVVMto 5ekmKSwacuX9ufcmxOJ/R8df4D75S1zmtsoylgnB86qWoWa5Hv/tIF2uALcLMKAQn3FD4WVERzbH PSMTFcV0yA9gmqd7jAmNsTldhz1joEa0ao60V8ZJzI+2IvP8kkR1vYxUeYP+0/Ii/OZtD3ojh/O7 NaN/Cz8rrXNnBhn1mlIRfQ/Whzkt2Kyyl/HNQIYFD9PNWZ0b3IIHT9bUTDZJscWrCHftQZoeArAl VeHve9lQSNOZ1oxKhS8wULY5jWP569dxDA4sb8RtGJCfzhlM45+AJhSTQGnbHkiZtmR4/yDbh/+V RscwQr0/R9aJEJqZnncqzjxWpDmf5bCHRzUJthAfT5ChQrdt/SPfxFTEkvkqFTnJY58z8I4ADofF eTHDU64sEd+DMveezZb1N1LrTcpZe2vX+D0azbg5ji0qn8n+UhSd4X1lhDfZPaZ+jE4uO1vHnczW 228GHUu6ZZLgerj0s3OZCmry8sRc70nwjltZh+UQMqdTSqX7SShWyHzne/FwYJASSSvM3XvpgYCf d9v/Zvh3h/g7eB03PmibPLb7MPNbUj8F48/fKdeofutZ6YdQPpN//APUc7wNLNtKUJSxhHoZaWX/ lSYdwsR/+gRp/+T74w76H4OfLmpS3n7KypzeS0MwgX0/i4AQqBE3/gSodhTH6+J1Qf3/oxwetomG LGSOUfc3ImDKenHboWmaBX+ZBiILCaRi1ThesCELibyA9ut2yc/im203FhqNsiROBZbgcTD9Jt9U MJsfRUXV2leJoyl6Rj7/sjltvHAXzlYQ6HcnQrrc1+XhD/P7qUT8u9aaiMKZTwEIfiuiofB0pzI1 GNlgUngzMwSbSeR322R6/5GyE/E5zUukoCueGOJdbtTL6mViJF4SDDJnZkb41wCDOsvyQ6conxrr xfEtf/4G2K2AijCGDym9bYrfyy02CZLK48Aj0/gGIzCpeJ4HBHQmD/fy9r7AFIITWxmVCkTykBzt dSfYOWDjas+6SgdbhKkNOm2gak37/mSwW/dvIo1r8zp00Re4rTkepQ0fcsF717ZFDNMZqDmMoeFS 9fDtYYYdT6TXJP7bVQ3CTEsihwtbJnim8L0rUAzdBY8dsvUCJftgauX5o1RwFmNDOC9EViCTSIHb VUozmYbq8NOZTpGpXicXhImoISQ7WWMNQWJyzSfDdJk12nscJKnujdwjRhVJtOxwyFxqmoO4bjGT kN3cKqXuGWtXTRLGmBHSjuIInvjfQ4POi+ovymQjBg1bfBY9K9Pza4iyTRWYiVvK0EcDhxPvTzEa 701SKqE+Avsn8/bSt3WfutTXNmkLVgvHOTatDVVjbisPSUkW3CimwvAm4GQBGSGvDf9N6605QqPz CxrS2RaKsddnUtZtRY7lxTqf+FMhOvZ83v1VC1+AOg3tDCetwvfTiyTYW4TvMB/V+xvUXdea44SH tzqNoR66jD9Sr8DlFvHUBGZNS6xACJVSoH9ptuw3eo7eC7eLweXaym/WnbFPcQBUBFBY7wagBaGq 7jFphqUcmW5UFZxa+YkJmCfS5yo9cSV0slDJsnzBieVOQ5g3pbSk/+vuvwrBrbpO/EajiiCpRV/p 3MO4jyAfUdWg0VlU3qFQleFVkieQ/R/nnFMeFsRteYO6cvzDSXF+3QDBG5+FguC5zslp+U2BvTeC /FG9QsRuTg/6i6Eqbpjl1BG/5jOoGzDiR13lq5X0aSfumdbm7JFRqHx/fMwDBO24kgwiAZfZFHrC 5bSlas/l/za5iYtbmmajfydGuzH97XdfIH2pMZLkz2UCkSIfMHPSXvxBC9EscLrjD+fZny/UFrLZ B46YUbOpW9Rl355RM1UCYsayKKpAjTkKnnDzDoRf5xLrKZcacz7gy3Aavgjdmhdwo78Fi6vxRAsI P/2LEZVvhKPNjM6fblAp03ax2Y7AdxIx/VQrURTSiwuy4apcrYAOHgorCyP9BDOMECFymHAxdePy uM90JBD6ceHHDcJtJahC7CjY/3NePV9JYOytO+Uq4LvYVsIYWLmprUsojsvjEtuqpKkjhw39H5Pm xUvNvzrhHpIkAu7qbu3j1/9F/su2D/3UVNZ6317FgMNOk5/IuflhSZ11+BqwvaNAX+erObktTFkO bGvvPLClS316++VvR0G4POp3BSNHcs91F2rLwwSPhoaCokr52ewGxOxf5SyUAOguae3zMitASZkx /sWQH+qLt9yS6VuVIgHIpj2x53Q8MX7/1Q0jEWGAAnLieAL5jfkr4GB9cZ4I/M3eGgP2yNp0b/6b Cww8gKjRSuE+hlliv4gbJ6rERnXIvs+QVmJ/JS/Q0FfYcFPB1luXl7Y3iKQZZQXy5TzEhcSoyCnF vL3ETaFSZUlmWxaRYpEL1s4s3u2HeG7PvCznKsFohfGR4SinPe0qqPjRCireQIO9+LOiZD5ZmCRM Zsqwumda6qLW7X2j8Ox23ngyzaA25sEC9udpexZGQwDVzvy8oXgL8eeoeppaTh+F8V/JGSnPwuPI 1EcUrD0D7Ob4MPZRVEewpFrnitvglQZeHQ8ilABhYEVdfh7awhhhVhHIJjCNBZrrGRet4vHnc2i4 VfXGZTwo7lF1A2NNyO0n1mu6QM6x687xtspamRQTERN7zS9wayHteTFF1aseatIjzA2Pg0MfWP/u Azn0xeTzN8hFCjHxb4MEulwG4P7LJ0iZJo3Y7WmI9nLpciZbEtWjuzBfCReUoqNHrlIgBafZgJa3 9nqnISPkArsrLoG6kromxxNZthdV4zDJe7YJUC5emvsFONnty+xINbYiAVp1sLD0B3KLar/AN1JD yOWJ1NTfmEbOSdyyb75pID5W0TonM8zNQ6ydofZHp2jwZ3NTfSS3mCEqNy/FCKwfrJMArV6G+R5D TQqy4wNkKAb6bZhPqvzXyHjO8vGpfY9Wj6kp9wY4Qix7Afn6VzrDk9CJ5FtYQAvTE6ee22I2ssRc Kr7CM17MrLe7aqMiYOwLY0wvVAJ7H1rwjBuMeJV+ADtuN1DBJ4XI2zXbznwtwTz8FBmH4ODEQhwB Ckq7ZZwOPGgQce/FtoU1F5jrIHzpXjtOqVx5TeLFLB12IO37/e1/OlNntENyHEifNURXp9GW6MZw YceRUSHjkG9oPqqtKcd9zQX1ngjFQAZ++b3/sYUVNQNKQqucoz7MBifyiwIg9IZTlABzMaS1dQ/b ytlX4iR8fw1xXyp1Vh5dFpLkIs4nnKkj1NzMOM6k46eGJKr19GKZkcEUOJgBKq8NkMhgF0tRJ7PW Y3SWWGvVi+/qjjSi7GUPTo+yLA623ecMnlUu8Mmrf6BGJ9eH+BisIGR1PD9glgSkrsiuCG5hW3Ce P3+Vx0+ZCmIpmzbqn9xCypNJvCBoh5iWDxTfwLa0zkF6dEmeMqC5sbaQk0/Z00Zwhr43mrTUC8Bu KszzUWimw589eKmBE8b0frxEC625KG3HWPBqYIYyb6UhhrSo7jMyzXse5pfe7O2uBjngLkYkkYnn ljnR5im/pmkFZEEGKzw86NlnlHBwOuG5UKdy1+khRl/fONTS7f8jDTKeTZNOwJ5+zEQmPXa54WZW UU1PfX2Gnbeb9OzFILnBmq5EouQ8mxoQbvKkegzly16gFCuSiJpn2st+R87h4AnjJ9L0BuaAyycO bOWbMLtgO4msmWarMcX1l8bbr2lxPsaxlgL9GRn7BbkHggwvXzYDqCchh3i5T1Q0t52qw5bL+jTG 0CSt0ONwo5zQ9M4i/Xii5dA4fbQrT2wg4V/7yCLeGxjo33TCKgqFYtwqliQYXmn4h+kxXPQvvdMr RAr4SQs/4SYwhR0GHIqKbObguP33utFLTGqDR4mYaG31htE6l2Jg2yklaSFFGrwOf/8SAzlZvRH5 bOkMxV1rQlmO/yZ/le2mcia9msg0k/oLTy3R0H/7ub6ZzahkHnr4ELn8LGy6Gt73E16gSfUlG1WD txRCEd72/s0vtBgcGbFjymcsOin4fmsgymfWSFw1Q71h9NHkyRt3a7hbQohIhksMZV5gjsudDXJ5 S5HJUdPurJDkFtCWZrggQ7IggedP5TCcrKX7jHxQoGeEWv7nxjZcbL2LcNm2XfowUElCkZCU8pPI Ak6qCzH8I5LLAAhtLqL7ofga5osGoNscfTVwWS3py649xloQA73nOT29mUBZrw+V97uhxYDPXXx7 U3EDXIwuw1qDX9CO9edpuSg6NQ8gDVcsI3AlUEEYuNb8PUmI2Zro/3JDlgXfe2/T1BWmaM0Pb8QJ hE0Fc378TkUPytj2DOMaaLU36/Ut7ywNEXc3xmIQ3A158F7930hddYBDf+Smu2Tu4lmf7hmLHRZI oSGzc/r0sAc+AWRpzVOf5R5ppLPcqxJtBkXzu5M5/25AN6Ali7WNWUNPS7hQGX/tAqbqm3gGOzp5 O5f7LqTpPxO/VhQhf5Q/TOrvnqBMbXrRG+AhxEBHZbukmf5ibtXfK/tiAxdJs7WHcpekfLw0Q4ET 274omn2E8KlA3sPAGvgc6LY2Q5xqKgUWlJrLWDKKrLycEDg2aa3sy8qkPtyqI1HbGTQyt51z+31B qvoALryDgYQoOnWO3eIZ0lqxZZF1hH4SZACIRTUpc+drurzfTGhobM/ZxEmZfD3amG5IdCgLdnko Fsqd4aPXwwTdaBfJGZ3rO7eifRi/nzwTMLLz60rQH/sx8XrvxUhUVNyzFGGorPNPq3G74gTw1F3d maEFl5yVuQBTIWCAk9sdfrNdbgs3VRMaKSlRfeX5HfxJ7iOhxguVelMjREavr9OSO90wZgLcMBI7 mo7etpieQH2bNiJ4BBdF94VHCNO4X/dF1s1Ia0HNWpvS2glQdhIdkwcFx+Aj5x9IpG1eLFwIU5YG xwN5utPJe8sFpyGTE+7uxF4j5mAWFly1sTfySgf7RnLFGL/3Tue5DQYzH0edHUi/e7Npvl57yh1Y gteRKUV2gWe/OSrDtEweKylfFcYN1AewQX8wWmJ05ljpSHOoD5ljbfLCO5EfgL+sHrV8Mf3TD94G lxpJNDqbfrIwS2mKPALMNR/Rc0maq/NGkTXyrKJOXznNtmPaSmI91BGvNkDs1UCw9GyKE5GaG2T7 Zp52tyRRStyd+eIhpDwqank5XTowZtYWkD+tiKgD5ZeU/AWcRvz9m1m14DQRzXRemghyBpN7N9bo GCkK8mYlgp0znBBm1Yu6+QDyFyYI2CGmsRo7VD0avjd9AzNk6T1JWprKSSEnMxVLSPOMaiTyl28/ UYPfIBzb2oWVCxfbg1FEqcG6+ufb8Rofehkv7igX2+8e2eZZ8hpv9C+w1H4PWBVlfBHGWfiz45da yVtDuSqNKMUXYnbtQob023mWmehlUlXLa+rE5xdiP2iChO75MKD6rQwi+/jar2v67kkA6m41rP1y q2mTgzHDUk0UzXKaag7ueBGoDuEkRjZ+quVmmBMGQUks/Bh6fk7t+JHtm6X0p7/fphbzNvLLA5b9 AMpgGRKDQHYFcSdJKVSPYMrmJH8MTFaEQfVSHI+kliqNF8vGec1yEZSXYJDpEC3NlsdVTgJYpCmv LcqcNhqaJFxBbxWFaiJK2yq4orDf2tcjCQ7zWfIiTvmcG9QSV4UfxM1VT7WZoRFE63E8EnAjuUUp DvDVehApi8oxdSlMXuJH7OSsrsugrLQ0alkMY1jB/sHbBJ7wYHmZ0l8dvlrcyGsarvbS46EFlqT6 qiknXVatVMFlCQQHmfEEoW/nqnii4c66fUN214MIko/2j7JgRGZm7GKHoTUM9OyfeOQULt8bAdPZ uhOX2zb8J2+KY28aZz+NQl6925d2rWwZoGsCxMdJ7TRjdgJjSMWkld0TlIdQ6S1pSmd0P5Ura6mB hv8mIWefr3+YMF8LR0OYWsWO3OSiBHSVVdKcxg4GXJncPrkHOuEUqh6NUd56bWb84IY0+Abyykge Rfl6ZHk284+9LZH+oVOlcTobAqBe9ScF48iDYhmBqri1SMvk3I0SNxO53eKfM63vtlniWXyUcSPU Q3k7dt+7cbmsPvko57Q3R1iata5Wlr0XWalSQDiCTwCwErQPwtJPwz+oeVtsTVzui9bnOu69nlMd ONwI7FbBVo+89nrlECmt+gXYWIv9PAF3y3go11CGwsdZpMfJegisKUyBlDtit1ltU1VFMI4SVNVV Gigv8xShVtHSeLTsdY7w6DzhiKDebtPdYAteYp8z9Fpo11bgLqm2vphb+4BwIhwJEbkR9TSIdPl2 RxuCkwsVUe1WAU02QXtBDl+gm/GMBpkMHdtpBOoa8NRjYbZd1pg9zfyyzerqyT/4B73+cnK3AlHN 5L4emfjyv+jaX73XkUOTQsHaMXKKjegjkft+PnfWgNuqF9JofHB9L+v6l4Cmj1C1fOT1EEYq0ETe xt6gOXKYSpyuIpmQTi0AV34omlrpVAC9V8QG3UwbWB6veMvnrWl+wpeTrfBQHWcMmEIYhofGOzph bVIeTPzqbw4OVqwi9PfBH+y3dEpX/98tjowmnBlavCSQAK18t+EEasbRMZeJOCuQEkH0gS40ggaT Zivls6lMp9DBO9eY53EIbhSPAaE+tdjNzCcbsqNkNm2ykIQ57TJGWoRvQkQD+tOqjSpcUvE1Uw4u pLGNwBmAFGayBI0a85e1tZFxIjaaKw7bPrjCDR8s+dHo4K7ddlbZrodUz0L126VeQZp+qbyaJTmX yIe/8XUgOyEK8DcXlidXuKbzGFBOEYt+DBvJ1x8/DBz97DKeRNuFqipdBCc6iglSzqF8qcPd8/QQ flyAYGlZ0thmw6Cr2AxZmWz8hV7Y9wtRWUVGystG/jxO2kNqYFPhknwlD9e+TDFDQCSj0vFMezPs 19loHJXrOZY0xwoiXbf5RLVCh8Uxe1h5VF7yIpi2otMhfa/ooGhk2BbZlSYLV39vbLVdKzTnPgBd ZrzL/a7WBh3u1+iqwZZlEb3D9xXDP0hxJijJiUlDxndv96hPhnUi4PhB0YqUQpsJS0AJ6axE7Y27 WqB2pdmhvX0z2JoiQi+HsSc3bDuzuznl7501GbXfuGOd2yrNK71+Sw4An9xF9MAKZMxCWrgaoazN 6Zcdwe3x+pdwXuV1Zg+pmcElKcTDEtmBGgCh80+DGF7J+TJgG6IHVvz5vuC8EgtX/9jFUQ2cWLdk d/7Ys8E5D5l1ciq34OVS7yASoz12VOa4Ml3d6ta61yFgVO5YzOZVydnFVH8X4HCuAmyzjwGcrvG8 WU9vYz4RoznL1vISzxR5/gariKy/yF0TGiSghJH/LdyiG+pKaniakjJfysPQK3gkqGpvdigY4+O8 wICQrVy+D1bd0i4U04mxEU1MvKEm4JYnIpAVD9VeEizNO7oVKji+DpVGkhQ2GjdtUL+1Rc1PR07A EsmaLziWxxIHI2D2e4Hprf8+GgcY0FA9Hzk3vv8n9MEc+qi1dr7AFUOCYTKIFO7hpssiYRBSy+qT ykipXA03ty95Ny8F7GkR4YKZgnB4m0wdRfdrnbz84FI1dzwiprJHPuD3dxCaR2LDHKL6eA0fs8XA +z2UUoZ1L/7Ff0K/zktzmCvTBwBZsbZWmQmJGBlLP3w9evfbUqVg8TBlMau2KNYj6JLdWXRqTRKM qanIeS0jwUBcZ08o5RQLA+ABrMeCwJy1iWerSnbhvxaYDBNaq6y3d0ihK5nqKvVHPir6rvYIgxYL x6jR7v4tDYueLsstz14SxtaeEdDVhSYdijje3NUhjX/pxXzdJaDpkr8Ab8zYpEMc16YowZd5fld8 Z2y86WHptvc1aCOf9dKBno5bzjKuoZnc9EW5Ln2oVoBhlg6AXKkwuN3Q7AzxlkhlNr0UTAMriQGT FXbtCAJ0y2F1TvU3jqAEqpsabLHB4HQYA/U1zoMDe43JbkQp2lG4Un44t3hondHu4NSpEgvmGakH gruzKNRhOEguClQko6xwI3ZM101djL5WLmG9S4GceqoVpov/ubIO2fktUTydWjuaGXC+wnGyhCPJ QbfRhMjshkAMYBjfOei6rmRXSraqsCJ27XjvXHevE1s8/UFhFN1HfRtsUnrN8AM0AEzHmvyylqOv jnsd7dqK7Ohq8D+XO8UHb5rXCHemE5QmqHt24+3HfwBG2EFpXxDAvJuf7eWI+p5eSKK5KrZdlaS8 RyjbdqovIM4rlO2XaLwIyUsagz/2eLU7tiqgWianAROEUHvhIELu61XPCQh7AR+fi/KTXG5DbVD2 UZw+3tVURPlTJSm8I1nnCOUScMJrmNZYpCA34Tr5CFW0ON2k08NEpF1wD4/zhLSw9ZKladXLcJ3q oV+dK7GmJWRrNMtN7iluUUOZv82fwpnGnSooEYRS8QdPTSIS+Onn9OVbSSiKmTEFzpVnpjRgr+3y DRNr1KGEywyuYgMKFOlloCW+nNpmiHMR76DbEKuWwBS9Qpi5WcdhNoN6VlNZrqaA2iFrpF3arAzH ekiupyr3uHA28TGhXZ6MN/KOLwiwgpIPfkF5bzJZUCQ4qkw/f2DbvJrHGvNZ1xkPTkr5tCp/e+GP x+wo/3+nNRfTH8o72BmqTjJiGmb6mpGNPGilYN5JPSpnbrL9OaB+XIowh16NEXX6JXiH08diNKwb zGN57Z+0kSUD+BaYlds3ulBn4+fig9+3Mo7GGG9SPb9jvAO/WkK/Gq40iFylF3B2yJizv6frJZLu xv8A51ZJFbzKl6Axf6H/aCP+ACwt57GftQULTx0V/gHzP+oItrFZnf6nik7lF/FSf/RWwQCA+Hig DyUTH8JHev6sTccXX1a4+kYWt8+XxhYfK1k+uW7PTEfLH4OmyIwGvu3RFvZxTmHmMlLsPCayeAwg YfmUxvzgzTn6WElj0npkp3lKbDiqVY1BZuDLYqgGgO5UB0gt4Geu0FFeca3V3VQJgmHGtCNuRRjM k8Mbsu4MbkLveVJa0HhTUML95CBoMm3NPqAFN71D1BzISeIqiRFuehVpxCiqCsGwk3Qtk7GS745L gl3H+FJzXCZhn45O4iW+EtlqRSPVpP+ZyBkkmCtfSCuJwCbCrjdit52TmamC9J31hm9Sb49mB8S9 NDnIgZJESkPKmcH0vzpds1Z5WHSjLvwU6Z3YeGrHvS5SnRBDA2LK3+DpqEVUPcoblzZzkNZ3m94f Uo0fnpErmbzojsVzH7jucbCQYuTSczpOgLUnZfEUSJfd5dWIWmMcif5LL/eJAoPav8u6Ovy/g+mx 2hSIZoFgQ4ZPd4J5iSwIxkZV89DO0+tUDbL3vE7N/oTXm4UbB0O84pe8xDXwY9PQqsgQrR1agjbW 9MdYJBXLb2azmy6yMfMhAKlL4vQ7+ZKps1KZpIt2YNaC30QFGMmm7PSQS5TMsLCHyf+QV+HJvwyJ s6WAWBDKKFZAY4KpTJwWICr7jWsf9B/P+w9aSr6lvbJqxWnLeqpmzLmhqdrr4kI86r7ztku6H117 yJGWR0DKy8WF4vfAZpyyUcabPRfRbqyWA66vrurbH0VhIkCABKC/gkVEl7Z0chce9W9unInaZ1hY 5l5uf8ZWVh4XaVpfZWxDOODJLgCucs9g3mOzKG4QKs9mPluk5Ds6wbcmcgCJ+I0h/2f1C/UP7dl/ M1VW2SQVOMjPQgtcxA4AZIFb4UEH//KnIyWs7ISwrFa/1n1h3sSjYqgO/TYpGywAX+9Ft5i5ap0j 6ur8NBhJ5LqCLDK7xoHvVF2PD/zdi2IB/hGBSmJ9JlybfvlWhCVPbi3GeecyI9Hul0eJ3pCcaGSM dm/yRwhwoRwx8TlniQPjuB/HhA8GhIBhAeaq/Cg8j7EXoy8aO3vGhXJ2NBOGQIyJJlg04ezFSllr D7AIYv/HiekysWItS04sWJmAIFFw1c1PKr0JhT7bVY1EvX2Ctge31AMXBPXN/kZ2MjscuTbMySVu D3Jk5D+UN5DXQl1PFdlVdJPa25ZOQVmaFmalZdtjk4+LqcORk6LgiAUiFb/jmwn+COEA5a2LETUK tdL3LwacV0RxynJDcoR2PB+VmiEcgeKh0NFpCJYM/NwgGbzo4HDvm0POBM8yo97WJWdK5/pouuIc K5oUnREB9hTdUJ+AtUt0WgOMOJOiZxZXNTOk6smRJ9yoR9VL8zQ1FmXKAgBxhWt+iqCNbIjv1adU 46FXZKKjqk7HY3nBXUf5ro2OjBvqnDULpWTqFPl7iyhpanaY/v5Z/gRE+BJdYylwXQK54tgRCATg nSJ4+uxw6/5SEs23pDjWNkzl2tKKpL5nRS3Iz4bhI1vVutvgqdbgX5RBdND5woYVeoUdGhZvhT6O LuEn+zbkRUVLgrR367bJlLcfJXitkHc6ZTd1Ru/9SCf03MTb+dLrMESOjtV40pdwrX+oFIwaF9Dr cQB2X+ihwI3abw6Prk22m0aeNuUTwxhD6/cIoBX3jnQTLSlQyqa5xWM5xwGn+hztopTWy6rXjdTR ZqaO63U+NyPBo7BWhwSOg9Wox4IgTqmMdZfKMGL6wdhdWbeuSSE6m0h97vWKNzK5lxfKW7Qrz80e RBlTEc9PlGMPyV6iMyGHDU7L2lBSgrlPGpOq61EqAJxjEw0lEGQJaPYYauPu/NURJA3WPvlFOWq3 BgkTs6usi87CPZUF+FU7mB0I9p5HMKCsGJnMY4ufAN8c1xCoCPLlw8bZKywseQXujUiPB/9IjCVQ Uy5lZkS4+agSWhtA0nL0Jftgah4ZUU9TuodhWrwwnArdfskpOlj/wb+fkuwrZZCUXJjmP0ekVSV6 QbO1/L49yhu0mOGvSd/fHVYqa5faizkxE2yV2OQpIJw1Yvd0fnMX6ZCN03eux8TDJQRswCdvMRyS GSh+DYH6AVueaJ8EMvZvThz9EUWnWlWh7T7jBp8haL4USpnKqRQ32Y/RErvUbJed7UqGyEgLM8Pl o/eP1MlwyH0a9uBR/m0cChNRT2xDgFIZDcigm9+D0cY6+r4MkZMU90CnpG4sw8aQBNdk2Is9ee5/ UxWxn6oJ6eU/FkCceXhKYse225lLtiHS4Auwvs53SicjhJrI1ayimXzqMbhOm0a/zzm3rr2Kp3jX moEGZktSmiG0IBc9714n8b6PYW+u0CbfWDSfPHrIPGt4nJrhbU+XH5/rFXI2vN4+HDpC9VCMjTl1 dSdCyVEqCRpVOHUMZdUcMz5Kcv/QlQsdSZ/Qdv8xAFql5CRqTJmm7w+RV7NOrR0QVwc52nhbTxf6 m1E+zxLFEBeX8VQstZKyFNnAv8kTwCUYZbtDmBD2Irogy0UBKNX5ra06Nn9lWTKeqKZRuwuqh8So ZK6FWuLOUELOwCYt9LPRHuNNBcXaRRm9UqTNHF8WeYK1EK1P9vAV6ZeCJnoPS/PzMyZeBMKdtuqk tYr9orc+3JEcQGFjl/vs0Wb/3FotvLOFY/YT2CUiJEfFM+iDN6K14L4GvIhNzYaL8h5U8KnPjzmF 8HXQTlmMRBKxUGwuTNw/c1J90bS7Scjpy0TcBo0+CTkOyyO0wjDkqdfU3NJnihAgTNtmuN9ysSC6 EoVRUBeJJVAyccTzW3mrks3eygsE2omtADNgUfhIuJ9Qsg/DxWeVjlNf80Oo0aRoBiyR6IsdjOgg vFsTg5byFCB026dAP86SufadLjuP31LNyc2HhW7VGySEa7OAz8wDY1/RgL+3M98vytHxJKQX1Dav dJWUJ2dAP48OonbP9Y+jJHvq0iqDUoos8sk5SP71Aoa99ZLsE4MnkkuPl3JHPQyE0dD9cgFIyTzV RWpfqnL89F8dP05Q+qkKvbEKJd/IcRQgz+f8hVPGZdAyRXV6BtJGBsQ2utvqvw0F/9AXcjHVuSWu 7XLteop/5vb/tYEUVF6kxA3g93he3e3mFmPjj2lWLJQIgdOD+XY+jDHT4BJI76wGjBw4+MnsSjJo TR0gSHM2CF2GP0eoNAyAel1h2WrJ+bEyYVCsvscE8UIobecn6J8vu/uzyNW65hRUGwuSY7dHACHL g8Om4XxJk+s8iSFjpyZFKuXBhY+rhchmVWOE4tYFGqxufpicE0k8JnvPgsQ+KV3NUXeas1yxQ7IB YQeF/gW3FnyVewTR5Pnusr48F3sQiNJWaw47AisCdzmX9Yl+O5+xOuAc0HpueAm/JU4bF9ku9HqQ iWxcE+6YpaOvK5G09jv9nd0xKw+ppODAFzUMcX/AuacQU06KsdtQhEppFnU0q4Ryh6upQOlFjGn8 RUbneEGCfaUEyA1aosSmzj4MO1kSYPubczEl7iPFmPgorkJPeSNMR3kqhkr4jsu92sfXOALcE8Jr FXncB2PfX7pKO4DU+V36tLd46Gnq2uJFK5oiWklkQqUmRFpqLmvOyYrXyubHazFpgjuif3dM86dx pxux/s8ifyZrxrueJ8kKyNkm9b9fIxglsNHDk1p7PoLj92aNKyq6K/AYss4Qqzm4RJ+IpaLbwcjh WxFBVYyVhrG75fntrkVZ+O+rhWhZgEQV2XOFSYhp+OYByfuW0+Trvwd1hD8cHIU2r+6bbQeeOm2T 3DeNkYdnqnHT60+5Al5TxVwud3y7YDYGrNrkIJwzpvgrkSshrv3PBBsRhG2PJI7+0VXBfLpn/6k7 i42dcE5u3nAbQrGVnEMpEoMYumwWwkg/7ZfTupsMERgp87zsOcdfmTI+Lpiv3bpZkVG7ajeEXHlw WPpIinuq0GhVDWjpaEzNN4kdgT0Az/iAq8WHXzOon39JJ1jQU+lcNrRmo/Ns9APtvXbFnPCxuEGI ZFVbtfWmm9G0Sl8tr8+9vOt8VyFTj68zGzJu97ScmmO8Yc/tZIXHriC0RLekmDK37aSJu2BIopj0 xcckkxpBwP0choaM1tDRtubxmNFcw5tLqFMa+R7pBf5S07KIrRx+0+60A2TWnMGwwF05wao/KVhi KO38A4sltYovRvYmygA6eIhTS8VhQk3G26xudh0yMqNGBZFaC8rAArl2eiEeR1lk3nCCg7Pes0+N +5eGbT0r1lpHtGazv2vFGnc8HaMDfIKNknHjI/M4Xu/gWjkmNmZKbJn+lIcostkOmo0xX6lEr+cP hYOpbvcbT/MKZ1dTbopSYX2F9y5xuC3QMJJZjOsemcJL01M/9p6k97wfotGw6UDkRbtMzeyLncaI FXOpxS7tlDkAPnvLy5SPn1SesnfdYglbjdbSj245ZJa55335OiFhmQFAeHR78SbTLwRTg6u7/v1k NnMvP0KJCDwve+sQL9rWfy0JfjwAjOxwdUuPYu8n4scmIkSU/YayRvuNwOBqQsgJnRDwd9hUp4lz KKg3Xeu9ejIoLXi0otFdLuSsBkC0GkvP7aeg1lHrYN1udaX0bJJb//h3DmSi5+DVz8hvRAWiarum phwiv+EDzE0Un7FvlUPgnaiKLWnTinjoPN/6BsSozc6PziIaiEWMOrNQaL/UiI/Rbw8thVa4rYjZ uInLF4HipWiYAPnlaOybTDF5rjdHYnbWh+kYdezOJD+m+bxqc0lRG0LVPXifVY/y8ZTh0tuegip2 EwnWudXZY1c6GOlP2GpNWL/4TV6Vx9S87fcEhzQcyu3OYRyhxMgl/fqjvseG2bIGQcWX+nPQWV8t eCsZ6r6Ln/tY80wtjo34mI/30fkpf8eim6+3AVMm1ryYEcJmnEouuVx1wHWu9xCp57tIE3CHdE6G 6jLcF8VRQMkU22/KXmiLHBDy4N6f3QIYIgRXTr+PWq3UW9EE3MFa6HMbq1B7JUXhHPX/AjBLdJYG H/JwjvqHg4OaGQXnpYSmDhJo6qlTCuLA/ImgYSrMOox+byFQrtiKkkuNPQ/9q41ELcdQb9LNNIQb jNpfCNSG5qxruWA+sxQJHHrF0ffiZwcHNKP2RIvDr9NMXYNfq1BnuE95RKXHZIU45NudbV80QUUJ j7jtnU119zmCuPQnhV+/iUD9y7PS3UUYm7ZIgPEEduYWBzFOOb9T0QhKl/BkEp7gpy804Aq/us7Q LTXYBDjfMhyxLE0bAUUijKpFA53JX0T1nGZB+1vZ4qi75+ci531lWtv2YO+aYvJOP4Rt97Csy15p gIjkPPInjw5uxR+APkK4XXjkN4rxhoHWkwtSVU5yoIm7wB8PIBVh0s2k07lMY8GfMHzeUk4Sj3K8 +SpLrxcrMaYVjPXolckaMgpmCCq7erQnaWb0Y7usxjmEubdTZ9f5Kaka99mj2pJe8fK6Lfb0e1m9 hj0qjRpQfj0Q6vmZnr9EN2cfBaBPRFnmR4+wZ7st4yuhqKJ8g2J4zqUIoA3KDvI6pFCVMDnMfNLY UtM4KxfjyXTATzeehztkSBMztKlvPDI5pTfK2VNDcG61uvt/MnT1xhMBEhugt5PTwgG4eyj2pjcA ESLHFKs3Ng1yrG2nWtvNZY9/dY0k71IVZrXu7gXtSLheR4iVpVhTSbeATKfgniyIABeLfWmfyag5 WUITwPSIWdYhTyDVmGKFT2ET3oBfRJ+A5mJqlOvl0wUXGYqppHb2jhhUv+Z3/DdT9qFeL/M8xLth Id3II19QOWHnt/Q4t0BXp/jUw6C1Dj6mo5YiFv1zNrBLdgl1vV8w01M6IUc7+NUeNWjst6LKnHLn ulOVoNhw6qj0XSK1z89Hs1HFNlysbYg6DStN3HIwknPlXJkvhmOwoC0iQUsvruO9n+hkFfo+edXY mnu2y5BkhwcNZUGXQKaRuTy4GHLAlGKCQQrYqzZZ+BXtexaZ1Q5FcUKsj8+TwC//OvKgX5rHe5je AhaY5JKQ+5ks+Syj4dMd7blusNICvo8kNOXoqRqk1IKbNuy3u7c8XZXzGo5PkzYST5Ygb4lAv0Iv WofNqt3LqIdrY1TzTpjesvhwraYrY3knUS338DtJqIIIWtIGScGGgnh7N5XmVOaiHMm4ARpGQtya A74NNsGV+xYIJ6HMtML/vDS0f1VY7ZefxJjEi7YPjqtQa1fj6jmS7DEqPmbibTSStj05IPIXZ/Oa G4y865o5o6p8K9jJywHEm9wv3JKbShBKpNmfrq9OeCn076CcdREMmN4OipPCi6r3WX0tFkaBJpD1 BamUskC7aG0YD6PCZxDv7fBQ2Y8HoZVNQkOE2HbMoAWVyLkD+9FIVEpfxCvK6yrZtJsjChEfbMDc vmfEJl1stqtYTQ52LOlDLp/0CHtaoXwjBFpJWnuMK4QrblhG6lX5oM3mTWbIJq+VUYWis2gLOEXU ES4rbUW6mynbdAU6QsLIuoHPLjb9KFPfeXf/e9e59pCvo+y1NltvnouK+Mogku1sApMsloM2xXY+ LQFfqZkLh8lPVB04FOD8zw80Us4S7Yh5KaRI9v4ijS2lrdFZMLv5xTIiHZUFVynzx8cGJz1C0vEW O9sCApmD3uDLQC8dAie99HJ0ohMS1G6ogiqlyETrcfgCiCuNFOYdGxHyvBsXJZyk3lc3j2vA1ypX x6zOZ2qix/yb8AoTlXdLQDXf3XLXma6QX8b8ffscb/z+HnAaNSYWGFdWYIKoxtwkZkAACwwlHqOO xhRy9GbCbfkuY7wefXr78PbmDElrepxLqzCYfAQvW6kK6Yffa+lcwMEbEfu4XvDsQZPxjvjbS+h0 nNXpspqRK4BuZp6XlgZYSjv9pQlrc+oQ/ibV6XF41qi8JVx5ijyEv4LiBiUZjcp8b+fY84/MWVe6 6M0N9/AXiYooRS9v/l1vMhfHI4k8YoQwUlg1bWcabUbJSU5inFfwXBxAkrKeWxAOKP85G9lME932 SVW36Q4C5UNAE1P3Ih6k9caIRmintQlHXMZ89Ar7vh8vC69Ur0twa0VIOHqsBOuKz10AKmWkuDzO YtSqnGyIXZ753IGDn3ZxE/RyvooS0L9h99qj2KB3v5ZxIapvQtZ/45+mPq6N5ICERt5tCKxKpWQG izatr0QWpuvtddpLbYcjYHf8Jnf5+LJJDwMucMfZ577miX/j6m/KBq3hUnfIAEyN2qSw6ij3wBpE ZhWYuAu0wFsbEIrLNXnRgV1frjRixXzsS4eZps+W7IpP8isWFyQvLU+IilqGlc3z3ZkxdqMjBClQ TX9nHxgqXQGaoLJWDeJIiW2nacau4kn3ADaYtnREsnEYzcrA5MMLjxp+Pg6zIRb7+xbrzGiK4+Ut zahvsBXGMOmQkG3Jxv7KB7XNnqwi0yma0otrS/X/TLIpB63OrZpTo+1wjUXllMSnaksTx62BcwbB 8Z2aRwp8aXP27p/WF30qcHdtZERMFM/uvr1Jf4Fa/dbod+PjH5ztm/dVuwvQSA+5V9UzDpliqzHy DK8ZnBXenb/oIZn/yic8Ukc8yZ8uEr0epr76O5TehWetB22zynX/0m0idKI9HE0nTlAKpsyPlBI9 IYGB0izRES5+dMRtoOyv7GoKAJLaxR+v97eHQFNwiWSO/gviAzSUze74UBf6g0z83u76hGjgWyKC 6Ydc/f/9M71fuo8f2/8eMHpBnYE+aGsyq3i6E/CpRO4ZGkdGnmkq6lCQIVuN0cY+LyTcCYGhxcAH CKVcJ5ulMxCbRjOrwn0xzD3pSYunKdI2vxCZd4C/7w+R7VItM3l3UJ3tYaMhah1BtmvgDDza6t0N wprTbqASUZDxyClH6KDOjP/xwGwn2e3Itjn/11MFUy5h0NjcRaJHSxVlBs+Z0ayCfQkxLf8RJ3HZ GXV77Q1ywaWq56sq9Fh6MvaVp7vaAz7X7N4iNVtLaFIE4LeGfSOIhNfur4Jlsr61NDXk2qr+og70 xTMKs62elrZSF+csy3SUSxCm9ov06M3nJTy9HeL7zFtY2Lwf9sSu8/RiGCelv1xLlAIO/bYyPSFT opOAAhdzibiVtVEpOymURXkM4jcW26ufJyTxQ1KcavSxvICQkKQWFwKWCOeNtxvZBDPAHhJsmI1y 19THOBwXsH3xL6N1ERBQtUQpHzfJdfUZ5DAXfuTCWw/5wvIJ4kXO9Go7oDh582NljF1hKZRJEQlp h9xkyKNEMyb0/0qdKkiVS+Pv5oBx0Cn1LgUcZSR0bxCwHXKFLRPwZaG1DZXWPgt987MZATxgCKB8 Du2SXg/M57zGoBlPOkYUYOCuw8HpvjRD6s/IJSnyKoY32QXOaZxedrsgpR9t50nDXNfB+JahJJ8g pqY9qkn6Tyn8wHpcdRWx3d9GymKsEKp3jH3Q3sR47aRXrjYQiIFeBTEnEo3gIFqSe4AyBk9FFnIC ULrAsMWj9VV5l4j00TsUucDUMnT6ET2pnyxOj6FBJtQIAX6AnC3jgpSszSs0M8qYnJLOL+A9uv2o zSZYARB8BulVXMimjMbaD8MGhRf2+UIsp7KPOeIrqcsLy/gcpUhN89OCTOMyGfAVXU2jpJnRcQea 0K5qc4jFOtCks0t7JbVGjJJ7WYRtMY3G7fcAVH0qsOOHXZiQmkJX59s+6CJ2cD8IYos66a70vT86 HSv7f6KSu1oI3FBnVZ0wA1jP1O/pHFdeo6W0U3eQdD3qllnbBYaxoH8I/MBhSKKq6v49G+QrgSPt kvnSQKx0/ppr0YUCNTg5zWx+WDkShAL9lo8GCj2M1W8B3MQmF/e/bpyynZnx/4MD+ok9GdxV/FZ+ Iy6gxOXM3Kwbd6rrEZOC21XY6jjtLO3uy09LE2hZ1Uvgp6DCo9hLRMrToovAJIbelV5Pj/y6IEdn uQMrwOrnQnV1VDYDIs2m/TPdfbOttfqzdEdClBuMVAKddIMwFL/bmFV4AJTQsEh0zlSeJKuJ609e 2JNH34ISXqDXg//zJSLv6PUTGqnnhmOp1zOzfUatEZxqas+5MJxOS8iq+w8hs7t2vFv43lQDUItl 9Q+Qrszjnl0h59zBQNCuSLK+Nlc1J2RU8A0LNYyv5yKCSusuWOIhsMSSS/GvkWtMzcie47le8EXp cmsmjizkV6V3SSjk6xr0dtbrKu0mEZb/HqgQ8TXiqT+nqxwgsC0e9WGAk3b0MkVroym/iKTdY1SM jS+NiI7A/6jLujZ/NyIzor4RfGQaNB1TGdfNYSoIU+8Lc1HJR394g6/Ks0VzMuYLnkfsc0Tbtj2H JaQN7Zv2qQJ84Xe5nWkjWAWL5YNkdthTm+gmmjHOC6HdW5WrAHMi12b66Ii4x3Ks0HRtS92TRbwE yM2+TzAF2qyfN9zTixHdl9ddDAqK3hS2McQLNc+IxbjYm0JGjk62mHYI6FSV8DyTjh3KIE2A2cK+ Oo5nCTxAw6oEfMHY6Y7xW1If+DpmEZKMzUJV0B2cWR+NGPklNkjJw25RpMKT+EpkveWynWSdE5sE nL4qogIs6JuZEDx8fW8jN0RnPOWQ5fTbxjzTT+P3Y1hznNusZwDZnyYIHWd28Nte63ZR9c/Tf0RO PiXa16poxrrn5tXQEvVxquD8ndphtKD3JFqc9XGnGtCD05QQyt1yMZcjDYruTvpX/H3IfKellz3t XCOELUvedAZE/exE+bCnwY72ly4wxdAuf5Y4j0tqC7DJ1gy4bocBJHoFrDkFWVl/XER27d/Lkhw/ vO9TEwySdorpZhXlKpC6NqNwXshtckgnNDaeolwIjIcMn9HESgcZu0mWjkkn/oGO+DcQS48aSHqA O4jp56qPhdmsBiqXXTlZ6HSiBRIdcmJJkv6DZayuxWR/ubjAPeGcp7lknM+/5uIZxiCBlSJ4eMdR +w2DZwflnmGXzCwtHZntGZu/cnnUi26awFyP/GSpzUGRw73cOtrfAaKy4XV0pUZp56TjJWLkzREs IpckJkzK+drNBU5RgjZs/kORmN0CGeZFo3oFcrdIS9Eob3lcy8pn9pwRM49fxIsfmJk0qm/00Vm0 Uo4J5zcSSJaoys0+Ng1c9NUXo56n5YKNrPe6jilQGJPiK7MMquiYdZGskoqknOQL9wbhLm8e6f5i z1SFIrti5tbFUzGAcZfnxYlEtKiWjMCVddlHJe/FHCqPBwOXrcrm1zjpVe2AcIDs4ABU2K4rCTLY fSxyLfI4zl7UtkKUBNnucac6K+dzNcXl0CYrMMur6YHaUFzOsKQGyjUyt4ueJ/0EPBjpw69EU2jE Kb59sgoZCnearuVUfAkttuiJvXrnWfk0K4qGAi8qfn5fF0kIJKQRZYm5i/sWx+BYwmc5qOn1DAQg avj3GzChOhUfVu0VuEtEG9zCAUcwLgHQQ9tU0JQMzI72vN2kG0JcaqRn/sMF4ae86ayuIG/ZsiPw clgUWJM1c2ixW9YZKrF28QrCXkrHMnf4E7DqIikxgHGGPyThl4A0C/km/kN+MkxQEtR1jvODYKgq aEGPqcSmvTzeDoi46+OeR/y1JQFKvZikK0+ZPGVwOdiSTta5k86dvpUyZgt2ZyHgXcb0aJK2uyaq waSgiRjRRKMk8dsysIT6lLphz6pMh2R43zKJV3pGawkzn3Z86/eCaxILMA9lbtnf/C2oQsJFCfiM YH3xlhUqKMNFfbIgynomnORd8SSrN0CDZlJOiwtnAT5Nl+fXY0Jgt2dJv1AgZJgZPNcrIS4vZSky Dlxs3tmBeIbHqXgMz/yk1J+REusXS3Wi4wNjLC0i0+tnks6KXarFNgEwmypM9+zTVV58UYMIlqOs QMa8HE2hSI0WaAvpxrDzN2LOlZ/B00ll8ARAVaZwYYiUpS0UlzbSMfVg8TgNidT+0B9nM15WyBrw BRWg0CjZ2ueeECxoDvcTIBkbT2ywg7Bqmj5TGnXDki0BV8xwbVgzliRPS9F6+mm+02EAQuSompjT SzW4dte6g6fyDFWv481qvXPPmFgJe7oCuZ23xiNi8t38Ka5jgJdcSzIyVh+e9wDu+A+u8DbAh+2u xMzkZcprelO6pzLwvIrL9p6upsSf1+wwA7KByobZR2sgOfnnTkA+jN6jy62z2cqsefoINun5FkbT YhUgXLvjHEz/KhOesjDQilV1fNDLU6mV5PTUdM/iIdn8bvMy6IuZXKd3TKtVZz9iQZ/N5wocbbYh uwXaPHoN7pbLSmzfuQxp0iqAOpASTa+TIcsgY4BlDi1iev5XifAz4E83sxl/6tQx7t4T2M7pQsCG AWYibGDxoenkz/a008JIgFr7+/ODoh4ksgE/xYzx9gZST6kCtvK0Aq+xPgAmyWKTFfGAWMTjZV+H /sb6AB/4dwfEGcopioxcvfmgLqKrrNrnyvruStnO/UPEiwjqH4Upe+vFtRAFYCWOgok/BAayZc1G 3SGN3Dea4jNumQtR5EkUzSbvypYO+72yWbOyWWo+70BoQnptMrTuMwfEXbVGqYXu3HDwxD3wr/ii wwa+VlD9xuj1fX997FRty8PZby6cAQtdEG11ZwVvtCDwQcIeHx2xHg6p0V+7kX4UZpmOXAZ6WLCl deXqBQiTJXOxtLgS+IZdpunLPhDX1Pmw3/Zozek2XeRgYw6GxTehMWhuNOcd/F6zYi4jQrLF6EGj 4XTSV94R1ZrJh7DpwBulwFTrC0Ane3kA8opLE4NsnpVP/AYrB1kCAypR9sk3ZDpbmIlSkfJT04PM jL0Sl5QTa0UfkRF+Q5iuZP89oAdBxxYX5tc+BgDoXlQkLUOXwaM9Wn9D4OVOhLiu43hwT9/TJN7A DOCYGB7TTA3dyYfoAkNXb52AOBc1W+3R4pdBE+HSv52bsHziD4Bke7B4wq5+PA9B4PCXudW5VNST wbMBszzQz214ApMc7vtyN5A52RK0TRSVbJY4BhhyB7Ha21AJBYshBoAh48aowBFjZula6lBFj945 iH5XsUCeE4rhlgCFaCldtdMboGaTjz3UKOEIXrKf7+hvOC4zdWvrwOy3en/3H3MuvYL/0EGjS9vg aAVGJWJ43//CdY2EgC111WL0xef9Nmzy5jUTral9nXSL91di2OgVHHczvj1GSrNT7JiofjJ/cAZ2 V30p9PXv4xyUwxSgtlXhq9oVx9Ph6irmzA2W1pVTq4c1YWD5IST15k06u2lXmKcjvumD4fg3BnQN 2PPCHL552u/XCnLPUTr2wxtk7dN6SqFw19NQATMT30yCRxHvj+OJoeFhUhdcEetaHZi/K0ZWfF61 QzXPFlBtYrhEil9GIFx3PrNSxG0bT7Yp/giWaDCdm8AhKX6NxqbfRFXR0qnVHTc+2xWr7son4YSt AjT34Bj8jiXXoC8JG4uyJAnX75vjHg3lJ3gDTEdMpKyUbI4QGW/u8GaR/vKRtxSULuJsWzOwYILg rmPzPa8r5IhBzwZQMK6Ju3+D1giQAUUIpK4ItFfvwjFLwPggw46TlT8me9xazUK6M3ZcRVB7AzZe fFhCCD2uBOrOAxr70Cj1vzbebDNjjC34xty2xsar18/QDyo7/0SsNkqLJc7LjXdFmf+dW/IRoel4 juOPD+Vt6mInpZU6UkM9LbAUJRY9JKIxGE3rAsLtBJBxn0d4ZP+39cfqCO3kBcubHZr4nc01DHTA u8ETY+MgbxwbG4I9DoMZ4aLoDdxCG826y3ZCBfONyl0JJpMeGTVNRRe5JKqHsJ0UaF2vO41aAejk GiR0pmfa64hDvJrgRXMqlxXTpMauaJ1/F03WklEbxMrK0+VSJCIrDgWIekra/q+iRGnzUDGqh5E/ zc6IMqHGeX7O6fL4fCuk2kuwku9lEhmJL0Oj6NgxTdx8RhtX4iriH9lgLYFtAGxjNudVYTxbqrRo H5imMxVxoFV93razRurmp2TdTUqiJ70yJjBHN7aCYSQXvPmjRJcreGY5/5bo0NeooR0J6NWJ8W08 co0jq6N+uLqgRTGP3Ivtgq3e6K05X8PCr8vhugEW+OpK8aDhvKWQvFy1n8RqzpAjB2UzPK9WdOya jl8WK+iNdQiXcrkV9seDfVcZPi2hWx9zVrvmfc3PLSNe5QSLJcvxemyF/kLESbAWNJZbJ06/dVdr XRV/8R0fomkwwzCeU8SMASHSevw0KxbBff7gYB9lVT9847yEuZ9VrMGeQmF+wUjvP5AJpijmWdL3 LA2BvGxofGtmxk4SJZgpzNtBMHbL4ynL+B+D+qw3brU1fZAP0EStPrpWgjvbisgVvK03lJ+l4Ii3 5Rd9GfKIwy34OPx0U2290pXmI4qRgR9/Kp3oSnjw3Bf9yONBRo/4UpPwAMg4RmCcr8LuzuAimx7c Q9o6MJP/g5QVak1U9OXFDz7qrAQBctkkaTddTC9/yhtxwyvxrSlqjJ1CAZVk0UXcjdagvEgECgns fLa308z13rGGCp9v+s+e0gpWPlygFw3m3BTHtdZs0AZNFpoe4tWLmEhJdiKN6Xs7VJRIj7AqGX0S RTE8jwjs+7S4rT2t+BQYjaWKT5mc0yxVvtIIUA5VaNIQJQYD7XEoeqHPN+lmEnRcZViMY4iunIIw txzBbmEm8TnV/NbxlAxy+PJ5HO2e9wHx9T9TCmM0vBIXtnucQgJ9ZLrzVoFNeTHZCOR/5a7pU/RU /2SJwfZudMCJ9JB4mG15VZ6GqZ9bdLlJY9yxaiANDZyjW2x4ICkutlZujMf6WZ+3Bwl9iC026LU6 hqMJRovz9hwwIa4pY4X0rQg+Y60JuxZAqpYfWed69u1TdE1VzYUsBgNuUjMfnraQ9aP3z5VE8T2y GpLXkjjvzeBNd4o2RRWd/ivin/9OX9ORDK8DF3mqqVWJuIQZA4FWLVYrXgo2Zd1P9HOefNDIweqm VPw3WLHiWYL+ujwrwJRiL8A3C1VOERMfLFZQYY9vchZ/ZVvTy1dFOZYXbTLnlUCqJftL2L780s4O x+7QYvHm2z/fHxmLPozTVpsrecVMZSY8YJ3LAF7Yb5LFwlE/yQCiEaJCeyvU7L0JcPeatQeeDAeW H8HNDXghyG36f2r187xyYLbWarqQJV0Tua01YpvQ8LWGQ+i/DdaPILv7JYMBeDVme3w/AIB2+7CT SJgqKNfivvdYTaAOGPd5HWJRGFjmk5zqpG3YA8RrHR/CVuShTbTUdk3bJ/gqUrjJBU5Zret3JFn4 OEoWDroU/XoQXONaSUZD04xzl8jdQPACmNlQ5iP7uBx9WifbuSvCAAMyAuGWyq5rQOT/uowce5Zs gc12eEnaZTp/j2jt4Cc4F9IBCTNXx+A3kLR6p+kGnrj6SLk1SM9Y9QPJbCMNKcedzhSw3q7ixTma xLyNpcmsSQeg8fKbiZhqsNdMijA6oewTwsi7GrcquzwQGGMU8wPpBt7Rlv6I5IwHFaUrHHFJfLPP TDqquh5bjood8FWvshGkzTx7O1e56dw9aB5NHx0G2klVVjvEmQaDiNujwF6ohrJGZcXUpcFk0MT8 6/SMKVyMfBxJ6nAL4VGhLuB0uUj3jiGRt6QX5MjXXARR08cejLuoqeeFNZCnZDPk9VtusF96eMCQ +RgtMIlU2cD9V7sxAQJ1Azv7VD3ouj4rXGqV6g2bx8lzhJL5tyUBw1Trbze2PSIqbTkAChlN9hpj rd7dPNTlkcDMk8DzIWKSA6lgZIKlkGTu58BNivJCIETdtaZGe4mQh9j9Kav9fpScy/32kCZJbwV9 /dC2oFTg5zR/f2nvBPCOtYWkiKjxE44MsuX4QFXy4SOC4l/F+FIx8wigD0DPXT0CV8vapV46isu/ 0B6TQTlJ/RUTcsUJedshjVA+pm67X9UJ9VjL1VzL5+bE2LE3nxmdsOY/oV5RBC77n+KJ9wtnOa9T 6EBGsHCvtfzunOZ6cocGqU9YjTfuUEzs4X58+x6AzXxt0IGrTKL5qujxnMR0V5bgmSrmytg8EF3s uxtE8RZBVld/qpUMBo9eWr1eHjel2NiAN5LlKVj87dWkNAiqQeNhY4tGOkpUdaqh7qngQYAKHBwk tI+Qe6aoPfjr5nRqeGx5LWQ0j3tXzWumI8AUIVl5y+Egt6lvxtvfp9e9MMreN8d6U4ZKTdKJQHQR KptJn9XdOvOpiJLLAmEZhzU3NYagyLWHm3mEc/lD1okIgTUfGW45/gbqV5G91ML3mY0dOCyiAfwh eX/3ZZWIzG1PTcoUieQhgXgY6HQpZwjsuMejj3yld+ms+S2DVBICLtP5gH3mB8X59Oy/flppxUZj 7wGO+1U+oSkSwjBT8RK9YZcTwDC4PiQ5DNFCzftZRk/3caGeSkk5gqhPV9f9tubXrvoeg9hktFjt QPMe3Dzh70z5g5QwZ7fGo0sfpG6Z8mXitFb28i3QWMxLnvN3054gStfVGUi/i4MS0dClqOjTLIbz 9sNc4Pa8sVvXUkmmlL/4NWqaMrpfVreZCUVmjujd2+8ylyIBVvt4AoKLAT+zLq0j8SDaUNJAouFr vRirlRYhBLT+Z6Z/8bRZtZe+7bMP6L68bG0KCnIXX1SGHrFZmNpVbygJaODZYrn7asIIR4vaYaEk wa/c2T4j7PBIBW7/pbgEMuU2B0z3G5bSXUxdaIf9hCof+VkrPXr2f/gA3BVxD4FCs7RAim5RtXoq dM7QfOGp4qJTjFUgn3RC8nU7Yj7lW9X4VXpKtdx923sI08ceOeR/77YOsSYGydJNKpdr5AB6XmHd LEbRV8oNDFmcZiut7zqtmomW6LQjhGI9G+yVsTWyb8m36o7f4aoXqX6z8glbYWq5YM1YpeNtCED5 g4X64vLSrD3jXnnnnrz4JgZdzHWP74S9HgdCOX3SrAmkHnXVt11IehROK0RWB/qR8ADuAU8tgzwG lFVAQJgZ6DDlSRTbbJbr+Au1LCrqsbNj09M8+Gnsmh61RBowM/UcGRn09qIYRT4GIDY06iuzG0L+ t3zsoGqfchUNleqA1ttmSHOZNyoK+/0w7mXeGJYrhodSEri3kKQmPSyCvoVzVy1kyq/KdArZoZ6+ bl2F966ivhIdSM+4rSixDwAbvYlj3CJimgJBoUN2oeSl85NgxyDcggr0OqdXsPYg4rIb/dLaxEIL TKefVGlU/tQJEal8YqmQAafgm8wXxg3h8ehrNVXVSX1Be2yQ6NzwN87L5W5XyHA0+UBg3G/0oVYd 9sgldI1x9TFn87yWVD4tgEoV14jhQ+sdGAZvi7uN5xxzhNmFE5ydysaUNSuVEMmezsZm4/FaVDGp ZGzzrObPpukwAh4uTWOlGjM7BgUNMgDt6mXi/rGlTXq61cg9cwm4O9uMPrquhp0uMhcEj4hECUwt FZXaX9ojD0RErFC9b2spBzCNJbh1yxuoulwEknUfChXqkcWRHjF/2Vr8tFbnx5YiHOO1l/4AEa82 H3H0uJYuwQwOztyYTh5Rw0r0V2RxT5pCOD3GkVBFooM6QjCqR7GUClse0vxB793Q2RdkVj2MrOFK pyO56yn0Ea0Psf6SdDXOxSI61Usib/y7gyZ8locZ6loGq/za4UlHn3PuuRJciD4hgl2PZCWVQ6Yo AuD22dt15j87jpKdeuNlP01jrHACMMvp5uWWg3srzNXxKJBOoQ2TYds/Zyy2JEETZqO+x4L4zI4/ viLfgmziY5qPhOz52TMu9OR5jUxJ+r42r6Rw/vLqfv2GN8TDJ27YPML4LXtCrBiuEmddP+/e6yLU IphdHP9jxLHRAh3zGVh2guqhHWu3q3uzCjZ/7ujAr81ifxNcUxp/uVLEjsX6JiK+ivWf1plEYMWw ZKoOfWwrqz6q4sAIk/cs2o0QzJjFJFlRVCxTeoIhVAO05JX+jwTRF6U5RmBH760jnHW8MTvbai7a VCeD9vu7/2bSm6KbIScB9INpLB8WKnzMidAYC71ueIsi2cPAyzpe/M1MLfv6urOLQdtiiFU8D5mq jGSxwo1RZrxmQnOLK3rAGCaYrcm5DvxNxVfIJrFKwXYV++H9YbEYxeIe37eUINqM5czWegQEtg5w KhXE7Mr6HMTGU7EcUtrIiCJhQjiHiyhHXUQgJAFrbYBzSls7g+WF28vtVa7pZLS+6qvtqH7OhJ3Z jTPKnRC3oJjhfBtN6awhdfmWvMI0fkJffo+cOjuSzhZB6ix4Tt3zuBjQxPw8JBBGYf8zYRgRjAh2 Pg35A6I0zqF4le/qQsEiciSrwNuD1BkpM1ti3MsrO4vjRySQ8RaW6NBDEGLL9SU6ixO0S+J0GQHJ gU6sfx10ynoeZFAqeB2cgE7qyIY8j7Gn0Fy6+XFW3ICSUuK7w9xfygy0/Cb0NnHF1lRDDBH2P7Wk 0xzHF7h9AXvbQjHZDv49dKnM7cDD1WnMC4E/Pjpegqi4FC5ITKsAonSusDmlEWOuM15ZRcLbpSho CHNtsZtmqAFyrsEdOPKkm+4nIZlK7fE0YyLBel6PI35bBoevIO5DzYLMchflj2QfLPcehVEr9iab 7OogXAeOzOa7l+2G+eGHY+i8KBPe0ZzWJRyO/3lVMLqjxOVzDTjG8Opnqkqk7kRj5fdoIxeKLI98 rLrrVSKMgmXyRVdAJqCYjf3DYXbQkcNROoUrc4lPnNDtcsm46kXkmojJ06hgqmg+RYdi8iS2wcvy nKGarDAW+j8Vq9BEUUDLJIi8pA3ty7ZXsnCZHX0lWDewQe6Ds8Q3/1zUy7beXmVXyPQkSrvY8lZf UgiXX4ECBp31ZGZn+ce65S07ZaGZkjDw/EqRXjdpOJQCkUNGUAXcrB2tw6l0f0/xE74Ji1YjlSOV TN/gRZZs9aYMh8NFsNTBCDTVsUdA4KmfJ5pEsUE+2tqRB31lvRxyJDurQVAAqojWw21fxUbTGqS4 7KK2cZR9vXddbumMgYlgBzhZT/o+paW/LtiPWL+6LvaWAAloguugZaQjARbeSAILWAwRKX7Se6d5 2nm1gjPBboSzgD/W1Z7iXKuGMCCj4rzTCVJpoteuFVYKlFs6w3adb7+/OCBIylFUWDa9NNyBxrKf aw1qH7XnWHEBwmC/V5yuEYtohyZSxHps2Z4ov864xdOf/RWu/ndeSlbYyRsd26BDSiPXGsEdXBpx xIc5qjzcIAc+aPb/60f2KGnIPQodFnH7x2dTtZIDZfcwcLXlFefHL5Ty/QrZTl4daQrngMnfIMlj YKpLsVAw9Q/uklUWuBCmcdpJmnr0rNMUEp6mXudbNsk/xm7fWibhHyCH7bWIhXIW3xBNv2GMW3i7 wZQiTdwTHnXpd9Fd4Ah8AucTrn66VaPETHSf1y0CeNUNlmm9FXuT2UX1jBO7Ttr82i13xLowPO+t zdMR6ksC5yqyAYqzNZeCGZCtHJCFAFxuaHz13456F51g4lQqhiKNMRcCGZW6+qB+ozJG9QCajIXq F0h3LkRvKm7xpAPBJmOZvsita5Ka/hHHacDjyjy2Fcjp4yZhaEW2LB8E7Fu2BXxdpeVTjNgg7SpS PiKrogy5snsg2L5Nfjkvdopq66O14Pgs0FQhuYex7R6MS54A8uy3c2DM7AIYwSrx6XFqIvi0E/zZ ED0YkemAulzp61zjmmTuLnF+b5sTli5L36eXgW1h2S6g5ivutHg6Qbyo5KfEdt7Mb+EMfBQioX3B iF1WvQfkhUsfG5ygQpj1ZqO6kBD1KrT0bqUid51pKtFdnZqrR8lecgbmWSXEKhyMfcE+uQlMT+Lz x/Q7ZKTe8itcu5XzzozXVEkW3DVlFYI4bpDLml6iPTJtsjAVQTuP33QIme5y+fDMZ6rpfliXW8BW K852QCHn4jeulU418uUwpQgMo22pfEil84yM7/2qJiPftNUbwM5oj7iyWqyAaQ7wawKy84HIiBs/ P3gw2swBmwDFfvIXj71jjwe1WsGOoNPVkx4SBa+kqBBkgR2Oh/3vYzA5pqNP4EwAUnQHFoLOdt8/ Kihwo+iwe/Df4gq5/u4RLnxEBZDBBmNkdiTnPBGq132KPpfuEIJ1mPq8L31tmyVApbRlI2FBElps c+qh2JoZMiyRI3fMKn6PAuiP39MwMVeG8+3a2bxfaQ1IHkLwURDI4dph54SgkiEpLaW47hyEvgkP OiB2Giy2ALp/WOM/oSpIrJym0QPZHsRFkLDRMFm70I9QXiozooyZiKzSGaBOMeIfGmMki+x82mu1 LN1GgI70BrZh4q0Uucq+i/DnH+SZpSVUAUZKudbnv1s/U35bbr5KOFhwEf6myT3MvmI4N5ESjqVz Z9gXi3fnfLz8UB05+s1DgcZ/WhhqMJduFRhv4su0Zzk9dt+VaOnnqPI5ihlKHSdNFFdV/oByi6mQ erRYuhVbUCg2yonRBrmnLI9wkRD+Tmf+oqW9CUNr6c3U/274iyu/HwA9Clt2jPHCB4UH0eJL3tKI qDgpAHjVZH8jOllpDDmNejPwhELUgs+YhNmMur347SJXhGbZO20BPgcM5RabQN8vSmZj3s72pzW8 491lzvfj4sf5PTjJUelFu384fL8upqdeYbmh+k2RmF1ChYKPyC8LMvpstGDZQifz++rX6eJmtxmd VV5VU5KZzEgFoujIg1dU5dyoyZS6clarpRpQmtu0FDz62b01uWquGtwcxpcK52gxHEPg1P89Zdoz j1oq6oCXE75b+VJCiWw+stKi43MN1SbmD0LDJ5VP/xEbAzxiDsxz9MSuJQ9xhBMf/QQmdJc3NtnQ 4YKnvzs5I8glx5iB4QEPCox5I2CV0zIoYgwxOaMwFIe0Bdpf1iB/hffgFivNBRvyMm/xjRz+mJMe qtbx0bE1csDOSk6JSBlaBQx54cy7DOpsygeFfKwULB1DhjYrWiz4fOWfnV+gXwh1SFT3J5p0Ih38 9Xgm0hpdp3vM3atF6FOjcyiu1GYDaQGPhaDjo9jQBk8WmKGw1I9bcgY/neU5j15ZXAc1nJRKgs3U h85r7yPNZf99n1UlCi9OgdtiWQrnpCEbgjUTr3qdOb8YELEb6L0Ouuv3nnV8kKhrrNtpOfX05R1f 145BYP/DyG+l2RCxn0V5qHJTJ00JyzdspinGV43hoJ2t5hcWrIoyccKpQQoYXc+vGR2EJDLuqXVt P00ZGQd81OanqyYv8zUXwGz6pVwgHwL0mnquAmy2nMXc4Zrc2xqK4EnVMK1Wr9KPveHFnRWy69Pq +BOFIxy6ZHzyAHFUuGve045qlCd8QBs64+0+yM4XR9ubcirNgSIl6PcxWUV8qaFO4K8apJcQ+D0p TgDD0PvazD+7u0gAdiaHbw6OHVlu/9Qng8mjbXLkk1kFXfUTFFbDZfzWOZOSMfEqNap4z4xt36GV zYD3OluJY0RooM2tYtrd+uStf7l4uu5+23iBF7Mn8HqpO2jlUYxSFCeyPctraFGchyfDz7LRfuh/ sovCJtB0ORnTbmWvLoyLuX8JblEgHtC77xWCL9sbKXjJfYVL7mR79SgKPMX449yFvEDGn4AGjbxy 32VZbM9HwZYFpZYI10Ewxheng/rogbFWbTEqXDfJs0AptNVsjkT7ctc5RlMI6tTU+IUkECZlYkEE ebPmcmcQKTckLOYKkgykRUOUXdJHroZVw50vKptPIl/3gl/6LhrHUqg2BiqJWtYsQocMW1eFTrUJ QFfaaqFXW2NHyn2RgT4DsE9kjDcVMIoM8E7sdXFKUWtvirDqlTXMOXpTsajWAAbmIXqKXi4bWnBF fKLP+8ql09EpXdNC+J0RfOKVXkIKoSV/wlHbDProLPlG7RCDeAX/2w/B50hI4adWulQe1qCRBDYE hkEEURlXWC9knXQUr/RRcY91PRdJQf4k8OjBUOWmFWp0Mjuya5Owd+FClyEQDHKefu7gDYQicyMR iGx0IgfKALB3mTBVgHPxa9L5JFXEjuoIQGhlqOmiWxO5nf5hCowfDVKfg+zO6bs2Nzz4ANmNNkpc hfBbTNcu2voa+jFWZJPa9PsNKnMzpqHZESnqV8IIvlBusVtmZJfkxKlarZ9ARtgNSr+/fN5vMDf7 eAFm+svTxMRR6RjnHVw5Hd4ot1/yK5faRxK5sPfpZ7ue2uMHxP7xo6/Oh+pdI2b8vX0zPjEiBVeS soyixn6rJsarY354K1aovmRcai8FL+xf3OZ83xDxqWYy5kgHYwoEWe5r45XGT8+NC+5wEmAbYEzf hlNrSFM8MfieT13TkcMQh72wJtOIfJlbAEnBSW3YqTBq5hCvN9CrKEb1MZF+dKBPDJ/GW1dw24OU YToZtVLlHb1mUOTEju2gXtcAvb7gjtgdoNKZFstQ9b1ybR/68irJm8VaLdp9fsHdzL++mrH/nUSf fO44EMo1QFdPxvgjee5QVaDZxJL6dvYjCXOrEjMT3dbBPD7PMou3xKU1T5ejX0l8o/9nBDoQFqNt hjLmE2oyV03mVuX8zTuPQxVnzrqaCMQZLtxUYklSZRft8EXLLzyXdnsqqkPufDSpnmq8SdvNSaZA 1nSuvhlYaBVGgAON11nTKf2lj5GNEYfQ/Gh/XckLvhltzqLMIbhPCmoobE/SJA5z/C60Zm/3g874 aYz2AnRuXGVnbh96XyiUzZSRhdYDdA1uA5M+38oNHsaSEes2haNgWGomfxAnyXZf4lU0HG8zcsrB M3UpcKppztIpYF0ifVmH2uASkom6piZ4NiCf7Gd4iYrwlOkgmISgkI6pjzXQEcvT95dGjhnBNXFs pdbzOSAFeJgmGPVFZvfdQXddprIDb+mTLxcMuVOvfcNNpVQSjr9+NY7CxYjLeeAnBtGfRCCA8VWy Q3ckLFUJM1x6f3cfSmPks+Ic4nSo6FGsjhY4Tbu9bGtSVSCJaRZhrooLUY0J0LKhWNoCroUFqxYo w3D+Mu3C9IVOdtvIw88RQY79j2ysR91TT/HMYk5PUW9X1OA7yYH+lkQj2uEHYjH+fWXlZWMX25Kw Uqrrmmm7gaVbhP9hPaWwEW44MWx2wmygKhznHDImhPWZXy91CXmwH2/tBTy8jecwFiKWIYrGv9kV 1QEODA62Q8hVCsFw74jwJ9J6r6VxuYmgR8e/PBxGMUs/WF4ztMFAC5DvbOiuscCUNPwVIm26N4iT Rthbt2HcbSwCcYdZEyQEQzSOBWBRNL0OmZhDCTJgiAoaofU0GL3kvz7O2EA083HqvtceZ7AJAx6A 5E42Dl2LGJhhYOq5BSzdncX/PRIUWPylSWPxMygQRhnHaFIWyTbSfyWkuirGPrLqnk91QPkRfK2Y 2tPxRDxjyGLH6bGfHxGPZkPySvGwDG3y686ar+WB4mw3JG5AfAhEvDmFopBvc1LQ5ObU+I5vm8fU mbKhBAe3KctDsSJOG1Tml/7X4lmr5XRYXSO16Z8aXN8HWa2YaOUB69L59wNQiv27mgmQuUll0pwR Co/G64CQlSnXpEpwlWKmo/LGeis8ch211wHfG7PooB8JMArgoD+jC8fbv48Gq0jpXN+GX7U1aP3K MCUDpZ0TEYC7HqoWzv1fWrcsHxNzpOTnJSxd04B0SWxai/oyfnljDpwTEVyxah9zt+PuXot+7AcN 5AtjNKggdplbcKsUhjxesrxlP/39Jg5PvxttYhnTU5ihMkNmP3ZbJ53TkHIsFZxGv5BXCjbhs5hQ YW7Y2wL+ivMwZM2CZjDwFkkjRT/vFV8nD1Q9v5kmuwINu1bVupuqFfKB3FlMr5lueGQUVEVXfi63 ifPIpdRep2e8BG+6eHH+lCrm68fkL7PZ4/C+ZmJ7eJ2ofPT/GMMnypP/tTrPQ0QiD4Qvu75z/vEu y/yGe+SUqibE7y5TZ86c/+WmXC9+xBGB7Eem0UU9E0tG2ecb3V0MbaqluMRbSLDJBUR82zrHW2AC OfhuipPvAfPu3WdnD1KwZkpGRghhzwbUnDomZJJi7sHo0opfoe5gZijXyo8C0zHG9lzf/r1Gelqq YMkLR/tnPawsneOIB371OoyLyWFznrVjbtHWLnS5INghFtBs2z9oPdkImimU/E8B3GRv10umQnpP ovI8t1ABsQJyDsDcVZxmvLi/IONi5yLdEiGyyOpjNDNz2/CCuLiT/Io+XW7KAY55Hy5guBH4YYhb 8P2DciTkaTbAp/OmlOFo4/PiitKy1AL5GuYQQrsuqYyTRVpvD6LZ+RbxnjHchZmlGq39DsbLZbEc 2na1FOOYvRexcuYEnhxuNypHSb1I3HKi25N9wL81gL4wPRZfFsYSjQRu0m6yFcHuffDkhNtGMW09 BKnzWZOsOZxbn1/ncCt0LJXmQrCwac+6VDoTPfO4mJC6BVtVcrKx+YAItX+CPDynvFiuDFfDorWs Sx3XgD+3YpXkGRiH2bSNM2+1R3D7lnH0A4yR3hNO9VJus5HNn+fxpdiY4S1M0DOJ1QYvLuPnTeMa B8Vgv1p2u30lrtu5LmhTr6OVeADfVtNSQFBS70d55F68TgHTinRmLpp2i9PLMCyVyRyIzwfdq8Yg 9v3z+LbOREePsubm993G9Xervm2aFnIKRbhFzUo0pW/z6XscLhAUtVjnPo+bYU7ZBnmbZ+J0ILzK tirv41zpUYRrLIwOv8eJTL5YLUXIWoOLbVW1lNp5SDDmDqxkTVSW1C65sm9JEGw8gYlCmQc3MOqd xTJ4KT9CYm0snnbsl8PA+tA2ZKyTktRBjrlw+F/l7smp7NWlytTTjEgvbKVjG24VPtrrEpK3xO2E 54BrHY7zqGWDkUBpjEC0C5T/XoFDFynQJw8NCFxG1aGWzc9XTyFyo29FDVnhIQ7H2udFN3lgDOBS JyEm06i5PBaSxY/GsWFjOn0nO15jiHGxDBFYmNHSkLmkjVrC1otuA+6qLzCaOMxjw9DoP+gxFM2l XSHZ9no2KPftfTcgq4UxmSaU3NsQdi1ZQTzX6c+9uQ817kyVsdCXX24nWrHVruyOpC65VXG1SVT4 D2l5MJ+L39AlJImqPDWq6Hq5b15APWf8FQ0dmp9sFJKneSBlCq7Nhr5wukWmGNFuFNyzxeuMQnDD vyBF1YZ9hH5dtfbfIF0WAZGop/LgL8y0CqveweypuOrxi7mFd1V/98cIyA52EnTSICaD+92oLjWF LUKfehlZCPT/1i4IpM5aZw+beg2SywOxzPaCXxXIpowNFfPVYqSLPTdb/j9bjqUlJrOiLa8QEMAx JWXFEJjoNbaobexlXIDr2qFOEG87oqGyZxbm2YZSiOLNH8Qm9OSlbaJpXCjdI3wdozoyxdLSDHxB S8hrZBp6JpX6kGN+hOkuC3tjYYpT8GffKfKlwmvIhUykHR4WCkppfTvA7Dt2GLcZ/ooVUHOOti4S zfc8Z9NH8GOuk3UrO1VAx2/e1Eu7DR3L7BhFWdO5LP+/tOSLUUVd//6r1L6Mk2hwnHhiA6B04EHr BeZzQMpg5Yg30kiH5qlcafJiNamExO8PBd+lZa8nd5P2XDP2cwKOoJ6keePTxG+JNmSmXjsy029/ JNW/UB9sFm32+idufCzLYYb1GodYLViBlLSvqDAzMvq+qEM33PNrOIcavN6SHPolhmnCGj3On40i Fsv+fFn0prxtsn0jAtOz8h2F5/vZ+IyJACTFMV5v4Ja0pBDFf6VUUtCvi2xH2w45Lx1iXRpBOyxB O4EWXgrsSbh26RszSAgflONJllTFCXhKUvGXY31FM4FVkrIjomNS9z18metA+v1bBGoPE8JYV1M9 N3//4FKYFewDue8QLSTHAZmW268oQZch+VCl7pBS8xl2SylkGBeOOyeIT+2ZrKDGeTbIyot+CcW1 sOdhToe/Jb06rE8O6JGQMOuKzw7cM9SoMQMMW5GZc/wNqSwLVLS5jS9OdXwAnOu/PnlZE/MGBIq2 NxfIwBJUP4Ac4a5D1UyIF7Ewdl+j8teLtjJLA6rx5RvHwwvPBaIHHQ5SsxyA1JvodiWkplCfKilR 6Vt2dBW2TJ7YCSE853twarjHL72gGcfNoV/1465WTJ7qd4FWF+kKGIiEHGXVjMu0K/er7HOF3St9 5sOOyK5q4S9gqcduOoiojjlHTwafYHpbiHwB8kpP3FuBfEF/9kPuZAIcFGC4Iahjk+qbrIwKh1DQ /OCLYkvcI4C1SRGirBJ9h6YGw5tPi2NQnHBkLd81BCVzVGdEXUMfuzy2vVA32npF671lwefMZQSY TQXzyU/QJM5kfna5AS5M83/Ezj8KRpaukVLFboxSu8aSY/Upl5Y51Lz4UZ4xhRRmeCAFZvjG/DHJ JQB/6IqYP0flmFVVUeLEQIlwZAhcCs8DGt48d6eviLloUrWn3oH26USbwlLcBylxocvXSRiQWdvz O6BkHIgsIBVnK7RNtf0rQ5sOGfrgL/GEX/SMECweXr9E1tT/w1RVKhDVRp90BziiJeYKs1bVyrQQ 5mJ7fVihJdFKpOVgoQgtSx77eYD2zqxe7fPRdlxi3jpjfJVThLESBYWN2UMtRsww3JQdJGCiWu7a uY4XxuiN7eN68eT3WRC/2QJ+VNVDvv0Xc9xasLN6Hz3SkbEwCk8es7KZ7Tff12m311g+u/Q7gvKr yTtmbV/kgMvI9nuJYTE518ada+WaJVHCiJONXEWVSW2PnQLP5abAe8GAv6ErZxI0a81RS4JIXNHD uaIgmq0DT8bVREzpNbkkTjMd+MnZaCiog8NIhpGOQIRTzksnbc1069pzrPI4m8VcRaXRdXoM62MW KticirDlksxV7HBMRYLD7N5ldIa+NJkGNoslp2LVliKNNieiamDKpB8qriUNKEq+wL/C5xSDWuoA ZbcPwvjkEbHp/+uof51FKzepkfH9VT0lWbkNIa6tHYsl4IULgsATUBpdAgrqXKXF/UuQBVXN/u8L h7vU9Oz9JtM61OJ7QaOifoO/19utik6a5f4hJrr4Jb10APfM5SP8q5fdcldyN/foasxDFYStMQ1Q NSwHAZCCO3vUjWfZt3sc/rUWOW06QLi2Bbdvl0kDxFOnpNsJpztNWbkTvoL+ZnDUNDzG7X3PCsyV dN8PPWalEXidX+hgWin/L/i3eqiSOfkIwOYp24mx7lllFYFaIQuZvhq8UyXb0PZpyHG/TjnuXHD3 nUcEGtwwvyFYMdRTKl5FimNqQxcFexZI+gm3uZOKtym/V80tHPjine9fv1SBzoIxgfaX2yb2AQQY EFhg4OUKcXIKkCwRvwYnsOEDCcxq0g1jB+z5FJ4Uie0v46Scp9rUknhySD/OBMUbrkCslvvS/tCl tVTo/tYhHuaP2URXDi0zE8zCjzPl8AvjkFMKR5ZeSbZbs2b4PboI/PBBcuI2orfr4lR+m6vTAvcI uezXDn16XwUsaZYIMPh4wDZb0yFtemi/yqw435ZMXE2CdEi10xkPGXz5aRj1gMFzVVsVqz8agvZb 8HFmdwzFRNagE/RZyE8RZBo/j0kfUyh8PHOZ/JcC5ScstN9Y/sucjKZKVuQos22pr3bScp9DRw/9 FZzmbDShvhF+pJxs+WyxpPIkQCelijOQfoYE1Hg3JUBZYengT0Snir4Xv9YYGzD+c9fAMWYR8Shr ETV/hK1cOyXsU1rSqfhi15dJp2MdAaH4eymnP4SQ8yqVDD5SEZP8jkyg39WBCFOLXBDdrcYpfau7 jra3AD1Cds2zY3o/P4UdVx7Te3DSBXVSd23Ikm+R07pdafxUzWkOeZbaAW6L9l6eOkfkZGZad7h5 GjGhD51VlzKVVk46wM/Rb2LQEBdd1IHUJzPqQKVQxDB1HecCRQvhupPT2vhm8F9Zl3NPD83EfGQi zzcJzamEUXL72GjT0ETnUIeo1K4KYGRg9qRZYxtHTPn4me1gBAJbWzbPLaBtOr9t4jYbNGwZMY2u i1fUv496Xjcov8mtV2YhI0Ovv1PDrsHV/xGFKogxyh/xCQifPr/sEx9xe6Mwgdl0eeM1of6g8v74 ubK1bCFboGgE12llEYpaDiA0BD+Py/4impM5ypoKXJfgluR1LAvWxxwIlN2GT4+adKnm3u0Gc5Bi mIeQm0x2F2KKO6iTA3W4cbvxIbg96mXgW47q7ov3cBeuUBhw+wImUYg/tYS3/OS73qOuIDe+vJ5e hg1M5hOPW05sYvpto/h26nQSziJ4rrOwYBM9iXNTAeEIlKc0/4W2G6AGoEhhYNZURqiImslO4q4f 8RDycOGhc+XBXtBlRlc8KRM7i1+5AlstXGSvfkt2pac2LtFWcY0vBLk2gKf9bleTiJFMpmb+DKX/ UxDEOHEBgN/lQjQiNJ5M0XI4B2oIauUL/OO8hgxUuU4t7gfbNaMYVT4lYz2SlnoqlRzC++8vT+YR ZzaSjpaRBLTrBs/v3GyB9fSOthTowkFCFEDUKuJndbxJWr7Wl2Xz2uTbpnmhu+TPa2dHUKjcQRg6 Gq/fh6hN20LGzG4aMAnH8lkiVf81gfCS220OmZTa46khmVYdVm8PLSQIX+7bYAav+qzR7T9Esq/x LAELeRAhHmcxfcCcaFztcjBpGfv/EAfBdJyEihGyHY+5Q09wgFhuGVLzgkTaVT/H4XJu6ERbItVY fQOr3JiVChzBfS6g/dBdPzLfjwAUyE+KnMSK9mb3ITM1UY4Q6aVUyem5rhQSp0Di+A50PfTKh+MT /ZzueRKjgaod3xbrTcvmNF4uKl9CFe79+tdHk6ZyMGwY/I9rgKE/qgeexaOtQKIcPRkxYcEExnaY ELMeFURJmyyRnCpFHilgNLwhz6rOCQVuFY8G0oBnRoF5o2ttTIkZW/LjvB2KFzUc7AI4ox6co8Nu Y5YRZ93WwPlnXfhyR38Yx0YAycu5Z0jBtUPN82eetjQrVzrLOqzY42c97711J6Nd4oGm/d5De4Iw bVJo4Z2LRIffr3NFdSDKswv32XvmbJEU8DQgMEI4co+NvFLTVtPjJgWAHzfuU0YxJ8QMDbVPov57 Utbt3zyXyxOHV5rLQzfl0f2CiSL0EwyL1mUEcIkSiVBvbv7Px3CRyePAFurHvKowHD7UnaF4P4ma sHKZSYvjug9c+aiMw+uV9vGk6XSXBykeZIXGJOZV+87SEC7M1gip7qA7Xwwo8Ry5Lzia7khFg7BY WayVmLjXBQ3fdEuHkvDQ5JJX/WoptxZO9hklpwrf/rGtAe1x4EcNMp67NH31PDQAl/oRoh3R+IXR 0+0NVQTJGwDv9H6+dumcZoAztD1bhLqQJiOg83S/BHSoONm00N8OY5TNspT8XITKOTW1iFZWvO/G 8yyl3rPZEoM8+nCAzY7CuOIO3wEFFitrPcRYulb5v811SNX4ksTbfemEZRQSS7f9kzqqwrQz/rLL +LCIEuiJ1VeLzztYX0E8jh6rA777Jo/oMNgepN4braCRa8scHtVzQCuBnu1QKhFd3VBPK+gt2xQ6 RqY6s5SSxWXDTDvYoDBJtRW7nzb8Rxn91GIzlm7LwIeLMKXP5y/kkS3ARA1TUDGsK5+M/zLDg9Qm pmOzE6On6DRMOQ+Zthv3aSCK1RwN7o1f9XGel1KnndNAj/7+uzNkPUQu2tK+9CM9RYjuSWZeow30 3msGRj2iacc7+xH5DorXw682WdThu3DkDqJUmn+2UzUhCRkORF57sKCvi5s7Ozx9o8MDreVjOoOe fHnxL2wgu0Dq75taX16VX0BczGKlRNEyRsXq3pXlwJgJM6rLRbs7mpbv04Yoiq1irfs89bx3IkE4 ORCDluN3+G3WLW9Wwghta6I6PEQZn+yx/hjygS6zHiYhu87/Y9Rc8Z7Hm9u2XfF3iKzL0qwtYTF5 hwMCtKXzHFnsBIIHV7d1f8n36KPXidWo5H9djlm3SJ6ZNASCMginL1BcYh/02ASSCAowkytrqw00 03cE6LjoRp2C8GEh/nXM4ABhF6FMeQQPEYme17MF8TPpBM7SIW8j52tkELX8pBA2t0pwpGib/dyU FXzmuUE2UV8g2lnwRofvW7tgIHidttW+FlBDZGF2TM/7PDVrTV2jDvzwQUxb6veaUGbZavOo/ug/ BqxQmNeAJfq+JbwTHa87/y7/xGIufYzrCwYQ2/GReXZa1wY8f22HGZWtAwwnjj+mjgRix/5kiCXo 9vuA/2dmxXzP9AcPD4RoL2zWkFfD3A08kIK43PF7giX99cTxM6ySlWi4KkDvFaO7dqGjcEX8m2Pa DNMOzqP+zT0JSWiJU0CO+ldtiIjpxhUVNtAtvoK4hVbnV2dI/mYdOYE0V9eeYuKvXakT3XKVQBLD 2p2/6KWxAdF+cT+CBEJ9be/e/Cj/IsPQ7G22eXvKK0rxfrypwbqJJ1DYnPfSolwoMylKIaFNOOAg Y4MepSBgnwkAahfhhGYMF5gBgMITpCKXRcqAXDhPJll+q6Hoi5M5imLZqz8dXEsnRRjwmsrpIEW5 ooIjY9zTU4F8MV9jFaF2hSbWSDMtg3aZNnFmqKigEtQyy8B7w6Z1D8QqEvnstZ4ZAYVSBP5v9Ixt w0HBKNCGrdFJqXy5bOf/sYNOAuxBZ5tXCqBfB9tshl6O4aWl5tAiGcZ8qyxCQRtaA8P6I+zAr0Js neErPKzcguMK0njZyZ1QUNhhQ3gZ1SabGAhNZz4a2BfkH2IpWOAVxDShcDGGWlMQywZ+CPfwCTrV w8YHYiMdd0l7cys1l6wLBeQsggRUWyPR+WQ/L+WyY6h99nvn1Lz9ls96cn8sZsGHwH1hV4SJPi3Y /efomwnCVzBpiLF69utjAj0Lsa8UNXPbTloW++E8hqu+HRcGUq+RNLHVY7Pg6Ac6oi3orIkRVYUa 9F3Hiw/b7Thjo5SgXAXhnUACGYwjTUjgWVpBpmxAuJY+OwTNJQJvlaLK2VPFx33MqgbeLs0Zr3WJ Ka3u3MOip0TEuEU4tqp+YItbTZE6g98AkFOrQ4RttBp/u927XJx+C0jmkO7Qt2H5VqMq+Bh65XBM PHxlCUHQLNXbdnRTyZ76KenAga9DS+Kmmz5RHTLMJVJ7tTGfFjUcRwo0vC8UAWqIzYGc6PqYCyS8 d89LNJgI8tjXKAQhIiD+cr49W6jVWlE/tTSmKesNJuVRa87AjwdFc74HfhxdstVXTBQbHOab5vom sRielPppFJkMbZkkAnpkb5Aww7rHWfhJcqJTCPUYkA4kxZNv4qX/xLkoJ02kBB5XQdDBCcZ2bLQT m1HA3/+kdhVS8fUwEUmP/oq3TuAGNaiMuipUZM+tkHiRttfug8tW6iVhs5lrXMrCAEmg6BHqJYUr PTP6Oa1UaN2XuELGiZHrnTqnsyCAynqqUa+QWPlg4PtPiSNw3UCDdKc8kS78VV029M1LvCvQDpA7 OsNj7CL3kBSuos44+zlh7RudlQPa1KYa+ES90WWrz299rrtdGsS5wc5X6yc1/gZzPrsT2XPh6FAF gyzC/8Fj3h1liUNbB6IMvkAgvha1DqHyLXC5cfx/gZVSSGFy7MHlUpomyuPBM3PBRgqUv0wf0hBv KKERvIe/duyD479UjOinQI1qhj59WaB9arxW+vYEYbHZASOmR9oBp6B3QS5LAby9j3nCgtEcgynH hpYplaA3qWO+YLJZUZiNx8pDguQ8jcCetOGytxSAdj6TiQ5219npA9/dsZ1p6DAJkgYRtPPwv+bW g0BBmn0Knnpqsny7ux7LnqC3PL/AlambFraM0PHE0N+FLi1j/v5ijCNFZyVibPw5M8Fyn1aSX/jb RKPQnCNamjCwlRdFwzEgmDJL2upwBlOEW3S4aUWdfYUwFr5REX5ogBXwqrXh9QRay2EDtODJeGcb QNtSvQQsyo8ry55s1FBfkgVYhIIpPSs8VCS6PK2qvI9tpxwFvt9Wt7TauhEvNNX3ELScP4gYcpoz tadI4e7LXU2K528eNnHGCfigIpyHoaR2OGmg/N7oZ3VQ1ClZHzc7yYoXdGUkms4il8Gp0RU1wMXS NExaaKB7Y+kZORYBSrRc0NWhs22WOMcJfc/gxd39B07GR904+afdnZgEdPwxJMJuwtxXUPNjPCiV l9x3h1ZFhIpbEkOwAeYps1Rgkhw2pfgrefLXxP3CzxGyP6HVwsN6Za4OxO6MYYM1DuvXji/pAsSS JQOvEzWdVY6svHQeTyCYe5H4NqUqr1lYIqxj/88eNMmkHjB5K52DwmaQzYsE8XdwmFVfrXiU2rnT OYgjdHTadjfEjMnEEnt1+INWS+uMKbVsZKeLViB6HpOc0r6N8aiFTsFxa+hNwcJFkg3cOfENmbQc yk+Up/u2x+2pU4cj0NyCXbfkrIJGtNxI4pPBB1a/ZVPUAi0XbD0ygmsJu2Eoo9G59+L333LTwlEM SQ1HG23Bbx4/u2nyP2OLIW756uu+9u8PdU5dOAS04cvUgH0zV50FMBxbT4x2w2IQgcSKRDOO8edu 7Bbmv9BuZhwU+7R+3WYvO9M+uFzK8T6A7xWSXwBVpSxgMiUR2NZI3bIb+HM2Z1dWJ1fAODJ0FLf6 bKMhP10snNxVR6BlpVYr/zlFBCPjiMuY/DB8Z+6dCHKj7Rw5WvNnqIPIJy/LZYaCLr1TmrDUKfeX CsCjuO9S5suTc9p4Wl3KAeaxPLLTyu0dlQGHBGjPVt/yZ2+E/2uVVfG/3ahwcfLNDEQYvJimZpQ1 bSZXu3k8VjvM6SXMRiE+4q1RqZBLaC98Cc63wtrXqt9ClROczewRizoDwMrrukYLs7jBR1s5wn3n w/snQ571VtrBwoUVHn7A1OHqNsZZc4LX2lKUUAdrDU9YXphgSrj2ghB36smJt/mVFxUImTFd7FnF hycrvfqwpXT71trRqpyEAjz5orNxYGTeDyCvJohRUeHq7k6DDaZDUwjZGF8W5NImXAcMrSdCDH5y e7Rw/d7iWh8FUwed5X4nLaDKHsTcM1Wvcdp7QFGV8LtZ3vAb6Y7IcPKiljohEN1XNWHvKGUYZ7tH oCJd4SzSxl2lsWERWHJg6w3xw/3rX+LL0a5tlt98KI7acgclsrt2fOKPCHvWmup2nIRhItZAm5hL 4YFbRmcFBIjzNMSS3SJA3OWKCOtkZ+L5DCd7NYfAClAfxwoXDXxsBnnejICd4JB8kT5igBkOCcXt 1VfN84ZW4KCcLyFEM6YTVkW//qmcONNPJVmeU/ySlrIogmQc8YPfSxd6UkRzBfsPfII/X6GVnlUM MhcRwhpZQOPm2cVNKWAyi4Ito+BlCSCHaSVKdFZlP3B7PFHZfvKtjRq68fB2DF5yYk8xwk6HTx5I 6/Z2augYqS4wjQnpaFcXwUdahiMzPg5tKXzf++BkDFEqdTL94eOtrt2BOr3+SzBrc6ZIdQjy/wGY O08OKg03C1/HXLek0GTa/xhc0AZRWEWotsfffYWLmLhpE/izO4wX7ZyF2lQYUlS/spMOj/vb4dJM K/LebQKfx531kYbUdSVTbCT7KZd7FsZNxMHPuPlSbAWCSjPXm0TR6a/imGMzAX0FGJb39vXaTDOF <KEY> VmJwk3vq0I0XvXctz/pEaMHHxNNOr+Vq4IlSUPA04wKlMP+ANNoH3dJP1y2GbRTG5uZI3/+uaxAX jFTDAJFgbA8xSkCvQciPjQV0dWkDDLWIru7Gjlflamnya/K3Dfk4vbWtWQpo3qf9fGpi6Z2Hpn5j wg7GMM9v8EUjDmTvh7gIz9nMg4v07ruL74Fv0eqE+b4dXlzfmXQkuL3omZN8WC77HCFZ1UGB99HN K8OXY46e0CyOSF6TrvEh1Rh9aMRPWLgwFymicnMNndXSlUKQwFsV96sKB9A06syaPcXwsNPToVxk HHMShPcritIxoN7XRTCUCeLgQAQBrmMblMWCVxTJZJXLfZOLdJjgThI4TALFU7FShnZ1i2w4yeuV kW+DwDxrTAT8vXbsxx9+msTdaF19EqGsgqJ+cfZHHq5+tVeeYHb9NRhJ5hBAygRVTI0S+vm0c+yr K7ypiBmTaJU+TJPF9qpbyt3nm+fOzvVRodyA3uEAsfgfvcYJoXrY/NK+lNQOJJJrJ7XdWSc1HX8L Xdkg0DQ1qi1y444xSH8dDujSqPai5cvB8jXcg0wQtJBKXHqzr2dVon2LXQpAMpN7ufw6bPms0w93 BIW/Jc5SuZq8OlM1zaZGEDd20ZCsrbBukDZ84oj6Xlu221vFYxgWKSu7suEp98MqiDlkJGljahb+ oF3i2OBddqZ9qfGCHE4RCjELyrbR6tZkwbJ5xbbl45gPrQKeDOhqv8u7nlfvsQrwHtUUaefCdhnt MPjpe8UibPiwWk/1QeJau8b7cM9MwSrgnCru1ooUljEsnqU8eAT6nW3ssBkq8u8ROofFT767Pd8M 9zKF7ZJNEdKiHuU0wljBU1PQejWQBa2RTLMjTF7vXVSDGqQO6qAUalgwNiFmLSC8tTFoUw+cZpBK 1hVzKZt1D/tcOBkQS+l2FuGHJvdCoNaqC+dleY2IvugVuTT9Fp0iSIw0eSQe3f3KjgfOLZWDJR7v CqooDPRKXjxquirQiGMf+/xh/APLUUqC6JgbP1nlKAuKdHh6ifDboIwNvIG4MCpMBPyjexToWpSj uLyp5CsA7PxDk8cmmpSBV8YBUYbut9J2EbvcinxO9+kXgtoWkP7YKeLleBQSaP8sRt3HP26XXgaL luwOJv8RPpFMKdcI3qP5otXlXYRM1ftiQo0+SP9nYqd0zOsFRvF0K1dEBIMEFLtiYz4jqJpbKeQP TCHp8+t7zvnVUbCNSX+ZuEiVKZusksjkVJtdCtdqMze/XcShZwC2Ev4PjTIAu1wlMS0dEtO4RV+b vQxguWHZYUgtkcL1NSMs/jvTOOBCxBybFbps30qkETk0k5xtGcA6373eoeWV2oTbvRfusOa7+CE8 6L7EDKRzSYAUpOr5rdx/6/NKXO2bXtKevzBhQiBwwP9I+u2h8+zqQvKQSxtimPCJIgU4HGi/zFcZ t5zrXihuhUDrURCKZLGKAdbVAq8f5JxWBKS3MyTdctTbomC7zgbJJMGPj/W0rgpbNA77nralc9Ku svBV+SonXcu3G3R2qFwClUg4OB2X0Dc+S+ZiXmsq3XsylnSgncJNq63UQ4HvBqacXXuXsB7XgMWa P/UwX68P2caY9AtlEmQKglz1K6K9xz46bq3cxNF6IKU9mufyhiyfOm3heYon4KDqhCo5TFYskgo4 7/2r55MurA/IVhPBw/mE08fzVuP8FEUNmMhbwAY3/UWhQqsZEOvty0sLjFkhHaD0vc/hyil4bPO3 S0c3MOcV+dPR7EfJsPFj+7gUPxM1e3L8XyROctRIDP4FhelT7VNDOBw1WXjngxW2TYvYakUW/G1d kTirfnAkkbszrQNaMvvrEY/Q/7kV04MDsEvcdymLRY8NeD4nWUl3GrzWzYNqjGX1xu/6MIuAARiR 8wTb5xC4s/u8qj+o6+TKXZu8Rtesyyrab3TiEOMfb4nWNRdS+pUD1I8fVZWaLPw2P/qUb6y9QvR0 dyD/JA9H6849XColgJ4dab/iIUsNuV24q3FyMTy41qOjONXjaTDfMqS1KH9NCftaHVXFoHLwrT44 MGORE2g6iDHavWekC4OuepoCrQqQhKKupOMRneRLIja+w8kyDnpUqix9QWAFwqFHSUsYmzqVtNbn rXHcmLs1uxUZRdPl2W47x++Q6gwghAa8Ct/PFrE5KBkT6wbFqaFamp984xgq/1ah/O2ytVT3axS7 L3vngJrA/d4o9dzINme6C7u91ouRgcRAA/4J51F1uUvOfyRqtpx1zqGO0mGeoU0HrhNxABLoB7s2 Croe4EccnKYJJh4kh9oB2MPkMEyXfVa82krfKVeHts9StHY5d/+6lR/7ApMb8vPo3g6kR6HjIgvZ w3lFPd9HKgpOMZtzWpJkXGrjdoAKqxwAa73dGDmZvQMdpEdZK3tF3BzuV47qxx7YKaHio1XzeNYn wzsRmaZHz35qIPubMOt9ck3rxRxNKYxJhpnVKueyxyUYODPtvfzW2LFu3/HuByh1RDiitbfTWzM6 dvim7q8tvSSVeqP9cKfapKY44/0DjSiBccWxGhwO21CJ67OSckXV1yx2C5NYQlsfur4RjTBcWLMF OViqYSTTqPMI/EYkAlp5aShTmAk28v1T0p9qpjzsi9JS24avZq5Q6CxhCMazdfQ3vqGksoQwsHyX PUzBD8leqsWjwVOfkxhej7jBAhqEkTmF772Hc3yFNVSBENZx1wMcREy1SzRh6O3IoOkGkpuJ9xih Og100EkqDS9vURCZjMaDXyZAccTG+JGIFrsIpR6eXVD93TXVflgsGKz8NBPSoQOx2z79G/viH9lc 0u0MlNZYlBx+S0rU1Wr9psA1BVphNJAXkHO7F+rRZNyNG33Agy0zSEL1VDUYcpAPPvRLtqQsY/hl z4Y8s07/9Nds1z2rz2DVfn2Al6H9gsNZoOcqr/usF1Nl855b7RCxhovQFXBKGkUOFkEVRtc93Ho6 uF24+lvdCEiK4kTYH7xq0VmRSxPT3vlg5+URlUOmCrC0QAi3piU0TwSsz8Mc7ah3d1M9u+aJzFf1 zTWkoS0L199vIm2M2EGkWRHyDl8a6FD0msmYGHvBSDM/0CLdIMmDWqGMC6GJMF746pFJD22Fnsim BEoiDFvEbS8yTtT8Ydafk3c7Kl7qC7siHX1cdnvu9DxPClDzmZE8u9NLTuVd2ucrEw8VLBztueoy HjeGQ8u9sg3sXDXQnDDu0Mqrhh9woUz6zlwTKl4s8C9F0L4dpefDcrtAj1UVPrNpg8Er9LV7nQkl WVVD4Lhcx+eVDk4bftgL4gw4ETNLDsuE/V1lvxCs4iZxFAchDUnp1ou8fIk66jSWrbYx3xp+SvA/ FBVF+aRrS/oTNCERhuytCSL06qK4D6V4slqoZ4Z63yGK0e1p0WaDj9k4Ea/UEPC4ZuPE8hmWD53Y CFvqNeLz7kFIYgr4oQ2kZN7ZiQywHRI6EAGDLgjwiLtJiE0Yo3gbxtgq27Q4kRocxu2bLXDPmQoS ky6zGYo/ihPjIC4wcTmENhNzfEBBgOp+zvQZsI746/bxXTRVzM8kv9j8o6dhVmRkl/tJt2f6sJQj JxRCXFNB2P6hSLgFLpBzjDkmKauSsxQ3IIbvP42XhGTfPBL0V2si0arEbQRWJTF9a/vxqNbmILWo C643mdlqxd8uuX9ZXgZx5w1Fp/fdJjYCjSFJnpXseBK3X1A1qCy25QGRtZgXH/q/8HnSHWTW4qH1 1f1J4V7Rd8uhLXuigCgyUaJOYPBrJeIEfVRhQ5L2NbwjdqzAlKqG1VRKcS+2GEBgEiNFiL6XBiNX zCT8UrXFK8PzBtny6IRXQn5z9gBEoETUj3MK3JGM5rXukVdaFaCFdbVjPbN9FmIPwNcq0sbHh6R0 V9kh1h2WwKR/l7vWp5l6m+qDMqBy5HQit6+pzvV0pHIzg2X9/yebckcC6g0yt2vd9KZClcep3tPR bW8YZkfBeH9XB5+d+XBsHtMD5B11MxiloZTZMBBcYOArz1Q8k2bUk8SXue8OUWxIf7funS12isNY HWP2u1jGjvdpU1ODaEQgoYtbYi+JS1SUaVxo5PwB4nvJdMHaGkhfh399FpT0D25Fxf1ubLSh5YJz 44l/UYsd3k7sNgfbOYogpalLVxVAekgD0wcUSSy7pXp1wLwgDHIopcE1TuYpALXHGeoD1SvDF9cU 4FIfzEN4S7d0enX+R3dQ7DOEBU/mwuWoHOBsdaa2GfINNm6yoZ4h0aH2P/rzvhvZuaqicvZ6t78O m27NjVttddCy+guGQP90Km36q9ogAzy9cPVj2lCcwBiod16ekssG5DmfD8lw96bjvTMbyhHhRr42 NgvuSHHUIh/umH/SLgAcqzeC1yps0QDM9fq4vD4SDqOgHpJYQlEqfCo8+Y+hJuLhuESmRhiJRXLw hCmK6/R6jq+XavCveZH9f+cvbzTtBuexu1V8FUw8BGZoS+6U2bEN3MZTvF06z2TpX3lpn8kcOIv6 /riaHUjtfW1uK+GPdMMBdVm+oDxUVX2yoqiPfSIhzxW52Rw6f6sX0YlLiljcBeFMupe6DQIseB1n 3sJVm/sG9SWve8yGsBhLrZw+CtKs00/IwukzzatE3/6eOgAZmfa1hgbV++GkjOtnee0a/sDhUeTW jyCHxeIXpIALK8R/j4nbzySw+NF1EYpHYpoBvxOZlQ7R/kqfq97QZNJOQQe9w5ZrUHnc5RSusyTo PNrx2dwM67iHaPXkeSg/0j4QMHpG12q2g9NJTgvUdr70XVMl70VYQAKjFAqJU7ff/ujc5HB5fbtK IMyN5dGTRLmoXYp0e11XyQuAh/Ua+Eftc5Ccr0JW7WF5l14e4O4v8ZohazDr4vtUAPza8NKaFBjz iIMt7o53pplifJ6J2uCHwFmqB9CHJ383EGs7GL0IPRtO51f+a1cciOoO1wrl7Cs8rBxAKfpgfv+B ybCMfKDtTRczXHS3HuzyRprarw0AmQVdPmp2aaoK0gCqYQhkjNbvgN6oz7ZPpcZOYdHNFvL6peeV r0koy/vWd1TEIeYW77ondtRGsdq0ZdAPMl/h0KeBBYEGtpYv9N7vP7teIzQpUiGnCVyARtodrPdK rcxrf+7vyAlYtVyEWHWdq/VY8TIaWSyu0dSwRLzvjHhLxU/uyqtFrFRwerJH0G/rX4PVsbRiZieD Rz8UGwFYisRKIBphzVVRqhSx8Zc/cB7Hl1EO4BoffGsVBMeLf1tATxy9TLQFVY4s4ZI0NO9dZ0ZG BNtuC5Dx3tRGPXYt4XFL9va36IfxIWN48794krwH9JqrC3c8Ceerjfoxu0iybLBWk1m1WNXjG9xn zbj6T9neiL70TuIk4IUH/mncf0c94dhIZ9sE1bkbu+uAH2p2BqFGSBSCYDs9Bw0ZKMtpN990/0dB 0InW4KFuMMFP3EZO8sQnEVdQ/aj4RoHQqbYFr7PyZqHJ9C2tLiX13ERccm72mF7xrQWs5vDSNLqi rVXllhI6FjNUO89MIMClUgR4hLO95qRFjEgf/50oeJMz1qHmZIVFdKqyUU/yjftbNcJ0NIpeLiEB zZyjbZBa8mVQSX0jCHWETsBlR1Pvt+R4deftpseJofvnGnXuLZ7rmdRLJojkuaetegYhsA0XobeE tm5mmYwmNFfJaDdzANM45j5OkW9oS+tLdN+7YcGWjaA19Q5x0y/BUJByZsy3SR0jyV3bFV00vLs+ vaIGGuRMirfCuPrWRcFFvuwUfCZR0d03tunM92Xo+OWyTs4kcjcKkGIuj45eT4Sq2IUGLDOIT3el oryLdUkYKSt9PvLcb6jslfIk75dxqaKA0gVF7e0gFWDUI+5Iobotr14NGKOpbUbX6UGSfDr2qroX pzwQpdjTGYYyA6Abub8PIclrdakN2ubfASgpZEqefbhmBLvlfGyKyrtElZ9S9bDwXoiEvFurC6Uc CZNip5QBnsl1vhAmVujellVVffPj2zQdGGJdUhgb6C/pKNdzlKne9a/jx1eeq8zpOBc2emLJN7jX nnTSRLWAUU+soKH78wbGdv6J0/UIe/F+J13iJwMe9jh03WN7THLEfQ6o3PXJZXR0c71fHJlSxoOI iVAA99qAbLJ/hp0WYhhmA6ObG05wwPlCyB9kxJYjaDwL2bgfN84jBuwKYwAxF//7ql7nS58Z71JZ tc2Ffz3FY+2cdsqP77ZXRMID1KilJ5rnkVkeo8Ym+EfZEYe29Yw4Dn+yUWATsI7QNkewqQeTZZG+ WcSXEEg0qr2gHnBf9Sz752fLcqGGigpLUc+cQHTaYUrzBq0V1uEfe9kUdDzuop6ZRAXF/5/otMQL H3/8DSPiiI7ON4Wie/V1AzAagSwzoVWEsAPRY7+4i44HR8aZ5AkZAB4uU5LQk2uwrL6jWRHorVjC X0if+/Kp3PUAMw3GYIh/tKAFrYE2onVM42UVHF4E7CHwAoGm5ff3krjQbvk69qlxOMg0vtC3hc1M kZDRJEJUNOECMqC5F/h07eb1TAESH35CIjZ6XZ9wcX4fYFdezLovB1xHW+SOsVvVkBnkq36xT2b2 tT6XICIVd/iOEajwlIJ5REcZZGedJrfTMkgMorma9LXC/EsCu+2R2QeEk/9h6Afi6W+8uH7SfhRm k0dW87e+u3Mhu4JQC/AbgOaGGlPYTZC9rImqUyUDYcb3sLTIyZgmrc07zADrVftbj6syNZXvcW5i ndutsB/UsynghQ7wLu4sRnK6BK2iwWbfIp7m/oMKgtq2dx4lF1edmcDD1vBFWwTRCuJcusRBRDxA Kqe0P/Rg9HgZBkyDdJ7Ln4vwlvfcaTBLfw57u7n4hgU3iZieBSMfmn0omr0OkO64ql7IEjlYYb3e OYqg1tGCIAFCbabZUBkF0QukSSL3FF8QOHHvGpi1BiZ9GIBcR1ktq9aPcV1G4oDYtZLp7YePPFEj iEAM8wOg7vCHRzAejWa9W+7CmNfgjDaJSdCT+xm5R9tdzVnbL+o9FfFWoqI3X8BIqrdgYAFxQFHt 7igRlbl3rqTlefjqrquIOmBFOOXt2OL8qc5DCQ2gVpxcoqJ57jQjTA6/X428xfF5JWtymD4A5ljs A2qfllDUxaTAPDr1cvZtexNqcaPtOX/561Z0iBAK74LXmJG9oF5YM0S+xvBWjyIjEk5aPqBuC7x8 Q5pxHb9KQaoLNAoIK73GuO/UHQZtp117NLtLAxdT6KIoOx+1jSbWDev2kudyrv991KgUJIFdScvt YYfKmSQr4HoPkHQWSH5k3juzeYs1IJEpiTJ8cVLsH81fQS2A75iMZGuFEIz/Iyz+SelEi4BCqfhX c/LGEIxr0dEPn3uCLriePD6WN1dPxPaBqBAW+iDxf1H/7+POxfTBpF4JFPtTmbjvOZELY26/nXik t49CFH/PpCp+4/10mIEwI3PPOGfoqNUbo9jegnR/B6m1xAY0q8SwVNqrX2+bgTdTuzopePqxiRYJ ZKtogh44B+YhaQLCMRsxYL0sndwQoyHVGUJ3APU4damvzLEdJLZ7jyfiCyMdRKCuxQjuR8Y9Bg7g bnnBAYp4qh1uiAQh7RXwflkIkaIR8VDkuNuNmWZ3w3YTk7H+HxKqsGCzVh+8pkDcGDO8PUddvUaA ajkh+xJEck4KqCNOPoZ9yrTNI39NyIp9VF8fe2lJ0m8v4729O8h/EB1hzU4zOSaNiL6D7pkRrmRP Z8vZSF1w6ZC/X8pUJKQTnL/FFhZbc3nMncx3GYGM/XaswLTWicSfzLRgTj1PuuL/1igTawIwQqo6 K2y7Jr6P0nG/8lfZO/+NSXk80o1oYj9IWVJXxw8NCiA1MXSJ9+M/a5DwIZ03Q4wmfNRteCgPCCgs 4rW8pnuYf8rur6FP5ibX1h8VOPY56MQz2v2BsMqmz8mJbqJfrYWmau2JXA+1YEnGNFG/sXsAM8oM kMN+J8dVefIx0//a4ZdkRqEiDCyw1+shs3Wt0D3LyrWp+YM/5tJWKnW+UA77VVDHXMluy0J9/p4X MJdJEOJPDoPWHZYOLKqCsU8JEHjMYCzHazdkEPdxngx0YaLtNT8lWRXEuSFkUh7nhK+rZj2s/Uau Npd1DJmqyITajgryDleb9IHAPseyrFdIBJkHJDlDzJEMg377sgl/s0hyTZWBH5Cg++bN6WWDF+vz URgnXUjfz195/98E2skXZ2q5I5wCX21qIQZrhPh4sQMvSHZ5/2ZTo9nyVp4NU+1/5kOHshKoTII5 IeauwzqpQFuwiKy9zk43op1xQSpolmtmDZArym6Z3iCQgtkckBXMO+9qo3gGiIMI8CNGzF38Pncv 0w+xFvzHJquaSptwI0+Z9GL63+wOvuxdaT+JSGfphjEDjZhD3zvuAXqv2I+RXvDUEeFyyF4KbQ2L 4C1oB7rkSqQfT7+ofWvDBdAOwgqa3SWXbhNRvNZh4Dv6fjSWr/cnZu+3DgplJLU7NTIcPNn8uG2j ZbKnlk11EsknsKdfSQi0zyq6H3Y+ek90Jojt8/oZCLFSWn1xT7wIcZUQYpXotj7yen6o7I2crmeN ynXgNtRHpbeV4k/4K81eP/riUoFs13L3mAVE3tH3wRZ7hcd35j8qSLbe7AeNWvUQPvc2wPnegz6R wZNEiL6q6QBxz+AeLkAbxpw/d/6EMOFjbyVA5CPxnRUVMTtOOaBQRSE7PrIy32btL6ixkONLKAmh 3RyIQGFpr8YkBTVnvktojH/8FuFw8w7oZ2LLK+araQ23Sm6w1pH9dt+OLLuoGCEXBiBKcD8EB+QO HEmh23jY5FASLFLMmRGgKhloQqyiMpdHoOmosPq+mR5bXeuTAyIhaWM6Tq56ZaATXA2NJCnv99qc 8SgEthLjMqqzw+d9fHCzDhRkJAuXyhYopyJ35XqghrPNEXYQeRKaaYJr0O0mdHrlpm3TQ6RnqVc7 ondyLUdeGKDzTc7HOd8JhDbxL7C5d20J1Q5PiOiDBoc7CiyBb3XTrgZoqnVBdnbxaX1BZuM/xNCV u4B6gO8ZIEh8kH3pqggF0nkazVBhSLUWqSLskqkqjORGZfDaelxKyxb1yj9EFWjJusqgf0/iD3zX d6/I+i35X2D8Vz2jDZjiJccdqu+0GApt16HTdFrn929x4MWWQjzgjK9p8vEnwqLH9J0C3Ph+CRdV DLX3y1rvTGmZrq8g+vZFzLlm1tRpRR11OcGDq5iB46rQj5jqBePuv7hYAa5Bia2bUj1c6Yde7dCl ZDXKqWZPDnciOV/hRM39A8+BBrh0u4Vf8q1QNfua/drPbHdiVNsXMpJFFlwBhvJgDq1bsp/EMjuo YY/qvaMuXoyqwLYZkJnD2I0QYao54GVZAbZMGUyFYrWLbmo/kqUXqdXy9OZKQdUDpzL4zS+dFZsI ylqn/N7LdagDrJ9WVH9cd9PKawL9OE7u8h/MUVf6In85oTIrhuO2bD8BPThOZpWgQyM0jZEWdQ5P B6/M6RlaP112YPdUHfjzmqEkFTaBkZJikSygjpsVpbi4kTToMpX4+G/fMFOzpgbCN9s7h5pVqsyG 5Bpvlpt6HXOn9a8SJkoRME0NcYXTyLDjnbnKmXJUM36lfbLUbVJyLX4JEZcJBV8sMKKV9wDN04Xx l665Rb6/dy3ske6iZeahejo8VttyLrFsHp0sKrK9S65NkFVcAW+TnY0Jh8VNWMEvxGspeZLG0Wk2 PJhXVXKarsSonXSAQscWwTI34PWBh8cJXMLg48txyL+cXNx+4m/Uz1amh1ha7I2Rtw0K6WQd2UEu I0P3KbD6LX9jTVXftpLQHno/ZwhFl0QKa9MGu+BjjZRXrNuhgJov26fp7XBhqH/MKObPJPQ4efkz K8E1CbVSeqXxvJEWgVu8COVTInWUShlPNty/Y0SXIPepAqyT/tt7JSyRDeoXPOj6A+ZagqA6TmOW Op6JNcMNxDkXck2EeOvQ+UyuAfbxPAEWVxiOewHHBsl8vEphqfy2SFqNJ46n6mJd1hUb4BhrLQK9 aKDNOJ4YpAFKLOsTCgP4eMHegxaFI7+k9845ruh5bwmFesqkqNvVIHtTuGB+q5zLuiUiVEvmkw5Y 0up8dOo7cn7Y+NcHruti9LY+Wgockn61Fc2K1Aw2tKkuC/DFWGaQ8DDmuGSUcidqI1zKfV0wYvdY mMLFAMcz37YMl3c/57Zd6qC/wyjC8eM5h6lO/ksto8tadM+xa7Tcj4Hyg8Z0JaRIeTYvGi2muYtG qLc7kC3HNtcTuKejdEQ58udfnJ2L5yL4aPcl0WP4kChanbThBk1jNs9Sml0+dBZNOCmxsD5D6Lg1 Jef5SuRSUHpXyZB2vOMQmiDsXxmHvpAVJ3fHyd28HWwO/wdnfgqrGVSMniWdVuFFtEG1ChvEFEkw xb4NfUKAuzyZHMGSMoTjz3Ieypq0cm0rDuIUbkWr4pRGU8iWNtxFSEz0z0iPhO4Fr+GvHo8gYNpJ XG+oXEm59X556nkZPAZgDT0pglr3tgeIVoSJCE/9unJTcRTQGV1XVR8ZggRCEmVW/qi+jsQr3RNL 46M/OjFatky/NoUVhk7DslcEXWjph195Xykr2o9kDqM3KQE4m0D9wGmy/WodTu0c0ZAypnatGvca jZ5QLYQeMXNEJe7TAgJ7K9r+klx7kFTXZrnmAbyFyJw//eOFeTpBk0Ik78m7GUSreolQwLxVmdXf SCWYL2hUm02fsVkaAqwe59ZmuwMuoYXWVssenWcGh9HELljJIMa/g5YX0wLgyoyInu81mdQVGfJx CsP7qipcumfapGuuTTqqbED+7njHT4rk5xgc5bn0Wkt+go+wLPj7lHoBeObz4goHAPJTaRgJjyWL VUfo6fuNSiQeM6LS6TlTuFEmxV79vspZzEsQ7dAYyH1j3dq/ww9SqKp5fmB7OyQPZxcWj0ApbJrN lBPaqOnijFVhbwozA9oxitfF/RlsBJdwNIF3pt/gSZTXg6JCK8+VdCdp4bD9hOBRGCedQCNvAXlC jHOFV+AsfzGTWRDY3fL59y6B5bbxPb/EgviprvtF1vIxgTc9lYtpAA7vLyuWhUUTYQ7KIhgpSJ6e /mCP2DDqxwj8QCvsDtfT5tEM6mg6hEGGGg5BwO99TW0nyCSERSCt5rYOdRFH3/JIfLaK7S2I8CnV qr/B7x04Ojktu8HlrYkFimH050xVGrT0NVvLkVuW9KqT7CxozOqcisa04BVz8F2c24NS3QjSTJBD xNkDYWueKyfBtBsKEdzLJhO8nKT/8mRWmEosLlH4W+TYNUB/zgEqRDGoYJIq+ZDswTTHPNMEKURP GY1J5B+48prX9uOri9mYneYko0jONS6te+XZTrpmr5Eq/qAOWphK+k4m2PyzL/dXh+okIlytSVwq TODjv6uGyAOdMS2fKzXOZnNUi22QCKy7gouhEiMaqw0bg7zrzz66opVEE/8WIfgdtTFuJcutu8wy VM01nssoCeHtSKy+I7sUaujaWGoPcX15Cj0F6iWSUCNxsfekcaiw8FyRjSj6s0vGu3+GNg/MDXs+ un3ceUuj423K9cFKN5SQ385Rg4+ovyQtaHgivusc0HJ7l7mFhlg32RBA74k5Qfw7gCZ+ZbC/uTVh 6eWFMrgMRU17zMFIEX6z9WBmob4oXsloGucqElylmHF31XySt3hjHRdiCH7xVFDQvI1z5g0+CSIz Py14NuE6XRoazIt4q+g+t0uFogACcXY569braxsQK4g2NMqpmsoSQdxgDuOwNbG/HrnB09GhLKXl Ocf/YSsSCvRjzfW+j6qYb85u/rzNpZqKJeJsW64Q5DWWBGfWMgJUe81a43g1lIK5+iicmk0ZGENr 29wcNiFyu0of8HVSXpKiBXUsNveAaq1JYlWB+PrWXQsNhm9JbB2hHtdf/waepGvUJPhxLL3LebCb fc+tjdKdXMBXVIkaSoweCft6cDJgUvqpHm0Gi3dVQbj/CooRZ64UXO0WR6wA37PGFJEDIxTmlEvr xYxJJ8oQls+IfKnYhJ06xlodycoEKD6KoE/ufkHUYF7TIIB/xCKacAGiQ6ipdyRsWY8rzE5J6Z+Q DGwMv0bEZtD2v582JQgnhIeKkbFTKkMMglYw6Em7X/Y7xHb2l8aDcwIUoorESzxVWY7PVZQTZZ8h MXI9+g8iXFUs970YaFfN3Acu19tEgTcQo0re6Awej9I8geWHGjMKAkWZmdx57dl4hJFPuNOCkmiV q8Tdy4lTZ7mYXrDHpayioAe3gQw6X6rlqG084MWiUVnuaLsGjI1NZ+0jiMoPQda52eL3aJH6MKNH LcherPL18XtV6/hC5sLilEuEMY1ibVm4rjl2O+sXvse1TpOgDnb2D0I415L5ucXbXhKvtbgxce5I h7b9/XJRoFpHFa2g5vFy/+drTPi/1Nyp8+UPTsVUosOa0pPSv0hbapt3PM0BaGrVEhNmyOa+ly+m 79U9/UiNPGtWWvqP6e7lQTljVPU9hRa/h/cjKfur4JHgJNKmXVAu/IWDJo7yLIH2WIrJw+OuGG6z ELMHHD4i/gcmfy9MzckgKUpccl/J4UsfL4cJcDkbZywxFKU6y97EtnfOhzHEtW55x819l4i+m3pz Kejd8tLge4nN4JV7CfgkW3MDhgYgdZB55VpyTnndWUwCPcTWNJHZbrl3QSyOEXfa06M4S+3xzJIr tvdWqSNwQcFmzyaaYGQOtTQhg7mK7UeefVnfm+Mzi2MUonAFcZGR8u3/uCyrWHV2YeJuISnjKULC zmDlNTH3JkzPQsGF8OLW7lsMhgAmxlro0hb4FNG7YE26pbL9zP4n6UiHcwgP3Ox3JfSb9p/D7CYo e7DG7g4YwmATK2snfNBdtdHR0SPPDKk3pnuUDNo6lBVk0AWfVbJ0KcALbozCyNvBrLgZTwNUeKpE cDTEN/X1bHzvzVGu18kHHAIQ3GEKs8gXkMiWPI6MeLYtXAmyKVx1gCZ40BD9Rkey+5X08izW0Qot DdyGgBU7A8laUHQlycB+TyYrzpNADXiBxz5DxZ0IAwmPytlb46+waeTmTGo4gtRE+2kkASKi5tRJ YRDSezzxZxwFqgLftCx41g797EBB/O0EePHZJe15Io5sA2fOJ6zlGcOdYgYegxXnaZ7wDYoHZHbD tOhYOYNTQUb0mx8EkgHlyuYQX+oIDpoUMLBkh9RycVYIabAejcHIwv7rfwCLrKEo5P6lIAqFanBl u8JxcQEMcjiYhcdgkgDCuhnrPAjD3QxXc2QtTeN6wvxvNd105G1t0Jqoj/YJBfyo0KKKf/DjlZhp Uj8ynVzceS+9xQFEcD8EeLgDAitS5SQDtauMIbYJQoBNgbOp7/NFXLseP0jrfhr7onf6zx7InqYB cGPrpwD1SuWNSs/x/cozo5ilOOBHQ0Mf0Kb6sfLcAN2rR7e+pXXU5ADsJaE94rUBpDMFNbD72XsH jgWLWWOTrks737mlEXrW3s89QXpFnh/9Ap3fMYvpZmKndV3bNcucJfsaGppGuDh6jfbcdRBIPjJI jQUl0LhtaWcnukJBf2CFIe0ZMPlalLlwd3IEngQKQkk/oMuhiMYtdMARvgiCutj/N5Hg415h/2+K sgXvM2vnrL7SNzVW41qDF6zXWdWgljfL29tOHkrs+fvcJ//Ss38LtdtRL5QZ1qhXNY4AZHt4AAXx Y+p31iMe/mqoaJSPQUr22bzVupAH2JvJ1t9CCPIfwsTGx8gT7jfE/FxkGV7vMyyncFyEwjiubhEo o89SNeLD4qgtIc2EejMRNVkYBOrriioO6adxEZm9DBPcCsikYSMW1eVfsK07I2mozLtjmdafXWlp vra/AGrz8jQfW/Kp2vN47Gldex3LWkqFU9ksoUcP0kewIJWJRxPqIVbnI/l6hPcBaqv+6Hegjlb/ PbMm/Dztd1Wfu/MEH6s/vPDka9XeicCYM4jqgKzQ1qOgRLeajYwzs0HjKx0UPsMRSp3jeys/vlhC PrMKm+NjIZTxlZV5xX4jfHirm5AHIXjIOBChHVM/G0YPe2tsSWTTLwj0r6Eyw8AWdE/9d63tdP8L Lq3+CkGQ3rTBvJC21/wqaevqSHL9ylImfD/WDmfn36x9KCGb3DDbc1rmrMe/wBhiTuzP2+CdT7Zf HFEnVcl6MeSbNIZQ/BYelx2jQecWkbmfeq2ViH9+gPQXnITHV4AURYcKcbrIyMP2prCS3OZ4xNzd cR6LQ6uFsG8ulMHcYw5b1HkSQ5JGvup5lqCW5kpLj4/yP63tVKFSCVTn79LVph77aRgv8GtRZRND Y5cQwWQOgWXtthdBMwiTstug/6XO6BewOvGwsMahA3QeMJNX8eV3YMLMYsBC3JB11m/y9IumRjNs 7BHAAdgESrRC+ATVlH+5vqG7JplEKYmg1hZrpOKL5EVyFMdSGedn+649/1VNEj31XTZnhYh48NAD f+qzDN/nadhhZvjYKoLWGW83Pa+RluUKy4xhMF+75+Rc5Ju72Z6CJz4ZLblkj8nMwgM0kWnFqCcX iy/nEf62TyVwgT3psk5J19kba8OS5P2X+zUUyFGh2o8TWQ+8QaZK/5qQmy20FVyGb6kaddYjBEYr FIbekEzq3Y92Y8W0z6kuu2RWLhyxAy5835G2/jPCBf7LXGjtXzNMb1hrl+vKpW2S6BDLqYolTYid b8AL1m2vdRINW7jfktks/zIv/X+1Q8vWvxV/6o024Yv9rUZ/WfnZFzgRADpBe91IENKlHXJp72qs dmfseErhYsfsDz7REE/jIRnQG9Lsg49Jxf/vvlMUoJkrm51+JvP2vt067ZnffkbuOrTIlNOcWyuH ChliKfu7yVnS1RbF1035ZTbh0F7g22Lo+DgV3uDWklvmWo1BXgZbBy9zvvEy0E/A2QvVjdzpJZZQ Gczvwc7RuyLEzHTNalFq03OKNT/Kd6CgVw4zmD/a1l4TjO4mU6+jEYhwE7oAXi9z07vXFGuwPbHL onwo2dqdbpTMHvqtnt7a7rKGQbVCBfcU+7M+ddPG0cEQhL5jwe4uD4ACZg/JirabdKOMLlCk/puW tMsdj1Aq7Awi9tHmS4XWkeI5D6sQielmwBdkKjUnVV+UbzzSwH4wPXpdt3ym4QKV8lqwNa3RQVMB gw0Yy/fmihU5iSeSvdcLDHwfc8u+MFNs9i68l3RgxPGdsFVV6D7BXzVDhElhEXZotwy/Uad2VjR/ GdH89W0VrS/NEJf5zmaf20YemCuiNqWtNl1xENBXNklGeF1hCKAVZOJD5UPJ+FywyGFnfHvqPDDY w3PQQvuEYnSFSvyin/vwf1eWYT541Fu4gRKPx5qnBa3zi9BZHfFhK8R84iBjh+HOxrOyhCe9Ja+l +yi1FvD4isGYkp5WQ5eXhE5wdUSb5FP2U0E6stJyhmANPwdHlh7RXHHdwLalVHuPFystxzpJfk3M FpKisox2DvkA0UjAv2MjVCJsxRAcvh0zft4Hdgirz5EmZdn8S/CpNVEwmG5ZBGBsnC2hx83xqz26 9X4I5i7Et711dbo/7/aobHjobB7JAC6pcc6P1Tlcu2nnXZFZdOF93Ef4cquJJY0oRHNaQHM9GpT8 PDhHojHqHbQkVYWhZ/QDeKtpAAx+FnHxaXPWGubb2nOK8/YfO5sUW8mXynx43JEBKCzsS/3ThKzu Ti5/fSy43KYcLDUPtgq9+02clYIfsADMFgO2Tu/tv9g3awQyzAxpvNtDKForey97kkxtriTDeVDO pjEVsvv9c/iJCLByDNq3JEbCuQrL7am6WpRwZKUdQt34j4tt1fzB7ErR3gVerax2uLztgk01aZlD iFtgCzbVQn5oNXyv2py/5pQZ2hzwjePnesvLtiNlER7MyW0UpmJ+3MJxUcsCwSAZUaEUBBjFH51i e/5dzeevRpo2TR0oIaRpvAaXj8ipz0jPTOh/iwnPQnC8pCfa7xQ9NknBj/yTGD2U3pMMHJz2anMl WPbhu2yEQx1HbAagm/ILWIoCt/eG9Hl2fatyFTE6QNN74wioEM8BKiMR2AZu6Bc+yIvleTVUIy14 IezYA6pGmGyHGIi5U4NdtIgjfEqBO16aty6oKBqEZyXxHp6C4eyXKrwStuqnBdG22dZFojXMftN0 ll90gWkLLziD/9nF2DbT5vYILpifVtyHCQicNwV5MEp+js9UY7oQWVIPqxcouDAUxjHxxaISrCS+ evtony0qM84Qnez+LPJROuK3OwPcGNFqDT3Y7w6C7NQrSk/gSSEbcu8Jgn9FhkVc4kgkwdE6XGTC tMY6676xIO7i0FcAKD8+j4O8Sw+uy8Cw8KzdD0h54em1WfYaWKdzUZAhpOxXXGvhcVxwI/p5f9D1 ENz6dI3zAZeGiyyJBRRpyjV3lOTnyKW4wdhM3qAXxyTX1nRh/J4qpwjZHCe9HSzQk3QKB7XX00Kc aBB+uOWc+Qd2uk0NVJQOzU7pXmCxi/kT7yDtniqbuSO7IR7Ayi/3hdtN9kB/TOCf4k4jQi2swkxY olQv59FNeebaf4Yp3Fq8s/9pLh11QIX3dkG3XXL1Sn7NpzSy7unOqFGpOdv52BDoMdL8laphyPFm Ymn4+mCH1LORTM6ha3dWmrXpAJhCLEM+ce8/xJdOOXm6QCHgi6JoSXJVQfFASamwjF4IF/q42ueX pWC6+5jSulmA211q/gyB0g02jziAyi9kjI2dueeeEWRHTBOQ7iXOAObjBwG54Kjkv/1hqncU9QfU LBBVCBwGLEAP5t78snkR3IEJCVjdkXiG1oJpYSzuwyIAgG8BB0mztp8g4D2q+42x1o3vdojzaeCa 4/PT70wW9l5dCPJVMRkTSAEDxhi/poj9Eif5p60eK8vxSiUkr/1pwjtLt8A/ktx+CuovPJxHCMLD PesQv3lzXpyEtm33CprXoPVGlsxxgigd19LgTkdgXvNphrqpiNajv17DOU1766/8uH8VBs2+NaCo Ls2I8jCC567ghYEi2g4qr2mHY5CXNHrLnz47yZsjLCv7jB9y5HaNU8S/cCQRvLGb/OD7xu4lT2Hd BtHFcAR83/U2grVGdoJkUH1FHCcgSk3cfYFSPkTUI3A5ws5QyJl1BPSewyvzQZqVyGJCY7LbDViP Frklxs9AjMAeiI5pOanyzfJndHmPBZKenFOj6VSzARlUPYBnalQ7RKq9XhyDZzeUl+/zqAn/AH/m IupjMcFS+iZQmkaJjlYGgNrKgQO3hPbl1lFWtuXWR/iyzENMkpLHGXlHWfAPdF/rAGqJNLYT8732 EokBZFzwA4OfQDDJU1zGKe9RD4gZIeVExxdICDP5MaN5b4/2yi4SPBvygPiEdzxTu6QEZihJODrG pOcExON/0SktftpeQ4itNE3A4Uzs6A63peSeMUA9QS/1r/IpIcNceq3XCfr8jMFsmfE3BmRgxp/B SwLO1fSIHNIGS7oZT5pCvoIyw29SScdv+6Ke6NFx/Q0PeFT7One7W1gduI32XKkK9tFmw+XqNk3K LX5QTSA0+/yM+ML65FY0VsyVQ3e1zlKIiK+oAKEz+9s49qDTZ1V55FWcvfTTWru/9vNvKZBmjMbW yvcZ+FAnucwrWZ0qVu9GhsDmLYwolWHctiE1BQS3vVMJG8N2sFTu7qk4DzXgQ/Z1Nfdl7gHZZ7b1 ywwPb53xhWIj/99294sWqRfYhFrhnUIQ0ElifDs2/e+/GwQqOCL1cWmu6MD/m2Nzc1tOOlCVuQ5O AOwrdM0Jv739JBGVM5jqfhKCISJBhTdj2jMRovxOoUH4G0+IqPDQQD6d+it/Wx4O1R75D4j6pAfo /ll6dgAazxZmCv0GV27oOR3KRFTONhowApI4XUGOd2NSYbJkood84gtpE/+z+8s5Hz+4PbewPCoD YzSBAvptpBvmjN6kwCA9bt06ZG6pFWYYI27zsWrhXPa2vWsIjMAcEQEdVuiJHahM85QqpPOCxX/h vxNWaFVP3qRo/anTG2vZ4Mhc7wETHLnE+mbD0JNqKRJuUzcT9c4R30oFfaoNenua2FA3gAat2Qzn PMlhpPw1lGvgwbsDAOyDvKtDZmgxGh2azyBEx4AgpUVttbRUO9/1T+bmlvFByPQo2YxUrnjkAo0I YyAoTwjRoIPAAwW9xejtG+olA92nJ0+tGwOcAY7Gnv1zOjZmD8zI7b+KEfNbEzpBP1Mc23yqalUU 0ZXe+LzcpLm9hvXgVbaV5T4XLmnUI65Q5nHWbc/fOBItL3oVsoG67yKGrOXJyUusM39v8gJBQNw5 3F9Ts5TnWKdF4MAKdL0M3BRsLFuOol6bXgk3XnxqdHo1ykuxvB3ljuTw4BWOpaJsVqpcf5loRXTr xsqdy1g7WVycEe1p0PFK16fv3jT+2pkHMcv1qtt2N7L0Askc/4FNyQ0yVVzsxUjuKx+tIh/qaZqE /wbGcIaU5ia0J8zo9sOwxy3IMc4ZN4k9rCCC9tl7rzcIRMEYbNg7OnW4tsgENJ9CojWWdKUQBuCH 3uvgfSC1kHOJ9Sw41iuKPdHVbMuXQZuWALRAx4U2/eOvbh/PtBVwqr1SQIelQGcv+JeN9nsNqh66 UmQWZS42AabKy1nWIJaEtctBfjc1QooNSQOrwqlob8cVfYJxfoA87oxirRmWLrFkmDO1yNiORJJ6 8sQRX7YnfosgFDA+d/YuHTY9CRaX0qbPs81b//7x41csrxAxoFbXp9UU5/fMfYjsFyVim3uVjnnB pFIf2lVImj4nuCtcqc1HP64ZPIIdxRPEOPB3qwKXQB4XIaI+JpdE5KDMO+yuvq9Nfgxc7WzwAJhs Z48vmq5X9r/gP3uEWCV1ejUp6uzNJ4X6VQEX7wnQ91AWK9OP61SaGKilWZb7NI/9czLEvpJ7Noac qCBf2TkpcHxJf4SdUbL4W7s2wPf8gaxpROuTHZAE/ExyLeB6EcRoyZlrj9ea4kciBgiKY2VjPsjm INMBXvh/9CcPOJOeO5EDxIT5peoOXxBpvkntteEjaoGuA0RAXpBX7x2g/Ve4mxrmMjCa/YiVOG+b u0vINk5rNAWUSq62WY2qBi3DfX6PyBGT4tH81B4yjzLmVfv+tegoQuXtvooecfPEb65ipefD85zW E5tmtZqacfeTep+z8iMBKDibe7xGAO1RKrMZmXtdQhoXvN0Tk+90vmEyO4VELYiG+P3A1Z4Nk8n+ GSensHtrzZDb6i6tWASQomPXamB1k16NVcyAQYvQPjIGJ53fxy0f8Lf4cAYpNiJa82KboUQQdEjc zGPRmoGmzLE6IwDbiXGOC3yXffa7JOfYhcwzxiKzd9D20LFdpIP4hViKFO/nVZj3FIG3bmJ07oi6 BdMbiutESxtIdVQGGWyFvqtsWOJyZAVQu6caSCs+08x5ILd3A6PKMe5wewcuoI9++u1C4B16yxX0 Cs/LUIoFAGO/TmQcbJuLuxAK3Ve/rwz5dywyqryEWsAPOp6R66SaHuOkTn5/jMo1SJL5C5U3xoKO k/beoqQHn8PXg16lcZsUXJQMz8mOnvrzQ6PcyDzqHCGobE8HmI78ADac4PSW6qvXsyTmI2MaffCa xjiUZFgtk5htWTDTPwyDtiA9tHachbawVcPv8RiQJRzNCvBu6FBVZRGilzQ+k7/14VQHi3JKsvib MTNkQ0/05BN7PDUy1RN7bN6gKPXl7U7a67LlDDFIhvbYyQb8nAfh1KmL1Ks+pIV9pSIXWnsWTAej rjpD1bqlP8DubNIC1sBAbrdyqRAgwts3UfiJ4d1UKYYrNGC4zJbbY0mLZiAfL3mS2ORnUAbfmNYj 7I5apmI+mOsV3KKm1EArQAdbHLdDSxh1SBdsjrknVkLnw1cq7+47OOauEJNIF34SGUNkvIkvbhw/ 38hIzC7SqtJktvtK7LwC/FJj0Pm1pEECbLDUEIXl4AceslFJuGkACINlW1J2wyzNV6xDBPx0Exks 5sLvHyRDXqKbkH0HHcC/vakTrDQ5G8GlppF+7wBNtyGEMySmsFXw9tstA9HCSc1YN6cdxTEk/FIu hDvxswjd5NR1U0iJpNJQYZlCrEDLkkxxZBMPnUzk9PlMx89tUbEBpai7VmFIy4DYVutmSL2ATBKw /+Jgn0husIo+Xd+DeTs1rJ8qB2IFt8AlWYpXDV2WKdgp3pkR/mx6dgcx4ewI87ZhZPz8tASKmhnZ dO1siJYliGw1PU/g4vqolOQRlfP0/A/qQwvv8y9klGNFnXekS7L7uHLy3fkEKP+3VkYVytNcUxgL iwzmigJ/NEQAQNK1mx435krp8laWohoVPXGe37Gt7mDbmd3Ak6gMbINM7+EMRhr6URScS+b+Poxy jqOQpyrVQ82HX0fFeMcHOG7gxoW+bOzHdzgW5co+5r5yTNh3wLGwTn5oBVl+YAkciAiPn+USarRG xdzMMo+PPCnxnw9CaeuiQ0U6rfL4CEex1An9irZ0fVsFPyFYimu5JT7lrTkXYCXLtQDgjR2P2075 5JHD/lYWMFId3tWBDeB6y1LFzElChdU2XVG+LPek+P6GX6mTDYFk4ip2XCs+HCb1Wxs+6uJtpC/7 uVx/EtFZEUZAwi650sp5C1R1p5RaJeHSxp8pPTFNMIm0iQCRxz6B+PuGDv7gFmaazyR6nUtBEmzf iNIlMBRmvbt8CaKazcB/+eK6fquiin1P8l9krZxL0MLhBHdfwGZoviZuPviLdxpatoQsMXym2NuH Yqm+AxFF7uuW477aP3/I4iv61/MYb3lwrNv7MsFBKgHVM5e+yIpl64BdyW+NXNTAh8bbAQKfg8mQ DGhp1PRDy8QzvF+8e5LtvVHARoJCN4Q5Vc5YWJtJ9mi60acG8rr0v0BytOphKn8t9cbBCMgocJ5I WywziqIWu9sB2XWZFfYjiHfAlwVmJi8M4OX7Lf41qsZdH2yYEIJQNra48xImVLrtVsn8+xGsprTO CaodfL1koX/J7z4/TCoeN4X8OCRz8SDMuOFMqzw1GOCiNWfY2nV1IXo7Au9uPKpy/66z2oy1kQ6p b4p/P6oMiJQqtI+aj4teebNVC1Tu3HS2IqUrRGiP2c6ChPRphQc1hBCkESZfdxqdxOne8Mch69Nn FKibuu9gTog0kM1sbEK/uE/uy0fVJf5d+chkJ5GsuDocjHz1bTsTJa1gBndULsb2a6Nyqnzj7qPR /nGpWW/+PGA7Huf47DGoIiMwgHR3SldJ/otYfyFJLxuLyQg+m0I+wDQns0mW/h4fSdkPIgEJLIOS g5ci/SK67O79EddhVEteG2dpj6mJ4Vn8z7BalShL2DhHnNZpXUJUlEobLX93tDg2NJmhht8XAsC1 zmGcbr/FCc3pWhKprHZhAIC8LOMRFpN/CCQofVIoCIYg6dShksrJKv5ghtSCvUGarOasOZy7I73o Xw5Mucdmswlz/25yt6t85GDRjXvsQoXPx6QEqMiz6qxTnqEpB6rw/fdjkaYdnTqnRAUP5JkogckD SwrWM+b/zPJ6N17dkUdgaTMew24La4dcLTjcaj8LK8IG/sHeMKy8Xvr5yINWo6x+5NTYtJiDiwo+ cHyRZaVjnFHIq1Be/vmjCUN2lm1knSeQrrO5Hl+uRvELdzs7qjh3VTmPB9adhulvfMsV1J6jTCXo 7Fwol8I6uYryabeIU8dcPAc9/yJRzT/Sm0io9emCe/VUnx8L+Fe21sVFN/DDpxPpZPT9AjRP4THB /+HoYe0WnqOFxrNQ6EjCxhoBby0C3FVnpIqTUUgZPSPuaE1vYS2KvspFGNSFqVHtuJkc8At3l0Sy avvsBZkYva3qgc3o7D7gV07p4DD0nHfUD6H87q7AfQQsdIaqRE8XFzGLPhBthggJGooBugR193IR xgjQrotXZd9esx5D49nF32rceDaX6D58mSDpBkSZNM82/vLyIXoEq7d/mDUFx4DXJYm7tu8aurKX tBa/8/GuEoIdQ0oDUMrJpAvpADAtSvkh6iXGEu6/X6JZk8eGLMxD+H461y2rbw94EKfhdswuUEYw Tpzzn2fM0GOKclM17SEJXYZuU7A/5VEWyPdlG5HcHM5lEa0oZWtQ4UMdBw+I4SxOZV4FhjXgvKeX KR47buprl9PpRHXYJHYHlWWrc4AxR+aD7Crp+q7/VjOmDR5YkXJngbFBZoG2WEI0ernYrdgC5UGm 1MP2cfZBLfHbsKL+/E3q1r8ZXvvlHXlx8QxqnKfug5vNqFjZbMOxjLKvzA25ekF5KwGuUgh9DJgA JoH6wp/3LXrqNaguyEBNC31MDzF+isQkWtvIKXWipe4yeR5/Tp0uUXSQImpZLa/fXfP0oR2ZlvJQ HMeG2G3kp9aFbd3Jq/J2dk86XLDUICgDYtVEzOlEq4Eiq8WWglUp9fQtPkFFvPKIFtOxWe0gsit8 4GtZ7f1AE6utW2/z2MrLkmKEmn1MtVtXhIkVEk2v6k5+78J03NAAe+7aobmhrd9VYb+HQUePGy8Y XYZnR3lthvSpTkKkoFJsssCEwtpzqr3szKYZiEC+MzAfwYwtTcfsYyh6aPdOWlCwhFf+eW4KmEJi +aiuJQOm/kXvCnmeiO2cAKDZyFW/yOM/piqkrhi06/oI/qS1IqxQo9CL6gEsL07TL+UQrG+yBgeY vTgdHLLqbMf4+cSHFw8CqhSgq7VeR+hEm97NCmuaeFxLEWYL6LHkg4z1kD7Zx/ZGym/kN+NRQ0+h m3q1SdbOE3EdiMzMIA05yvPiGre+GYLMzhOa7y2d9gH7dT7OqiAWFeuCIQk3YKgETUHqZtCIZ/WV qGVsYuy08LKGcM9rcJAqxY9qEKNd8xjJiGkFMOs20LqQgmdnhT7EiKsfcDiJBWr+V/dL8abq38zp Wc85yXMd3PkS7yHzdRnw00ofsKf/0CM7kcf3xzO6iAswQbjSiwq9Rpt3eGrb+vioEmlK9IJgzHc1 SxQJ6YqIXGZm4v8ErhYwsIRW2UVh/xe5rxmeDyLhiibNMb3M7AbokTfpe9b0JSvLZrqJah9LLs2Z n9I+TWt4DmmrANGV05PSFRsb7cTxXJFtTkkPe+uwIHAJ+n+yn2h8lzCIzuPbb0bmzBcGqHEUx3nx 2uXRZnhrd0qpdWtUZzAdDzRqPQG47Uoh5KOi8Bc+KCTGGG5WS1tlVz5UU+LKOTQ5rlAt+W1h9DRx D9tKKOhDRNR3SrVnV7jQZjd/F2ZmamfAk2uPRS5C95fkly+Q/bzAxsMpuDxs5aP2iZ9LnY9ga8NV cE2+gI5d63S1sz/az/CoOlrLEzg3RmvX+umFOUD5YUQmjZKG3ubYr7J5DwE12FslkLfktG0GgytT so/t/8zv9g3lbs6I+9Adq38l9wEbyZrqqQUZipn170w/Z+biG69Opia0hq1K8z0Tp4kUfSUwB+KL XwPs3u7h3k4bb74Ql9fJ5CQyFete3Ael+u8K9cxLFHwdUUbCcuwO1uTqO62SmZEzbnPLHmsOVRV2 G0rqHr0MeuhVW+1prURJw5jLWb+oRzPgqxB2CyLBnG6Y2PozO+Xl5DyaexrrSiLrHH+dcWSN086a yNPNLqhXDDYTOsmxbHjapD3tGYBPWCo0Fex/fiTJAizCytv7vWm8jF3RtRz8st0myYAml5s3BR4O RpA00KEBpiXUsm8IexNRhrA4/4Y5clqDzrHf8pVZWkSgYaiJZ2Zd/k4MuJ1bvLItupx68y6N6xfC D0KO7fmbBuzh2VHC0f6Er8ledJo4Yd9CEQ4FUEJXRSbhI8v/AhZTVS5oVqDEprjj5501MgP+svsT qgVPHqGXgehsIvvJTmj6dtrMBYQJME1IP3JOc4yUzL+QCsD79w7MPsffJWpP89T1Ixr5p4tQ5Kj9 tVK53XTrhIYQlYnPKW7y1OKLf7Y6PgLHMkPvbrnBuMdeX4LN6wRXw90It6UQO16ZGhDquNTPY7uJ 2psmIPfM1PvlAxCzG0Xv7iP+DLrDj853w37YF5vzdhTsZDd4pp384W+RhpEwrgYdtAotoiIn0ivA ymIZwA53fIR8CTfuYtYS0bZq1Pdcopzjw3KioyccP+YXCLKc1ovMfkOqEu+6HSjQHk2ORLFqlUK2 Etv5Ou1UwX5dsOdTukGkwSXp5mNGw51BudvDnl1VjNhavM0uKdRTZfoFqyo/avGZCKH1/eRaMQTD gJmaPTSLHwccdyK0ckeUihiaQqsKjIecmu6GtrwXP2ak7CPzer9yEcu/iXq9VXdaEnNTOl+Aubno clZSFY0RMkU7Rul917tNpuJjqqoCFRZ+6GpHHjrSGnhMoeanYTPW7kFzmC0gZu/ARsAGrUKUCGZq cyENJdBp9WiSJZtXtOq0XLee5t+6hPHersAdkUEoB1cd72x8bWu7qPrdY0wvof48ZQVooDE/viLB oNpE22O1lrwZ41FB4qwZwhLWulrEOhq0gXXCunFBRwMFCh+NQaX8h+X8i8iK4TEixPPcdvnPoI1K BBtiCkq8NW3ogQX8oyoKLfdxzdl0i7AmN6GeCbhGIb9H+0csA0X580imh11fssh68LWo18uQL6sT N00rIaad7TT3b/gAzcTlYYlwQ7H4vPCLSnvLQ50n50z8VTRzXdC3svE4F0C5v+M6kVHbybxkZbH3 4sSOWlrMLjh6v/0+fFNj+D9qULhPgfeAtxx/pY5cs0brFSjN+MEgMyGenxSFoKjP6ALrbmB7MuzJ FmNvViXXEQENL5E5ATQcIri44XiiYRMl8YWd8iXLxTaUfw7GU6sofsYuyYUxXhbJnnUdTc3OuhqU dKH7tUmSfQYSzeWDhTDjeEYtGdEt0jgedXbxksfT7wNuJdjMP+9HixozkchZ7UuVnXW5VOfLiImB QgeGePNPnt7TvdQobR78Cu/jouuyeZhLjNV2k7px0fsSpcIPeXxTJJj65Zx3MZ/bWqtgXtIMMp0C 0e9j33tuiXSLGJ6hdqt0/NK7CDzGvses6L+g6WjJeOCo4EpcxfjItatUkXwfi0JgxdgvjYYfAQWH tI/BWXScKAzMLAGrxRTXhhhUYEmQXPdbqDl5Bsh1VZ7TezZY4pG3h/+mZTZS1rcv97Ev7BWXNjl3 GXckaFYKFoIv6g8GKQh3YUYbHQflKej+KRloolyeCMsuPy/Yj9Vs0Oa8/2qjIQ0a5KOHcGSQyM7B BLyac+LgEafPxQroOdd5RtrP19JpckDZ8zNNpjwtTKKk2ppvvAae2pCoA0auMdGBw8hgSTYShQUr o5RkgE3+1T10pjoqx5Q6Q2DZy09Eoje/nR34VLKHQKCKQ+v8IcVamU8a3xFJLB1t0gNJmBzN/8wr v9k1HXp1AxtYG2U62dsLBSxeOfZDHWT1FcrzL84hvAU4HeAv0Jhp4TVXtx9hD9zU0W5TzBozlum4 upH7/gcz2RqFvqih1YRj32ruwNccJbaQGEGkre7za38ctVuiDMbPStOm9PLHmyRun1TBPJL2q7RP OBVIJ2IDMouYClKtLsswaJ39LgqM4jifHeofJ+ANmHNGyEigie1vmc6yK56FvIjuseO4De8NjS9G 6WhesjCUbPO8Vv41qj+ojmn0pqGk01w9J4l3dYjnnKqQ1bRyTHcBaUY6++ONG7XOrFTth3e5BR9O esRnbZbnYiaiItIBDZYPYgx0+y9e+3AZ6deFBArsE7rzLEo6cWEKjC5ayPGZtdZ/Ka+W9TFmcoWC eTnNFRDhg2PbvV4d9FsgJpi+kGePjoZ87r242yMWg4OgAIO209RHdA1zznLQZHftFPKrt8AyVW17 41gMU6mJ8a2jeA3cCo8PuLDr0erTnKHpUTB5JdKkqwvYF9gywPhkNcZ7FD/m1NTPoAlTAO20Wufu JqTs1UMoopC7sZnL6yEuQrmB9mD1vwY6pMGclc4bMUMZx2QdR2Y5vAj7yzYpGb/2l5TeoHIPvwjM WoZs6DfO3wssQiiHT03VkqFR2y2LHJLjI3xI5X7lLsJFGDQS1lkP61AxZ0GdbyBkceXUnpY1EH17 pcnLOca6jQL0xuiBR6N3jBQhWZUCTl7dfZ5YH1/MeQLcBTGwpZ3NAbd6QSq3Fc0Bvl3IJ9kHyCMd I9s+sjk80qRzfB8+QsXuUcuK3VRj9wKbEUOJk8Jms8jkTr6SVTNNlJKUpAR1thCPooc+8qDQtuc6 Qp7mxVT0zxlp9og7AnHm13byf7JSWpKQ+uh3KhRQ5MGbvw0k5kshJBxPdQST4ySuKmvm01fyK/JH AsS2B+aG2zWncRHL6foB9SJdDutbsmIQvhU4iRgJFMRk/EpwbBfngwaRwSTYkWMfzyQ8SejZ6Kth 9lp+xKo4RzBAzt6UlXzFY7T1SZjQ/Lf8uWWD5GMb409YixVE6ZeqCw3xSzKnJcPpEOKDyN8q/tGE cXtbrOOxC6vaD6+zqvjptA6HUZuz0IIOyhfSMx8aHIpkma9KbaOCyz9IOJJSjVj2YPu7nJAuUWp7 4IkXndM8urFCBVmab6uTQrJV6q1nDaUYgaWlepT8dF7qFxPW0XK3W33twneAuxmHxZciSLsTwVdv EjF6NxBjWYUs62vXSSE6X9b+eCUqewIixt7wZSRpRXTb63JlZZuUnydlqhXAX3nLEkmRusn9oNX9 jwZy9CsUzfbBS1568P09b5azorD0X2HA+JySNq8G2r+oJ+KnTYiFXDV0a5t3Cu+qZ4ES4fBZnClE g5QNq0lnNMWUkbSCa13AJE6+axlOey2NS1aAtl4SPLXyl72flB54QyYdanJ6+VycBxE89i2SMZjv TbK3SW7e77yLboFiN3Vy3jEjGGbzQM15dPFJF0F9mi4zaGwIIL758rFdyQOqf0mdWMLUutwtH/S8 cfLqOacfpJNmkUUTE7t9zcOIwrnwbcM/r6GOcZAtlEJxjjiI8p8eXAqnyJEtNlKJqdK31shXU2wz tMZZn9WKgaPN9metByF4X6JebJDMn8+tJFDbgX0fwchsSbELybouFhENo/BuyqoG4GJbPhlHHcKL yRS6Ss288Cha8UfBe6zjek+j08VxUh0bGJvPQTebMWoA+OlFfvDcxppwlE3qWKpKHJi/QyiRdAGc agwgnOgFcD4MrRWmRpfBfJS5uZWkOFQ6ZJfL0GJf/4ntLcgB/ajsIWS6LBW+3xePzS2Dj4VaNhBN udIKadxIjMkR39uJco7KPFBZBAPfCRh9vCddWGZrVMCcFxDbptwllv6+hW8TYcmneUyDXZudzKcD KCRLB8NOoZlqoOM8bwPG6T9vB/X34bPUcDHc6/3x9wXfpYVoGW2JF98PFqPCvMS0U7tToy7YAZdZ w8fXX6wlt8/i94qKgBYz/KNoz5qXP6ju5IHY73vu/GD0Qc+dSdP62HQ190aP8qe0uBCq0fzoaGEx SmleYI/zyXgxkl5M980/PPDDSRz8iq92RX3swiWiDgs0njvnuT++XW6FGnh3cx8eQxfElW8KpnAp vnmNqmYqawY5dqEUlIZ0eS84VKR/VX4ROwmnAx5fGuscpZEtXLNHz6BaFu1UendVKIv41uDjEqQ8 G8tREQFEuqQgJQ035sc3LK83h44fM/pENO0mJQev5VctgumQcchFg72hnBVoztCNRQgn5zekFWfG 9hws990bEh2E7Efa9Asn2oxyaNJBleyFBNQIAoz1sT9ITOJqmh8MmxRv/DaJ3GGPtb/WjtuXlU4k WUXZqtyKR5UYkT3QOER+Oy3TT+Hbxntr9KL2MBrOSLJVpN/TL5NT+wb9sD2d6z3qRuqs2dUF1fQD Q1HZM7yj5/j6ejl6V0Y5GYyGjeKg1cX3IDCiKtWiN6pvGPoJDXvrsVF/fR56F3g5m6fKqJUD2Ado poLmT39MafI25O0uLeBjdsKz6s4FWijGkrwMnmnpxBz5Ns0ah8aMAcMbx4nGESLazYm9CqFYszxv sPnDFL2Io7Fp/DSNEQXOav2xu5qB0RbY4RaOKPCcknXXHQVj2WnuFLDdPccZVn1hEy57XDg9oUZt 1T6+bCJspJxlbD3RfSuRMhV833OngSVrd9Esa5F7YxWSuQrnylVCNVze9DRM2VvO/kH24r0mIWPU vvNjhSZkB4MTYALddQMfP/BjF6mL5LdEHDy/bHcQq1jUHJXdArqP/p3P/SfHzk4bepupNI6DrAYR TxY/8imxx8ZOlRTqEIlCHRwhxJCoupPxk841P3rUr31yHIVE8nBSgjdbAByelhxbV1uT62lGaQTy DeA6DwQMhHuyXUiH3I6+zyTW966lPBkPC3CjLpGf+cn2v3oICbvB9fZOaqQZZCw97iJSF7Aqtn0v k8Se9nBXHqZ9qQaep+wEGhkdAZzbmIQ2dL3+EQ3DIxBOKX/sxLniuxjjWF0mYpMmMmE9pfCj8KzR ww3mSHmMhxFeE/mpphcc1cMpnARpM9m9kIVyf5djZMbGUs2RhlJx9FUk9UMb4lUiMiDyiXFmzq69 5mjn2b0I5yfv6qGKgRWR3uTUnn/JBlF+16ZhFVBXZtl2SeAbYTO1jksRi4pUdMmkWIIUFqTDfwWe HQnDzD5G0ItNzWddEU2LzRnM4Gwp51mHyUbk2QVgUfo5Ja453GFwuJ3mSAsW5PIbimVZwSvHyacM 15THoNyG1HGCjYxGa98dLBxRadb0JizBR1ewtfriiIuJgcA2UCortMXt8ohO+rcZ8PdbJJtgQEI7 gn6AUX1vNa6a/SCtXIJqYhAWHJbx3Cj4azlz07ECjT/qRwFlnZ4BZ36nl3ATcj0w8ijxf8VnXuM+ fI1HhjeL4OUKq3aoCc8BC9W8A+JEr/7vhbShkxL0NHjOd27Nyl2R1RXwD7Z/QW7l00fhYxC14Qpl Tv/rjLXsaNThgV4J93eOR9xQ2KqcLxQgikfBjb3J5YKpr4Is6Kflm0J3BhDv9W0appuPYyEK095u YFJWKdZS+L2Lg26YUzYEn1WmZIYyGVZaaHqlDFz4wfr0OzNmRxDalS3xtLIF1M/Y5+CLYK05pkYk GnFUjWUHa46YlrWGEWamnB6R5lAbzpOTpjifyzz2OwuIv1BXsnUpr0TXmTsRo7pL5NC6jAuCDXXD 0x6g3NLnZZ3NwKY1s7GuJNLIm3spIZsV3WwoWvFays5midvp0dAqOBwP3UgvubodouIvtCb/sYmu 0txLNF0el/AeCo7X6jL16IR0RhxUr6gM0Q6l+w4Ws4ZABuovaugsQtNBzp1GrL/RCns009SssrIk 1Aa7k/Kmg4+eXWMPcpnftJ22OsZLYQ3T3/PwZYxdsgzdKl85kitdIjFXX3znM2aAWV1yCDZZqWWm yiu1XyefdV3xniXrflF6KKd48G7ubIuSLqEODXAPzkKwth8mm1fZ9cNud5KRqQWsG/5s1BAgQzwE /z6hmR+o+H6DQt8HGz/53b4y5tknsp692BUu4bA2ZauMv6nOkaz+IKTPpO/fL+xcRGR9GljJdWJY 7Caox8bYiCqEukcxgu9e3S3NYcMpVRy/r2SCoJOhm3+r7uQNba31oTRToDgOmHb3sh7tbtQ8DWOY n6VSbyDjAQY2TQqZALNfgm1mHfYbtJ/vQHgiM5mxLK+Ml4Wz4hHXyglu4V+yLTgFXdrSM7inq53h i+V7uw1t3kMqNPoiKO8CF0/wSuufmftfEphwwaA0XWWyZ8lFG0tQHK+Lydb/sX6hrq4odoFsz0FG 2Kk37SujlDUJD+84EEAe5UkpIQJP2svl8dtNrv6sqhIcP4fuPrIPU7bitCz8z2Zv59OErd+ZwISs 5t3+KemqEV1OPp+Sumt2iSka9Zj60pgADixzsbyQRXjgtVQgwfQCUtfaq37FgiSwi36QkTNMPLs2 f5B1WtJ2xfFLzqtGIhyBNwoQfDiqlppRtdRuY9dhDqNMBT2o7quHH/F3QxkuD6aNiK6SUL26XVIP fRG0liXomjcaXFNPcEk4Rq7QHg/HxDUh3i97Wr/tzHvQgFVJVKPKIsAaZp65GlpgSys2zatcJtKf bqfc3g1xg47GWhnPB0Nu0aDxYapvaV0Mj8WB9xMCZqtykqHB3UGcBwj0yMohBC1ca1L2eRZ+8X8Y kvIkNRpp15Iqd2Ty1E+fea/rW6YJDrMxHKs1LY4tpzPMjMyNo2U63tEKDfwmWcxVOCT7EiKHWiwq Dto+BlNNKP47EqlXLNm7H3ODVr77ZDZ9slEvQqV9IHq/nMRj6e9DEwr4u5Q/dbDaQwjnVS/mgoRI 1aRw/mhFTCGmhbWHNJEor8ncqPmo11DW4cT+q6jIDAxTPeZa1E/xM6N2mdFrQcdnLD8RxBOVoEXU YcQZuuMEPHxBkYa0K6c5vuNds2E9Uu4pCAibBt6N8MoODi3rsVe9wmaaFqEECY/pwwWkWwWRf6d7 kkyvBarYfe77PMz+OGHYfu5L9r514OhSbOY6UGCyDCpocW4HEuX/gTl/vHZXPOnTYUSbDIwE0ywG DrINmS6PeHVTI6c002vR3x8d4o3RtVuDfqXXpBQD7WzyAgQbj0s5KKOSHMLo44tvH5zVmyXjI3hq dKbcuPvsqpZymANaAzN+T60S7jFmoPQJmbItEV4NHgJjhLhiKKwrzuf37ciB0UllIjw4nP5eS37E TUIYmjAmpu10DTESlzLZBbEVj/J+5OQwCt4b7dNaPYUtc7IS+VBzkLX45SpC9UGQXhiOFaLsHjlE 44QtIytkw743Gp7WjrDPjmBk+4EQFlGSImnVAqRXimyHMWr6qvCNyR3tGSVx3OYTq4ewNjdCqcPr fOH5kAZX7GK9Nmmxit7VttEKFKlrAGlTp8wyYI25ePzEQPxiPr11j+eJL95ql42ArdY2mOXW6azy evIW1l4exZYUumUnT12pGm7cGuFeHzSd5UB4nWVjAU56CPWi1xapM/B9+QSrqOAfE2EkDM8Cd5Y3 os/ig9FtL9D1t58mLduSKNCFJopl/ZqSdCCKYkV8vykzb9lTOsh+mIOrYfQ+SSZsCkXFeFkKG35H HogXFtR4gikvb3JvMKOO1CU7x5lRKcNwqz5SDo3eVQWPFIPhpdwqNq8Uajy+JSF5VdbEk1mCNq9V 11dTjafIuzfjLvpnzrqPIdiR8nRqofAhCDykrqYiVhtmkBpWtXDsU+QGWuJNnmk4TuYRmHDtAt6g FODd9/+bEoII2gfqGLo1ZJpdR0d3MS/4qpnHh1JXF5IiBqSo8f+9Oe+sJtyaU248j9Th1V4nEc9V cRbRX4DhYJ2Fuu9oj+re6n/x5RRY9OHagryA83jSLsWZXh6vWwzJeHC7rHig23KX9BtO2YOeGdZb 4Jo9fdzsHRC5e57//+LKXZQq/nSXBSS6R79+qDjznGfJwuTIh8/cju6D9ecrZYKrpfkxoBxzVEAl fE8ZRskCEEyQ6gX2Fmy7Y6P6bo4lwxhkgkxA9U4Rd8iTrrZgvKIlHSjxoGuYt0c+9fEKnRPv+1u9 1oVXzZudWRAGDEc6LTcqL6yLGE/vl/bRnraUoePQzx47TMMaFGcusdC9noo2LHRBibBHXE4B8UYe WM2ROIKcv5xwshM+rm3vHhFZyIPkzqypQ39F2FFIP9JtkIS3iFxwcUrkKbXU/cvH8gC2X7hTqPYy VG8qByJHBn8nKlV4Cfwo5eB83nNJfPwo8MqMxzFeQomBOIyovZaqP8EPNSSBI2p1Z3OpzBOWn7Ql JefMjZdXA0yCFmQ581PFQpgOgsVV/xsjo58RO43bLKjsevhz38hMHI4or/9rxIL9/tdIk050mgU1 /BlOWFx1Aef371ash+2WA1ekCk9u7TkZD7HRcicRpJ1QzSK5weC/rA4haO6n2DdNTIMVoFhfFm0v 2RIFd+HpB+mxjqOPdtPglTovu1gI5Et1SskC7zNvchaOjV0WnB1f1CgTdjDs6bRBm2pmeCa333xR Hdp1f+RfSiAfqiXp4iRBu9HOA1V3+AvZlL5wELZPF4lsJgY5sdIZvr8GrClWTS8dzSue3Ap2Iu+W a9k/GU5Tqs3JWWMNoCwKmGn33nA93hvnDtl1s6/IiyQmpPKs+f+FAS5LdNg59I6MAJxGHjji5cyg XOyY0eTFWMOhIK1ZcI8f8LQsqiOcFETUboYprSXzogwnWG0B8gdWzASoQwKdnKNaI7Xooi4uQun3 ob/1hZXrkY2EnAz8ZWyxKP3/Q2ztKNIezmYJC5Y0f0m0ka/IU4gLdAMy84sZvCYZ1RVJjEbGjE7h 4Di0ah22uYLkud0eFAMn6htGaQ0B5F9cEVAwlcYG9R4xqqFv/fiENQz9iR6kJa4/zmPYoXsCwx3s tzSLZcCgg5U8d3u+7qMWH+EFBK4CrkVsYivb70Z+bdxvLEH4wLAWeqX+ZWxiygWPQCL2+LwZCgTU U0ChMeuNjhZ+8iKPP6bPcVghOtbEtF2Dq+tBrUB/0nGf3dRIrJ4AKV4sKQukjufxoYIyW7h9a+I5 fj9ESNQsO00rqOe2hP7OEvqUcqZi3IX1w2c526bG8+i1CAjD4C0MG2hNn0o/m9CreX44pqw6/R6g tsmJmnhMo7Zc4dYPBRzidwlHCYw1l4IRSNVWQcj4g4kU8vu1hfHNIQU57E03ToYOqCKJ3zgmuMCe x1Oe6nLL/ekFkzkQc65jf5pzbXjvPGRajr0jOx1BRA7l6UvaS0TCNxWNIW3YP8/lpxbGlx6jxdfs kbUbwRYgoENOze8mIlSDOefgx8so1sHRmsk3uq9BaE80zUCq7Ha0Zdqp0aibz3PF93AvcpXHUdpn WFqRp2NlUnQY1b56BAu1PdRy0mF+oJXq/MTAbrllpowwIBw/drcioeIwgZoIuf9d2wh8evXRpd1N 87ZBBQ0nNeot3pHCONDV8Hs6RHmm6OPWV4JXhQmk9+rlz0h71Sgh8dp81/rBZ17wac06tdp/qsiM 2oeVvHNAUZu4/F/AKG0vnToGkEXVEd6udQvWcgQbHlgHPpDdp8N5Tc5FnawwrvdX+QxGrvmpwSRk ogaJtrOhIi5c/3fURlaU1kYoVaiO9PyJ0j7qcu+5Svh0q3MqElCgFM7Ec4Vd6xdHFCJCanibgvaN KavG62skquTRCgA074UihDrviOSCOvD9TpG342N0RtwtkGXUeM4fGzEuyuWtmDzh9ySVsIZgryPB D7XlK0mRRrRU3cozocSKhgxmYr4B9DD40aUm20F4Dc5duMpwL40qKU1bRibpuf0leMhtEVV3ybTb q1CPF6vOgglohtwLKl5lBRulj1nRHk58SlOc0AFtR7YXJ74SU3QvoocjBEFkm9fPkolecr0LujCk zCiRo1ABZG6LhyqOkW2EW/8FyZyW+4IfajN2EpLT1Lgr2kzE+18JdXshLw5ErsXBco69OM2NCxRl 09bV3dIuuTL5GCZxWegg8SuYdevkDQV6r54ybCtyxY0OzTzjXL0FY0nABNJzzgYI4l1ycICxUwD2 ScwZ0GdHMTPmDqdFIrCXQx93UdlAuND9cSoq4iWodTdd9DsTQ685ajTeD9mVUZyaOn763BuagpDI GT9lon1CK9Y39MSLGCCydiXW2amAetdUoxYZyhee25gbuXk/0P+IZ+x14M+eB+2Bc7tVzjBG/s/L cAfNLplSxOnZWyCjc9F5RcqqkBEcsaDGSJPOtOqy9OTZ2IfVVCH8XQStk7EHZC+DCJovvA+9lKPg uzgVVR7rM1UEeUdbkYn2iHmPr0q4GhmIN+NUJ+9a5ou7kaMAjTQ7p0cYA1F8xZXMBdrazngALIgI UeLyiNL85jFulbwq/mlxI6bfZMi24V8rmGooIgvFQBwJ9EngasvupKqZCVmHvUpQh6z+CjrOhLTu 8iLTff7rF1PMbP7XGMyt9zdeqov8RlZLP4odAdnFsj6elSIBiKfVAOareZHjqPRpdLHpXYhHJt4i MlcE2Z9vv2bKP7JlBP7ynMdBhlua37L1RtiPnteM1dKNiorBRj/N5i4ePxx4R32PFVLojdrQrQp5 jXx3vUT376d7tRokOMVZFBvaBF66PZItEuPrZ+gtW6bqZ05Ep+xl94VmJEvZEUrMJcJ/PpHMUTlD Zak+7dk/cwunNYUS54McKYTd+NH5f0TMDOk5MPyrXPmwrd70TMw1if7CAa1aWXZrXH0ciGArSwuN Ff0Ki6YvfHzvoilZY6t4DDXQwYpRZgHN8UTGwi4eYYdtj6i01z2dO9X/dRC7N2VXZ2wEEuosgj+H KPxlWtjOJvupa9YAhBCUspI1n/CsgsDJxz3ixzIfLtdzKesN3JfCernPg0qUxjBA0RwHZ16U0I8x RyfdMQoUndKfwU3kOrZVFq1hKYIYawugkgj4+kivLpU8iKvaisANT7SBABlfGPtfh3QmXd2uHfu+ harkgxSqpGf3+NR3FspSw+PIAvY3VdtnxwtJV0bIWYDNQkFXqEoccjdMe1rUObWNmEvkp8K7L8Ob Z75vsEsuYhxnPbLS3y5X3MRjEMxQTVdu/QOfwxM6G2o2IXgJXAN9QAuVzxVARAoOUK2GTqIQVam9 sAzqUaBDN7KYCDFoLwGnrTdVg7/1lMiF2kj4JT7g/dHBPXdvZ+ORVWnwASoUafgFh2YJi0LDddgz YyVurzfnypxPRKGgUHkQtUdqLQnPv1rv6bQZl9YZoFyIJc1kA92EMZnoQwjl0auKzF3jApHLrYKE mbyHVWRms6oa3uP2Pr+WX1u5wlN6/o5hy+rEqOjPBOF7i8CktK/ktVukvHf2XNnrcsV1UXUjbWb7 azwr1awUcjN4HDm57qfAHY3Q1AWPqj5reD4etUhS05v5SgrzoI93rgvdNzQlgvG6UuXEZ56hI00x hgq/HrmRJkmJ0pMhK3xqrvrUPgSwmd5kY2kIIZsZZoHDpNflcnoKFyN/GXLDKpn3kGLI41E6t+KF QtTW4od+0JvbF76Q7gssOvQu6yg1SP1P7oX+uO+bcbxEuoJIn+hbJB0mByT1dn1bBX6+Xu+M8sw9 TyfJnzP21ussDuzUPnowb8BGIfJ4CuvGwjhUt8hke0Hj0pzCLgZ0QSEZLHAhX6dhvhkTsZeb378E oes2HqLWD7A20F23eZ3PC6NUI9KdsaWoC3qF+hBgxPgV/zRoO/tJabhHESxxXjioV5XX2rWGGZrx PV8oC+G/ATqehqRqiB1+64NgAXBfNra5xsGOCSIdYkAtXMcH9SktyqCvQwToyGQ0LA0FfApYcrzp t2DqIIAsPk4p/bfB0C+Leu/YiOBl3UtG9LWraxtCHYdb592tmQW/HQ6vAhrM3dnhbJhgozLQMHyb M/N9VRoNAoWftHuH0fhMmiUvxC1BI9OfQ89w3EV+mo1bOe2mLDCxRdKGYOZ43Ki6fn01JbxgLTPE FcphwYLPGu01IMy94FoSzfS+GnYNv8cDXm7nNp9eLQGgpV07vNcEgTgNT9Sc1RIBoUKnujpDoijU nyZGyRHx4Bcaj6rpgTHd6yERoBh32bMLAnfgzmC5vmE2ZlWoICJYD8bKmKnZ5UCTyQY8UMEHFvpU 68dUds+wyfDKuYPTt5SdXgL+aFVhqj2okfD95fIqXMvUkmgCo8zalQiCqWSFpZnbpUIHvTrlmLHH rG07eIsvWkkVZv8fEVgDJ8zNxJ2nsEdEPupf2UwfHZJePQgvehjR1iRmAOo7ko52l6PgY0fXZraE aI7S2kcB2kF3f5bQLFOn4wpXPL3Maulir80jnk3O3r/FhiZfeHYsAFwMeM2Yg1dh+zsnaNBgaOhG G8OTwxwnQucYGH1e7DX9No6TkNoZjkJ+uh6vdxxVfeRYFYGFVzOjxrFkdJHkBfanQhNHCncr8e3O +tNjA9JXyFD+pihMAI/s4z3no0hFDpeBPCGpdyPGf+UXIXPwnhXSX/w19h/sUofa4rZlxA1u+3Xb 9ye99rjKlikjZpzK/QuZFyWlVutUcUItoQUq8JWbQMzDWOmNf75AsIvJ/Hjh5SZ1aSIZAtctPv2u brP9c74N1HDmI9M9LLmVL/yRO5d7MxxTOJXCitCJFDgmCSgYjnewy1c+bz6rbOZNeCO7IJlJQaY2 QufEz9l5M9dV2ZFTrpO5jcN3TLRRFoW+fuUH7NcKLApPNdqtu6BoqsNVU66PXHJ/iNBa1GlFjlwk MXqwv1v7DMG0kIr8FpBeHD1zm11zx3eE2dMtPk4MbZ94BIX+9oo033HeN3znASmynPq2NdAYP8FT ji2F0KwdnFSJKlND6kkVTi5VOaANi5CrB39htCw0RX8QKfqSbUUadQ1kkJH2NaBsMYKSS4YkmaZb Mfj50u6LkMDgNyYsROWxhdFJo8Isecayy7uANzfh2dBKfLRQYvTkgOnYg6sdqTSVz1PWqMVwMjKu ZD6CdyAcmws8nt0HPHwEJD86PpemgJh2H8YKo9Vz5jmv6D59CdhFIjwW6/sdSKYSm4qweu4AsWzz IjR7S6cvjqvKtoUjCmVo3OL/5uDiHnpBZiX0d6DTtMMwSecm5PQVxYTeBkUNMHFO3KPjrC139pNj bXNvGqqq2R1xtEiEyeGlK+ZNEos4+b1n7yF3nG4Q917BQ2NqMszVuyJQphURi8EMSn05M1f8lFSL hnG8xllLR22O9h6BdG8nFYbKoFLpN22I7Yqfn4JKYH3SEMNMZYDQGEYbzU9sbtFXQr1IrckwtepP KfMTTY58ZlYDqw0Vp34xU9lNoT+K5RZHKnvXx/Rrnn7v8n9KQmptVYi6qW6Y7+YgxIU27Qm2LFv1 qJHsjx+699NgNLlNuN0d7c91UaYcyWsAMdiEevwRNRiuwWIjOAa1s/7zg6wkFJOTnU02kNd1jvmb WYSqIdkpbt+5RYiqrLVblcrdMMaNeLrcfF7QisMGffKt6H84Rf95Y8AtPYXdS/v5XzDVoZGihUFI +dp9ZzB67P3HXr8/ZeskO85T8G+YnJZAfNMGZLI+goDZswIZWkcbdbKwo3iWs5tGBfhzUXZCfzD8 PEj4vEYwtvWHAImw2/B07L+meb2cJ4uespmF8vU8SxUVTFaIrTlLa4QvetWpBPy3bnMUaKpewwv9 HuS6v87HDQoda/ysHso6rM9RYqO2NwUU06O0NZs354J1IDJEv0AM3gWLQJm3Rvjy9qQT/gRfc7jR x5SFAsRvgx/NmQJAHWi8+ZUpH5Qc6H0zb/xWZksujvuCoZRpIrIGQtSQvL+V0u21XVWUiSeZtS7m 2W5CU83xNriUfD+raNxEP2qUSh9rVsxw3HAUlGVEI5onr0skH88G6R+aOCp8+93o16LH8OfzJ/OM QEeCdimKZFCvv28FC3e+UqKFvrsqMLdQ2rR/TqPl89Ua+F6RAK80zkS5hhogQRu4i8/NRXNQOqkw z6ECLyC4Uw9MWFoFeMVURAGWW85DstYXN7nJkBENRyM3CimF/pXM9XUbAyTJ6w8GYFloavm3PVng Ck8PBsjGUm37DorYXBZUdM5WGR0ESE7hSXjV7D3W2/pr3g4ZdfTsnkdrZbLvIlk8IaTAExR7u6N2 jt9btbXoR/6pfv4/KSdwekIK9HP+GmGFETAxo5oa9P3Z1+y3bIBggpJTuXfeGkiu1Uh/ksuhgLVD lrywDcPPYi4AnHa5UkFaBAV/Y+AelE/tl6VB75bfQ756LmeG8zCL+JB2sNHAUHeM+EdnFSxKFa/g j2revYAUqqf//6qzc22hAvsclKTwl6Ac/D6lis1nGWyhNONdp8eLZz3KQ8GFgo3w0cXNFplW50d6 rse8TTkZSD1NuBCaj2kGFFA/Wu3k2f3IgINvoRKQiGUSghaM4mS45u5XMoBl11UJ/seNxXJyiBtY Cf43HVTu0ZjB7FazviwdFKC9fg+9pOOHolcvIXvunp3Jbwu7WLCrwLGOF24tjS/U1cRjD2BJ5VkG v1I3F1JsoafnKH1uVmDFruoh3I9gzuaEcoTf1t4QFrF9BbdsqYo+dYA23X3qWLILPeDcWKe9L1bA TEE/HNxfhyZzlyMKNzFroQII3IN4WA1aFv1en2RdCxBcZahB87prtshQQ/JoqFHoFMgWwUr/LQn9 vyNowrsBPD0QXDNovOSH2MwhQl+RLAwvkYjmwnD8jBtDMrNeGRV5KRKVYkVqd+Ci6vB4SG5EKo9C m/9BX7hODZfKu7Ax4jHlqX5rFS2Eb2ybBVza7qCBavSW1TylJ6ptY2vnG70zpT6wysQvFl1zmsso CPRTzkkmT8IwE9iQT5CubyuSws+WjQZ35Bn60H7s4VCsFc7sfKcS8rLQSO+glY9dAVr/RNP1BgCk 3L4YKZLwnkYysmAxfCV9p5X66b74qxIIx8n2GjsoipSEM3xJHutc49iq/4xbLTkYX0AdrtX7IsOn gswk/R7tLZzoS4BsmMRs2uhLmQnlT1pkkIPz8ZateS3PUie/EXhvA84fXCwpTZNXTV4t8JXp4CJb 9JniQi1s9xZRnNHOoXN9Dm04YyzsWP9iZe9boTr+0SJH40p+fLnan4w1LYifqrqxu1AWJ06Yclr3 DUxdshMK18a5brHcVzgORXTYbACwuEywhbMcYn04rZt6ey2urhY/2pjqkIM3UfkChoAFfbb9ZYZA OXvjqo3XKq8VJ13gJbCMvaoQSXpozhzRyA6jNXag3KlqhHUZvb3S4EBvVHXjisjtVZkHEnOANour w6M/Np9RwgGmK5Hg0lQWlX8zSDSELci54nfj0Tz4aKdXguemyWo8SAomD4eit4QmAFsbfU0HaUzG kx67n+vYvMwaZbvEjTMarA+iA/Tw4+3lHcmSSfRbPNCJoxLWu1fmy0vYb5tl3BelROfcyXTyN3sd rJGPiOdF/lU/VZwa2w87BY7dfnSi8Ty9+EIE6Qs8lb7tAi92tTjEnBopyj9Ms3AENhEJOdS990Fg AGZ209uls5dotlUK3S4xCHxwHkhBSUJFFTzOWUD2+evS7dIBm6vY5JvQn+srJvGAntaTqcbe9vKl 9lDe4lLQeX63g6mWX6eLAmQF+38HVJaGtU4kA0wuY9Fo2zPVHTiicaB+IllSqmnTa1+U+UFGKTkV khzqaPkLjK8eDq06E/eitEZhMmpvgZT/cIOX73wv3pzjF3awj1P4YEsFYxa/7CG+pW7mVt4irgrf ukFfCQl976VyNJWEe7aD6J4D9FjkI/VfbC8dvg+wwWEAiHjkmd+cEW7MQ0kK7vPl3tp6DSrif9NZ hDve1XrYsiGtvmLdY2BCuK2t7YL2Vmr+0gGUJNOKN/Jrfsok0egR8fcIlinjbiXyXKBy1aJrro1j gPBpEnADzRFmKiuMTNapQihlZnqqaxZaIEFg7ntTO7I4N7ZetyQdSmPBVhWB8bz8ZD3bwk/qa6/3 P+Ke5cVSJlaZ8vLF0i+4yBSL4wWhtnP+hSpAFg3tFldofyU1eWjoAzePU9psl0GLVRzg7dpsCZYz HxEb+UCyf9txOEr70WSIX14fVB5Lq0WuHwRdD9BfvaQCj5eINfubr6QI/61gFs2FgHMynC14MHtv P/NW8DfmhJWf3C1ocH9KJO7PheCAQso3p8CTz8u9QzvhB03d7PYPe0v7GHyl/SaMGDWa4FdKsWDM eEtE+B4oIKzMxQQq5OxUbudb798OOQMDPcXx4p9d0SvsitkPySvox+teM8oWoBQ5Q2ZbBbEM+vnD bApSVUuq+qbL1wSeuEu+cn2SkZ8+8xXd9Clm3ki4CC3VHrHULnbM+UMYL0ruc0FJSuZy/dB4MLAz s5F0B/GOws5dx9FF6+gKl+jDQLWc/qFWPx9ZCVjnkgoVNReXwfmvXTzgTWAp7c8XoGVCraGYDmZG RA6zbMwKvLDfck/xwIBHvp1yv6C2xh354tor4gzQrzZHWE8sCii+b853dG8L7n4FO9qkNxnSew1H HanWJhACUf7BU69FfBNZRq66SLOZD6ty/O9pQeD8uF82TJ7gdvwVc/Lyc8sVxVJSDyEvvRJbW24n RKF0CCPcEv6OaTdjNslVc9Kd51iybcCQVZiEFG88ZpysWSwUGYaL/UmqUhK1uTRGAMUJ3ipFDz0N mJfv2PmXLzq8Tzca2Ap36lBc197uNETuXmim77XSgi4vGvaNJMQ6kn5LX+ykExsmr0ehIwbl7FAN tDERse1gaDaiFQhcKJo1JaRUEd1BuYyclVfbf5IDbO0JTUF3ym+XtsTzZ6Jw4oSDw7pmWJzfhKgA pSVJpxswlNlyuM9COKdrD4kGi0ihhQZjKxOVOuNVzKr+fkaaUOsh8qDVubJ9k972rq/t7I/55rEM DaxwKYX34jRlWUaKDIQwBET6TdtNedV/Nt933/c2AQAtvH9or64haReyETIknvdRUltRRV7dy1y0 dNvrvp6IenYdVfjHIVi0Yb7uEj0Z/EoCb5RK1JRmdl12xMRc3Stj5Fv5yBbnxPHR/fDN8WYA2T41 e6MBoeCMPImKmZlOKSxUwg76J8ygHVhN7fIfbDe3esl/cYqFpz4Zdjew5T5RG2J5CTUVS+tF85HY ijqzCGNlsqJzIiRSfk9Qbe/rc5oFBQCPwHyYdMXr4Epedq75AV5AjHxwKtfUvnZ/cFWu1cW3qcYv GuLaf6ZfpAkxw7copruTV9kww7c40fYTmTx+JzGBV/Oc3c+r4eyqVi226HNdsoUrRzvXGPtnEX7H UiXeGRgceihuZg6SJCOS4QERgWP8+amGw8ZrW57egefUQEJNucNxL702G9sHVpAVtU8G6JhnC/Kq rkAF495xssQPPTY3aKq1OlSiGrVRkU9tEgw7XiluVsaNnXuSUte6/qh0Wn3AMd/COeGDgX0zg2su ZK9qqBECMFJHCUJtsR2YGTMWM5HylOJD+awsCgktMvi2sYpe7PKgTQs6eB/SQ3LSTpZxUgUuq3OE Vg+RNOo96JzplXkAdAtuL1wnHvJq/YulwsAFxa4mzEqG03b2LpK/0g5cx7/ZkwCUlpO9ZI5kjgv5 oLgTfoorUaSWu7t/uoqeeE7P6pDTw4RsZf/N4qOQc51CxWhNWTiQEs9ced2cn94yvDECebah20X3 WBiEFQ9YQHAHdtvLqTvpvSFMcnuPFy1rmcxCHOjV8KVHhj3HcTl46JTbi7IxoBFrprOCu/nbvumG uhfTI0XkCMVYFzQIZ0aPe17wLR77hLXBeiN1AqLJu2C1cYE43au5V28cavgBF8iLJCfyEoFJv2uy Ln8Q6zB+EUzpOR5595yhKx2y/r9QCtnkvd1NOwMBJkepyGcrA/dZJV5ZTJXh+dih+ERtHHB5Xbt1 m4SOauqqRxJlCV/eyLytLq+x8RyCiiI+ZgjPX3rS6rQ5O0kWma2mRX59OXunhG3iwocowYxS1vON 57SsthMpXt8WIWlvyOh11zN1rMrpLdQWQtIdtIoV7PpOjSwXEn+4e/vjoHKOJagd2UkTCqHN+UHK UBtb38L897dlFOzUuHBbx3/MOIC4dTMXfB6U/RGaWPWbdAJYhj35ZhuywpH31z6+WOQI3CL4LBIx giiM44wqSuszly8eespGWvCcr7NVsHGUXus+JT43fzfuVI8ZRAyE4ZBD7ps1Ty4kcEuCxpL4G4Z9 l2AchgwZuAg8bwOLzPT6UqxBc0a7ZvUaVSJe2JDUShRAAipEI0VX/GKX6W/34HnPQXc3sGWZgBHw UehaiRTjc47JL/rBJxFG6vzHh/AD2X+KtvNLyAPiIGgR7psh36AxQBwZkmgxb2fqjRRgKIZK5Xpp W+/i0KRrKtN2pPvEs9xHKAJZiYiQutNKBH6BCRUlxXrPEPGto7tFqlJm8pFQwMGh2Vw3vzqcQyyU rdwqAck1qqd9eOs8A4WU2JPODNcmiiARqHKtLRMXG1EodPMTdASPyso8JIL5J3olXB2DITBVM4GZ nhWt+SCM6UA0QCuETlqRWpPm9gLdINpDLAYj0NCX2KMKhMLoGJAt5TVzHomlYbhLfvO/yNpmHcPG IW2lsbml/uks6DDwbv3a4oQd4AL59UKOMtz3dqFT8X9rVUSHRUs1F3r2ZyU7C+RlqcWeekneZYwS AD0QJPwYUW0onYHU7hUHsKIKBvEzHO0o/YIjLP3RKNdUQBeUq4sOEoHrvhARZktOkQpvt1LPMzsi jbUL1tzhMPXbRGev22AhZHMV0UbYvkfWJviGQyI55AoIfmlpzOvU1yEjgb8OFA5pdXDzz0vuO85u 6EmlwTHoDacaDfSYxAHSd9gek4WzZEaORISoC1mUhScqAM7fsbgdIOOsB8YKFBDBn1QE4k1HOOUm 6wtybkBSn2o79sDupmOPaz3W+T7LgjsTNYnVwxC6BQZakaWOpJNEMfeFb3IrAAVLjOmRADaZGUrC de+Ebyt2i4RbwsHxY7IuyzLDb6CZ/pg4EnKCjxCDjopOShIR0e0HSr04ccfa41cMH0CzOfqsvLGc 456krdEIhzOxbJ+C/tYuWObechqdtRv+hdJxWtma9q0x0DTyumGRVF5jQ6fJWHgq++pZxBnRty7b jbQE0V+KnM8qqlMQMpjKWpZJwmlk94a41lxjKcCIHagwYuk4JePY03yx5in1EdX8SR+XRwMXYkjQ XmzbEPbzc7iWLlyhs7BlSg6NANaRcYfH1bRKTxq2yaQ7t8MyBklGsqK40mdQ9Ye6zTygVk26ecK1 dBY8nLW+k6Hh9aTfYeryyUDG8Ec5a5KUqdlep4UejibKsiyoiEsZQujKIPJpdErOiXd20DOzCgnt mteKYepFwUqiv4KZLq7NwDw7W40OS0O9z2GchcTIrj42kyi/5pu7CAWey1K/vwxPbrCa1uBZLtP9 jIYD5IPVu/x43xQVCCn8Jlgwl4nkYHVGV/p564AjKDwqioHltC8uC/gqAerM+dHf0bpVpaxLxLv4 JoWmhDffYndiOB7WKV4e7AR4YjqK6kRd3MbJXCEPtrPs0gwUqrxUNm2wAYgRyD+4voNocziz9adL 30+sngjE/W6R9u76EHtmGvkQicJx3VPLaDYlxXk2HEm4akxgcvunzWfeh2uJtPlM1CydKYs2gogc YBh4jVZ4zHl+PLNjCKbE408tSAKgHQFIXph2nCQpPwh/SwoK1e/UT9hby2c1JM20Pnw42r+NkXnd AFvLcJN1t5ZzwkpcmTFcrN5LOy2AErUOe75t8sVuTof6TVZfsl9tzcXJ4PLAolZCSKW/Ym5C4FJx rEwIGfmUVzXFg6aIbjiNXciZWkSIsLSM520VAJ9jbQL53hqPX942Mwi3hpNbfnS1PROmwHqZJH2v ur9BGb2F6Yl+4VjaSjgGTThzbAuqkAED+/++f/OWIzEbgS0cdDrPI/bt/7uUkVxttZ2qsX5QZfZa NJOz48ZCxc+mhI/MzmwJkJ58xjYFc8wm7wwAlzOxqgyF3AAoYQixaOeSlTJPcrt02eIaU06C3kIq vxEFJUpL9vbT+XKovddA/SEbsDxbAoUobfRJ75Nvasxd8UppPC7QTAvF+UhNGmrx2PvVnzjAEnBV b4fuNr3/pWJe2+3Zw117Af0B/ZLUslUxiywQHXshG7Ci1B9hFMax3fexEUsVl5idHZUM5J2dX+oL zCLuWdJOV8Yb3hUQYrkbzRrq3Ts79bqSK7AX8hJsMO8I6qXXhYrYZNoDtbkhbXKQ+wqX+55ejvrK RuWnE1CmgogxhRzmcoPfEC62RcEHayi1euU8b1SEpLJUWj/1dXFlK/PzFemFPsDgBcZNmW1oy0S2 hEsUWfZUg+ZVxjBHBzS6fGSNx/vJSFHKA01XueZ2fEtl/QUuaDbs/yx3ud7AuuLuZYx0JIxlR/+N /mEJ9QSr471h2hvzz3gOwoYuxq1zjh0q1Thta7+DBecwHzE04P6cQwBvc+57/zDu1e7mBulilXi4 LYdJPiPOTT4D//InRKdpqn19WIjMlNZ1DhhzUjiXQ9aqWrf/VJj8l1S0ho+HXgokRMow9XzQO5fE F9WtKVRuCsAuer8pD6mHERsTcqtzMDw8jA7i7LStG+EVOAKtt6T3BX3HLEZm8mRG/E24CpGnMUn/ NNWZoZfXJJusZBNyYxzDfWiC9nfCZuNIPoW2qvoRMHoVuDgz7qTkk74ZIB6pLmzghzOFymNrXCf3 cgTeIfHc1VdVW/YUkQ1Je2e0HPLoICycjKzBp+Mqd9C5hNw9b1SlkmYIjnnwd28klszDu2O9w3j3 pM8WezgIeeMXnTHLIkJR5TeJ4Lp+cVlNfKBDQSzR39aUwxK1vYVVW65gGlqO+JVmfIToP2FGm9bD OjIxkoyJvIHQdgRbd69PEJ617iVK9ySpb4Khuw7C5rIgs/kxuH93BgNu1VqegmHaR7vN1mskLeGA gq/QLgl/CHnsw6aPckF5fXyWjZIwzqowUOKHfG+mRc9NQ22o45Ppzd/tO75IzH7mqgqKZcvbDccy dtrjOPgwOuxqlx+cDp51IKVZZ3yljAnsAYQ2Kwc5LnVuqQ0qxA+ynfjz9JnHwAPnJaoCsB+zZmQd jcObl76z42GWe4nTdwxS6IFcG1yao5EzS5FmdYuNtuB0KRm67nPyi4ZIxejWWH+UuqXqLXRaRp2w jIGztT8deuLRnjkUyUGszzkhSLrzV+w7RyXTKdBD1xkboaxLQXvnF85p0+Pdudx8VosJxcRVjs6y qS0/IjDz4WRanezhU89ie7WagohrlvxJsPd63GsNHzC/rUBHaA2dy4JHjmGR8l4xDp59xQaJfGlT s9AElypcrawloaj3nVUHMu8CRGzyHiuOC1hJeqaTZyfaA1j5Y+FXleEuCT7XzSQi7UMDrbpoQtNL NnozHSI/4KQPbYb3RrJDQ+hekaCv9hgVQCBOZHor3uMeO9ew9DCR8qjIEqsii/OYuhrGasKoCnfo f4YiWvK1yNR2l/+CFTFwOmBRptwwf0xHrZtWKIc72w9JidPqbGhMxWN90DnbHxj0nqUjN0OCMxrV 1bXdy8cW5ynL7RVgNLCTAY+vtPJvRt8JeaRxELZaJKcRZtU+YI/W+LdWhMmG5DGB9NweAL9X3lpy wzpv4uNEBft+Iq1OK0emnNC44AT8jScMK5ibp9z446FjIKDxWWhOwAJ1NM/gy3H1jdrAUsPGRLRK WeJ1kGIrLerJ1yJv+PQ1oIBm1GLg8kbWTKEQuI+mKi3DS8wdTY9lU/FQXSwBJ/C4M3mrDA3R/bTO lAXg/x8MpEQNQZ7h4Jg3LOnVsBriVHLF/cK4c1M9IHPWq16x/fp6j+wOVTijpXdMmijKfM9y/Why L9Pmb5MbiEjY384O4Ir9ISGhxB/jFu+Y4/s2pCmPF7qqPXXVeo4ta8wTJe644uJtC16KZ2jmVXGT iHsjBjrpVcbTwVhK2lDA3NNeRGLzjZgGHBy9wX2GNDy1CfUsAQcD/9OFrCZPKCC5XzHz4mnjK+1k nbM7UjLfg3ZPAS/2tEY+XqlL4NUJ634GZnvLsG2nCZaYd9OxuVLPtU3jc0rkamYuIvDj7vGQgIB2 7/WZPh126Q38vZ3n9Ir35uWc26iy9hnFGq108HRGS8zvFJ3wbWphZBrka4r0p/ILQpQKl1HNS3Gs OUbgnPYDC4tVhqtZYGvRe6074iu90O+5AJxLmWnb0kAM2MTeN7Zu+yHYDzUULBEk30D8Q8dTy2wu rWvdGOD+E9ApnVrr9MQ/KttWpq+ONj1egW4CYH2ac0+GIl40mOA0A+OWNViwaVt9nXILRKuHKyIs 9RGqrxKdt/FecS+a8htYFxXElbLBLlnfUC+G8ZZ3MbXKghzdexdFw38EphzQGnhqcLqSPS5NdSQT A7aoCfuPl1DCpnAkQcAx0O5SDywFJZ7OrEmyz9+NhGtGIUUbMHt/mqI6knelxy5jYudDadIKOcgd IPaZPPSSM12DisDw0tc8cl6Gde6PsNUpTnwk3+2qq5+SkNXr5B0Kv/NRZb1BakFeMgifJoNEO6Wi c+ZjZd13WHhsvyzX6Rk8QAr5ltSF+Qn5PmAsJYarI2NmDZkjSVJtIbvAW9+6qKcUOgqYmcPkCF3s iILr/dW2jX7j8cefn8ImHDoSEYfTCzKGQ2OOAPKzYlMkbeJJc+0SWaSxQoOdrb5a3WAaSvrHT78j tSDVdNtZPOABu/3ArYvXu4fR4TQkF14rGxjoPbnYmu8dtaavw4BlrYcxD9kgEvyxADCjrnYra9Bo 4NzDmC7MZBYXzMQCMp+snveEpsYTCcvIcIJAHOzkeCFmvdijlZN3ExCmDWFnbO08ofg4qpiwj509 spN6j1jSNGCpn2KHE3GNPFqV7q+v653pj+Rd3T+zKl6Ldh2ImjjZOkEl/3+MnlANPR5uRW1wnAWA OfnZ41StiGHVdJqHAQuEOy7aXPl2f9szF4gWrPIk6s8RCdtGSKDT2iSByMMq9jZlzJsaP/rsnsSh aJWPT4E/PdQkLk0ySjPH4+CuEb+h1xMkF1a39a6r3w4Altq5CngetWOAjek0wE/yvaFssFksc/8G eoWoZHOQRacAKbZ+ts086q99c0gwY5sxhp3tFstyIU0krON3I9dn3P4WKv60phheE1SSOp1OS7FU WYZTJh1Wj9yFUczG80NkLjqh5KnOw2yNagXEys+w1yxp0OihkPJtri12zpj3bO69ox89cMyn4iYW +Frnnd/8oWI74peDfEXVvJ5X5Ht+AJ/mkyZ2hl443ilrNE7LnQWpzcVMveN7vCRwevW3UUObXxH/ 9VCDpzpkl3vjnSgkXxGcxFAK8OfOYZyA1L9L9I+VUmvlssWpiL7IsNh1zR6frUROTVlAKtbmgqXf 6heH5sbXWJzAuhwI5tAN55XNzkoUEHleUpcTJh7lmjpVDCzAC0YDuQi8A1Itunb3RmDj5mm66/fC Cg7CjB5DMB/JHstc0o/azWmvTZtHDJqX6yTk07DqWfzlNYdR5TWEUH4zy9s1k9p6Qeo8+Zg9EBga dU57KLkNNP8t0GV3kkiuswyb3VuWrOCdRWoeWlSRiz3YyHs+0dGrYGpHrjUofHetS76PUuB9e5y3 RicE5VKEyH8z4gP53JoH0uSJlfQFyNE6GOtYLUodZehDkBPyhy9PtKLwVyd2XmnWsSwLv03Mt3Ku ZFhCLVWpy7mp9Eq8OQIM3+hRnhORlW8csm+Z62lzzNiuS+ONEGui5mLAcieNWtUqW4nGCyTMlVN7 z9IhK9DbfNP3LTsCHCQErMMzz7CvEadAtoMPWVYB0tJwB+xni78w7Lxy2Ee8FVAbU7+nK9pmSn8Y Yc0q6RF/H8YHaWU4llNwITuI2vKmSyli7prI+DnsP78y+wUzDvrGYzEOYuCe80J2E5vurJsqQvQO 26fvwU2/cA9WvuJ5Nii5HEMUv9BmVe7a1qplDFs5x3AiZpHnoM3NPIQwdbdofinJkCxzO+tjhjAo 1Ur5fOXx8Pvd/+X1oLYXvnlx5wa6ilYqQAu+eZJEonaVsn3NEL2lC/s0UanAD9seuNepdSv3TwtV NQTyggITD5W8sH1UgVy6LxIJlgsFAsnQ7402IGjEeahf7uZ5/VDCGyEbmNcECx9ZNFjYi8W1WNp+ gK5wVTH287KiwdYHpus/yKpqEtbJoE6QSuQ9wDkdP0gMByMk5lJDs//gx3N0api43Kqtartsw/zH s/w3DyBW7y9u27RjLTRIRMearDOeSNIdvG0QsjbEO0/dnd+tQjBTZif70onmrTlWLReIsv6MfF1v jX0csi7kKeE/iDPfpfkmCYnUWxygK/A7Zrw5wsKKD+xx54xSFXNbSgevjEadHRrYObwivH8u5G0i +3bCPUd1n2kQFO56taRFirEtoz+5PykWAVCODhlTGFvxqGB0lU/su8HhLUlbNaS5r3CEfX11XiRO H7sLmUH0Ty9OywZvapZ1ZPBBX782GLXA+a3QBa/FpUWgWnNekd7m09oSN/ys8NX7N46bhtH0+Fyz yjIyL+ZIDoyqB5rohTF2vgxAIa/+P9ohWsMQO9T6eoxDRDzSKOizHdLMB3h1q8J82nNRBRS0uW1w yiHxbEFQi0pKNvjR1HyR2k34DxPVIdoojNwecn+dmub2tRGD6npq0BdxOb8l9c5ADe1H4cNnqnPK jwgbU0UJs88PNWZITQndS35naNXENb8mvLhLBK0o8OE1hTECqJC5kkOvEz7KceCfJyx3Y4slPcCF aaZR+zoB9z4pyhqE0AROIF8yneVnP6PtlxKctGlZ+ep4c1Yp8LIWNDvEg9BVQTO0xcQcn6k2IekN AjsZvMptv0qFlduAy7jyHlbfw+85g1njJU3yXdLqSQJgK22kuPkg9x4I4N+DZlRNOVJGXvhzWzYy JuIg08+ybHfo/7fZqsI7Zl9Q2WTGI+V+wu1P05x+cTpkqr00uo1hY7IKLRzZIPON7Qi3T/dEC90n Q/8jbA3OuKhFobbawydsK0MrYHHaUKYO+ADyDlSGv9KxfhicrGDzcCqdfjV8gODywSkJqOp1T+a8 gwb4LdU6K5948UMRtGZDvSKbrF+kvsBYfBN6BzOCXwj5ZBTHIwqXoelmaYV+KUpTD+MPwXQA81Jq 4hYZ4RB2knvYpDNwsQHBKZNgcj66ADFr7q3OhyinsmxIgnZG5y5KPNu1lM8NQnHzCy8p77sQUO7d ONwDrj+WMSmjo1fm4BXFdhm+5/mwu6G3vE+8NGY2lP8mD/X7roz8VErBPVN3ryT/hgCXoaCxlfP7 qVftjrxwakihFoUqJPSxJCncww9KNdyvJGNz6i1h+OnxAa12uSRwyh9qGUef0TSsw0bxVTgIdWMM D/SmIb7Rj8MftwsWsua3SHI3ZDDsvlrf/S1+dCoDu20w7HfclNOww8sJg9dnOCXdjIL6E37lk62n kYWjFnCJa1kp41jkyiSYsVkbW79xI1yVLK9v3cia/Xj+BC4MhRbzg416SfBD2rdF0Cf4v9q2GgpV lobKxDj9hhDMi6rq2WEt/6nDaJrn7RYqSTEHmWooatJFZ0v8JyDcbnq2HEoHpqp0N+30QSgeJB8H GcyA+NDBhaSC1Nj5aAy023GRpetrCscMObolpMN6hYEKarPlb2uhWT0rZUSsodqde2zW6aJy0Tp/ LOWOxzQcoLyHGWixhIBckLGUR91aGmVBw3BthyxOndG+8kpIHU2pXZECUzZFz7TknRjwhVVXAOZs iI08qVv7twuhZPy0VLme8TlZRDCHJD3KjEIReEn6XxbuL4/+FZKLfl6G3cbFU4WKiyyk9Bquem2f fpti8cMMR5jTDwp8uGGvvnvZJZLb3XjLSQsUFJIP4kNIzOPnDcVLRfkqIO5De+kSlpe+aeSAYD8x 26GXxjzKHJQ/6tV9kPlGdgo4ke5KCjguwGv/s4HrLhbbPfJzD/Q8VU6zK+CksOjoyUeNkPZRR/rr i/GIBqQzniODYfafIh2ZBZ3iyJX4XVKH0PlWVrTHh5P4MzX3DWzF4vXTY3KvkHRLk9UdDlS2B6Gx bmj2SotkGzeSbiZ0bzg4cZRuEMPS3tGDU4eDcjJAB/RC9K4sZUsmiMZkSQVyG9qatwPCaZ45qCzY b3iEdKHg1jeY2yyhUXONuxZnx8ihtCcFB2Mt75s5YiLocy9Quh2oLi4fopdr3gf+TyvIeOECdj1p djPO4aYUe2W56CWRaFZj4QHcuXPyV3jZvdspbfskFxLeungL2mhA+QNA+d9R06rXiJ879XVhoeBi WtwBy5THsRsTPpqiMF1XB8aT/VFcvGqCaYKNZ4Jj3wnIv2eCgZ+tmasQI/zwcompkaU/gjy0YaFb QWFqsmJyEUG8Uk7BOb9R4nuX3xQxMS3NawyOgCHV/URjZ4q1nh1lZ3X48MAKBK4yNNk2lwJfD/Rv 3rbD7FhM+H7JOk0Rz4Tm0VulvMO4Wg967fqQDoTr40iBtRHX2YVbZ3H/4SzLV2DztxnlSbwrTSSQ 4zPMkt3inNAa/kW/IpSQSFxHH1Znx29X2I1PICh37agE0A7hLLOV6thVwbz+24WhQh67FIOb7ujA ubDYcibIgRrQVTaVCN+H7UFD8gMzGc64nNbyig7O/J70pvroFBFttJa0L0/suzUR0LIcxBgHkgd0 o9s7JDRYTfovr8QW3CsJE/+5SIDGNnfVMXQaW6HGmlq/9pKecWYdq8ua5OXxiP2i1mXvlqdoFwpB QqlciiFMKCdDO8HUIFF1hFp7Sa/GTvi3i/wbMa21SbCs1t2+WrFc7NS0qBrswdpptHQ3rEsBMUCu pT<KEY> <KEY> /cm4Xu7H3Ewfv/RwWRauevDpSopXSE+IW/VyTvcIEAYAJCCNDrTgTB25v/T8W295fei3ZSDcXTJU 1vZXFzGVJXm6Y0tSg2GxfrLqeiUjP8IzZ30nSGPS3WK3bC0T3qnzP39Xx1G1sq+8mY1YeDGWo4CN ImY6Qo1OR13CoBFQ88UcAoODomRbdMu/FVnYCbs9lEySSwDdiTAyvz60diwr6aaR0rFQ5CY2JbEr aDOtBlGoaAjEOSUEkIG7xfJYpR3OB9yAZ1XLA6iFPEscktfddHCCgIigUL4+zY1LWRwurc4DTMYq O8P77ARWQWawD5lwJ9m7LKVE4IExr5J4bxO1cq9B7+ESKT5UxiZeffLhlS4w/sUlVDsYqLYJqnPf cv5ts0ZQQurierKhfxrVl2bjx24PYb3mcFoFlHnoR3DPhDYNCg/3Y7Wf1kZoOY7jfrfYB9lrqPNe 5ZfNpZx97OgRFzz2fxojvZt9pKhr4N2WJBJ2o97W8l+Flej5UfKY5ewOSYZlC+yiOzyztTiUcimC 6jHKk8/rU2CataQf45lB4uM6bBsi3vtzE0oXhtWakJPPgsiJVAR36cY3fv5xbzOM3+VI+7R5arne nbVtY5P7kM/xCvbTsnyTEGx1XTPwGIiErQ0MPKAbDvgjY3imsA3waG7C78e1hGEOGDqfvdVRGuYG 1RT4ZiEq3K5Ex0Nbn33pLQT/kr622bef8EeA8pr00KzPt+1cmK4uv4k0vY3to3kO4ctFUNJg+RZr 1wJO4qdLGqtRVgwo0lwe5ZTDm1sFMOWar9cK+H+Hnq77TpPQjmaaQdVDcvbUo1sHgwMoBrDWrNM5 lVKoSF1hcxT4F9I2f8GXiSH+JP9RH3Y2Rl0TVY2k6KdnAkk3hTdmN2D5iaq10RAEEsQJyXBX7wvi kf5pmq40G0baRapbAiEH0RWAAs8ZmNcR7Sq3dxb/OU00chiJUWupaj97tWfGlcBjsWLb16Um+7pE 7ERubtw+2bTTRPCq+po7tX5OomlVUV5y46MqPLWNHFz7NqRc7L6kjSfC6btfFuwhPJzsK6Xq83js 7yBSq0B0COtULld6990JIuLSMqDxmtONn82PB9Qfnoa4Eo4cIXx0dKtGDxvUPtQeUqcM5xLaidmp K6HYi5qAO0IACgtV9hhjg/RC4tSqx06e2FCDHKIyGgC/mkGCvHQAQVNcgAb+AMR1UGkh2UFVUNYc EOYuzr2XusS/DxjK5+wh5rl9p97lojB3J5kuvSrs2erzkBiJ1aTqZT3T+atV9smUZlFSbQ8ErkCI WAEw2/WG5snbI/aWoDlKRZLYAqYUpOs5fipXdkniiTDqHIsJLMKCR0kGF2MFySK/X3HwcEFinWUZ YU6s7GwpaDBrjQCwlphx1HSCjmBgvPRgRcJB0Idy2m21na/eeOWghKpH6A+h6RAGsNorXCIx1y5F JzdzUFehBKnlqo+Ty2nuCrHAm59s8v0qpRlXk58l00sQDBBU+d3PVWnvf1M0ERcLMTO06/VrAOWJ Lz9BIxCmHwD1nqOtbIaUGYL8yCnzZ4Gdc12n5Xv7WYZBXK5pz8ETC+UJRQg6B1R4ywjkp0zrw5Me G2uJxiLbIPS06Zk74178cByq/l/WazGnY0O+rKN1ZDTAFA+PE7VXIgj3n3/P1AiJt3b9v345PK6D cMt1qgz59HtkkBum6umF76ycWQaGTJVww4C3xUESnmxjt1ZIHWC0n6oujMqTDUbZQe+aTFw7fZX2 sb7JtCI6RvfpUh/FRsCczMLZ+M9xGWXFGzj2f84vvF6jx/ygIo/RshHMrqP5UwjnAficGBNBimjD Qip+N/vY1A/DfZ550nuoNVYzFc0rxpRgpjhGG2ZHBCPEzdkUs7oT10ZwsgndRLPR3bi1F0z+L5EZ NJf25cQ7K8hON0M7h05ciOssEe7xdsFFoqIs8WkRJdwkVmn527GYc1MZH37zBv0VY3T0o0iqJAzi FuxTBMy6cbnZL8WNQLSrU/6r9dAxTkD8/DyyaqaBWI9sJSRRU4gdJTjBxCNhqWurk/i8m65sNGsp JkX0pxWYiSuiS/XFtRmVrCSr4WqyEyWmV7pl0fwe68SXci8c+eM7s4aYnHTJmk+UPy25qgXNapyJ YwYpSK1jTvhT+iVXABEtrHrRe4SRbz8jq7siodRRMbW+mCojZgCosM0q7qlYYb1cjVKMu55Q0M2f 90pJVgRQwhMuNktLpQkgnECidd6VBx0XF5Z0DZATp9mmX4vgRPdiFv2/RoyYt8uvOijYC32VyxSn kwtMYy7Mdns386vGdeeCqOarqFWwwJADf/0ttW6STsnlLLuW4+c1yACu/AUdMEu3S4b4vqBky+bt vqDSP6hotapxKMqxgLf5aAGHrNw6lbD9t+0dwK/DG0EquJMxkDJe7Ufl5LhMgdm+/jwoDZLfojeY 1CCNXo/lauk78tfHYXRVF5jWnkWniDcLmUv9vVYFWzpIh/ipgH5RjMjMRUIWf1hwHNDHSlsMPv3y k5+rza1Z6YItkeseXh/bgmJ+hJl9fVsVaMvUN4/qOOf4HbvjZQ4qF8YD5rz+g1Hto7EuI8pNgLOA uc4LFIc50mNWvLjsfWIm4Q7Oo6/a9ztq876fs7t00OCCSrhd8ssXTF4wol95EQYbSQ69wGNCgOKj hde/lRJO0WtaM9IzyVXwdWNiTcgxXVfIf7+klh9ZzrfVaMZm/EGmXn2TFzKqhmNurQkkAKmWbMyz LDEB1pu4jArTrsyFQPxTz23NHv0p+zek3rfEYorLqgSSIbcxSTlgQB3OwapJtJZla3mC6o6jpstr L2RHiq3X5JqKfPFMOzOW+qI10iwEZRbdXoUbOwb63kg/jXGtUIBmG/5SS+q5ZxHEMtCGxTiLFo2R c5bYYFrrfvyRaAYm0t7NZT+pBQ5d4Vod6PXqRKQYS8dO+qkT4xSl53ljS6NSDhyJSmVUsaKBKR4k 0Vw2wy5hURiNDwbgIhSlEU7Nwkk+I8Cp4xyJHBJIPQHqmpLNLjxWxVkZvXQSec0WkFhBifEOGazh hy+A6kY6evVoru9wd5TNGBu83WQYmGvuM2EVpcXQgqKtYrjT7UWjKxAkw/TAHsDEVz7Incl+JpFm sGPv4VSzJVoaO41cF+uv3EkI3hQIglwYl35DyJ10onVU+bSO3bq+qktRVNbHIYpWe2mvvvDhc5he I8oYg666BsLzsPbBu89ojygrc/sgzkeikHSTsjC1I39cgxMyhiKryActul9s+TZJgWLfRiKaLDF/ ItDIwq7yBvpssUvchzu/7kBA2ygyjvX9AbWT1MzF0dDXzb3Sg+V34KjLTRu1VdS1Y18Y0johXE+V ZtA6Z/Vo1LVZ3oz+oU8Yl3uzrNU83lLCWv3QBF6/QjPi+oTMWS+9ZOKDgMxd9CG4gwjSStf7lS5S A6LZq5HumqUSrO4Nmu1HavE9du4PFx3D/4ykPku5JsG8wRdCABcW554MK+bJG7r34Wipsj+/1eII 1FjCGN82284PZzParvuJn0QjpHsdBLIm+kkuQXLTzhrNT92PCMPNVtq+A/9V5Ci3S9rHyu8abwDK 21EPqk0KXBGY5kUzU5FJXy3qn+lQd+TdcKxLLG9uFJwq4P14VWkbwCTQAYAKLYJJX4yBtkGOu+tu WN/oRDTZlFQILfOMih0le9sTo29S1tsRyyE+50Udk5a0/OIlNXhELpdVTHQhk2x/EtFXhjIzNCRC URdf4TQnLR2xJONd9OTdbDD2AOv9I24J7agMvETdvJcB1XTj9+ljGnA9SITtw8+HELeqRQKVSlD5 7HfkgMtXCenz1yE077Tax5+kq4orzvEyp+WRKLGXUssBmuHQJh/dfQKUnrAnygMggQWt9Q4s93on TydWYlecrpgVd171KlXt0BJAUf7CLuTQA3zOLGLntjVFKz0Uida15JdLaaUayfoVzFT7AK2HIxON oHU7BxQy5qUfdO3HvOVhKQ9HuoOyocE8k4aziw/qX+FP/y975Hj5dX5NTIezVmo8dLMyEHfUMpb3 gULg0eRcZjPG1PtxGAT9/L/N83Y5LMVifcrEEkK+N2GBne/ricvfvjgHfaNRoFOMWI2ml9pSf1cm bfhKVXlbyeaYx8bwHjAczQOkQ+6VnWxPXICX7Ei+Wo662+JPS9D0LOaOvlWz0E072VU7t35ExW4l OUNvZKh/7pwELdsrrqXH+GF/Tk4Q77s9iMz0rlSBuOm2qkjvruXNAfy/opbp5E2Xy1r0WbB/9a32 /oClU+Er1Qs9G7eGobhjGFQvx7TSnRwtzOHpAXEohhfL6hJLE+zy3A5CXDZCodIlJAPNGMKW9MRq QySj9lMT5Qvn5/b+kctd+Vlccua+qfqPl4gEhEFgVL51N7uv5UsE8V5JLptqduK2ZbnQiNmzdhHA mgOOm5+ND4z2fIimy6qYqkEu3NTVmy93yjsRjCKFvhbk3oDImW7LW5NxDe133ASHUb8ii61JehOz vcyGw1GS3HRlxAoPZZKwSS5+klmVhK7feHAu4POQYvGiwJlFs6xiC1Uz5m5v5413PvfIKflVIeAh +lIdRpYByJmqKdkd/YaJcyWv1B2EfnZ45tqUjRO8GAvPYsPDwfjNp41cka+TOEzUoHZus56EQpC3 1eHqwg875+dh7E6d76L3wHyir5S6TukRvccLOagxyzvQ4Ev3Z5lLZQrIBOJs7IlNLRqKs2+6j9F0 9Xvk3GnLl4uoLMt6TJUK1wGDTw2VFTuq67AuP3+F8K/2O66/5eTmMHl9TGRBkSlYTyN3rtJdQJqj IQyHkrrq7WZ7TlVCX0k2Mzui92sdIhc5/PyvsjkPcSsxBQfYSFFNerl+MfgEX7mH/AStFt4wbmah RcARoHhTrAbHQl4iXQB65bPa486jyjjBOpMe+A/H+v+G1bV7NuOAwESew+8F/tzRRaMg+F37MppU p9SfN4PI5GM2j97gmZUCbQ91xb9srRmxxSBKhjjQpIhlE32HTauRgGvP7hXPXA4y+yY8kWIPobQw HGdqlgpTkY/oCmMkqKGoq0+uxG6uuVxGDyYfa5i8q4Be2CjdZ7VPKlwtLiGf+ZXS5qcUc5fz/XpE Qyx2JOzUsL2OaFjOwszFs/KNHOakIsm2W+tpjq2cUfIoT9pysIvbCxWAsPyCXYsMFJruVTgch3bp J8Msi87Mgt4eI4wOfHjfMf7XjWbenPSiolKo8+6gfSl6S8fsMhSNYf8fEebUD8HGTMNQHWwZ/1c9 57QRh7mxkNRAklfAbTpGIFZTaNoT9UalLy5jxWq0gXKwJp8jqLVtuFLpTnk6VaLm0F7zOk+ndl8Q gJDLT1nnmUY8MAvCP6kivccyqUWZT5bZuQxpbV7jkitaCkFL0GDmC4GSrQvtkJTkzvrH+f4jNcZa HfS8ojXid2BDlxKDof39QPaG+K+Rltwu1yFPJuZ3eondRNU/I46ef+U7c59mL2Qlxay+LcejaCc/ TXpCW16grODuqxJ4KV90EZBVwblUcv6hWHa2iob9yl9wyOpMdRXp1cCLruwMOwBiM+uSO1/xGT4u 3gUY6w5EizJ9Ep0nXrNT8Vo8dP8BHrbhMMb2fYIPEnJFtP44WvtC8gKiNc1ftJoX/fGwJ9ueYuNR uhlEO4zSi+nxlSiJrKRsBvqlX7GzQZcNa5c2io6L3LIxfOWeeOj6SCu8G5KIqb57aslJdMxEepTk rxr9pHHUg3s44RO/p70KGtPuHuqAAjF3ZjULnLzd+7XUeWH+e1taN8Uf5NWZgcJ1eNPfvXXl9khY +x+xaSIZiui86tUK8xoqTJQUMrA1MfxlmTNTYxzYIDaLw2hNlwlRm0FQC14fadFdEj8KwKeNrTIW 2F4fobhrSRvKgG1dQ6S+XDHF8Hq14G3dOZ3sWUZBLjs/N740/VE/kd61GybxzM9b9Jez02y47kyh +V+GVmUgV+zkmqtAANsF7Ipm0h6Gp1phYoHcbcky3RthzHThhwhmaGrL65pz9BALFxTvSwAnjm4Q UQo7c2LsxXFK2TbNPmftB8koSCYYyXydO3ctKM487pHh+L9zQ4nGLi5OjAle38lrI8V2cznhgKaY jf4G0MqjFJmHwBKUOPTLH/WNKbAFnT4OXkomPee6Pj+Pw9zy4/i03qc3g6NiS6SSLrQqnK0AJM9c LO7WWdgIlkqK7RjYAUjz16VknmSiAVBxgo+lV2+5xkZhzrib5OeGwBWAwBEPPUj35QhrwFijv5S7 tEYzWA+Da509fRTN6IWK6MgIjKgXbfZE1jmal3c2t2Uqh369KxRTWJ+DJi6eGUBbV6yKVttK2zD5 7X8suTh6UPDmoHrobvS/RR11dHT1xRiX4o+JzixzZP1j4BNj1nCXgu8EF4cJncMeKLWT7B/NEOgZ J1W67CCraSZnELoK7i2sKhL5MEbpyaGNGQqmbvX/K3rRxTnpdEj9/2Z5ghtdzAudwGzODgXfUgnk C5/HN2d3CJko27BVhpV+/SD/FKEwdQTRcG0ANX845byShuTEv1xdIqRHfWYjLGrIz6/+R5OGQ628 UVBsSYyTi16ViypdaWd8pAV9z4b/Zgh7JeVouSjNm1++Cot2YyYQlW8OL8Ek6VdomfC6cdOKhFkr oqE8O4SXE4pzD71hKDy/Wm3wB2JmmDkZRZMnSrKxHnIbj3O9JLXIpEQMgkzcV9jZW8LXe0znCWnp E9IJrXbWviZ+WrG8Pg1LtlVkM3SQ7rJ6wF7pqnmwzoYyOu040Ljjq7S19tbaZa3t3P8THi5zKu7E 2XjeHTrd+AGYiBkqco0wmSHGUTMe2JjyIEclDS2/nY91qgkzIF1uOFfnXI5+i0otyp4vN8I+gLtD LDbk71xuwN8xbWQgTKZEnu0BijwKEY2jUbFYi/tNP1XSvqHJ8pzHjBAcLCBv9l6h/Y3gt43A1z1I sRPXQvvtTNLb0B3ezE8yHV5K0Gl3s4fSoVP9hJ9a8wyU/wjzXr58AZHYcRq8eA6WzTRLRbPxM+QV h0M8icklbxFI01hmxzPoG4FWz32FbXECYNIZZQ59lek4/Xuiu57wLEz6Gie0Ef3ahxGMlyDqWe/y iCt8oWhFhjRAlZNgZjZRzUpsOP8Nq1VdpBvrOGWiiWie3XKX8R3Nr7AY0iqoBEEH8E/zh/tYGGdU su+PA812zrD+U+dvVP8KwjVpMg7E5CkU7BAxneRln3FBNAQHZ+ukfK30/sARh2H9Gm2LjKu4bVo1 /mNmTuWla3vsvEbAPMsqY5Qj11qqEmNMiVRjKt//J43oidWSDh67NGG+iiX2lA1WLvnvl+Vb5wCf 6Zc4hQjGKB1ecLTqOB48J4IMpDshvik/NsYDfp3UMsysXQ954fULtGrC1CdvTPCpPQ8ybnFgYdN7 YFYk7hRFwIJlk+Qzz6OFiB/dHKZkOjeAJtvPrlmZjVyitds8D3/tieqFLHFzp9cbrKCF+N+Kpka8 qx45/0gso4pEFE6BRLBtszRWjfh5pqbD0vyFZ9skSr1RzMHx9n6DrBdghk0jGscXFbpAP394NGN3 AOl9yvvkYrZK6skPPnNwj5Zdg4Z+eFgMTHY63hJntrAaFhLLcVvhmJU1/zUQ+xZtd4MDNAOBSqAm 5+rIs5x988RjYGjmw6j4V0Dohvu+/XLy/xFMDIYUG8u5B3oVLIJLGM6iMXlPz17fKiqaqTTkEBk1 6d5GTgG/DxBTguNSsFLRa4S26tmjaTbV3DCN7dMa7aWgRsOoVz1KaU8KDTd7mhZr/p5ZZ9MGWjTI 4Cr8LvRZ+Fhal6UnSWqa39y8sh6POgQxvo3DjNUaCPQx8CUK5roI0bCT/b6M2PhqTGdPdxRNp7aP tABh5/KjjVFdtCIH2Lyq7jLvTbLvNatoT8WTYDenSsmFGclk/ye12xM52tPC6JdgG25X/A/f5+oF k1VRL5XtsHW5ZsiRZ0R4QerQldnhefJ3TICgV/G8WSlYctpEQjoUpEf5aLE0JQ4cr3SAr0IF3qYE uLh7/AlkklclBZcB8ZdY3T54mKYJiMwvk14+qgSabDSZlLv+W50VVCE5KVnV9CWeL2HbRuvF4cby gcO3WXagHBzIoPYfIK7mEnY6f5LcbNWIRmbFmiEEmQiycJysvJTOHkej4cN7y4L2EeELwfUlZoKX CBKkA3ZR4I/r59B+3+nGeV74OmJd8f1BgZ0XgMd1Zt3jTpTGw0GpEMQQbdNxSofUokK9Gfv5ByQf uvYVXK9x0GKGdRXl70JDbDtPwq6f7Dmx/lGV6YF7pfMBBWdsIONhVB0xUQHk3GQUAM+VqwPkmnZk zHXzN9CVifiV6zNsD0DUVRACJ7qmRWGVy7zxu7eWQ9Lny46AIjjQaXKNQNHqp4RuFI1RDF3nJUcO HjX9820dZ9RYFSCgzwZjtjd2gIHjmCDD8hceJgwNZkIraOayywGPHi5hgtvfJkyVEp0+Jx3fOQeO 0waXpXl7KmeP3j76K6IelLN7GEgyKqRfyr5CpY1tLeopnNPUKOlDP8cJn7hqsRPLGtWh0vN2ZSei CMdzEZ/R8rH5FFkN/e37xiiYA3JK/9qXAGeM1l/1zN+WDACukxsW36JVNbOo71KzD4Di52+WOm+G tQ1Rlsi2tjMdDr/agtndtO1bXG+uzD0aYOuV6pyEgKMAVqHF5IM6zMphmsY5B99vbbP4pwTt/p+r QlGznW3+SiAHnxG0DwRzvlZ/jCWVxFTm87ug1PirI9kZuAXMgyZOGxoh30oXDmHOcHZ/EtcMzGdd 5DrNPmjwkinVubW4A6m6zSxp+zE2tgpXnzmjYK2ZP/OKD3/kkPT8REbBKW8gA6Rffzp74B3uRDXU SrYnBadTjs5rcCadBaLK72qVx2QOZyjnC6zt+q0VBshy86YXq/kCgvAas7SvSQW2S2iJ1AGrvCG0 e2Ohao9Eik3gc0TES8I5fs0KiOQRbOuNRJLDG5fsmfTBz///Hd1x7xUFxPIz3g7M3J1pWgoWZRHW rmQZ2cIJ4iz2x+55QqHXXjtbBbWVjWy4m4tx4/5zLU/MRAUVgKk+F//TybhocsmkWsknLhlcSbJ3 bTxcYrmavQ9MThb5xmywwUw2EsOcvp0K0Z98SgqveX6Z6sXcQU1+oWe/ODamsHCE+fILpKHW0SO2 18dgkOL31m/1/33xyxQXlVhhzn5HltqcYz63REkXaVirW2TVs/86yOKVkVtmrTKp1g9rIhWkJhfL lKD42oF2HcVwYoneGJAR34LCgi4SDzzyTPNGRntSUvNIHEM+5eGMm5cvjXiPTlRzfWqVk3F7Rsjx PvjKo0KMn2ZVshFtSBHkVTW3tJpop8TwigW42NMogQDemSta/EG6UCBOOS2P/4GaIx+U3gn53AFj 1y3xOF/20Atzldd4E3WEK/j4NZF0iA2dbARu/vYnCkZsixxGgVdtpTopHRw6nftGRWDR0/O65DQU flHJyw0kjKetF4xslSOKcmNRhJ9C6sxDVheYcg/DlVJPUW9WYQLPsjNa6IHNRFwK3dWSSjCMEQzi IKLDnK4zgYMRsLM8pM7AnvP91Lbop7+In0IGclajz3eu51Fe7Vfr5iGeIHyl2/9I482alMfvnjUJ NmLVmgU+41gG1VQt1LPGsEXDjP6dqq6g4+IScz1YUjO78kdZ87pjoRNcJofVqWoX/Sp/l6M1LOFq Re1VYqwIZTL1Q/WRUy7CUKT8huILF3jt+43j2WHXSuit1pd8Ow4UFZYPl68o0h+wAPC3SZZDp7/V MALPt4QZZuP+zLiZDd8X76RTWa7OWgjYDetUp/fH/vz+lKymZu8xk2VbBqg2y7rTeN3zizwLj8VK +dxo902fOPM7KrEsz7foVRgmMaz81f/J7sgoL5wEuI1k+U3Ww+BzbBYKK/WSuCXksolzJogpL1SG IhxJa7diEi/3vlo2986qBHihhM9tdbawzR3/bRmB5yjepNxYjLwkkVCw4pFsxXts1Vy+UAp/nueH NZx1MYJ1/L7TVt8fJe58/nX/Svjm+Ip551PfeYjOrKdLLtesGhRAqF2ppoiWmgecSMwkvLDiFUn3 nz5EWGRRoggf/UgfEyaepD+v1ihF4VLkEbaF3lgisW5opBcWOmdmJr56b5znLishspGjy9IAdywA aAWtoYZ9QkDd1s6o8gWqUYhRpT64tvwyt9h4Dfpb8MS9i2PozjiigZa032K8SoMqFnktn6dLD8hg hK7enzWQyheItMbTq/pTG6zIq0wnTPOn3yiwrxc0BzOCuGkEiowaLfBrcFUlVSajVOqosTR49RKD 7vb7a3vGmEVDy0LkrLkRC+uArlQXsP0xu/aM+gL7BrXyP5ggtgN5zw3nIqkLRixnw4SVWXqLVkJ1 lyL3nB0X98Ja0d/jqODEuov/zVnPOGNgNGGAqb4aA3ZuQtWCbDnHw9MBjPuJtD1MUFsvIy6oXG/r cPhaciTomdHvH84/Ococ3SKEAjcorOXMAG5jBH5iC/wCny6aAennL0GOnpvUKpybSdrQ7hjEmtap m04rcPNA0hKGTLy8oPQdWLTcXWdZMzUkTp6q46eIlY2+erLxqHBAp7lWvgfsWKR0mSHG87/fAwaj lNXYHCZjGxp/CYV+3CprKFHHfPNjiHB+xO9ohdrIZfyrMh7GFvcv7XYO6/SRwUNXLMpa6DfgWFlw 23hRuBDe7ygsdntV8tRyj4vINGNPXAvOP82bjN+5wdrDXz0fya7KJtgX04tUkBBJ/DK/ATzIe654 5CBV8H+/31Ykkj0b9n0E106arqWTulIQ7mxcfSCHypyYF/1h4hzJPLjKcdGLIiLRFTUW8x/LZfft fADD1Ua45367KDnm2B247QLImIam9Nl0dqBSeLZLqLp0VOIaIkEZvNIJ2CCmnaiyMK4qhwBiUm2s BniYTuXBaX7qONBVDWwfmAwhWCT4LOrRROeD1bdfZ8NBDcuJMt3VS2rMtmtxJyQU5i2RLFPyNhqq ky2aUoZQhZlon6+RZ2VQZe40TTMMTw8sl6gCoR3PCvu66vOIejaPSmIOE1P96QnB6hRe34P4ihyQ A7esI+b8Z7RrODPQpSRRdNcumG6kQ8xqva3Tym8UqyrIRPPgFix3yzjQPljJCOiRC6k7zsu8kA/I 7/1BbWXO7sgy0gTXp+5ZBcS8VuKiM1lQlG+Yy3qY4N9vjXnxhZUhGfFPUFlNovGdR2YroPcnc9qd 6USE2ARKcA42V2BhLBRny+1UAJN4zysDGDy/R2jPTbmzQpWfSmtrgB+oIXVrzISM7aoJ2A55YKEk hun5YCiXye9CTLmceHe3MG3IrJlQjlOvERG42+tvHSWkW3ffDyPwQ+xuvMON8sTmYYUKmJyoxkiP CRStZ1qB+b44D7MrR6IPXS0/KsKynoD3wT7kJzOahWfv81ij0Oh5+nIC33/xOc086fCm+vOnhjOk PrbOH5Fpd7Rieh3RLT4nYjp+cXQLFSec3q+eaUBBdeF1o2xgUtcRk/I2rY+S9MeV+SmZKVXW86Fu 57uh7KsXC04eKE8dymf/voNELr3JXbfNu+mo3vc+WiCmJnlGlnwxWTJgXER4jdCGnPfruvVnya7K EGvXgK7fyRIvbRn1nTDtt4j99A3BBq9jvHB7zttzI3cNoMMBJgwRvMSPuajCTTod2Eq2YDzKROyG u94eD1Ei75ZVqn0DVCTVEuO165hNxdynG3ROdNCCLMmt2gdfOD30u3JQBk6snyOtESqCvMm1qPv0 eoXqToFJTQUiotkmsEPUqRYZddXKQbcFda7QKZ0mkrRsUar34T4Y76g+zzTgiUTwGdsBKptgGHqj d+cL4iRYU5lJmdSp8wZHKRUH91MHoAV0eA87wAP2iTa0FENKPVVZLBuDLW8mBHuBQQNLLzIb8tVA MMhDgrJBNXp1VhNEjFJKt/ayDJ+cR2XcxM1fgZpP1Hd8dJNrXDpyI99SroiOJ5gjHDIAv3+QsOrP SHfju5Mc1/JWnZYbwkqYKqhuHSIevWHuRG3vsCcnD+a6Ihlb+5aiM/NWDmt7UeKELSCdnqV6pOTC F5Xejd5E7t5Pc4dK2w8RtpNzq7IEYT5aJSO4wmUcTcLwF6I5kK+mS6/10q7iK7/kh5d1vPFuXUlD MFv/dW6Q2uIo6AJQvxiC3VyEhh3QyLAP6PxxC1D9/0V3oepwdA1Ce6yw4x5JIiJB/F41YAmtFVPz UMa6CmGZT6farQ8c+1BmOUNYocIu5SXnKNadj8fL+wBD9k3jxk1wFLYYkqs629LE3fiTEPhLZ+Pv BYTn2Me+OlPc3Y9H4UvWig+ymWjGo84VJzO5ZF3HV7HugqdWskhmRZk1OIqdYqGbhdsCmYwUxwe5 HW77ptkyQiVSFItxuMIZfaOM1W1v8UKBXS7IBFolH42VDZXB7cFVDaYULQMBcN61l3pFFEvZnPHH fzo6MxQs4fNKhYo3aHeOChJQS2ATkMdlhIBfzQ+A3rfsmec5krG9L74KhK4Z06UebIhDxdpnuTDn oZn7h9Xw/ZgxuvU9m9fKn4vKbCniBkipDWfZ77ee1jwxQmnzy+MIi02uGziKmwY5Vaddq0N22h2f nkA4vFrI83QLGRJpqY90pcS/b3qXzJWwhLi2P0f0QSujWbc4U3460ymt8rMWrYN0XgTnPqLftwto m6Y2Xh/3BWh5PXtSNJdG+FRuvfP2ALCvxR5boBxGm4Ksv3d2aFkmBJbneB/4J2Lgyz77ZpvSeKG2 Yb2NFtyL0z6rKxnbktUymZ6EoqFLYbPKXlzFhJlUWGL569yiBa0Vv639hC+CZp130DbNiUWApzyz /jEv7vt57EY0N0Nn9xVzGK1q2WNDIxL4CnfRHkT1b2Ok4TwQNOqGqWfCdj2hxk+F6izyXOv7024o 0DNqdnsLLW1OV5JVOvKc2Tp8m46SxbzoYUpaKCgzdLO2jIrX/DGxHjPu+3/etHieqPLN5xnScmg9 0QbO/Rh673AGS1ppX4WSBzjzWzfijV8a38gMU4I9JR3cQ8F8puTqa3AXWOQDS8URakbcE2m9Z6KK EB91/1V3UvMHPZMe/WPbBR0t19jaNJH4OgZmZGzEdEmNqseMIKr6i6Y6wxM1CL2mnpbKTinMQjvb ZwgVM8BHg7iLKe6bqAAG7i5/8h2D1nRd3XcuCIOBfR3x3K908ZwNJPaOvxPZMl7IGvTqUqUhJDZj j9VWFg5CIavYnkqfJvgWMhSxAjniHrMCBNObeUWdWCXmsB7N/mdiAQoBsKyPSHj+sNzlNULRSv+C Q/ZUCZvpdHTLfPSdtZgDB22xfphKN9on8d6Rip2OaDB19x03gGIo0CRTcCswBXAH56ucdlEY9QMV /1fQ40om1rqa15KUyi7pBdrvymvbHGOq8U89XdLsY41Z80wt7BfjCGZSFig6YnTfw56yLAi3bGWY qqPCDghJRarbydxSMTOlXIkoGfhpfzsWDPe+y0z+7HKPhHXY64RhHel8NKNPF+9EG/aM5sN27u2d SA2uKPFTfjjZASqpf9mQ8nlIw9RAlig56B3Z6zNamY5Xvh4i2TQS0rP/kHyGFmlXV6qe1GsOYvos s90IEjy1c2gmRUa2+qKn4j62wDK1bxoUIGXvYNfUy5SebiNCkkZFVmtDSd6BzjJgSqGb/qc61wDC KIfN1koeztbdHRi4RIVTcecfWCab5wwIg6n4VEb7OPfEmVT2TzENAzhpjePvdKNS1C5GCtYkW3MO qmb71D4LipuqZCuMhZLYeLf9DORXOPy+wcqI2juMEoSZrhETyLR2lEgZQYfQ8r+m7d86+LA1EXfh r8IlVxdzyA3wyUlwO6tgdQopq6oawVLuoZWmA6gvVffKabxv3og6BcIF0xhl9CIDFw9IVJbqZzZk gjJ7FqwlCZl9QqjQuhuhMZ75ymGv72Mre/2Vj5iAGAhpG/s4wG7Svu45rAgQXIAUDCcettW/SU4B L9U5s2ydfl5/GH+qXAewDWE0jQ980VLifrC5GkqhurJ220i56ilxvKD3vIWN3DNBWvD3YwbGfKd9 obbt0cmpLL0GJKuJCn6jE76+nm4OY1+o7IMh0ApgiHDErGdHxuzf383ipP06J7l1Gq8O/3Qj9CII boAUl1/bRWlQQwBMxzMvdBr+Xy4/kDFu7x+CeXPmimG+/HX1fGhYGVBIgwlyiaN+EUyPx2XmgEHL PhDYFcMm3yr7/pve/4cvqg7r7/8HXwAHb6kQvSEEKWFI/eF1N54P4AVygYkpQa2dXeicnjxbiWmv Z5cLq+qaXPQULK3ZzIOp8a2KsBO1QiZKqiIXdKWgXAL7g0t5wEk1WS5W1qoxVdgE5ACFQDwnqYsZ aZcwSs8KRcvCTJn6/PDBw9qlxneJV72zqFULK/AN6VUIcWWptdJ5YX+a7b/EoLGBsQ45RebnIB6u Q9WtZzCAGOzSJ3Ps23ZBYHLgwLZi4rShxPGwUXNs5QDFHYzHmoUstjGmcCwPYnoT+AjfgycKZ/ZZ dANMmHSQzlQ/+h+n9T2zwb1RtW8UXd1YMnsvAWRESduDLqUjhw2l31o2tHMmOKV3X91GcF+O/khi gKR+c257wUnvf4mObyhMwr+QQJ0lxvmdbjT+9xY4xd2RwgtMosdtzdlcs4dxnLDvMJtV/KVj/J5h GYbVwnMl7bfT70cinGuDyUdyJO71BSTPbS6THCo3Cj5dxlEVxhDLUOYhpN3TaV7rXifNUpLkKKyD PDPr/MwtijxJi9QJgFowZ55WuA+0RtPIO79N1KM+hf4ImMLZ7nYm/qro3+50x9vWWd1qZ0RAb9M0 uFKm5vwh4R5V2M0KjxoDk0KymXlBWZx1cXDAwbqnYF4zkH9zVPn/oxSDZZI7RQTGWbYLpaf4Y0Em xhJRTMnwREAQkdoOwNC1DTO+AJiJaYH5rC4q4wlSnXSviuMcEzHd3o36ZPFSaZHz3VMzQhIfombn oCM8vLTM3SlohkckholyBVwpOvCIuGxQE5h+O9X97/J+3wbkIgUYbEr1Zbo1QmGB9S5rM/crohBs KfP3b74+x5kRZi1eJzk5HszPp9+1fM/ljgRO1qv0neM4t6yuBKZXrJgqnMt1zQXaaprHhWMGGe21 LMmiUjIZYe+FPr/BflxWLN9a3YRjT3Yu80Ms5B45GN+/ygqTAM9jzCf7eN3TxcqP2dAvPTdT+UlU q0B4EJjv5z9lQvqVxqGa/3u4wTtTXjFO4Z+qom870fwHE9RVAHkeP371yBn59f4iOkCwyLyfu+vN ad/VNrM0mbBeq4VBlKR5vaOKO/qxx3Z4r44T9cQLCkpdKQxiwnuJelVMXtOAsGO+jN41a9ADJfOW PPV2G13f/jMJHaqJsh4EuYuwjouqjZRLkdM/WGcgklnwk4tuGxL+Ez/SDmTg7t8RAa5VWAizvOGt 0NdnkLdbLfXGQiAG9iBz/TNK6hQgaDUrdMzAVP49ycmN/46AROFo+5BM9ZbYJiAm48dMVxxx1W37 OfUnKV/GiofyUForHgFfyyuhXTwxtZOf/O7MB+HY7LkAvFF3Dl6Ggv2ZKirnZb6zjoBooXUF+rVG VXDX8GyjzCsmSsk0SZova4rWrxGnBEs9+5A6VZGbXpJ0sVGpM6Y65YjN/b09HCiG+/e9DWp9ci4T fVrg+pdcpk+gwheBGPod9BBTTGo08rSiOOLnOOwTU5BHvcJz2/2poOtwV5US7a50drN8Ex7SURjP NabUWPEN8bscX7HFVKOfY5a1gVgFsgE8qT4zlBZ1ydfcFAKxJJrKbGfHtDT1WWuYp1N/ZVD+c0rF JkFXmZNTzA1oGGXtgIqy5ySj3trtpQxwc8I2zc8fgs8Gd0JmGA9v6D14imZIRkYLvIFHdCl7EfNH gxUfs/oYYC0mcqm3wO8kqQLhtkaf99GVFRlsjvVktk/ar1BkNQ06S8id9zKSNUZW+4u/T0RGelW/ STs1k49sWBi1YXNuYfp675e8SugmKLP7UcxYPma/4nEJuTatCqCp1byCq1RMdOZuLP3sELE9BsRw aCa+XtnPWZDcv0sIgYUMqL7NX5+mBhhswu4cRndAl4+L9oxWEMRnxKI+pHEq2G32lt18c5ZqHZM7 KQrvkkchMeeqRBPMCr7B2F+POLm0AaGZYfCFY+W3QS1aZY/LP6qfx1L+fjaaFrwQQskvn47w3+ZX rmPXsFbDbum5wMLnWkz/Du606G4OLX05M+jk6ENnBhiH1U98h7sCMk281dWI9o0Zz8DlscvSFAAq NzX2Ktd5a4tEvSmKkT4bFWWg8+TMGXlzJyr5xeVyjxXbGvfD3w1YJb8fgJ/sFwiGJuqehrP+PpPh WCJznqsRFKp0M/uGk1nKfmIddOamaJfrHH8K8zlipBtnh+j9fds56xFZSlEqfg+zeep5RfmZu2/f HD3WMeNHxhgup4VH9SlShfWdJqRZDqHX/M3AcjbUz/G2EkCaEjH+ok2frIXWoPv+J8Px5Ty49jbx l8FmzhyZG91txCnuzeQ1MTx27OzyZJlx8gMVZFn1AOAzrz1CAiLQvhhu+Oh+smXTq8yVnl+gHNjM BWbuUuHdu5tc/o3ilCRA2YT1w3XragDe8cIydKNM012L5QSSp6Is7HYh/uv/3wHJDI9yNcUflvP6 3RiuKO1i7AKxuSj6z4vQSSCNQ2TcTWUV+B7IfHmJEU5y3ucCiGvxXnIqSVCufiUtnBvsXJtbkkj5 4Y7Z1d4GOqE4JSlsU95+DvmD45aT9CVbW5kybhE4mzgJGcQ/Byh2fN1D+VRzJ3mqCkC3G9pC6QdN 53RwY6I7hwhEnPHkjxe+41Z+Fq64PPiiot17r/8nDKwKOp7XTJiDa5EgHlpmPegxEx/SBFRKbpOI HRkcwDQmf75HX+/GHdtkDOd4WpqCdVUO4siCBXvLWpfF+cJs+3UDZor6uK8iu7Y7ewWoU4+uu8jZ 5rllfi/Pb3hpFFHl4f5n2QCPidsg9TzpVsI2lDGMH8NPNfxuLL/fw4nXfzIUOwXMP8sJQL8EGhwp tFTQgddnkvRi9Z8mYQt9fgXxa2eZQqn6Sq0g/xWdnpromN6wyb1jd47tp7FDkGmBP0lMmttVweER qR8krkGfWhb0+CHNKbxFCzobMxK8j3KKM0f3f6FgUwSTlK52LML9a4wji8e1lBPl5wfCmA4lco7X y92Eli/iClQXq+QIFgw8DAqm+Lii2aoTFvHRFY9d1L6xNg3Gsio9QpIX+LaBhfxlNxtoMJUJY2z1 kp7fKTKecmmd6BBsL1g0r2HulqMZ1Khvtvwt3FuIJ9+ZDyiJ4JoQGIVyVj28KJBaMqtOhkwUZnMo Hn38SrtMdaRv4ZG6np7WTUDmRsFZFG0+IR7MoFqo+TfB/EpEr4qZhwwerJvksUlviFTkBLnLL9Q6 OHFeGaFsWmvlvQAvCuL8PJon21S8e4PrcKo+xEOn2RUvBv+spaHGgO3pE4XprgLuDU0MIlPvaZN/ LCuEYtGHoM+0VK6fdYeL0Ki5+2Z3n9jTWAu43TFnqRN5xXln4UWc1UiDV+VBuJnTJ7mI8D3NAU4f IhHXwbZu4XFwmMAzSu9OQdmVFMxCmBXaMgkdXS3aZXWbdjd0ra8zcqgGQOzkLTS9eCw98aYVLYhL k4AbAvlc395hSF5rNv8yMzjvxZAU40W7Iowc+eTIDDyGI3FL9aTmVJOtO9hBPaenIeahc/VsuUld d8zdHPmFYJOC9UO1XCNoQpIsDJDZKFh7ntx6dq0QphG9XMqZL7a/KEUOUDeLxgC0jmQDtrYLkuVT nrhBhDe5xXdWA5mmPWZNptNcP4hPNYinGwO6/UKXAI2FU//cTCjfoWgqacYTYtJ3dDVvCHtNiuT7 0pcsOGDdUmAo59qME6FmNGP+ErtBvempOgbohmuq7zBGyOC2+7a7jE11yFxDy7Zcc9mVk4vp9hWl sLzFPs9rQHbRuSLLyY+Vu0l/r2OVvjy/9JAr7ZH8FS/vW+8+cDaQCYkP//+T1Q6e3NyM9+wPR3WJ 9+8ccTQdQ5Y+G5+dYALr6+945tmlzCvA+akMsndH8dtKxwmtIkQHgAYCVYaPxjJG8C6ZhznBhKH4 jZvFlswb+VyoY729eLGMs41JiiLcThgiayTVLw8XF+HkH67mjz1W6lfiKKNNtRtsSyeasm851Rmk 34ty+RstXDhraKIzxcILWvNjsmVdkSowEx6imVRolyo1tcDTC2WCETrZVgBJUbtT541I3Kr3nWHo 4bSOijCkgOwNdnGF+TwRiuEhIpo1jyB5ULtjscoVszD/cSgwgWI9Ty9xx1ukYPIYvS1P8QyNEhxL n3Zh5xpyPp+m58kUGQqnYLIOUK0X+zW9zqIRQghbYKIdiMJPUpZn3Emo0vVJqc7t2uKNH3msVwhi Zlqq/YUsEL8PBJwTOWmfmVmv+BOExpOyiCYHq/MfTL6lHBoxWPq9k+fi1YsxxVnWgPX0AxLiHc2Q e1hNre0pgSZSTGup4eRa0FdZ+24pLBeiiXb6z03ta+poVVt0+9cRu1z/kOtmOzIARp2UQ2EObz7S FIQamqJZSUyef0Dcgjis3fQfIH28aOJWNk4d3ea4xt6wzRGgCygNZEwwhflPwD8no3GYxnF4ZbxA +RQ5tKn+2xQ7CM7cHENou6/pUO6ESZv4W8WHs2SxuO2fpEENK9WGe9d6npbwq3uYY9tn2Tsd5Epi tfgJ6JoGNm7WMkibxBxaHb+vJw+xm1tQIyAEX4/z+04tkrUe4EpcQH+6gaxgXqEoyVsJgD6pWiAD jPDkCpDFMPqbW3WZpgyk4EjJJALjd8FXuuA4RVPonkYqgiZvnrK/ntGJmo5/GNhMyBO5ikQUq7Dl 0OwI8HlWBxH+k/qCMnmZvwJUdUYr/+96kVrakQCqYZkAXZ31GiNx2hqDhsfYyMpNk6+Qq/R38QUx b6GnhCw3bcvOKMj/SjOIucMVBGP7MZOnXP1NAk4StyD9EJ/8yu4x5IkAVzLyCIMA1OaptNijEF3R YFEU+4mp0ggWPULNnYQl+3MsZjsacmQrndLhcYec+Z8d/87PqZBW5Sg+P5w778YY0qzmj7qov34j ++CqMWZizQmBpLVYo07GXkZRS5Gqgv0GfLe7HoNo+E4m/Jhu/tEpiCCUQ7nJscyUCwMJla+PkAqr FQN2rDt9RPZGWjPNuUjngrssw3a1w7OgxrthijFWVPNGAOnauH0tnZRpns9KNCwN/sMacmZ9aKKG 6aXFdrGVLvVlcjytAc0xMNVW8ctJo8r2dq8IA9BvnQmRGZngloGZdJEO+bndoUICQoE3t1YvnxkD gCLdMrFtXzeC/B+y7Mo+fJxc2ialWMk+vrBWPnAwLFavBXD2nyscwzgebePppqcTv/afOS3ZjFZf oA5hAA3rI74Dp0ruMXjFsrVutTKY0Wd0l2PskCxxS9qcWncDp3+y8C77jjCZ2XYJZDFFWuxhDaQz cS1yJzwA0TDQ5k/VenugyoWUf2l2+IQLSQHwvn/6iyYyS3TT7epLrlt56Tae0ZS26epTK1e0UfqY oygaf7gh9afxjpvhLjb45Xx4AyiR1b5s+/EPdDNZoivI+uFjK7dHTG6rBCeiC+JgSdPmJ4nHc6sW y6TCD9+fXMc2lqPKCe/vnV22mdbsgLhiT13uW+cYKQnSPpW859ym2fUh4lXXib1rCM0JYk/DLWMG CjU2VPUF+jpuH+8Fb4vNpuG3KQSi9SBcmdU+PWFlhE7TAGwft7A26F5RuDz+FxkBNpTIvG0SgnPk qkkBbnMP+ZknyzXOJZJ3jeycNGdcFp+p5+HJN+TvBb4YRHQ8M3rAtnfWzDJRR+XFAbz5P0phJd94 /sb43BlJsZBH6OoZdeLAbjkN9HxrP9zJ6qknC0EjFKHSzjLHJC6Tt/I2nzRt3/94KeqzxTn18lJK VbPZFBQmoBMtHcxoHgtnC7RNWsu5G+mHY0KZrmevzB3Y6G2IX71f1HoZXwqb+EsDKbl7/FsSrOWu ODyIPdr87try4hGCLHG8jGK19GKM5OwXyh4tYAlOC1VHpKxqGwmAqWz59mv5S7wptJ/iZxgDxcWU 4N7QlsC5IpZIznT07ZqfEFYbNWDnSae5ZYtN4yTiTKFXkAfu+Zf5UTm1T4KP9rHe//fChcx62+Ao ffwZkKuC7auoUtHTJWjvbzvxTtZdoL9EUB7ybs5RWnZpIC7OoIUJn2bS9Jegi7URBy5fxlK32tRO Dx1zYi+qUMCEARZXJDCsZnHane9+rnQHfQspHGv0X9ANBX3MzOq8HZNvmkMWFLvXDNkQc80zGV68 vrE8SbNf/zZiOpb4dWwZWv4agSRggIr6ad7T6bGFWts1kvN0ntn8mB5GQprG5G/xoWQPrdS43qzV NZIZZqrb/WKsXZIry5ZFEIsz6y5oJcVV5ewsBy+vFx1N4vlX02wNjqz8EB5V/4o+kU3lZ1yR2Awj LdjpH1Lc2HgiXOZ3Qe1f8AdTF2Jkb0iMM863bq2PKHjmFqmRbj8uhb5E3kOn5osAR/hEsurRuLdG v2npL4Q32YNZDWbyCq83+UbYsGEaThxOhup+46xrktvc9dX+HhtlCrEQUtPdnDjlaCHFEjMTQTbn 3anJaq8vpZ7G504xjbD8+YWsB9tcLX5UEpfCvHgYeDCLJKK4kJBhVS05KWNYAH5NYELYNBU/uM0C 6qJ8PfkvUbQtv2kzvmMnymXhWNDFH2tS83uU87WvXiL/S/tA6IbJfEijzRXtm8+NdGCKCRSPNExA EfVxANG4Jan19BObWpD8QQzUBEvXpoPINp3yo761DtEc0rEkFWFO+WPqDyVNSlVtTtD0N7Iq91TP xYEmxmpWG7p7eLf9l+R6xcfkKgJTLvPOfJPF6ebnelT2G7RQEjbyK41x1cOJ8ZJ/630GYYt3Jy/N Fcih1NpBJ4p7rtVPElmBReBkZ+IWHv6D1FuxWxw6M6z+gIsbKZKiydEcEe6YrzbzLiImpdFn6E+X EGHs7rG2OdDs+xwTgIeKIYdpyWoQTvL/5JwFsOjwBbKyGKhkBVsJ/dDcKqtxXIeMK9QCkwIrIpEi qTWpvmTGpeOvLwh+TyG1aPuFac9PQc1e6SXXu/PjmEJHRSr8ZkGBbfJ49Buxer8FrnAAVzhTksyr hQSUrk7t5vSIfc1l7W885NJhsSQdvAemxKfMLOSsqa8gyg+dF/iQz8J94yaYiM56upGonNm9JQ9w cFOo2KU8Wd4aZUekdqireadQpWlFElDvG9yizynmVzrNQIS/ekYSmVPamKibgGnAKOexLcfbRui9 CLfxb6lsIhagJY9V1ljg0D6sNY86Gy+4p/eqcJorKK/PkmBqrquQim91luboOSBbH+bPv7M8tehA BsfNaTbK9RY+ZZS49Hts3B0OvftviQq8y1WP7AJcN/KyFE46sDh3JcGIoEE5WnDXax1CHKZGLX6H ZpnFKWWyyLRzBBEVjH1rHvYewaLJF9xCA90hz6KQvq/29tzhGDMqeoEJPFVGkzfQMR8HPxf67Kpf CizGJ3KzTKnRzkWv1wR8y2Jlyc5ciLVHhZ7YyfDiX2cJ3eRbfY0TwfiRJgaAdRyiJEI8ub37xs/c 5tl4PObg/drfzoTmk0CjeC8uZP4OuHTrNr+FgY0u+HvQQC0Rv2YdBw9BBRGSZ4+t5JrzVwH5c3xW UqNhh67PLMcnup6JDWNVlYbDmstVIAfQPBAE2DaHVvVtAxpA0EMK/o13/SuiarjnA3t+xIyR8hO3 prw/4PZM2OmWiUw2YlVGXYbzqwuWPh5uYS5kBxYtg3e7bufNSehoJbMEDbiC6NrkDNsfC5Wh4VmQ Og7S1/Cu9T7tlet61ydfEoUi32bWQPzOXPGfkUUhiviqRQAxEv0KZgJqcjwcRWPkn7p6cWT2gMmC 38ZOlI4NMssjJyILyJ7p3G2GSTh6Xyt1KxOgQWHuXQOTgBFN3z/81u4U0pQe3Tx4+ZugPoO8RctQ mi5zZLbAQ6dpDXmzqF73VxnYiZsy+7yUPYeTfnUAtOBIUTJueYj8cT3L7xU1hVZpOZdvm/Rhads+ tF+YwhCASarmiA1Xq7GuKnpFf7rqNuSewjiXtE1zCEHF9Y4k+VWHVbcpE0hAkSdYiGifVfTTPmNd v+2ofBzIr/ZAE1SKQUo3uXWYK1pUK51XQNklcqnEJ1YMOLUV1DZqHKTfhIVzyLlIxGwKhH+veEhX JYjUjiCIGhpnEYLFXGP5ySuy2WDxHP4XJ0u7XhauOd6Pb6KP9POF/kAuJqClhqR3Aea6fG1glivw kZpCtK9da6C/yOaie9Vvu4bg2NH/l6h4H/YIu4+9hlCE/qQ4Yr8yqjrMaS6dczFyQfdmAFAYouCa 5DP6E9+OaQ5/sexilYS8qoNQKCTFzmu1JXgjBdsQVH2wIrkx5IANViXaz2Qrcx0KVHfcDTQeg+/n jWo/c6XDMseSkwNlKrwkG1DMawwM40Px41hFLLecoy3hxBfv9XxAkqKDoNBUg1DeMp/Z7bffclXr cD3PVIsyBBKvHC1Rbo1pDirb4KnYrUNTZVNqUDCxdF4oBVQWWXgBEk0BDjndP/dZRhiYmHFVw4dR LB3oKWANkYsNUuhxXthCcm3NqhCw8WaLALeuAxgg6U4Byxic4hPtnth2y0Ons3XVwS9k6RnvPbXG MBPNbvwHrHgoEPtajZrAlsBT+GHOHpUEJAsbBUMAyBhoPMeN3SvJ7wf8pDFtCPw8MfyQUPS2hqJZ +I9ErNNIPKBgUfYku5hDwRbnW6NF+lzYSzQuek/PDVdjFLtl7YzENdXFdFzGjQq62F2c61A5Cnq/ fQEHREAUJb1FJzeiEOLP1JvVjy3i8eC8kJz+g84EBflIuSCzUBA6aij41QoxtpfGBxy7flWePiU5 bCJYVvMlDXbDKzBs0f4BzBERBKwwHMLaM3PSpamwm+iocuFa0TMkojIAizsRB9OSr8NiyrRZuxSM HGSoFWv8rKpjQwcRSU4/INz6qghadhBrqNo3NxHSsj0YWEGn1ZBp2wITVp88bmY5H/AteHr8xe5C fCiiqP0zBGVTOgiKFAg5O9P5DyVj+UcHgjAKLXYaTnqsUPXcK6JRsYutMF7y3L2SH8rBxIH35gZX vJFXOieJ4utPbuxH0lqdRHs/zR7heI3WCPJFKDGbAPnmIaDeZf4btjjfKTW8wnDe1ZN/Gm5oiv27 KKrSrcDqZpeAgJTd+PkrcCMKkyenLeJkzYy7DaHEc02zVFALinHCqelnikFAI+g4IYyAV9OeJ/cZ QTcopsMmFl/AZFnib09+xdIVILiai2R5GWqDTg7rGYh/93xCna5Ufg6ItECmsns6CK+sIOOyt17U E/0/gvhcw5P/JQ4jYby+0CEDiLF4ffzch2RRKQbzPHevLuQ8uZcWMhT7ZGjPgAUU2h062m3xerrG r7fn4KIOIEzn/oZP5mRCW+0+lCjqtL/GatCbrswJcC/R0/EYD7b3g8A7QzGQtF42EdcsWWCHrtgz 9aHpJBWm2ZCZVG8pHHAYq/9+NgXuZBMLwMdR5pEv7wtN3ASyHxbBIJyPZKA+2+97ZqWTYx1JTiHD zGqoN/IWy3GXFjpUwi+c7+BgISbeF02giC87sDRZBAelJcJarjd155/24Bh5+Jv/ZPyYtol60HEh Oa3jOrP9jfo8dIvZRBYrd9GNlHmZkF1uTL8NbfKfBeFu6Vk7qATbHcs77QQ5EBT+o4BetoQN983d 7tYuQY/zp0dutd4Hkj/Rxg1v79WAoL9PhTLdufcLKxixGMuWmRX+2JaqzI2rLX0zlCKMlrO1BUbe 4+IoA4ao+5lf6tw3CyrT/1XOAc4kWPch+kljtsGpfFFkqHGi4t8oeFdo1XZX6uk28iM0zI4Vlvhw XVW55CG8QrBUmjnPqA7lhKA/7qVOk2MNtGLe0QWh2uxYJSJ2uhzIKUI58hzrz+VGXsIvUnLh1qR6 Hjg7qhpUjxj/p71OF5ppLm886exEgjoNe9T3CjlnW7lObICmDd4JlID4fZ9U4mcXTLIR0nScvdft ByJVCMUORCsvs/rUwGRYXWI+KljdoYe6gBO1fHkKNTRKoMHtAwkvvdTggz2duaruVQOasJ/CvDe6 8SpHf+dxGxl0zMfl6rAKH460m4eLT+ACpSf136XHr48qX2bbm/h/iU5UjCiYT1y2qeqYJFs4q7cD P+83w80UZ2/1I/W3MVX7V38DlvsavgBhD3uRVjZrM9lCrLGeUw2YznSJ7nFXHmNcBqu1XjDbWiM8 nvMb3poMbgJc1DsW5Q6YAmf8RjZp+PBPQv3YtT7f8LWVkTcCy5tu2DMDxAVvAq3DD2molEfPaEiV H0u1INijTP+SXV/3YTwH6eVw0ryMeXHPT2odV7JZB5FQea4VLxOIHshl2TxdhNLL00eO7eh9VQj3 S9l+L3m422JW8jYNjc2+Zh2BbxBgxgDoKbLSQj3FyTAdSGugZIGNCa+MPFuGYQxOujNMqLcLTk9b G2g2MCTcci+gFbYYIqWftqauVP0G5VnoTJj7yzS9N5o+rgYT9Kg5Bpj1kKd3yLGEgk3o2o5etcK5 Yqwr2Mv2hcCPsWdOGG/xI6V5jUhjc4PVegoLnyHxMGmZBBMWs7JhYDuhVg98wEkO4DmUkFVvhXLG q1komgEGHsLUwvI8doMNBJKiRHZf+P86IQZimOtX+He1N5LxthB9GggZ2OcaMOKAk2Ft3vbQns2M uY6V9oTBwSFMlz/iG+vGL+Wqw8huGU4GkUgcXpVSiqhGD72A+kel+TbJFRBVRzdA68R8IunVBP03 72g10sMzxL5xl8z3UFda9W0PnCa3iv5AevV6USZ9VukkcCsaCGeY2AFWbKv1R1KmBdpCV/K8UYAy d/Igtdoql3qSlEl5GKOJIqnhYlwQMbAMGNIk+5HMjDrce+mi9xIcFRlT1GWvZ16gdUfoX2HdHqb6 3dGKK8oRqil6RK95LLcW0oFkapTASD6JghZzfiow6vdAflZvR2rQo1ofNkMTZ7qdGey7SSNwXNUB SxDpl0JgtAxEBHIgQzxNvTTSKVA1uRlyUjXSAjKNs0pDALkUtAdOwEz/Z/dZR9UhYpztJ0+Fryfe z/7EJ1+1ueSCNDgnGBPU9ML0vDqjjauM0YEM1SQWEt5sCrA2KrzGv8Fk5AEITv9yUIVi91lAufo+ MjIxD9Zu9bKQpfc0F3xC62xHQ5wLwwHEWYFINNe8avTACHI2gk8VXK9yZ520c1+y2VL6AFhlrITl TXAaNCNwVmgjrFrBUap1laZUVf8TL2ShmYPmcsFxHsZAxTwINF6GTl4C2C3j3wR6AqGpfpe2pKX0 /U0SY0AXv21JNqykVB3mgSAvivVv9j5TjaT8PtjSuMXe3OWpacDCjTj8HVhpip9T9xZyn+hNRUaM autueX0RmI6E9CrcRQs4Sy5czPZQ1JHHOSP1aDptalS1sL2ZVx3nOKTS9jjdxdkhQ6X0FJNW9SPf 23FnIfVKSEqbOXG5PqZ31DcczcnJ6N+MYg5o6YUcd24mNepeITJH8Z1dJTKV82HtAixdyxrasjJr 8J9wdMGonKUhJlfuv7nWrwREvAI0+j58nGNAZ/x9EKMxO8I5JTU+QTS9CSgysFz54jTqTjJGpIvi o4Cvv5nkPwVpgE7HczxQpnf4PxQB4Bgg54FpXR6xoJps6k6DHkucrb0F09R9TK+bTu5XqAWnHC/U PM2PeAtmmB6Sn3J6quQm8pSiHO0qdQ4HNQDeL7nY6YWIyxTJZWlGCUPcPnE9msRfl6JH0vawW+uH JoSptRTYogq3CFGPnYjrAGhybgZyLou5Fp3Fz+WZDvUpyyKqNXecSVOxznHRKmwGDZw1gNSdcP4P eySVfz0Trdu/g+yosnutvFkUr8MLzcLNqBp4eab/cILpk4uJf9lPg9o5KsEXDDP8dOZjhqE81wZJ IDCTv6NYEdXdys1kK5Qof6euD5t/n9vD4WQR829/NrYRhqN1bGPz0MB0T278BgNAI4HpZGzqESva 5xM2iH8D3/u85ZvlBPf2SrsG22d1AgCKSZ2lqThWUOGGxrNxIo76YfGu6o8pnmarBcFS0sep9XCJ WPvUvJzghFVpN3vvl44w58wsDhyREdqL9HcGrGTaeZeTYxMXR9W3R/i2y25eQL+GCoDsZnh+d7cv JvWiiBfEIVMP91CiEi+VbwWH57+awdZg7upiGh0dyKxTCVA1ljiwZxngCJY/qn5OMfLNQi7vrm2V I02JOXAE7p1fZB+SnaN3P6aqPYXmjvxiZ0+mH3r4ZJjf/gb4VJp+/XdtsVFBWfSWs6B71Y/TSOYe rgkue6hsj9JTkH2EMvHtf+zNA/zYtbJBRrk9BnnpW2/u1jqQ9ha+3r6yiJsdH5+o88RZ/5hM5xsw LJTGMZuRtBlW+ajON+QhfeFmAWWoai7QYkvLGd4tKHg7ocAsv9/TtXFoWrD7Pv96W2wllLOvtYSW jqTlyXKpxumclmTtmmzn5e7k4AzLeHiAKl0d3zs5WkREUAad7L2YRwOIzMdv4xrVhax5kAjBxzrT 8bk37jVDBsZr4jXBQYp7N+kPIJs3stDZIgzIHqfSzd5MQu6ewijLCKOZPJzekKUk1hOZkQfUsdpF RYWroZVqtGRWYyPPq0fbjNhSiORHF+7pkNiB0yNTOGZh1dZZ8Uxv6JMFbH+DTTnD8VYzB0u1GdqP Ry3xsljDYB8DsNSh0uVRGeqvRRB5LJ11ZqpoFWONQ4uoo1RwnX6djsJAdIOAp9mWd75QRwYh0bh1 zJztnFtIadvmxUxw0q3C3a2USKCDcpHNpTC510KF5jLDkyNipMkSJF7JUBCrYLShRJcqpDbHMFVo 2Sl8/XLLNyFAw4LHZIqcnOX6su/nN29rGt7j++AfH+AqwExYfgcWb1WRDB3Tgs4SL0KPmbU8QoZk ky/nXOwu7bkEJoK0bC29EsBrY6dXL0XMb9cixaunrfnm57SBRlpmcZnxPqOYvFrCiSxJWVschOFK +2FGPzHyddscvL8fb27URLkBIZqMzLXHGqv5zsIKAE7NH0VF5yZLXyHGlE0jl58Rqbm+IW6V9tzE g3mcqbWa8eHe8OHnAJN1fuz/PYVW6gDEcT+PCba7ehFmPYZ7VgbbVpK5mdqeNjpx2TmGGhgoXECt LSfvlBbN1OztYHCSIsxPBY25SpRHhIvqHO2Wu2onQ0FBpB/AE/O7gGOxrSJHBUAafU2RO8WYacnz YF3VOqO7CJTarjvpFPcj/WQrE82SPaqUBytbzEFUiHkO2+2ax0bPIZTNT3ni6rO/bZy5C5xaZzFI /rN4ey3Z7f9zNUoHq8X2Q0JCQ/PW81isKVbrDmFFKsWDQ4cA0QKyO24jvU5x8WGZoOiUwL6RL+Vk B6I903K+H8+u/Ie3kPyjKG92OtZDa3Dys7707OrTOw92S8lvHOaMVJX1lNT8haG8JTneV0D5cDCi 1DcLw8zsYg9so+Ptzm73cl0o98TRIjBnourYUGPpia9hbRAVXd/ObeOJdTUs8NeOCcKBITlz3jqD Xwy7DFpLB+7lAnvX0czwgAcXFVAf0hSiElhGLgTq9LmLlEu/4SqVQV7h9EebK0aNG8DGp7C9tS6I JO4Gh454NhW4Hn5hPyLQvdWa76ZMQWDb1SCf4+IU0BgCoZv5hwoZL/sIyUlAu2Si82KP+pvjfa8d JzUvFaAMxslt17Crr5KUDSBVUhEmeZOoFlIz1OK8k13qf0J147mPKgAMSJtCi3GYPhcZGZfFxuZS aLY9Ka8qhq1OWfqZn7xqUIXDki+CwP/tUTbHjgItvD/ZLI64z0S62KhWnfZ0EpwsVorN+O+iyVUn PA+ilSRRgRwpQct2yewbJK8cUrNsn+DAwYVU/6tqxQO6zDeZYITocepUqRsvLpo1Z8WZKkTZYq5Y O0M4oO4YuWxIUCtkOv2QYM8ev5JPHAIb65S+FzC4jF9xxvhI5SUOpduP1Kv8314OsrvdiZ+irRxT aa9B410M6dsx+2STCX7CZmX6o5Eh4V9r6GIiRWZuxEHjhf1dWVCOD+WKL7uCyx3B9Mv5Oqq2Vccc IAwpRCMOxa6et3R0U5E8weUozRzw7mP+wIGGIOHQ6vLEbttdQVbB0U6fl49XpCAo8+cki1roqD2h yVT286lkTwtqrKAmgT7sb+QWQFpthABujqFjd+ghtwtqjbwLNO/qpPZBpj1qiXy+cKrypud2N9/S HU2W4WL7jVxhOAvxjCBG+gLRGBue6Y6+nhp3ZsfOLbxAIG2J1uCRRq3hfZKlBDPq67C1W4VIzI3r YI83+PiITYEtmZQwavJQEr123lGQprqNeOqlExKeHGBdtS1Pq971hw+FNkLkdWdlTxLCE7veEIUi NufuuLHx1Ftq65G1uthZoJe7spAFNSuCGPkCBO5tQ0ChBaVnexsS0YFnytd396xe8XLLDQkexMBL gyGhB1WzS2zFJ6C+pDyanIhuYbjHGZlC8ppWfgIjfHOr6JsQ8ohdJJFTAhABnsZxxWUeb3RNYu58 fEClUfr2pvFWro+BhX7EBe7I3whgar9j2NEaQKs5Ugn7uCfnbvUobagrFwrpbgETAh4rxAboDQcS Ls5Juv9i9wcs7NzhM5z8bfUX7SmMS0/To6oRpfnQ3bMqsr1WgGTKH+xZjLQkPsjUULAq7szYosM9 UTCpJir3spqHtS+uxestPIev/2j+hEORK7yMjubCdVg0H+ps2+KiELM81t3YrL9a8PUSgs/UC7aR Ar1VeU24v41mhd1HgewxMB41o+Npq6grMzEpKk82zKOYC/107bdlhgykEXsxaXx+H2s6aidW4gyL ZUuVUEF02VavtVx4VP5CbZVm6xpVWmCMQBRaPRTV8Qhe1LmAtdN6/RtuZ4nYf0O/28m6PqlB10MZ +dmdF1nlnfScT9pjqXgEODIlniJ/s5uY4hgH5d2+UtpXzPPqp3RfC8COQ/iudxwubBIy4xSa5HMy I3qB7goA6MBG6IKxlQJg1LZehU+d/7rPQmbOLOR1SQ2LjA8rd5u6ceA9QskDoracNcFyt3oRXHXh SLexNQbhxPD6KjETX9MzaZzILAjXrw/zt5TyIil5XakjcKIxxPAewMAvfVv+W1fjF+GZgHZ+Pvnf 5hikEmDJw4ecgeQbhXgoCQaPaTmNkEFFWPocR6rtDaEHQzZsfTtIPgPrXaRufnp2JuHb92kZSNDp Gypx9eCne+LfCF1TFHUt0uaEs3byQO/9/UFvaW3LbMZ9lXVQloHixQCh3b7wi1tBvbusZHSyNRzQ JJemHgGVzQ4HGfzbWFMNqPvwM6zmazG7iD0S6dFS4S26G2iIbbbklF7bDnyB9FT8TU6LKYB91T51 uQhC9uGdwtxUfxEUzn/JRK+55p7ASKPzqJHcd3JVwkzE0zobR5gTiGxrhu9RRYW1tEUq1WLZKdvq Vk/bwhRj8HCk8yd5vlXArvpI7XBcDZO7VG/TVoQ8i3vwRFVbvJkDftiRg+vOEP0fhFP1qecVKOn5 yWUWeZE7xTdDQ86qz1UMrKsM+WOt/OkTUVxnPCrakSuGGsxEfdgUezdVOeQwqa6bg2G+ajChK7UA muhaPni2zIzIfsB/8JYng69Z7FV6XSFi1JCCP2GKmyx0FWcXygnIlO7o6N7QJnlZRIqXmcOVQael YpqWjCsT+LxzYe0uvfR8s6kHoVcuWRaQu8EDPkiYiqeD/Xrhz9aGyxrzKNzLUQ8cZatQhGLRRhmJ KNTj6DBryTzI9JDo7FP4yujV4hte/THKdyKD8ba90xuTt7tdpjlx9aXjYv8nDA6J/urNIyH1UkPx LAYWKQr6d8LkVIux8x+RhJV/rDokk7obABJk+xSpEs9iDsv52LD9ZNUF6LOQWlk4vTDGLOoMX8xm K8q11N7pZsEqpeIRuIW840Cq/bxBk9++cFXKDoTMEYrGjBHgOiJbDw0pWRs/jhtIpU7aqy4qAjZS omiwbLKjw32WBDYCCDVS92iQZPbCs2JRkP7n/szu5KJx71urjimqMh0oS00K7J1qbxQ7z+bRwaM6 KdQmB35U4ue4bL7zA2RuUGPcVIcKtvNfxz3sw7b1BYO3TOBsjU6AV9vY9w1fdZLibf15ybAfRE8n OQThpC8aeaVU6cf1UhrIqkSxSeraHgMpvTcysWe3AiejTeJ/6/p+wt2Cer3BxhTc706FRaNqFUa8 ZidkHgooou3nIm1RtdvHl0CxwhxmaVWybz0mHyITFDUm3x5DXOdfD8K1B51zmC6hdGRy41+hulLo 8fNZeuHRatD4vaS/i0SMsKNOzScFiW5zMgK+Aq1BXVnsxW/hCUHF/ok6Chp7dvmd3nBMCPTlZ5Rc AgRTeW4ZWzUGwvscYvM99/ltRZ0doWIahhThKbxTHQE1YHgEPG0PUO8PZXXw5nR0hKxFEhW9iL+e WaEaX9y6OIspiFL5J1C2436QCqtLsixfG6NlznhVA8LZT/cPPEHvjcTxK3Xn3zRbNfadccSOT/YS sEJV+m23yc9kxTVahaKrTvK3QqdStzoxUBAeJKVoLICACRgJKZ8X0PmpaRNXuEdj3Ib7VxUGWFAZ 7BVKsl4dCeFlBBzY3bwVl+aFEmnp5zqpZ2lk/Ffkcj1L25daaBtXn/Y6gBSmvEYrHff/XP2w2UM2 h+EK7YFq2/yQxc4G0MoRY+fCVlsCB7zaHQS+IzieO1PdwG2JDw2rNHaxPZ2Zo3s9f1+mYzzTGYb4 i9tB9vG6ByeCHWXYlk64WEhsPAmjhBrFddX5stvpUvIeyodrdqVHESKEf1ho6xv2t5bYZfHeXYTS bDzrumcq1oFwiSSP2VJAHmZekl4TSs+LAh1aJlVHULOKYUdHMRBg1dw8QkkTcA20Bh9+n//1kJ0A +zhMIBI3MINfXG8QYncO0rLFAFOvbGgJh7NfMSYMjcPAwUlWwx2a1Qg6Urnd9aG2/qTl5CUvTzWT SyJ5GEYyABo/3eloZdkS60qkB7hC+jHm4Ib/l6nEf30KLBqSJtrD//zPeuJLAVa2c3naIrglydNS 4Q+om3j1yCecwuHJPl7YYbJzAKZFvQ/YjYQA9GEZkGxkOG8vK5J/+sxx+R0/cmoKAyBVhlNEWue7 EHq+p6Ws4rg4ewPLqobfFylvslin54tt1p5xzI1sXuLAEuN97iE1hhyo6S59ff/NXyxaIhpKvvdK b1S9J/xIKQIXyuLt5MdktvTq6qT8j4p7VDiGgE6VrosayjzCZXDAvS5bur6oOYyEOGIOL5fPHuu8 kSon5s/6/0Y1db+8i9SXWpBUQWJfZt81TRjnQKAxKKT9RwBoCjW0mYEUIjlZ7S8dwO/4d3zNiewZ RkpsRAj1/3FEUbrm6vMw39HntxpA6f/1uJefy9GsK6Bx8nUwmZ4q0sLfWzBJ7cTunTMFw2RWYSuE PxhXD7PXUcCy6TtBkralhhEGbB2L9zeMWZSlUjU1kVxnaOuMIH9sNxs3nW16RJwjjTGVsiipBc6H J6HNayh3A06KA8aB+b50KdOIa2+7SKw2AqkPtx0NzDJTcC/fiAg4MPEGdxyLS66XliQhybE4mQF4 8Su+SZZ17G69d+AE6bbpOhBarxhX/6j2sH7FhjLO8TBhIytC5r8CeLnfhOegcNpwV9gM7AwiAoDd RNnernGHqW7w8+1rq/+ImtbiMxnpPHBRwWgkZ7v1CFnLw51jOGClhyIevOqlSe8W5mk1qzN3p7kc YRlUye/F/PCHkFjHav1lwEcZR5B6IoiQVc/YWFXSgIeEJULEQBuz3IIXuNYQ6FbJehqiRi3KCMuF nFhZziFMmVoOoFlMSYtCK3lbg6Y0UKwcFtZN3e6pqmuGEED2nZoLXoGeMg2GxG6jQPkQ5nwLwDDy AUNTlEtMN5bWX9XLugW4JZctEXhcWfP7FWjOxKB9wQFN6YKrUEVKzsoMCkbQV+osqG7j+k2yoriK cB//iHlkW0gSg09FrYXkueK2R7dIccim+D1Ym8Wom1KFhvNO/SJHwYOwXzm3coa7tM1WK2+awxgR lneiw4qQ54WCU1Zv2rq5f4hupxz+ztRsWHfLu40yuc4T4F06ubncbYdDz2V+4oOSsTwgf0BBGXpG u2kfxY5WQwjwncYj+bAt0X2+P40JvsrYgB8vlbqnjgCH1Yc4whJRoTZTPnjkJPlq56bZiwWzuEFA XW0NBKcCToCpINeJI9/IAU9Y/hTnU7R6BBNi3fqa5+SbMpUUZTSKf2TV9rz8KjV0hYt+GUd+/5Dx Pb8NBh4cjWxMetMVP/Lk8fNYjpSzhtpPsUeaTzybxGn+Zs+ZKfKLCBbjgebzlXi8jNAANVHGE4Mh yKqZIec5qB/hIV4WQvED8dVRYsd8d9B69Zq565DeTTIcCskwn8FNVLo4TrH/8ghJaX4+R6BUqLQJ RFxuZA1/W5k6Fk5wpjz/rxczZcFzvvwFtlkCh6lVOS39tw/RqF5UkM4ACVyn4QXXrhnN0UGgzoWx HVrESEDmNxOlkt1E0V15RwuzBN/Iw8k6VYb3sbOy82Cr2nE+GiyzS2IQfRMSvLeFJejLqaiVY+lZ fkr6M1mDWR1gKIsEOrDRPVaKjIGjEoKoNlssGbnLS8GTJGoTq/eFsOOG1z9ime0PEygQiO93UYxu Sr/l/GYqbvuQOe/VGm6kRTQ+zVYWdcyH7vMsjNtLj4lFY8ZK0Kb1/rBfdKdJgDtg6slXr5uqirsP CkL/DbNu1hNZzfxgE8bUMTObg3r9gLpgtTpdqo5cIPSFjiOl1Dzathd7TBGmCF1TzmqvSvFHD5fQ M/qagUM+e5NzRKyM7kvGEFG93IqAngP37mShtlVyWjR6CjzZPHlSaqKoM9t5uKDQKe+7W9/exPGX rxAn6FprIDfD9dVNRIQZDArxoCsOrQn2Q/ypfy7uZblvWtUKLNVvMylCm6Oc7+dUpveNN+tf9OSz h2z5hSKVP6PKcrRz58+Y2480MlB9p1JA6IlA6RGMDA9xcKYvK3MFIKcgTXS0yJhJ3X3b63B1aclR 0HYVnKp9kmQKLHgd3B9Uqb2ojMykAgUK4JBBoaIC/enqViN5pivSaj2psNWIWPKTDTuQf85urzG9 7rlL7XgrnDnYA54PoKEZjcZmYnhcA7xz35bqIQXJVvRLBFxOq6nUlTOZoxG5rQAq06dABM4i5dvu CxXRVBzkkWuLJniuG1dq8WmNJmuQhuaxhgs6lgwKfZuJtL9Z+G/AJHHrnsOHZSNJj8R/Irjx+wud Ym0ORmOv+AJVt1zjmlgABt+s053bFFlfmC3LkRT1GNQR2KuIdzC9QjbJ7ODg9gKTLH1HhprMoTHe FXjgBxGxEDTiMRofk23DRteEgRKK8RqhiK9XXJGGiaw/AwxMOCovuwMRL1JiZRrOXk6Tq32lqmJq csw03fPmtBWZHA3aSD6NKjKOP5xn+yGYyIXluE7vgYIrfl11spOScANnGE0oaYSA/Zzl63A90z1S 4H9LWuII5fnHn8/otjj92/CfWhoJxOwnITCyNN5oAtdBBnIpYnjYYBVYKeB2rHmeV+jJ6GK5AaDx dofRfeUJOZnNSo8+bInl5AenNWe0trWvxzec5z8RxsamYNJaA7TzwGJYa5UXmUtsn9kz9XCtwXrD cg2GhI8k85olDxr+T2WrccW3gRwk59J/PqWrv9raUW1WO7t/nqhw3R01yu29EspzGRdn1VDJAzTw pkE+asfJIMAsp45DOyowlsMIxxKShD+FAYEaZxAt/pYcokbrRDq/yb5SOCvH7X/Ln1AEy3goYhs7 1c6vIECIaueCLyvWvlG8wESjlzExFMq++rUqmdT+V4KRsi8gRrOsimdD+1Ot8GBklKbDZBPzkxSy Nm54IwqZ4ojfTMaksJenUTcpYLnoUCrm/ng4p9nH4zSafZflznxrRR2cj+a5WdfQ4FiRdu1PR5Xg Zk6+FafEuVkRDx8ILAT+Qx5741OV1ZuUEKwoL1XcGjHu9CTupOuyAqhDr8GKlQZ+Ro1XZLmhPwCi A35XtdhlUmrPXV5QwdMM0dw8sqHtbe1rjVL1+b5bO6EAUElMj7boJvuYjdQNgjx+IQ6kJtYn3Xrq FldNjHc5R8bP9Y8FlDYLJVEDtMmiy+yr4Tq38W6U9bH9dRWxyEFDNiEIJu6Qitpx+8kK5qQoXjNe TWgOI24o2W7flfwJYySTXqNmAj0/i/ykityz3FiCREDp7YJIepAGA3LOBrSY/EIjmdxzTdHRnRxY 2D/C9qn4dLExfuHBjJUPwnDTmYnJAgyu1v87Gp1tAHhy/zdcPnmkl6GzGHjcvOq+y1J/D8T5hGq+ Xzazoo8dIS8uII1d4Yjt8rQ94V50liOPOUb1zm4aLTKYKGuS0em08q0xbCgbOsMw0rTs3Q5b++Do aL2l66cK59ewKO0DOgRkiFAc2cyeSAYi3O+Supt+LUvpnEOujuMnuoclhVt3q3ifsAxdhrhE7dzG y7xnQzHbnKZBc320xA6UN/AqDpE/qX1SeAf3C53Kdi2xwT9sjFAsyogwCfhC1L25RORk7uF2dexw ztyomWrBHVknMLKvZphEZqQHTJN73O7mzCJkcCHIA95VHsedvuliTDj0Mi9yXRsAL45xMfoZ1mbU JoBH21lb/6t5EvcmbQ7iZuWPYqjUsUVlSLuinNpH8De3gwMNOdqFeo3lXim8Y9wBFA9Cp0Z6Y7Rq JwqmgAxmdi68I4XDEXpcDjLanpEHfqdoeS28KPJfUcW6OKIi9htEmx+fXvV2zuNiqXIQuRIq98ZJ zKx7WYufkwZNbOgt14lljvfaZt7RaxZbPNKMs8LY8XqYgJigmrgBpi3iozWXu7Kzap120oWZwGha Hj/Q3eNywp9Ukp8k2D3x1yKkUVnu9QMYpO5cFwzn3alGGO5mMzROYXmAV3uTyX3wSKdnVyUwcLcU X5IkE8QgtqQXx76cln0ytd0ABSpopXpQIwCaxYl54jcqQHJooo4BkuTcYTRPFi6qja96SQCcCoND 4sJdocjgyhw782w0l8/fccfPdiTb0u655eE0LzRUfMi2ZtuoW53yaPE9M/0xboEElP82thkj+Nz9 jEEUK0DLJRv6BjOYNCAG1Z9QTZ1xUPwGI+N2qA/zeYjzm+a8wjtgSXVY9V5rDfeqhT3cnR5b4QTF TilMmb38A5fKqkKBN1TIUSbbfWksjLsvCazyS/W/VoaofImXIL9HSjJKpfJbE1GFU/7384oakjMD aWAIPFzpB269ehG1MjEDSVaX23ygAF1KPTVVqN5SKfbE1Ec202fqpNUp80hyvAxgk0j7gCK2FgJv Uk3ciTpVGwviWJbnJXjtuOpgNxlTFI346WBK9x2LV1Up6xmirhfe1x+t2yyy3XFRfO7aB+BfwEfx xhH93YfyRGCI50PQA0u6Fv/JvNtXSsr/FWBbbGtLZlW4j5MdHUoivF4X7DJL7n1xEXBFkbCUrftY BUDxvjXtPAR9LnO9yw+8nITgC0Q7FJPkrWuYNp0QS1SRNJCAumYcjCTR3tPipOY5eSv4ImZGXNcp vVLeZF/7Y6vUYPG+ZTSbFp91LCRuhiKc4cWLXpi1uewgrJHdhkvBu6Ch2HqwS3JSyvATwOLqddJI Y1Z5zgnYu5WkVBxADya0e+vVii12IoVC/Is2XUSvkoPAZj9QobbjAW02eKIDYp72kboGV/ymBGOn rpekFT7qjVAxpb7MkhJKtJ0DDoLBOuY/ZGGo5ln4j7kaTzOFW7UGqHHYyja7wd7K0ts52/4/rL0G Hpbik+aBZz1okGGpPvlHfKuGI8NqfY14eMDh3ZiWpfswZVmuIHQ9bTimA14GRG555MdM9aovmmFs rvbrI+lb+SuDlkdW7t688vmwGdF8iq80Bbdcr6U5QzB6dQkSKOI530yNPhjuVLKtYTvC5lai4tix wjPKoyrIhQOFjs/HlMuBJ42vJ3lUs7838SyGdAk14K2uW5qyf9Wu8yKUl97q4rGA+lLC1F9aUGZM C5o6t96kW4CvCGNzy5AHRhzlcxb80eSoaBiCuK2Qj0YMdta5O55t8Y3my11I3QorKa5OJ8DY6MtO xLgIwEtZDgdRgJD0Userv4Rrw2e6hRguT1U6pV2tdACp6LN0OK9zC1DufUWRorxguAP607RTQ2/z p6waYZhQP7rE5KjySz5a0KR8RK+ee+l/Js8zAbBUIHI9ujf2R45Xll/xrAOxNvm3wtVjgTQRdEql 3Hb/N+RlFzgyuQ2E+aHdZaSLhKSNSlupoTKPqoMu0Mmc4cUF5bXAugU/5DaW0ogWQp1vZ5m2uDLH ePzQ15iUyBw6R0/Ecq3KIXY97IWFDNDFQElX82r/6Ii3aP398W3n3EcWuJoL1TosafhYJtwsBCR9 8AFOJ0OkY6+AZ3OUNi4AznBCJtoOSy2hPt9L5FdElEk1GP+WCZnDU2bmXONospX4cUv11zNrZSLg 0dwHoIB0atphe+0WfEn+fxSwoMfNgL+DjtqbIoVvy1gbCzKuZqcLNNq1WjZRW4IG4J7LJlwonYs9 E6w5cnr2mMHM+hNUlcp9GL2EFMCf66dF+fNbJWZ9yWlobDCA1y02/RiATUfvUW7NIa+anJMEZFhg X5c8MWIsHoqX02QfrpRN8ISnbxQ6PHbvczQL+83215XBF0++gUtGQ8CL6b6bZelE0dJOv7t2+/do QT6V1bICjY+uGddbnEHlZlAjJ5gY4VsMG2b/ZFIyKiLW6piNx8CZBlgO8XGP+QpUZUNPYTnNz2pn m9U/HBBnVlkF65B99QuYggAFk2hH2satpP+MO7905FQd9bAJPM4i+esqBT0UT2CxU59L3Ou3gY9c naCtO1y9mrzTE91TJwwzb5/p9/fcaoA75eX/cIOomdUD5ut3Yc8ZxBfuq+6RM4dYdSzdfrGOS/fz N5SK7Zntgf9oGT30VyBUXkQkSun346HctHGSvcoUV824e18jWqYHruEjKFrhC5G612qkkEhyU422 iLu8hkQMXOIMx4x1t4ANn4NWDZ//4nun8CvR62J92VVMqImrXWCZPrlPKqg4DiypyGCXygUMhJDl qPGk6Nc64aFngJPMNNadoPcwfNJNpnloAcZX1SKB7xjNYtaM6rSn23zSKv9QwmyW0ngzMHF3ARP/ 0aCJmKWuJz0sVRBcWwzgaJgKa506IdTbIq2z142qrfwyN0qWbcVFW0lP7yNbhMPiqSIhgY1llrG1 /Xtzg4bQHmc5INFP2ncZgCkLI0i+d4XuqxNF+Oo2Vyuaex4P0tSoGMh0Rb3KbEkTxoefH+RRgfJD p0lR5xxnKqzlj51vOdwvlBGB+Ex41NEDmQyDhNdMfaFRpC5rSxtl5gSg1fhMaFOwuhOFIeAf5boB 5OG1O4O+Ar5sQprbUGshmjfR49m4rZdHDzPRdJbE/kG9AKd/UPwVGjbjX01pMFMs7fCake/HRC4a 1HsR8AcO0sGXDBjtDMsywrBysjXy+3tw3jVtNYhnGFrUUoIttI3pWihnL6WjpKlC30AgQ14bIJps Is8umM5KzE2s0onQmU5Z6vN5Y4FJ5ZDSsLBmDCbp7ztJYP03KhERRTj6wVIkXdDdrQyt/Eo3T6jN gag+yKBN0Aw9oqdQWjCKGsOUxym+QuUKhYZG3e8hyM5hs4/IDQZmSYaN8mtZzuKU7s+tquUS0WgU CVwv/+Jx1Y9Q9OgUzYrYU0Ab1f7ZCoVY8upZTnJZstTekOY+ucMjNDuL7hUVasWuf/EWek0oqNJz LkvSem/9ROZKGcH89POkw5ocuZCai2WXgb5ASU894+HtVXEZRIy5QloznbevtuL+JiEb/fXFIzYw DnKYtGmlNiFEXFi3YzjlQNTMoqnq1dGBFo+jiwf2JNSSGJLfNa45O74w80ZKrfiXbymGz+YfHf3t GMy/Z1oRMDXD+jAfhCfBPVGja/4jl8zLiMep70wE/9ABW6Xwyuv/ppPuTTHMpyFjcyX8ya0mv6lx iKUeMta0cDVtZZDv1JBQTr3Ut1ewtWCv/jwqBgmjG4ZZLqqs/EHXnNbWTHMw2XSTzWJxxShyw3Ai hrM/YyOOeJcIJU8rQFh2/+s3nTO57qHGGDX3rRI14X8ghTxHPZjKS8fzzGAzykVkg0cMb8fKTvgS PPls3RDPh+ESaqmIZHYjYT+E1DDnD5zFqknAirXXb9V2XMdA0uO9ARZ5VdET8UCKeIyi29ErjdgT fTeKK7dVb9Nq//GL+Z12gl8n3M5Ca2Xk57n1Q2/rVwZ9QcUq7mzJOtEFI+vc2uHPbjDkGETTtm7E +ID4oVO53KydastCDO7SgmVNjtGQMDoYgIvnH/hlEmvtV0iI6yuBImur+oMVAZDRcbYY/0hDQu4i ztDtgRa/+XKmckKDg2RiJ8rOInm9hlOejzD1hzpRSw0VTkQIsARx3zqmlG2gxhi008oOINvkED4f ZL2auFLLMYoWuiMRT3e1hj/pRVRZrFoBySfMEdKnHJ8KCBxxvqtB+LsG4eDFXtYPUcMllVtbfTu2 3cBPUQhu2V5Q7ZIlsfgqSn7T9FJw3nFJoYNrqHA7nM4DfsDT2fJ282cPJicAwTDSx1RuoE9DoG0R Oy2rQ+9Q2N4g4mU+EsHEs2PFeCPrnP+rjKI+IrlMakH/jd+5E2GHxm3r+ny8prhgUyA0E7fcY/j8 Vgcm1c0jF7tpkmfWmQnLuCcM8qtHuz4gZmlxAaCGftXOQKPUxSRaJITHHDI+UKShNkIHhOdqAF4x m32AL2FbzhlvrDxgKSMlIC1SoISTCX1vS/k/qjiTSNrQJM77jUc23HV0zrlELBHzSk+kNfaP4z4t viLy844l/JovUfYvuQ/AfEiQz35n2gfCc2AZu+EAocsI5deQOSKB6+M9jM+/yog/D6bXV34DX50x Xt5Rb2V5tb7VVlbUq6MaDtDs+VzlxVt70iO/WMIDHpk8uZi3hTJDVdRS+Ibh3U04J5Ffi2sRglxL fh24fjI9cMMF6WyxzNzfgoZYZqqBOWwcWKCiMRvBSc8IuU/dB3VsIRnL/alifkDnLvEg18HYVU0L r4zeSvgvIOC8y4AlAj7+tkScnKUaedRlHSdt2RN6eYRKCbP4+5ORkzSGrCecDnPd0iuGK4nzrZeI y/Xvm0elEWmWHO8WUK5To5RSExWtGdjCXkveSh4/TveDIQte6ggUrYd45S0mXrcBYrow5s2BhRhE hPCIBHbftMB54aLnC8K/psyBGJrtsr+NXY8J7RHtNW41baO99cbQx5xPHCVigGKXrFV7DBYB9daw sV2JFAfnBFz2c+If4jtVOMXHvgFKjfOdIydaGfu6lRZL8BvQK40b07DJaNw6Rbsq7LF7MN7uBII7 37WekU8YWwd8i0iHIlTdetEqgBF9ibAt4wJKDxtdXyzPKBEz4FUM0cXbS1DsKaLiwxIqU+8h0tqv 8lfnij1vb0tBSW/ZJnmCizghikuI8uNklwRJjabqHkxRV3xF6VGg5YXXpgqOy7Ie+ULLGeUFGIyq rC9KmjkT7EGN/eDham1qSEhO7bzVPjQfyP8QqIxjWVLf7vka3hol+wB9uVIfmV9DPAmGLodEsIjg hOpI49KDXvQvRUvk+vGsicAaz4DERVd2A5sMqR3SacPgPoatcPchlnqKC2FFUmeXNaDGPgZzJVtx GcCzGN8n430N33d8j3hEkQidnbHFEE0mRQ8GG5Xf5ZrjNsdXro4y8XHaLGi89Ao3d1fYFBcwYtYM q0IwMzTmyFDRWgAjTy5UzX5Y0RrV8FsT7YpWabujcQc1A/K5oaFAYGkFzdz5Kw/PISYMrNwF3Yp+ ZvT27o7v2DbBaLoaPmje1u/L3i9VhwDv6qp5APJweeMtmZjRxFXciiDNWei2m8qOp3lredZ43vBm uB3c/Fk4s6X6DxjLfqu2gKYqpCzRC0WJshQpr6+JxLkoj6YpWqiERD03hzPdR377DqI+X016M4BT Pf3EEvqxX9HQdZfk46hMSG4bwgKjpxOJ0ula44A8OpJ55hsSoyEmjni64G8cCKnh6UqQY3gG6/zR mHbUcc4DfPJtyLcgJu+7RK/G5Irp3+RmIzj27fQwHviw0hg1X6fEOO4ip29HF1zySuoe7v+L7LnE zKhS2gdbQMACwltf60yvApXE3wUYMspZW6NwaxHbKpogr3p4iJLhy1YlhfNrGd39ymSyIGtR3UsY FTPOlz8ds1SxeDGudc20z9LhRyx6EDgg5GBS24ffTM1wstEfG2ERezOljrEwIFcOU9ahUL0LKje3 JryhVgaFCrYvyfJAWMpJHFdoxdZpEo5/Dnaf/OO9apUbSpefu8d1fx3KlUOMZBAABfuyRR2sjrjN KmdD44WyWVAz98+wLwevBfB2ZMrVNgPCJuYBW4mxrTFN3iIzoqWIYLTD/0d1jV5hQo0Eaa56ea8J YDmarBb+OBa/03NnrtctExXhNN1WAalSWm22fMEN6ezy9km4Kqjz475gxcYjmTc5M8nc2bLQ8xc6 LrRBUK2BynfPgibVL+3i5fuJZMq//SQ5NSXHiWwRQBBMilNJNyU2rduHTr5iJEHWDGlvhLGJw8oo TTbzLyxIdf97AWfMiIrkRqLG4MQfOMA+DLbPLEFu4M+PmDHcAnldYS7XbMeF2XYz/HPkpe4R+tat ft+CPbYvNkf5mcnM+8FjWPsohzNETq80PYTnv/pQckrwQflpdtdQge7FXMaX3eVjmNTUZcD6xz+8 ZeRjUTigT7aVpwtnMX9G+qGyFFCNuERZXseS5/Aalziv43LGEnxBAJyWNHNQSVDqHXsCPVDOh622 O8shVqA0rPv/vj8qJPhNoiqp8PDM7Z0PS9+CkfiJotIQQb0bQX8UcbCNJYYFe6lSX/nLpN52Hv78 2/nRshc7WWUuYobXZZGxV1OTesHJ2L55HES9NBWEKHDCvpYY5Fz/07shvL3c4QT2dR5k/T6U9y3n hNas/tyA3Uqtm1GY8HqK0077/F9wvGDCNWAYlitZU4MSvSOYPpxXT9wIljm3HaFtxZlE/WTjrvlC IgJcSSpEpoOMapNTx/OD38WEzmHmjb4M9/lprqalDuqF5OqMY4UZrMG9w5v2yd3Vas6ifDgMzGk8 YYHtI3xrDPx4TNNY3I6cFmO3oXR0O1jZWI5NA2AyHLiYBqexEsMyPHe6KUCTaBfjN/Bmr5mQ/K+Z P+rv3OGBUOevBMrQINM3t3onQhldmKU/jQB05gRShYsCjhUelPIrp6huMHgI3gVXkncrJbbPSSCs 5dCXepQctxbkF+5ZgVYTa/OLHdOHnhjNspQjEMMCyJbsrs4oN3n0Un52s2+t1V/6grxpIBVkroFj ICRp56olXu8qMaAf/V90JQAysACvb2673wiO9KzerjEVGdzG+jLYprBc5DY+/1WvPoWy+JhYfqTt TwJCOkWYb0HrdV+46tu1MSRh2aPCeMD2yv+iFVyx8gehHb/2MVHs/puQXIXQl8C9llVZ/9sCSYP0 H0EQt2bikOXWt5b+8eAhGHgvPvL99DjuhupFDb5+kwC18owxU3s1FKHq3fXgbyti2E+f//0tzatZ CA0pteFqRUp5bBUUi9eaI2W4r60o7lQ5GOtCBhHAFos2oXY87/CmE30D0CxA245GArz+Og8fkIVn TDwlGKN77dDw9L3kMCT4ntGrB87/BoS+AI6GjnBJ/gCmBdyRXQQ/qOgRmLHcj9ocUsrqcHRACExO cqTA74YESVsvVqiMM/GK2Kgh0JmpF++zpYI0pWAAAEzAVyfzM4TnF+nfZUEm5DbV8sy1XO+6WBnT Pl0gSCAx8qxnRiSa6RfjgEKzgbdcj9nByzuCTHx8cvnCR6TSzjcCDJwsiFjL+Y1K3WnTjaqjZHOx pVYgTom8dM17UcB8LXMFZMNSO//BOzNDLH+PoRcQPUaNFQWrMXg0XmsVeNRzOMwsLZZjlPKXGMsY YNrPO3fNHiCxPUPfdEWT4CWZsW9UDADPXS42boHQpTs8m4ec+A6dkgwPGQv64ovBH+qaG3h0dMlv oTB/IU+SKKzXJND+tLRGvFDDMyHXLK9nk7tetWXhFcIaPfQ5ucsBK/ChW0Zekpk04e7vDW9Ib8JW am8sqkExj1HpJCVYtCtdN+GlsTPeWanxZN7IFLuBXc3jicIlqxFP9spVUZTPo1UxkhQCINfUAq4S vDn2MxE8AhlErOtmhHr0xC2ypL8y19dhEPuNBwc5htHyEWJpeO67fv3G3Cqd5gFP+teO5SSHnGOj 09TjSznjqcrbXCz5bCAQFnoW3po0uviEi4MDCDsxVUcQ3Acf377fhqs8LFAB1WPgCj52ABJUwPJL mYbOHc2Sd2MOb8czfZA0fPh0SEgue3Zyh81zfYB5VZvscHCIJ0ZGxZNpdL468whCEf38MUVA/k+1 XKdX7auB7w2ktoZoPjaNAJOj6P00ziWk4MMYXCaZ6TUZkJfwwJiRWxDZfekzBiYB+QomOR6EZcik qGq6QSP7Y2iw36i2ycyvP1obgbb9kxHD9pAZG9h2aVVFP8yzlTTZ6UUi7gmTcjc3mABjFPhxLR7e SVXfZGxm+QiX84+upyqKPD8uKiV89+7VLbDjYK/N4BLD4h9mcAi2lxQiTIvIyxuOt/oj0TdbvyQt 8mQ8mJISynmzuT4j1hWGqpoajFDl1ASmFjEebkOvV6JksxwSakToDhpNMfDflMBhYzQQ5TYGRru8 abGpBjyQ2AYBiW9GVaHlqundzM5FoyIKleJgRFZK3rMNdDnpe/Pu+H242DeM1WywG6ldRV1mmwq0 VV+XL6y1Xzupy0PYi1TTNGXo8FMCMP7heoK0JKuQgb5Kk2jX4iSooeo8L2kz8zzMbO7dMNiffjpX hXYtDxy/q0XcyhFrQYKKnfuqEaFp84/czCQkKpgu8TuQ9DWdP47JUXn8aJsQaYcf4AofJi5v37Uq 8YvxQtTl+wfXixHRAPnYXkI/8PyoEapotui1X5/Hg9WCEKGYERWDUbN0AZj/uqmXkeYXgEkIZ5sb /maiXI5wvHELQiUQz/dttXbTTKfZfpni3owllLsAE5WF00+7kH4V3AqAtv536LQwHruazpFTWan6 rK2GEeAPJtBX+wPH7/yFZ5exKWJmmf+fpirydtl/FQBLyPco9feR0EL6x8GM29vNVvwJPsEEnRni ohPRg7crXfe0YN0zI6TeB6Ot3etV0fLxa94zIdY/TLBVa/RTVB4jOyv3jGcqQ+hRMI7Dz1JRPyTP II5KW5lKORnxWsZDN2rY76E3ot1V1rrvKUXA5cvxwSKzJdl/qrGK13isQNk7PyECxNF1qVnHLHlD qziYiTfAtNWvq3r2Qg9BtkDRAx84YFb4nI49BcBf/ReIMAtcx2d2P5hka4wJYgLOuyxvF+erysJJ ZoXh3cZzQvd5MMg5HK4giAgzfWy1Vh8L+QWfySg+aWQOt94sagmMnLE220qEMldsRsterq+xLmpD V5O3WmAqfNtGN/aMvaFqDncIXJPUppPatWWoKFMPDjBrxQ64JIs1SIfBV5eLoq6OT/jWUE1GXaoQ b3tzI+w5kNCNOjZz/BWmRLNr5kebsMX2nFQNYBalBNSrCiu+aEOt5/XZH2MBO6CtPKnKnilGbOJ5 V+TXYZtA16iYirrTtuxci2xXeMaYqBIArJpCp8eLXzIW+AmPALqJjlAq/YoBum8JdXq0bsuTY3Uz 0e10XkB4789uqVfl7v19dYMbLqugEkoN9Fq1/TuorGQbrWMMaRK8CFfXRyHdTBX8JN1x0vUDjcm9 Ty4FoBRMzZUepU5qrIccOhG3IsMhVn6nZTeKyaY4rDywMkFSIyTpJGyTKYkZwr0SjvUgkDUHssZV McEx7omW64KXoFlvJ3UM39rnMBKRc7sHqNjCw7GfgH9qPgU8j4GH9x029mDSz56b1jiQXAXbmq0y JtbFc85DcfVDe0r+pyi+6sLGEeKtawoGSoZHMiHIBrQN2U9gkapuM9vayhd5Wb3n2VjXG8evonVY MZNtAWw4GstnSQv0uWIAZk6r4psANPsd6ot0WHetwn9wc9tMvYIFiTkIIh5FKS8RTMaab9HtTjZ1 CNu97T/6pDY3U21qJUfZiiEC5rJUuva7OpPIrUQPdYBmtDJUpfLKCvnAdYUN61OU+D4Z0yaqmHbH /BELJRjigf0ecZHPf1K9kw7O/MxyMRXgJD78XzsbTNIN8NUqwOYflTYnZZt+obTUh7DUOiO/vtcK rQ5ithTZkLShxXeULExlyJkT7ueLfHngrWXYjs8K3yIW5cTqDCg3NOUAEf+3BCLpmAiUAls0JE/r arfCi+r4o5jpXvURsADerKqDcHgqbTJfXNEiqeG9BWAXEXXheBg1jmUjLzU0HDbDB5oIM/jjupMm f/nLyB2fs5RYKMjBGSm6k8Saldc39yzgGvnTeg5Qo9MTmMsJDN9pp6K7Xxe7x37Yjao7FN1uoNXD gcrACMFWVafSghOA3THbA1madBXNhjma8RhSQhB6KJ62mSX4DvNgm7h7jueGcCOyYeMOor4x1nVG 5qMm63qMDvh6Cmjv4R+AH4GWwepKJd4F+R9XNw3SW/6TpznpA6qfTHy24dQg36QAct/KxgpUEggS 9s600fvKke/SZ/Ge20KzHP43t00VJTUsSpAu+AZKAy/0GaoTG+UvCfJ0In2HkaRzPDK1KyhNHFvn I/NhS77H26jrU8voqWJP9wto7n6cDH2K5d7MHBfNyxsHKJSvZqEgqiEV0+hedBXyojb9huwgUr9e r+QrdVeG4aZ3siDXQVGiCY7NrlAiu+IuG7rFaHmAq3DBnD5apaLqEb+Wv63hMwMyj10yVEkhUnAH 7AOufKal5VzKCczssO0qpPUsE4EwICVjfUOKtXq0U8Df1WC+Q9kY7xqbGrm10J5Hc7K/Wxq+/mI/ wIU1lTHWFsQxtvrbARLuLD79B/NNYk8RzHg9S9BkcYYT22SQmdcgWaAeBjXP0Xpk4LaaKBOAblSz WuK6vyUVPIcNNHebEgAbpAFwS65eNEVT7VeG1Sa02Ua9UM8CEI0gkcWjKThQuQ0MJ2b+Ul0XtrCx zBAJBPT1Ho6BMCYAJsi944MzfESK/S9Y7rl3nFjdSISorb6SJU6ry5SqKr1HdwV1OaPcuWEO+zIA CvnR3ykbXVj/stxxryTcrJ9m/slLPIVVZmwb7TL028ZMo1oDcL+0Xvkz32DWu9BT6UwGwqCrE78a mlbWWtM0TYBLkXy6dgyLqMgCT9k3kgF21Oew/48yh95KJCUBOFrgObzJ30W6GHZV61TPQCG5u7kn 8BObO2BNNIKBjqONVvU+CdXENzWD8llmlOJd2HlvWnJLV2VkMRzDpbhHKu0xvSFh/Dba54AqNFp5 r02x+dyf1evAAQYv5BbXDQbnHJLTDdSvQEKUK+fTZiPHtMSzqaCS0ZjetQgSS34FfnTJ4JrRk3zJ HBZfm6V73E/4KLUy1hj+VG/e51DT0TpS+evXRxHmcyqjmPmCcXl75oKtXrKl7LAU4yD5BjFXUy6w XM9U3gtqJQXu0gRE9pDt12ppN4d/fcbK7TCbO0ahmNSHW62JZCz9hOO7tylXigvpiu2KC/jwNUqr aUePKFVzYApQeGK1cb6Xx+o12gWYLcoDfPqZycgfjHQWiHnpBoUuhbhw1XAqZaSvnGtdhms8EKyx AC3T+azRivFNQmraYKnRIPIp9GK3aNE5UZojPyLIhHNnyqYCbh1OBHnlRXbFpbBSQ2Uk5Xed1Xc3 m8T5+poRK/JlMgCg84Y0ePZ4QzmTIBFPYJ16+Rlf0AQJB6Pn6J5HhWqdxvv+6LElrqwLruPwEdr1 a37AeiRToIZcIUPIfczLYRK1ZNLTIi2yv0q3HHzjSJwQ58cWN5GdOc4cVlz33aCYdFuGD51fZlLc gPMbvvKfzD8B1AqSlxePM9X3Jn0BVNaETY2tAPdraveSeSIKR3swUINcvoyerLBEkKZbOULa45cN RZ9Csf1LxcfwcGBI75oLxWwI7CupFxiiD9HZSQIMyuYklQ1vDwAkqajeslKsH/rkeZMiy0O9+NJT /I6L5Ejmk4ZBalxZM5AShXEPGf7sPiPMfDXpgPAOkd8apMUr8iylQHOFPu0w8LlITRLwwH+0REOo XfS5Rhlwn3AFSrFts9xY4o6ff4msYX5dJp8R8X5O4/7/URU9jzI1e2bHyBvcVx+XA4T3b5AxcaLk +oS0+bUrnSmZAE/jnz+PY3Yk2faHOUZ24QKdEDfiZ5XVFFIZNKMRQoaxXeZfR3Gq6Bybb4e9mRQT puX5oL3nHExtxJ9GhwNMAPvLFGCKN7BWYOiBxzsk2yWlCD3vLCpB42Zl+12laQsZ98sCfcJbG6sG OKoH9faXrcuKXbGQHxiMzWupc3Qi5Y8DXZFNDBDakHQejWmoTU/JCN8b4PxGqTJGJPV0TPdPcD6x eb38jOIaeMXDhAGeMtbDAcpVliyuwaVBwtVWj41JPWB5WU1ZunRA7jgw3RSbRCEghFo8Csg0m8Rw 6TlVwVQ1TUA0FVBwST9AKAcCDka4Sv6wdCpPolL2DT6B35cPphhoqwyKorZvKkqkWkMnkrwj3+CP 1OZ5FnLZP7rYsixBFwQKiZaUEU8be19fBQtFG2fhOrJKqbI8WuvvzSjgmRhPRgsuCpTiSrJzlC3Z J7IX/bMTnff9Gwv5S0xSUTKl7hR5kUJTOuXZncC2HXPIGH73C04M1e3tKbGMch2oNsO4sm8ZC03G +NUZTng8FdxIsSLXOGjmzOr0ruVEOKukDIwakkLJMU1JN2rYZJnp77DFHKGVUHyWvYlUd5wQdz4B hN1SjAb6WvSv3uyBHcF7OiobvFQPkAVvD2mKi5gB0X27doHeboShPPCAbKBQdffyLt3Ob7KYuUiO Cio8BtrZb1infIdDFHqAzQ7rQxhKO8DnWHkaK2J41qMR0AuW8uApW6PAl9Icrv3puD/kYH75hRCO 2lbXSf4ElE46eMs13z7bN1PBnt92aP2e1Sk05pNZrkPJAJisp2l/mg9iETeD9cEq73A6erpkVZeS LTKLoCReCPuRKN+512CVIdfSN0HjTc/ba5V3tIXcHAVHGaVuaSXiqFpKXJCji1OhGq+9jt/F1sQs zLtqCzinbPnWcVdnNQ0ZKASIWMfkTb+K6a38vhoMmx57C1HPTVm9+hbfwABuB50PcWrHCLl+3PIp mUpr7BLeVkf2LTDKBe76kE9eMbLoGr0T3xVj+I5AamL8p1l2hIl1BKT6oUY+ytkp/Z827Sjsf44+ qtjVvZkaxtdSxoDw0HXr3rzTqsinKbgAIklNLVwhF9KqIXRWkgfKMmcIpWz6alG65XTFTGXNT98q Jp5pKVqw5+pVgNXGgy9Jpju9tYwjExzqYK8ADiTujqVaF2+MVkmziVGB13IvT7mqynalA+Ftjsnz 1/RbK/koYK2Rw/fl4yLrt8qTpPv5+fc8ZaZIw+3XHDH2WsCjx3VEGyq+hrjpgF9/IrJZSPaxsXOe PK5FQNu1+wCDAS0qILLLmXpde0bO68PaufIo1vyGHYKjUxxoko24YOqB3fARhsgLF6t4+H3o8smF 2Rnw0qZHtXNdjyN+3a6BbZrvK/IZweRuGf8jDZ6x/ooQKQdIro6OUuG3MvpsacpGFZ31/IlOjVkg YyNxP3rz2c/ZuWxL8Ego6SjSroMwGx38K8OI121u8DwReQoD56VJTE5BwXkivvDOSxvNAW5aMHlW dMdeeQGnJ6MuDM/29ZxrYBiF0c4XUx8hd8SpSNrqh8Ksllsh5rkZCttnTTt4F0A20JR1ZcsY/lCv FH8nlm3QETHgqLcKm/NLwD1d8UdZmvOTKk6W9iPMLoZqFr7sLq+oJ857ONb+k00VAGqMOIJw/6ga 7oifBAt3T1jAmCoc3GmfZqjFln7wEG6GVTLQTX7SWrxRWF5VYb2ymmZ8cFmvOuwHtAOZLPPe8tM1 ojZUFrCZnA0fPhPntmyhKO2oddVMitgV3HpV55q33F1Dp/89JpxHycVvzPQI+A35W6fCFEa9SuS9 Q5LSe3TfqGJiFnOdHrHe95mpVfPg7wiMMmFIrymhUK1oN7AWJOiu53E/MEswsbnc6nmCTSnFqCwW zCXgpGfi7y4aXpZI38dIXaJq6gTbnEtmtGpI4Wo0JXY6odZwcS1d+0gxPXOvmHm/yNeh763ixA+4 C1mdgLd+K2U9BXJnx1s3vQp88bEh21s8iuEH0RJQcddPEoNQWtCnM97g/dYZU+I49U5NV9zx9STe yLuvpj1JezBUGABeUmuM0gCbdc+7F8kgA1WzdJZLv6vOAQeKWZmqwJcaC+uAcIJSXGre54tUtjUY cStdv76/EgZKuYq8eColxFmEJBCYIYCmhfzHGRTATrxD+xHP8/TNIoV7+R81ViUXx1ISe8h3eZ24 HaqIp505mkw/e/HEbFHcj3IvOw6wZW8FzRV6+mHcMT3mAEeMtrEh5AblqSCNxehrU3+3vG0sqrqG GfHjz1ORN6Rqayi3UPEG7J/ihDJN3i3HNipf9FSgc0GAd0ybAWvOEPEmIGgpCkFTFObCXZN91O9c /hxPrOy/dzVhAg5pqmoTlXnhgfHJ54p+RVhY1PtsaEpaUk9e7sau05xmNjZA9NNuFPMmUKSwNwfI 4V+1jKGghxH3Y+xR0j44mUiKi4g5i+aZXjROWvZICH7YossystGKVZ3B+3w9ozubO9dDt51A90wl 2QWF3GuZFIjkO/Qe4C3hMqEZdtr0zReuhVYQbh7EIQJvsaVgWymrqRvuMMBQ9szGx/N96Lo1tFKo Q37yEPotoSOuZN54gR1V9bLIVPmSXS7u4n96HU1bVgKjLkCQrioNpdJYz2Z7o+9ur/nO/JZ+O7es t/Zn/HRWIwuOVEMZgUMAP+aj3ZT6IvmjYx9vLJxSqkZj+HMl9c/pmMVXjkna7jX1oWaHyCppdSep XumzGbslei4vpn6ICZwlZpBlfrn9vpT2zClUK0r13xL/QY48tCjpYZ7o70zZ3hc0qfgUZW/IOwrD ARHAY1EHttRrDk+fL7UHTbqk42t0Hwv8Ce16qZ/ynsFAMnHCOLh/HagIas44OTC7X+uR28h0s0fv qN1GMBf1R+q7S3h/8wQlUnt91jbPxh0Olv75yU+5W2/qNwP79Z2gUJjycoCqKJAeo5s/sRgynJJg EQgNSQ4lHn1XUPr4xi6Y/0T566vi1FJ2FsT9GBIpCFGCM6QqF7YDdhTRS5sOILWuWN4L+cYImGKL lbp83yHvQrYeBPI4/L2HwhMp9EUGjqg1I3dmWz1UCtb/J7AyZty/UpeZHEoLhzTpPXpvyQNeoDGv 9uvztoOYfP2vI05jUG7P90+KkiCi/RU9vs7KBk/Q3L5i86Y9pH4vzjlxibI//lfjsdozzeCRSaYg 76RJ/uhaXrmL/vzoO7K4zl8Ix7cEUKt/NnT34rXruPFxENMbn0wk4vqtzW2izHZbZAytwuw/CnH3 m+OICjsBfudJeCnQN7DBehu2B6mxr0gEYHh10ErMPD9fE2jDuvz5/KWpgCotQERZ1klo9luaU88D rbzCFXl9uur0chM6RImoRPJSIeERL2mxO6vrZmEtDByA8RiGLgYqOIGsmvZBf1OdGeI4xs1zTsbK hjvtSAbCuWr1fatKxIDDzyJ5dniE3kGftKFB0XfDomBoaF09m0mAGjpP2/V91v8lyt/f18zZhBuz t1kV56gNwodFktmiU166mbiVIVcv1WtxZbv5sKRuDO5plllwQjLlYG5gNLSSd9fpbnyMcyq5jfPC r1cdNN5GkMMlRCbe1J9VsilngU8hy9vCHAGm4FAGkE/WYua2PoL7RlEwMhtwPvOJvWrZZYSz/nP9 RDBfr3mHIMF9tIY6eMgW4UaqmxNM07WaLis/CaKWoYaWoMod9QFzbEtXxVSoCXFKFuyuzZ6cD3UQ EcSWEJAaNm7x60rIUGEBQW+io372sXWMcqH3qI7WpOlVwF55mftb1hF3lAhdQroDXsgQX6+GMTVQ V3DzRfvmiACJ9nwuXOWc66PIXu38ImAt4qmdsrQKej3MPuiPxSm8v6uCZk9R1D01urVokpfojd7j KTiWplrkq2zGgX6OVfUtCc/Fa9zEMEtqQ/VkdOiwimEzXCEgnvVLrZXEkoHUN0ydWleLcsFdvNr8 xI0SjGlDG4HNVF5JG66+DUVFng/+3Li0k3zSRnp4pT1TlfWz47lVtwKAS17DaJMs2GoE0VdAPiLu QuCSrK7ip9IMjljt/wqPf5TCz0PYQjEGhi+7wCwHcnG+iWO5lOOHukLZaQDj1Y+oMmtuU6/Y+dkP 4PluHLi1E1wCE3VEsyRNQHioe2mPFxSw5lAMaFKcVLm9Pdo3XUDvAicLAatg/mSnvgaHgsItMm7N Fz26RfBnOPFK5TXJNtgpDSf8SErquqfq9Xd3+lh7f4M3h6eSQ15WFhRU38SlSen5sri+mEPQRnFC LHDYBdplYzhWyB0afHxmWgBf/MJ4z3q0hzd70wQE2BNGSbZDgjhg5Oh1NJNmLauOoDtkt6iK8bDO KmhC0jeXbkocPL8ZCEMv4k/woz8Hus9n8bpI7w0M++eCpz3ej4v/+1XYAyGRNdxodeJp9MTXgDqf tM1rGgHuBTCsnDXP9qAU/Lg9sPfPKx9a7Th2ryuR+7Z7voJ1PjqaYOs8KRjuf3cq7HKB6XqeIMjE DIvdl+/YVCBpNSdVIZYGj1RODVXH0jS3KTFXlpXhOzPmfWZsGnGlkUMisphZAjRrJworIfx+hAq6 DUtnFbbTL6U0uXG7BPYr+kB7L6QVJtf7Fr943hvC2ZrLenKsQt6a1yvKVTZwF1IKFNdUbbHoL6jH ePESNSiO6kc9pRFvh+nJFzQ7qEDU6UhKRFOpK57PXNtQkci/dIMGo61EXsXMzMgpS5BDt2UkjoGW urMwRn9ge2q5W/WUKN5xoPWGTw2HI49elEw2YkHYnaMbgpFc25lpRP7OvI5GQAXfWnqPJtkq+nKU Z836b+dneas34lfg7jA3RiVM/Oax5DL1KI11w57+SUurR461+IQ6weEaVVVNIsQ9Z8oVb2IGvLxQ 4qUlu/w5GcCJJsONF3plgEcePVQAQ7oM4bal51b9zB6kXoHjkorJMaC9d3SPr5co2Gpz/62t3Ccu mQzPr8ErNkQQ4uFCYy9oe/R1ZK77El7x/yLLmjD+3u16uqyuZis27+NM40NxttPxvxGibeS4d7Mu XaFVH8B3sIA5qCQA+w7zK6k9O2r3qAIvMieAdHdwv7sOMGEo3D5PjJSFBw9PaUjm6sVHQDlaQNdf S5FwFdj2Z1UIYwHxYfl78wc34+C4+u4z9GQ5UKhodfYWwd3Lpb5PfJ4wTTQb5X6WDNzH0Kf2osg7 +rIDixysv9xU5nj91BfNADNav/UW95cW2kvtq8Z4I3Wk6wyPqbgEqj0mCzTJ9/5NhEYu/nMB3fas LxU01K67DskzgbUcrfmdVskzKHSBm9Ral8DrijxDmklEtLtPYlNtN44Cu9r5VChszcRh42oJ2NAs G8bIoC8D+lhPzHpy9EYpzD457LjtbFHfSTXCgtVhfUA5M0twCCE8eoxLQuMQvGpkBRYLKuv22JWf wakdFkWpC/+Xvdi2twJ5+C0rknMlS8ekZOpZfqbq4IUHMqX6hvcGwa8RWm5neWd6wQBeKnFdkYtc YnkH88N8qiURlqNwaAWX5zfxZd/LYIcAPMtDK4gO0Jda+lXD/rktWdlDTAAn5FUGPrYwp8Px0LKQ KDAc7dfhvi0FihkP2OcQqhx57MYJdDrElda0My5WT9AVpMgN9QmXAV4oGYGIlwM3kWE5sASbtGEB 5jQFx7q2JwTpsW6UwdixBD0ILu5OdUUAvyUC+uvb3c9Y7WBz7aQsdo6Z+qXYGR7cvyTH0VQMp3An ek4clExlM5QppTdJssnp0o5OeJR7D/y+j11olkGnDRVHoU//ZXKEMYrphyMScLUxP9So93mobAzT KCPQSUHU/+xW6B6u4M7VMR/gDS1CH+iRZCaDSlSeNPpYYIPvqNhpjmMNXCV2DwJdcaV/SZBHwbk3 KVkKQ9BCHMi0QHaE/WfZLw+CX66LduOsyibfz29/jdO3dCDhT2tGueU5zs6Dlp34E7nXLcsuFlbG efQn+TTDs+mYZURzzSmKTq2DXZPJ2DkKD84IMrFfmNkHFH4DbNQ33W/20IloD5BmQkjy8mNkk/s9 YrMRy88CeHkPr6WhNHZ6j/4uZdeFOFjEUZpqHslvYqLuSGmAS6ek0P4eChAkosbVpxmcRLs+giR7 +Xeaskd5r+j5mL9V2VucAp9tpuTme3Vu0dsyA9qRuGvScnxmEpTMvEV9zbPh5zz10JdMZK9FwCLj vryEOi4T24vSJgaWKEzVCXUOwsp7FR22cVSx0uQ6RYMAJvmMOvv4hZKYBWCqECAkYJ3ky7Hq9WI6 hSPNqY8eWQkgDS0rTRkMRFEAA9YTvKixOF5cBdeDTHCW4zQkRrQ3pkPb0WMk1N/j8D41l2mN1/zE +NROP11YESRNE4u4wuYprDeUliOlUKku38EHKToA1BzrWHz062wloZk/XCxdM20v8ty98u4L7nEt eCcgoku5/GWNVMhEKKPBiteDGeM+tdYZYoB+7BygDmTPbYxxtN6+Eg+QVd26XS+gIfqm1Vr2SUyi IowdcL4z2435jz9WDDITcfT/iKPNksezVQGuHVIhNzub46mfuupFiByNd0nK2uJBfb2XtCjepZBY zrKsPR9eph60O+T+YgI3u+evEP+P6OXEDyrBVU51dTdssxYoR6WRpJswNEwfZZcCAb6yFjnVdP9p HZgW9T2S+/1GsGG+hYHNYeYctrGz8Ji09zEt6Fh3k/cdSS4iSKlzR0d7BHKmWqTlhJ6s0IDjUARi OD13FK5fF2BbJKYXCMUkqk4riQkRpfWyevH7RCwkYJudGHvafjYIpOfthpvd0ovFjm6LcuS0hI/I lOjgGEe0dGB5to+wxIN85APf+JR0f6oI2p2fsa8LlKS/j5zU8WXxrxhlKaDTRH2149ZDk9j4oglp 13tmea+zeVb7C5DbCaK5UjkgRUH9fnsuz8f995kPpPXYMhdF5wxaJQyigh4pGfB02+NwAazQkt+b XBNdtdLRN9fSTk5CyevIynNDU3xcNhuSpc4xNH1gFqGyEKOuKOf1aLdXuOk9SgHsy+5ovGxUSFrF vn/Ggg9TbsXyPxhM6cuy20rqOqbHzqdgbJqH3bqoU7YoxlGxx/jC+wsSb5FRtYI6xlTnKbCiXzpJ 5Siaq6z3He6Ai3dW85ZyY1bMV3Ee+fFRt2+9MN3lroonRuygLbcUbELDzIIowTUFRg+017LbjKKU d58D0+TyD22TkaOAMAqJIWXQ95x2gZ7vntDiNQBMHDzV8eYA3RYktnZJzy20n5+XB7AqO7XhObHw BDX76ewXRjkOfLnRZ65Jckt0psBGKYxv0BwOYr13nNrcag8LiKt7RReVlfz8Dh+34h+LfOsGkmWp RSmRk5dmj/pAL4mLBfHu2uFP4YaRDBE6wHtB8OTA+J5G1XUuZrCpXURKRuhIaxzZYR9aHIGXv9gn 1rRKK3lMVciIcPuGX57Dt1bxz1qKu5fzZIJSNkw1yHwA7RbQNMSlx9Ey+HQdo1XhQyPtQ9b6pKPx xc8EAJP9N7NrnKkkwBtX6uPgHslsZhGVLt+0vFvZbqBambGjeWtBxMeEdrpSCdtWE9bS7D56oz07 T07OgxCFEKv6+Hn5Ns+Bc2KvJEdWIz3Q6ATGIcUjtuxtsdrC5SdAmbgqbNDSAzdPwKHOhubjEU+C tkB7EKW0yTDq2KCNCyMHcAVtVJrUgkLCAwsvHZfcR/wiT4F6VM1SsB4mgXJapSIzRyCOcoXASyY/ ycFd2WtfbvhDiJgZPHhQ2SHdlPJTx8vKZ8Vt7sKGvjfnX5/WBtAbufpAe1u/1+6hjaR8T9sC/ViQ xR+dXBa7Zk4rQcJ4ZHWcq3bHCmyWNlPFKcW98eNw6Mk3C1iFxP7o9SWAbhb+aqa3WWp2DokdBzl6 zZHVvZFOKY900so2ed62Nur77CTFSO6NZXJtG3sb4WKNiLEZBVo25RC+k0fgzr5M4Y1Sv2B3vCx9 oGPeFE7q48J0io5qIdKI6jLqxinafJmy/G4qp2mmhL7psvEmFz+wUiukHOP3E8h+x4Z/Y8apPUNu KMfwKDJsb9t5NG/UWsRRDJsQHuqNjw2Tl8q81fma8D9rrm1szIOYPeNB1ccyhIR/9404ycX4kf0g F6VujBBM5xp2ovPMsOSZgHeIdTNCkpt8aUkfW/VA1Z/EqtrJw1beMEvMCV/nbbu9CZNobXhY2Okx 0EsvJ8BU1VJI3s4lRawKOhoN0bfjvX9Sm+iTIzgOhqGEl6XP9Ier8tRRnoYvCBdeQ6tgpf5qzNHJ 4JXn0fD9/CSyVJHKu/BRjSFDrjatz/U/RSyqcVynfBJ8Y1Ks//SlWvGUr64UDNzV6DyEP/c+iQiM jUJkSq5piQYimrnFuAzWTYvwbRQ4S3lON0z8FBxiV8JNB8vB46ZN26gtx4ke6thmSXBDTAcROFJs 7RZiEijbAlVYKTa4kcggZSeZQO1JP1g5wxNH4H1l6U85NTv0bebzU741lY+mFFk8ddluhGY61Wsu F2lCckn6vj5J1sa6kxl/5/XWXS01UJ63tURwjDKuoMji2idtYcRiJoCiN3A6eRqCNgDmPawqalvg UfxzsAFfuwtvE+q3tbRhwegoHcFzukGtYw1RH2dKQk5hS3w+bYZl1cJowsuEMKUAcnbPnukEYVd1 mCFD0o0eJvEprZCEAMBihfKYdGgb2dkzhV+ndPJJcbpF+Aaof9BfWU0QdGPO8nYqKcRPJcXqSx7h BdERC8opjsSMk+VpbYIPxkEcdMDJi45oAHv0fFGEHLeHCrqPRyMhcwU4HDYZ/FZWJgAVVXyOSEOq 0F2VhUUMEzepxCi4PEFjmr8pEI+P8oeFE+0wklVT0+lnU6mECbDphC1FSSV0OrYdaQSVpP4sFvUj v4WWIFN2B9eI1zSLyqwZfHGLi9Awe0+eLZeEPPZWh8j4MWkrQVACXN/IgX7FNZ63s1obVYAqMMjn Td6pnK1ct05W/6AjzTvZjXNQcgyj3YrdfYnAOlQ5CIK4qlhW4XPAKisx4y2ARF0KKgFCcHsJZx34 jSd47kWcnqx6MRE8GLB/S72z8xbG0ZF1cLLhPhl9N7eChAQSYB1T+k4N7GzaHHKW79opiF+HOupy XRtwsSRwJ7LdOShNTywdZ89duPPU97xuBwvIroVB+mcftykK8bhDP/kUt0HPTR6buPbuvVP9c9s+ riyrJrqdW0x0u/oMqKVYlSyocDOI58mxeXwsIkCUToR6mOK65qJRXQaSGCIfIsJF9OEewIpaFcwq mXzlYfwPcVd0rvPB3wg1RXDBgfAYdaAFICLQ77y7ugv2ged/Ro/qp3eM8TbtG8JWGd/dMBhsnvGU aMWoJUeXTIrdnRaHb7OjNeLj5ur8ya8FRv9dCLTyBBFhEURTeC6yNs82hhY8RTK3U7CmXQ/Ua0ob LpGJCi4h5ismrScoWgmxTflrgYUHusNtScMe0o0AYKNxoW8JslRpGuQt0XuPsQrVITZK0DBa0f+3 zwyDcWSxqvOLkNohfEldi+a9a+AAbfS5qipMJnJEfABemrab2opvCo114rpnCV9XyHNUuLr86eJu EepcHb0GHzldt44COQD2YkADug8Hz8charu63y2BjIZzyIr/7A0o3PukT8srs2ZszYz4+MfN9Wby 71NF74YemuhPkPBw+BG4EqzrUQOPrnsO7Q0hNftFpMdRn/tvaFOXlS1QMKtbDvvlEgmzevNOqEBH w5M1afdvEp1/1w3tGCWbNLnTM3n7BUdI3fEnLl5aPtc1UCj1i8r/rHGLBjZfksqhZ4+tCUQCEKxo bwBEjMsuXFLKEy8/9C1STHPQaSMgGm7hEFrvjy26n2FmzaG8Ikb29MRpzn6anKLz2DDXgReWkJOI cO5plsm34OuyvEIfcOk90sabXylQU8ETvVx0Op2v3jul9a/ptdfjj6IYNmUZVJMpCMChSGq6l+yI G4wHY6dK7ZXw8Br8cQb7XAE2v7gucH0qIPUZU320IEHBotY+1QeWbTjsvV8O5+0f3omg44k1E0Wq ljExw1QZNJb371gUhJaCuqw/J2ZYFRAk3LwVFjAeuTWnYs5qrEfo4zEB51hHj4FEob+OSYkak5YD RsTFkJaNpI/MqSLQ5iula2KbxQUehxU+gg2S5dIZRTRHEMBGCducWjTzqKvCq7i2MCiGaeLj9znn JIzwaNFPRiSGQHsMkwy2zQqJuWIRfHpmL8UGOwaB2vHW9n828ysbEr1LdUucyrjPClj7Nu5RtQcZ XBL3IlYFMFg2D+6kuNsoEEgdCFToFGHecETwyz4/0SCAk5s9dJeVrZpiheGdQYu34hNuCZ4F1m2E 8tYm8+0dNNnNGO6OsQaWarKeXz4sDxFuS3eRNTKs2Anm1Sih39Xwxx0Oja5ejF4BAt+A1TEOZozT f3P7axVBsNRozFkD+fZ8K28L+30JeNKgokTP1ji9cucfMopqxhrG29k/q+6hOy6/M91HLD63a6YP RDBhdaesa2CmtCT3GaHNNRi0UjML4Q0HqXv86EtcJHuZfSwx/UYPouWEimjWcZ3134ZoRUUuDQCK cjVnsMtSrqIV6op4tzHj0OKojVuf5YDa99MK4gdtW46R463/gpjeQoiCh8megNYugfx1AJTXLlh6 9KREmOhQBvRp8Y03fbLaMN9Gx3ODsjmmhafwH0VHMh2Mc1nDojEPwcNPHiLYMwO8Mrpl2igwSQzp +UAZR6fe2S/rA9Dfy0KPG6RAFPAE0l1SmXRlz/rC5jGLYhNnMxUeH6rrn9WCYnPAqTRWFOhwBBL5 4kYa/lw07vnbaYUio8ZlMafy5RQRfW29TpEYXUQay51KhYb3fK4w0S1v35xpaWfcBX7EanB3sKYK ZFomVer34WVmKO/uLGpRlEUbGevwfWubU2O9iZeiUVHlUd4gdILbDpIq20tCK3rYkveGnYfGYds+ Y0iBQ6RycHc3JNx6RtsHO2KYsJ+czuuESzqb09DBTJURnYn+5hvUVFg06SEJcIIuTYU6e9Hdn0Sx sbN11ouW/J2RliB9ASiNUldef9isOpeRhvT8c11hk08tNRBd/trGrN+wG60DLMxCyEoptbceZ1sm gIBzgDSiTwoy/irthlOe/uUyyDDeZZ/oZR3IXpqHK87VsRsFzOTr4KC1DqKR+sagtCy2WM7QSRSZ qWAtS8+Z+3KQPaG0kEe6T5hGlkrlV8bBGcGmZY+xyjBK0f6ZGMEavuCmlcqoMMsqJEQ08UygxiOf ahfILi7CRh6GF6y0CTQ8u4yqKuuxxO079dGs7n9HztR+ZrYmmiE59iWEgS8jkUGhf4lSIb51+AOT doXxK3Z9VFbpYCaHXos/L8VoMvRNGkTWvwNVoLyYbjCSYQsBSqAufEaqE/9oTrOFTRHX+MMolACE LLUVKFKdXFCw/Sn3VYkgDC0Y3CN4KyiTu8NXKmM53vyXFGWxqOSrNkQCOmAgUul1p5ttnbwQb7Jd SWvaUiy6gpxYc4vgsxSFZaMStEBH5s1Q/rYRdOe4pu/E7zYuB33Paw42Ns3oGlATOfAAyJTNHebf uKZnoTlguYSgbhdiiiaLtysyf+KB78DqYXECkaZK03O7hMmwl1hRFdk7gLzb22qFNmcgF2gVXRsK O31bksgAHBbdyxqub+am2CQjYM1fHik3VlITz5N9z4g+c0Ln8QLSac+QLXDJSJ8vNr52pjQJXW1f N2xGe3y68RHUyudemyzApk6m6hV9lqDaeL6sODFzF3RvlMXMqox6Tb/IgBTQrE7qKJH8C6SNrU36 afJ1By2WwuZLk7JJiCBVV0PRFvzdnTlAoJQx4qI/KgiyIIJQETHRv3ogWEk54GS0GwDPa9gufgzH OIWiFBO4UU52w+1+gT3rjT5ZU8jc+aqK3kmVs3Q7v5cgbIBNvXClRSdozKmvix0fpLLXjEu7G1Yw /fVpWXyzN1W/ADfLdGwOmhuEwtUbB5BTzKStciyJzyJJd2UkOaBoBflAcOqJcFI1JyWJRXcBCEWt D0XPQCwSCYaGEV2hYHkkgH4XamCjfHNSwEvgT5fsWctw5jNeNcS4LUQN5+9xrOQ5SG+OJ442i7hp wzyEiebgFmnhdmQoY4ZXA2ICVE9CsejF+pOIqhxj4bHIZKTOFS8UPhPehjNAj2o9fM9+nDlJ6jBL vm9QIZk9QTOcVTrmo5EulAg6CXn+hDb/N9uTnIdxf9MjczXdrlJOQwcieVnLHfp+FNWiq8o8Zdjt NuunXT5zO9rrRQ0j/nfgcZR1cdRfWIzu/6z2bh1fOypXvPntiH3bwnGGj0LQUh+fW5vMG/3GRysV TP0eeg08Pe4GnTgM2dttMJfWr9SRCXLLOJZnJi5OxumY5ebZhplZHAadMfSmCfTHre4HWr/G4kK4 TZtnpYypEK7zgJZmNyK2N9Dn0UdkDANLkJLXLtMRlDR9ifwACHKuOQFYFKoFTwc4Z2WThaQBydb3 r6mOWVrkl/9SQ8gHbXnvQJbU6oy34JU3RztlwofB0IcOQg14Ukq2B5WRoLi0wUJF1O0uVnW87fgI X8SLCBX+dFYUJW4ZTTUa2vZORGJRp6Ka1pmeAz/k3kOxfG0bxnaQOG8K5pimxuTRuqIQj9QGzpTK d9j6aUNKzUH0C5vjcexrS21pQqybhXvc5tizjI+NtOydOQnsw83CgNi5iJaJq9rv1NczdF420CNR qXVcsDMX8uFRO8+yVjeBRThIHrRHlYX7muB6/U9LmicrYT2JTqbw24UqTFogu7zIzRqE2kMr+qNM sxSjCfg3LDbn/V+r3iV9wk8CHX0H1fBQvmfJTiqefegRMBYmYWvgAer9al35pJce/jeoLYU/0nNT xEUdBeyVsNCPrBkbbWjUPfIQP4WcjfNOnzimdcOV/7+7FOv7YBv28P/R8excimP8MdGl0yq0vAwP Ayvqfyriw5v6OQeEsb5PDrO/lerRmmBWNujzKaVstWnXb2OPADAN0w9UqP2+YF1kVywnDHXJ5h53 o/r+EFuvqOMO6cXFLOBiGFe2jjYMSLXwyAq0vKU3AL1aFKeKZknfW3aA2mRHu+cmEiYkCuLGE+Ha MamHh0keuHoGTTqEj4M7ii8LJjSX7ykhcx47C2Mub0rTOcDBnWvSxaQhHlt406oNaX40Xin6StY6 XJwC0Fu5aFw/8qFYG3Kh1vAOg4aQ8vC3VW3Y/TI5r/0LEdr5i+rStbkT6bAOpr/tbS+ZnKXlIxHh A3IzAKCawvY8iRhnakRnqUWJqJkyRNGBTROLUkK1dP7f7h9f6yzSgj2YqQZifLuj0fCRbw5sN6QV AmbD7vnS7E0MVEgN7+nnV00GDAko+YmV1u6Ef9Yve7Hl/A51aWfyPk7LYcLROYQRshea46bZXM7v lww/+8pbZnA7L4C+/34A40f32xaBDfhmChN+DFXOxT8SBG1ITEnahIJ856VSv3f6P3naK29GETi9 cm6ilTPqUjGXpOkdU6L27F3nltxz9osPieaYOFe4DASxlzdd4YIQ/moI63I6MyIMpi75hazijSnq w0MhAK+2Fq/e8Pzc6CEyDRgGwerPpB8+SVClQ4+yNiBKfB/6amdd+mJeCa73mcrIC7OL7tkluQip hIh56M3O/YiDhE80wclhWbNzReKoBRoXfsck7izq5pc4Q6Tht7KCxgF9YXDitCnopKDfQeakwB84 ChvOdWz4XROFzYLg+d5cREALl0pKBR5cDNnS9HF5a0ldYAVgZq3n0OkyX7U0C00kvtgasNXyyiEJ GAlyw+HZ8634D49x1NUtBIHQL49rzb4WdwDAti+onlxYWXOnVBAxG60Tdpyq2dhTqSWt1G5b9+WH 9PLVTEtJsqHnGkH+2FJuHZHD/kqVVZIe/IxVxJnypRS0FPF398BWocyGq7BdvX8RWEHpRCOp0pER FmdWpenjmVRZ3tc79mer0qgg1WUXVbmzlyw59LKEuV3t4XgxTz48XksB13APCV7ILj9V3NCXwUN4 WfX39t7O0NhufGpsQ3H7vTaCognsXjSQMuz7Yy894/30Ioyu8DEbdkyi5bq19UWIozWieGZ6e72v Uzf6QKoSajSYpR+5mcSjdzDk2X8amja6w8MxkTpf5Mm1bKydn1xjvIZmRrODWms0T1Wp1yAFBlAU w/P8rxB3Q3nZK7gGI/1u1Jtkz/fIaDNgl7TcJ8g8wefqRcJQSzOQE+9cKMksbKbVIV85O/EgGYOf fBqiFER7SoiNRoQtIJwFM1smg/hjoHKgeYLXNdkyh7Ca6gIhU1vIBKDf+dPVpLDxmBUBSdNwGYpU e+QK3HCqWlIUGQs2dsm3+08ikjowtHNKQWTtc6Gf7RffzR0+5yFnQmI4JAcSClvGkWS/fCD9NSA4 GZKRwW/IU4lVZnkOsDWxHbzswfGMTvHgGCQ38DYohSPXdRpnFPbef5PePxV9dyAVRmfElbzKjih0 oMx6+Y9vPCSN+pfS4lbKPoowSWZuhQ7RjH1mImUHC/rknVnrBc0R5MgM33vHuUlCUK6x8fDSR+eu oVai+0yeBktIO3QSkctbd9sMRinUyDyCos6/ddIKfKauiE2PrI45WkkTE59yAiwZ9kCeWSlEoXbx lpFFTaJwI4ma/Vd7NLoDKVh3PA77iAMVTU2STJneeNpI2PlmVia2urBII1R5H1y/hED1rDC0YG2E c2jlhIxkVi+iHveHVGBCa/eLUnBs4Flo+VimKuu/yi3tFFzxQAR+DnwsnZYAh5OuSGL/7IVIbjTX rPWEsPxYwbqmTPJwy25kfs1eTrlcR9Vg8aaMSuTAhBMd+F0B3ie2I5/CDwoyr2itindhEoghVJ0X AntvY5BsB9JQKVCSy9/8ImsFnQwaStWW7cAZgKuU7k+2Hstdu8UE5wqZEkC8QXGg+ceWOJwl3Loe 1lzhMH4J+ABO+fIYgE5ltqmoqlVySOYNQHmdX9v7EQwM3L2MW6IPyv/aMU6xGdsaaanbDCfUydwc 0FQCBKzU2XhUJ11/YPpJXSnL6/M2Jcj3xF1I9uepQ1ewlFg0szDUt+/jc4cia1lEwJt+wiCKY/LY KkKsy/ssK1Fi6WbxTWX4jtTA6iRPplabUB/QyPOhsAeSvK8aCwtDW+Mdq7zQnIK/Lgma+DvBXvKb 6qFt2QjK4Cp6XfXyOrmK9OSlpTWwSK5nfYgz3xa5/L5Q878PQABO+Vy9UXJu5zARLUoexXXUtHaY I9tVwFTbeSvU2G03A6T1FjRrL7etNnqazgTxBBS30cVZ7Dw2shGcqtOsmKm5wvSGUNtUlpgxbLgD 7Rf9Pd+6gVBQLFg116ChttsmjjUQOICbW10EH8/ePOKdLjOeTiwDCMp2JGX62qZEldMzx/f54ErB rn31VeWIYzxAdUc7iyAYJDfb47iNx2hv7LwnHZXVYntoZdyWCWWUEevbE0DaYdmMmIHPiayNjh6R giA2lTrXNqLc2IXBjaXoM9AxFe++Dmh+8fYkUZQbnEvXsNb9LfgIGKyD8FZ0S0mWgNKOxPvM+LtX N/kthOAUNByPRKzRIacV8VlXxpBQUJbXIFsDFUUmpHxHQ/t6RsK2WLmBHX7xP8KWIKobPaJXBrB6 8qgs0jpJqUQi4toUIzs9yNnnNp/gaQKneqr2EfLUJmAFg79UV6g6TKI5alzUNyFEqWnvz6HXLSt+ 3yY6KE9EoJfLMMb6cgYHv/7e4CMGuLEFIZkSTXuI2w7xmXfT2z7/DvcWlz38wnOAZskwBfr/f6gb 7gOWUAFL9DWg2ayw8rS7C4OPVbp8KJggs7E7+eWXxktuugIT7UM8CgLjXK8SRa6YhdyTnRweupyD X7Pn76zT812l+nPGdwb4rIlKRW8O0Ht7saOG/2Cufn0nsNjI9sSs+AuAOeQt399B84Z5DLDMjHBg qmg88sk7uRKYT/shlGIGCOmo9meOK0HyqQwdfQJ2KPXbGxvxcV5KcUfrusrKTQNynzr8RwUf9tBJ 2eKpHL3ol6hdGx/4muxfeM75tJQK1xdcDJi3FSD4SX0Ce+B24wMi4euMWc7zPoADcG0B29zJZGGZ L0vT3Xl5QTwHUAI/hS1qbaQ+vkJq1zWqhAEwGkE9hJhpUtK2mySUCCL8Mb4i6yEYWibBMijEBJC+ Sz+PlY2At+wws4di9fKrTglzWJlY/vGIy4/YDW6+c9awg49MN6vapZkqVa5Xbt3z+rGT9PSCPxv6 Cxz1p7zsWZA4625C+s2JM1TiGU2kVmKDEw28JGHxLwj4mOhge/jzAsOba5o5909+JF7MqVoLxITs v02M7BBh/0DTOWWfqCfu9nnfb0KqQFy9CFRwk160BzejF76t60MSpnpRIRHjkNr0L4ecQpk7ZGRU Ji7LF+x/cNpT0RyRlrd5P7yZPQ3iSmvTYR/6cHeA7sUGsy3ElTBkyILLZ7r/6ejzAJxdwMKIWtF+ mpi4TFnAzMYUKzKMuxb2cZqW0OK53RDaB0wY85ZRqgB3z+eL5LQmdfR5/xKWHrXO5HYbWUwecUwd aHQW+T/zuQDcgwoK4hIIf3M8v5r9gRuXTgl9qRrNn67aU5Mo2oYEpJ9kJ4PZDoFXS4hFh9IE6blD gsBS2F6Zsg90XjgWPyXO8f/pt6llibgYBd5oUlBl2lEb1JcBlQfVGR8Wj1sXLu59pzWGoSfBtxkR BTho8HvZoW5pN0pMnDK2qCdf994DN3bTwvMGr7dq99lp1fe6itI08VdLgzkJQE6PNEAWBynL6oaP HnhRSAZB2DTa7569/zwgbGEg/k28vCA2qeLZR5jOkKq1TL9g03LC2oUIoax6ZIezPZ15/Psp70xU UXW+1roSNxm6nEFaTtcfnQaLdHnZQC2TDwQQrIrsG6KgeKvTNs5FGhx+nmEawWc9h3B5KzEtyHoT /XVZhAaFwYAxpjK+XN591u+TMQs9j45L1I0RfoTUOIvG1zLnC0gbjLCx4cRClURRFm3sHsDa05oe 9HydJul64ZCAgoFHhfRudXBgEUPN9h8G91w8FByR//vdJGJObF8PLiqOcRggK+6XVpoYf+YjvDCo kMyq6Rgyqy5GEcHmwhII+AguefXcl0j7/o5MY2XP5+yjAGFxTuNA+SXpwf3/trTg+XBHlbReWZpE 8ju/Of8E/LOJ+fNFlnn/2OJHKv71+2ZduwSHbVXTCJOOwow6kVyhlaPKgFfBa6NrRNYaK74NXTyM Z90yiJEaGEhYMFOGV/9jlcNYmHUjgHXaY0IQ7WpgpwP55UQYZnao33tAxjs+U2cdBhkWYKDBu1cO SZCRFmtfv4lcazQWIw9Fth5jYX/pv1S82IfnirbZw0MSRwtKTW1UB6EMAiH46YBW6/xzQS09CMi4 DXnuORDjv3ELPzYIkitBlLmF1QqMrayEouSjZWWRaSXdKtwWueAdfIMPALugsIGu8Pz8nOcBETxb Pob1lRopAK/0IGpFw2fpe7+yNlskFTheZbAVPr4nDPVWQlw5/FVsQJTl+9JDnYpVZGMQWYDhgcf0 Fm2vYGV34EYHrdYw5/0h6UDvfFRs9QfnVaUitdVDjqksWnbF90+3+LwdFEMqyUkxGiZQhXvfv+sl fQ3BGIoJjQSirJfgk8N1Q/MhzbLTwkLl/YevkS/RpzkZP9L8HYPMgKR4PyHxG6lfdPBfJccGohak m50kOAxqxur8JsT3h0iIqyZ4Fi3ZWcJIcYpfJx0dyACD29vr3MxkIe6p5mzB/DbVuv696q5hGwS9 J+yRB1uKQY8ouliREq+mhiP11Mz3pg41DwbuJO2RlqYs6kPxrUQUVmmCiP2OqJAhU4WJY2Hp2LK2 vLPq0BxpgW2IymwDvkdAt067YioBD6JMWaDP3Ivc1BZspBUjnNoGUbJWlHcwUx5ND+C2XfZq2rre tMvHHlDvcdUNS1wy6w91IYDmvZSVjiA5FsFRRNSSCpGrOsmXaC4pripyOZzxjXD8It2bhheCt8df kUAnHUaOwGA/zz6emAX2iLZ48SOeXvetgyUBfKnRYYIPDYGH3lkhbQY7DbGYDgasn7q5biA+gvY9 WSIqpmAWRI3aobSIxNlT4gwsRWfOYFqC8XqnDSgCZvt6qwXIfV6qBFLKsFPkRU062zvCOFsGiwq4 PlEzRebxpBzMlDV+6v6nuNBLam4R3xul1dJmIACtEFonDLOH+tHHAB42I2MlVdh3deS8ZYkM5uHw 2M1UoIOiypWR+uuO9te4YzqSAlAWqmRBKwXKsD3ZHOMk76uWIB5j4srNx4YoT7lsc5agTV/bgkFp 95LRIcce9OLCStjG3rY2p3W92vjlr6qPlRJAwRllAgWSgJ0/Rp1aHQNACuDv6RubL1E7Sh7/Q0N5 Nt89mEO6LrUZz3RB1RxQshg5b6dFalq2NEgLUM+guD9kDA07lqYL+lak2hsYFdSntGuw6QZRNz28 58agA/YEqPw+Rs9LMMBI4DpwzwhDaNgYaMjGTMXWydtvsFon8/bO+/2ZlA0V2ulLQhuPWmyTGzSO yChFxJq0B8zfjGkFUD2s84M4f1fbSexDyRtLE+LzePj/Iko213RES0/GwbGxx+3uVxxpQqloZ3ls oVpTrcFG4eWy2Zc6W3Gv1OfyTargf02XWbS1OrLKuZmgTN1EEgaN+uty3bVhlJsjrGfQZGjuUsPY nYXAP7I5IaF4afgNt+cXsmDQRdaQ9w5FTvK5mCY6++MDiolL213rRpslxM8l56Ra1hQqNOJqnnSA FJyZdURYuXDULP6r1h1bvQQnu9hbjqKaxIc8LhtUPk/r47OJX2iVYlj4qlP1vL/3kEZow9GL0GvT P5VxDuDI8Olti4ulYBCPwqwTusulKdLdlAooWn7Ox8g889DHLaTAw4tqztX2GMqQB2clVFUTGQ/X F1nMk99A/r4ISNyzuaUDn3auPCCyFIsF4ziSC2DRBCZ5IHAx/dPPCHtIJbzgq1pFZtHZGXfV1wn5 uH1eLEustBg9c0rpqZeMaZA7YHj8VQKXIu7SiaA08biJmcHD8qqrKkFsgP+tkE+6lanSQmVKO3e4 nYgn9u+7IuHpZBDwJL7GmpQOLUFTK8ukqVoItXT3a4+4HYWOFucAE34EVoUUxzuE0jX9P9GKX+8U fyOdOxvcQuIODPiVBCfrAVhBIoDNf/RT6cmKjfOvh1p1bEJJXi4OI3ryeXpdGvdH+zcSq7r3igw3 5zjTylFQ7Dxt/TEbAQsXPDgdWrEYjSXBAlH8anUZzenuOjdNHjpPuQjZu/Qrs6cInV0jhAHkTHp6 BDgYQdISYz8wNYgNmqbCf5EmQBmuJMoExiAFD0flach03x1ag+kikszc0cJldDC4UipDdVmaPDZH YBh4046NQ+Z9ndG9iTN86DWla1WWzCoE/wuR850jzHSBM+FVoHdIIWZttKqpLslo51ur+hwvNhsZ 2H6gfl+vicrC2ezEYlisRj5ERCGtX7TWWqLrzjwlmWIEjYme0vbp8KLfGPDuDtOAPUpMIo3BtuCq YLrMg6yq0ndLpRMICS6SYgO+HQI54bgHt7LK2U+qZhH34MOAXemcSCD0aiwvxhf0xGv/DJQy0AGT HZgvrRC0Cu+QRzo9XqHahLGKCi3+/R5bvMtnUPiQMAvKo4A5KZumFL6mlKa4dOklu5pgQi6I455A GBNVXncLiihKQcYErooSXCJuayBjBvBf+DHYiXA0rTWLDNg4SxYPSpiM/CGH5ChXAXBa6Hs7Qun4 hM9JOrWAT7ulvHT2u9zi04lmNGYDpLuV/Fg7t6PcTZHvG9aJOu7EO6Fxo0bBgRBE7XvheW9uDqL5 LYKKJt537Er+9YAU4ld9AVgBH4yDmDbwxM7pCLSNxN0nOdMcetXk/o/ScLa49Z1sj8FkXf1TdCjo 4Pf57ZZeJeHsF2xYRKm6/iyAikG8uXlZiqHvYOVgQjUhTrmIlT6b2cGPt9t/uniHEd1vhqbianBj t3AY14owmdzK+zgwAE1wZhWa0Fw5pgTKuKSt1WE/osac3amyxhT5G9cjdJmBgIusMb27F3KC1W98 XXHA033JE464aj6k5lAY+6ShizyUVDkF8eJ1//nYS4gDazZFv/FtrkBotE98sBmCjF3K83D9GUv1 PzU4uKkQJVQiUUW8LdwifPfFBcYpKL83SxmufghTCIaj8GXxKqjSJ+o30TWgJ2Jf/+nvLAr/zkXM Spl4L27U7Km8UafsZj2iva+gquJi2iu9rCNz2Pe9H+z5uy3un8vtZxX9PG0ZJ04cijyDHSu8Ii3g bof1tuT2j+Qc8kYingI+94cc2/P4vq6NFfyRBU1HOhJcxHMlepRRqF2jT/7vFmQ08nA4L0lEeqG5 SmvAq0XYGdXdv5dFcHGtr+HnYBDZn3Ig1gfAWw6OJKhQgqQDCxJ8kRKH6r1kslZJjyRBaMHfztOw AE4pi+PJiqQGqVr/f0l2XdcuTpXm5sPVoI6+//3u/KchhbOY39mBZayPSR5orvOIy4zumI697Jpu NFwd/603qIzFnkb79CkfU82ZUkasqCWamW3ZCOwzPes4N1NsdnP0g+PhBEOGRpY1+IqtIVmxM/PV RjmHpoAc8TsBo8DiqfKcIeTPTMVBODc3/EJHgEJIqPfB1/Geb8opmaZAtR1PF6nXj7PpjntTEr8W E47DqPTmvbdEwovptbmRw7QTmoOjn775fsmpjp1PEflLncMJHXsFvP7/eUVG8XlBOmEWvjC2n/12 0i5jmB1lJUmQO4QeJchUxyRx7F0xMas6aKGqGbOtPkP6hex/AeKZuX2a6b7nVp8u/6YFv/MfPv12 ZxlVYBvAXwvboO3kOeqhKF1sZ1NzArnDmCDQItVqUrUgXqx+zTD1U4ssk1dyFESYg1RHFoFv/o9B fuiwoW7xNV8BctSx6i/TC84gsy/z3xXn321YbB/yniTI+lAPN5YjuJh5d4Il1P7OR+V3phNVbdq4 Medkf1s/O3wfGTk5jbGLbH7F8QO78h1GZ4oiHMkT8IOFBT5a7YdfnMhzpsAtFClbvOVGJsw6rlMe g2qXoixZj8XUrtqRxNzuECm48DjKcgIt80zxvZg/t5v2/f1dWEgcxb865Lu/1qfdl+JzjXYz7ss/ GG0nEqKhlLaZE7FpyoQKjpLHJ4Lg9lx2VN01y9fFqDeMBMamNCAY2ldZY00H0SurI1t8NhnOS04i 31R6KjKvW4eguDPSbQGuJZqE57MhopQ+JkJ5jo3Sz7jHE5MmMc4DkJtdweHPU8Y2w5AIn+QcCJYX NS4AQCsylwR6KtuuLYAwf3mU+OAntXZtAagZ28UWeswZ6UEjrJK4fbT/MsPv/ZV7z2OQG/s1YB2h FGNAzHTQXzQ/OwnIqIioYNobZ715QZ6HXUPKc6CpI+8tpYS6kcw49e6+Juy2+90qOy7lTS0eaP4H X8BSYvi0rZ2pBi+MQGxpVtbSZmssLeLpb8TZ5f9pdaDaPRNiYnR21n3CjcG9ua91ngoN0pFp/hKI pGTgyFpukg+TVjICoLzwKjmf5NKkAlWOymvy+zwyjeMUfM5a69MFtYK5UUfzahFRwOcFxRhHpZSB hB4Xnvletxh3ob/rKmLIx0xDvxbRzeACDC0Wbp4u1QTkdBnoDFcy1g8//VItwlAhXBRWHyRvGGo7 KV/VJ3HrF4VYn+89n816tYDIHA/qquhDdKap9dlj511LpCxFieYioU835PMC4a1e6ICdkMTI5HiH J8sJmmyedY3vhyXBxlXpp/WI0sssK1mTgRm6KFWFzg+KCJ/wf8WZkaUTHOiNVvnJfmvbYGRQoXYo hKthiQBF3bLmm76nMXXLFCtCjkLlbw6JNbjXkm2s7DZjwUhdPoRPoOuxxxLf9wZxKiAApnPjxPqq ytGV2ck46KWSZ61LTlDNTxaSBY/itMFot0+8iMqqg7NL5eXALKZcEgiEvPDokynMzoRbgvWzXJ4j rpjnevvh89qzgYVYxKzs1GxkqZhnVXQY97XTzBZbp+mwDpAV+nurjY0Yl/xA0bfqtLG1qZsd4kcQ rcpmF7KNsObIoB177+BGaWnIcWjGLAEpSOW///WkyWshVyHEFpIF6v7zPl7Z+t9mf6gmAKf2InmC zOb8ShZWW9xqo0CNb3r5IEpogKTOWoRts1bCzrgS+7TltXKjlIz+887KqHNXIvM24l5Da3Q8K1KX dpzECuCkkPWKkv88ADwttScBnDnuHwe7jirpnwDQ5/cE7BpyW+XXARYMmsKjNKHTiU4yp13aDV5k /v3tt6wT0Uj6T1VBIay6aKQt2FOauDwZaB1DnBSLkPTnA94d2r11xdSZ5IADUQpPHRTZAFvXEtCv 368b5TgThWvc4O45iZNYXYtM6j1dGRsvJ15YutMujHJGQhbKGshRI1VMzkUkY/M+t4diLCBX3IZQ Zi4/+g5woKsj8jA2HT4Id9ZoDKyWFTjGpZXN5QaA3ibIwM56dWs8CvFppNzhBKmlTCGQfzpKnBjS r0ZRFux7RR4zxW31yfZG3qfAeCSvgS0UTdiSLYhhSlGiuSbDVdQBwp6f1pb+GHR+Yw7iMKyZn3g4 6EPJq72dhLaErOsqXXyEZ2e09+1l7wM0n9HtJ1sYVtP7/QGGLwpzllfvpAqGy0drBSl2VSLAKY8W j2Vc4aVjsliyzkghkPmSLFu62ddpWq4NI+grytIJ71O+pTfxrN/kt/DJbzV/+S2agG/JSGOJUDtt <KEY> N6BKVqAZMm3fH+yxFl3vkdtfi+tcINHiJdusOfex8M3vuvbn8uUMxAxM6Dlm7bIUJAmfy4YgAMjN vH4FhOtKWejzjkVX5xheUmC50qFau/lQ/lqKlU4pzyzfyE/Whu9Qh2LZzFOCFCGFUpxsa9J45JXB QsPfBaPTOArMU43J2Ba4xAJ7fBp2lSscQ3CszREOhgdDymejOp5ETuyViiTBx3GfrejiJr9JrlR7 Z1j1Gz5ds/ofAXsJhQXxbMfA9l7FO8T2HTCOLPmBcNnolSqX5jIDb/eIwUf9whiHupD9hnzwy5tp tGeeFQHXv8hzVi7gzUihpFWXX57h9RqT6sNjQqkcqfBNC/RTxn3z8mjP1wL/HZzUgz5y5yU00vKl b6mGbTeGK2ZTIFtMFNRP81yMLKIvhpCUukEWFWU95776h/b2E05VaX1Pg2kMtBdvSxJgf7KRt514 uFFuVc3FpycySNpFvY0TcBELZHGLdrKqM4Lfl75GXjVGoEnib8S+BXrhnFIpPxlqIjLWRHxUzh+G j/wAJ3Z7+53/qA+4gwC/W5+drof1AAAhnQdjWZ+z1wAZNR/CmURYu4Aa5ZJZWD8SpnclMe4cCnUu ZqnEDy39+PPlRe7395Uas9MlfDbN/e9R/NrsIoHlxs9VS0sZSyP5PYnoDv8qNn0/GBfUcZncRZsh CymV/xfmF9OM91LpR6JdYW3E4bjYeoaiMVvf2nuo8L4HZ7oMEC688MCHVnA0G8tENwF2frv3Ko8J LLr/toTppu+rYoi8NyQq8pnjlRP4sRYlTYNC1XX1jyEm24CdC4rFsr3aKuQFnrkhqB6mhSBlzMhE tUfW6aNxRooD4rheQZl1yHQY3SQRtgiJHD56ue3i8qJzAA/xLDr9TkVs4WNcAvzAfJLFXG2iYnEb KNmKa6/zdfpSlu5vlGaAiLWw3kUCae7V52OMbg9oMZSp07lPi6WQuU59Al8qUWZlt0HsR5cowAoD F0v5INHBc6oz5ZQp0h8DtNYNuORuIL/eR3JpYly7Oa/G68Q1SNbR3D9HbsdAD30YztDkKod5+Q4r ZBzXvWQnzb03fvGtd+ZG9txXozhQigQXBA2youBr+taZVaxj078O6PoGkyZPCLsNqbBwzVQ2YKaN SkKCwCX4pMQ4cLYRZ7rK/C193ny4iaxn9U3nru11cPgCfPGZ5bKwOkkqccvuVwCuML/7z0Hio72o F9jStZ/nqW+NJ0P2Yz3svi5Ai92CPFZ25eu/A7562N8mzkSOmMH7IfkTCQQvePRmJY1pdelRisLz II7Lji7sOE9HUYbnmz0LCphZ9Pgtx7A39jDzuxM1cg/KnmWie6Hk9pLb03NWrr/fbtWUQqKQIwvm 4ZZBbVkutDa5SRd7CNYUnk//oaKRlIq5odYfoeBwJa4vmHVcsICPVUEL1l0H37mGoKQhTOxPXxV7 eYNHGqbbHi6LQqge9drvIcSG0Z84GM2X0NSOub/D4zS0UUQON8/bU1GadfnsAGnbhPF+6HY6HfzE AYwnsFW5+0I+3dYBFYdFSX4t4n781mq9u/kY6cRW14JDEP/lgfe9fASKjQTcJ+bGSN9MYHYu75bX HioJlO76iobCBS5gZ+hlAg2QDyYmVxiNVd5P1v+qQxlPBjPHN6fOra3ERPJ6n7nhSxGyu05bI2Yk 16mBcUMynnnxRH0mvv0SsKOlN//lr6eecEkzcy1whreToG0TsCOYOYuWaIqafBbVgBQmwPajNgxE ZrJyjFQfdQkw3MDWqr5ZYLP4hslUIb1Lenp6T0y+Ey3H9yBJUcP+64lAAmBsrObKJ64WtxC0g4Ew hKsEtyUahND0MX40eGCcANuhvhsEX0jd4L8w2mqAS4ASst2Ya3kL65wFEAf6eheaFgRa4gRXVsJq ctV1aaKQQesP2tuWiyPTjSQW3xkZ9jKCW57vQ8J1Ab95MoSHDk7TERSdwfVdeuyhYrcjw2vk+bgz DrR3dlpK8UD3hgDvPdHfDYY7jjd/hMW7Hgko5GqpoMcTvBTK+nKO14duzyNtrUwKrBuP2EcqqH6S IkrFzPIoBH1HgJMEykT3KkNheRMaE96S3GsbAn8bAIEt3KvfjTI0bma7Zo2T2FqTz5Jj1GeXuGw/ sxio7uO2grolY7ittgb5jhYAy+eZ3wdWL6B5vaCfWXg829831fl8exLFlrfbnf3RXeYx8xXLzhN0 EAWY8Tku75wBGRQRf0ZEbZlpNxxEEw2X5YbTaar1N50QsDYhqPPpCU2NHDcd/3yVUkuqHqwaqrtG tK+BlFZkCxr28PdzGpgEN90aLp8uaio/7muUdAJv2BxpLHqmSFZ3xsP9m16rduU+vs4C/X6MD+Lg Jf3xejHUGvGGybeBOMqKoEvIkakfvMHi5VxoFYOTwaDVLNRFNyu+LJz8IBqkUIE3WOryvyg4N6pa c3EF22LLg9NEm/Xvn147dP9bjOS7yPi/n1wwUlexEjrBXpKo5PDJvco0GQhGftgmnXiU+lW8Wtb2 4yNhqRcR2eUMrB6PgmjEyQp9ogx9zhn9KGJlQmttQBuN1A1L0W3rCUlOUsA11recBWvn/KtRsJY4 59MNmw8ZSsuei/5BQJ6osDqL5Z6+FO1g7IFWVAmg1PhIaYd1ITBR20fDWXHJBvnltrtTXN/jKQPP 1YF1IA3q8dubKhEjbFT9IVwgHhcuExK6YNKsF+99WvJ7gWj8HnycAEpm1mx2Bkfkg+olq0rHL4kf lxGVx0J5/xBx76PHWoRED+MAM6O4iYcDJBxBNDEnEunAiCySG7O/dTAQz+DfDG59u+BYtQgk9QKS EdV32G4b+eYqG3mXqeONvslFnXC2XVXAQsqWgJxYQhh9Nm2llLd93+Q5GCCKjV+HbpBZ0WrbdodA kuLE0G28ATFGzpdP/3WObXqdL4f+nbFWim1uult05OLNQIBp7GM6zpqOGz0yG8EjH+UPzdrRfpzk 4s0T2ZvWiLgP7NuJm6mcrA1Y2GWB6rfPJucSjrGeBL90gl2dsKhB5DUrYfLP72Bv61CdQybhzym0 6dNS6cWxnfBEJzWUV77uFgQ6s9SZAE5wvqWICE4z+WQLrP91p2oeLPDNspiHoDVWDWy3aQfjCW5u d39ZgH52q6XGpP7QIkLZ+PhgNBozdAV6nRNkTj5f/8+6704eT3e1bM4JAoZYiPM2SU9CesBtg7k/ hcoy+rdhdDATjY//zrs4Zdt7bfVWNQXv39o+j4+1eGoR/BBgawqmSG04xfhh5qgIQ2tx45RafVgY pBuu86i5S+HI04QK80jznD56bvyGF6cpoZrJ5SqENOb6hWqVkKwQi/tdqVEFoKLXfa1zFFV+lHQC gVD5/+EUaKIssTaMLxk+V4MGdEXLhcZ53BgDqx8LB62TBUoAtnNB6sWC67MG0OTNIY8LtIilSHGE h9LFAc++t4j0QQzTCSSxqt9walhxuPDv4UapF7qFytfNak3OjBWEWRIdfcnwkRRR0otrtuHegc9X /WBG2AzhSM1D3mxiz7TghfPiDFUztRxdr/Wo6En5vkjLJ+6SFkQw2O2aJJ9l81UlH5hnjpu6Kb7F 2RZRdAtE0fCHcZvZPj8nWUE/Veifjh9CUQLN/GjVxnz01GMv1sLyoeQIE+Tz8KdpLbNWb3lEXx/g kVIGndcsSL6FstAd1hfTLlOFyeQImk8uBEIBB6XKa2GK861QnIPgwCXKL+EOtyROpHlK6zBDr9TM g3gsLbtHbZDMJgG9lakqVxB5cvLNHDqQYKe3bO3cziSiAQbZ5jXxRAEFFyXRC/GO4b/sIRBbpixH gh3NEE/I5dsnN+RXdckEyEoTJDZNwjm7lNqRKB08ONyFmpraAW2hw7seCg8qaY65PqhFGZKf1gzl GrG5wqBeFHDwlB1SoCHY9xhwIOKgehy3UWHhlOwz6CrordR5C0DQ4LeJCqz3eZdMmK+ZZl3kpaiM TH84obhjzocNgbwkxY0ZxBnLZvwxOVDQ3gHSEqzufi9xykML0FzOkMrknNQnd8/peHM+bu9IhFlN stbVGBXJgyIhyP2k28tzM13G3iuQK0ydxiRo9U6XfkIsalRxesKbtiPwuUTkgfJGYJq/IxxnCAR4 2F/OqAv/UAvVuPrDrOBtjf4yA5e3UQXGjwZUXFYdCjatSPM4YN8PRA9spB59LEI/KlxFbeeI5w/l toVWVscOMkL0u024qmvlPsIOZ8kIlReyuwDgRNiLM+xGRFjneXWCd0PD0MwGXtSmuQRCw61bdCxL fcH42xs+q3mB9MOaUaWa7kH6Q1Ud5jLhjzGPfoFcFywaUtH4BdWk4cdP7Q3v5bokQoRt9vhoUY6V kpEknKKDXESLCGx1Yd1aHqgBv675eJjyeLDyiWdxiJAEYMiup7o5xHr13zpfwxHimQC71SiWKzua Nf9mABCeKmto6uaUko7W118k847qzNzbKqNBv2Gxbgf+lxLn/CLCrN8Mrw9L7HBWlDHXP2YQ5e6s yA1KLRY1OrSr97P5r1GN1Q8aWz7RefM/zwafCcRt3aft59X2UVMxmV3+TRb7y7IdIvFVHcZ2HoMb GDUzpNNqj3GQk7XYaWrLYqbTvNfilbHky6eq1LiEMAdtsnJZGr6XMxYp8qjiLNk22/fTTQEJ04Uu jAL38cogeapgAPrUuMrnyGh1QWiwiOej/tmCQp2RVWLQbASASprSiSlVv7UuHYZyIvAyntLryk0P 6l+8783Y2bQEKRB8CWCmBXXTibe5pMlvEWarakYu+pDgq4PuwFJnJyz9H9YAOMT9oaLi5cuCzAhv uTBVCQIigdzaXQTnJ2Ioo+AfiNvFdwFAFwyGb88/rtDKfnIwUxbpPUlAL1L/gSWz+llUQV9qaBPB +2hyKy/g4I5hLDWVPZkoEOFlozliEmwBN50Uo/HyHyZ1X+V7yeWAN1e1EUDZpfHs1Icupla/COYa RjF++cBjup/MuCfTUOaMwl1dxJzz7mVZIAwg2I+tbP4Za0WubIcbOnPA/kOIrcWpU8c5r+pTvNEC gJYSYS6h4gzhBPBpoz1p8ogaBrTQqXevrMh7aCJ+PguMnlfUpSF7WMvq/coQMaNHW3kqFWscsDJc pnh8MNx10NgUNQiXaba7mLE4koDakl6NjXpH2kFIoWjegJm6vjVp0JwKkgC6V+ew2XqXVmJ93vtR h48aiV1KLENx6yCED0G2jI0/sDaYxDokWrxioq2sW0JPyZWaj1DUnnSAdlF1ynQ74qdrJas1CaQy 8mq9A7dHvwCnLTlrvx+der0NqAHAFaY7oK3gBYP1IGeMvHpO7AhI9u2SnfGP7VRBjrJ3JrI1tm1C SUujRdE4t9zm31Z+JIlOcuKwBozuNnmb6KSLmMtjIjM3BGK1Bh4M0zN0hL9xHCR8X8Ni8+b/K5dH pXs7dgPHpfqBa1fW2jrBtctfl+yTypS3Y+bfMw+PVuisDCgHdbbfUbJVtAyL5ovrCCja9I4UybnR QAUd8OQxHQfFlsRxGwV7fbgGkHtv6uMmaU1CyO+1o4GMdZOBCwDaVTME4UwnF2wK8ogjNdeasv8U Zyfjdhu9w40qJkPcu9n8VY9YDd0gMK46Ex1HGKBFxlVq6EV++MOtm2U7OSXWuMUldu9ac7f5PHcT zclkLZO+v4wl9SDll8pm50sCtBnfDBx/tImB4wahJ3H9oHjT0qHVyrzYfZDlXE3/nhOHq0kik0kK iV7bItM783COpZATfqn4MQJ56WwhC5hhykcSId1Kj6cfe0cp3mgD+tqzZj6wZuNBbFXBiaLFCe+R VqKQo6UEe1D2XcBJ3nNuA9vKlMjZIT+tV4R0xc1i7NMMDZHybSQLFMORddsXQfnOz6s/b4kDBuWP doGYU2rNVizRcTWEIAxIPHUkQd2l4w2l2YYMKtW3GlAE/UFg67VTM6o5gNi1XUKoViBOeMNayaHd j5N9wsnmqyNR943nbLcQeVGNt0wySO8mOS5iWTCS+XDxwEiedLrEp8Jmg4yMK9FTrFgVnvplatx+ 8T9FiRxXSgSQtETVkv+ogI76TvaA/DBv+4i9bvV6SZi9oRqqmK6OTcKaESnDingaS9kq5jEU/1Bx 9E1PhWQCtpxCErTV4Dy7Lo+AherTraWyrEbbiFDeRJvYkk5NKZECPFJZ26QoipCTd8WwdPJMiH1Q uOxa5E/BabZRgsLYoS7pg29eLok0m62izhk2tz/XEw5QtjhmLZWTecnUMN91EjDyy231Oe/lafo1 63YVbu11s257Y6GOQ/n5KvIJ74JulwIYsyrxG2JUYzdpeRqcwTkNpkFDahKooLOOh/QbGYCRb9Uc rFAONcIBQafsBf7W5RYg2yUY9TNTpw3t064ovpQ9YF73UCDedxqUZUS+1Tg0gZULwvzpFdU156Dg 63sg5YA1U4sDkQIMQElvuqkhsa2tvZRf0/An3idOhOFDrGPVwNQXU5G7cXqPEmnHGGXFyHC1JEp8 HtINVXwa39svwuWtXDck1/k8BxQAXqwc9tOuIo43OGPRpODI80N/8sPrAWrMeoBkeAgHZWq2fgHT TZdjA87NFOIqozYeCdPrGU0o0bm9btDAvBK0rRqta96XYffsTc7V7IEcEluiXnG6CokJqL3Je3Ga n0SgBHodmN6bGPgzXL3IoXiYIfO203zEUY5YbFBeuHIlxw0mS9Rgz6N9y89Hv/NUL78xnPLMOaKY U+QjR23/35066KFoTNgP40QUpxVOeuQPyreUfEBj5NFeVfSRaXHKtXGZ2Dy7Shjmpotj5WM82G4y QoOG+2LjWVoANP4VYeC6J+RxjbpgZSXsGTkW8Zfs410I3lGdQ0yIAwdTaE7wJg4SKb6yxzCQa3EL +ktf2X7GSbS4enSjaO5rfWKtGcJxXphyQusV1d8jGYr3ouumIGKC1Z8BkKsF2WgDRbdW6y5w0GPJ 8lBtTdSjXTBwWh9Ws809NwzjKoyEjYTvlcsTpV9lgSQkA63DlcgvqQEVAaUIioZySbghV5PeV6Ia C57KDqf55wOw4iD3fQUsnkBqg02J8y1nnMRE75hIfPMTESzXnr92+qnDnUn+gf9PbbEaQ6UVkQ95 a6xGOqL2lyQ408fL7kJAU9jFg8tknIw7fFHSQSt1F0yolZcJxQbE+iy2HsnydK0oeHsuDwMxHRzG mSWXXJGYo8h/ywijxDeJi7Nsj+JQmiIVLmOxd3M6jyTLMW5hcH4vUZTLRDzrQXu7nHgtG943+wiQ v+wB2B1hulTgfj78QLeas8w3hmXFqYZBu/SLHyxnBtXn6fYx+gdeWKmDrMvmA2PFzMVkyN5ci5gX 2TffLekdM6V+JdOUobgxxDWi0KcZ/6MvHMFIGkLrAi07Ya9uSUm5FpuoYTZ1ejGRgbsfjUAWGHRd 4PGrhPD4ApHofzVWQCT+Pbidi2pdvl72ynA+X/xlP64uY7/yj/3lJqOxusKVEWh+X4Bdy3Wn2WbD /m+NlTMs5XQQt5W4D2uwg9QZ9TgT0S3jkd9jdipU40BIY/Ec7gOK0AxNfm4kYgdGOYJK5rPs2Awi eqGPmQvJsNJbS02UscbaF8W/ty7LeG2krQUVSAolxJx1nGWMkHALhU0KfR6f0PNWpPWZwWkARaoM WQBuK8AXnLpDWyZDr9q+50x0LOaJ8046oUGsFtHjpsM4fz8D3Qy5WMOljF/MdPclnUxCYXDk56KR JRjBu1WPLetPjTPI/Pm+XVTHagS65WoVYRADGThgghESCJGbFAZFlXjOm3FPEB8q3Ue3wRibSS7O QTXj6XO7mpDOZObmmpbzU7mbdR9ER4PNbRxvISsXvLaXrMqtTqjFrbS5r7KggZwqP7/lBTY1bFgj L/E/NwerDFUd4zkgDIphnd6HwebFHIyoQgpWDVeOAY2QalKWMjL8pFca2QWPxZy3+nLb5jJemhsM a8E6t0EbRgyUn9n2UYAZP5tW1Po9K65k/+2izo8AsuUi2STPJsp6kslc1reUHxyosOWhfkTzzWnf oWDYac8FRbXIZD/gmmZY61VJidVVQq5eb6hA61v67yJwWx4jcGamMkKsFcXqJmCMBGceuZE76up3 n4tufjhESr+FczhKSYTSY+jqCnLnnz1XuMoBxhNxEPenlV+Um3Vt1B4LUctMAEQ++Dsna89qNjmB +R1s7eP9Vjxv+KINyMZDfMvH6SQTYwadOUe7f8LW6wkOS5sQ16MZe0BlqtxurvKRZ5bikn4jFjyZ HliCHo925U5YYVTwJhro4jOcU3DO2xPuzxGGPh/kwis7Y6Ge2TunTC0AXSFjpydh/TXjot9d3IAa 3mLELMad3G3tPlBWXqiuN3rCUi6NoZD0ss0Kp1kfSJO2TkVlNHZ1wxxegUh1SrblDr9bOiWWbko/ cNpq3nyo8krPVjYZ46o5zz02h9mALmeWOpjFaCuNG1LuHhDqRJFippGmpWj4g4oO9q2gKyAMgpjK 1CFppPFSPMLboONAtGpreGeLA2qRCCqdmFiWZvDvXSXgJ6nrCgw6udLkw1rMlxiAcYtbd5FsjNtg lw0knX+zQjJ92+IuPvEOrq0gGRN4wXylX3/Y6d/Oy0bi5f8ziUwPudfgtfr1EEVUlV2hTGRcLUDB xWjRYC7fkRjZ4iiLc0ZO8gdSt3lqExgZY+ccycohmSf+2cd05d9Cpmiylf+cJZJdQwc/ORzjNxoO w005Mfh8EIjQnMDa/lmH71j5RWqXfP8zJ39geURXh8d3NJK+N3dBfiGEfWf/+0MavL3CHUqSHuGM LCxFvhxtcc5BLapyQCujZHKaLVg6RbxF80vCQhrhJPRw7GRYGUxqoMQYkguEKRU+MprMRU1mA0N4 ec1dSTU1pea2Rsp5j59Y3eL0nXF6abHvRBsSTy+R25OIbvBKnIw+GZwuV0OfBayD4++cvNd4gADp CHH8VCgkuN8Z5ostH0c+hJm+A+GGt9afPp1vJTe/81Ng/2o1R/OXVXmpiyFcd+frysEYTwu9TUp7 LsmuVNqKX9WTDyi9Dmir41bgdknAcNgSQPiedPeugbdn3XvGnveB3KW7lfgKOWU67FeYFpKovaNb k0eegBy8qpopspiDqY+sNJ5MPA5u6xXC6/soOoD9LDEAhsZp4FP74GEmLFh8U446rU6QR/5f51Dn ifC4zDHQP/jooBi+wktNkCHw+TLZ9TBgZ7mvzps+Z7ebMc4+4QanYbDkzE2FiFMFB9PlzNGD2GMU bwYEcSk4D6UUMxmLGCNRmJN565wv17pSj1EA60yOshYboVpOxV84QNNIH2NEfAjdhofTWkxSeD39 zcH/7weRqtYfygT91LqTBJb6dHmbDZc+KrFCZFQxg6GiVfuJO4VmHzSNGwGnFpTJBqbFw8t/+vTi 4k8G7uO2nMjNkH1MacXWOX3mN/qWW1Y7qimFdpyLA6n82HRtpxWNNhu5ela2dHILPSAOiIsEDM1U 94VjcGmQ9ImWEboedZsuEmePLxmlsz8dGSEPjZbBjPnlA/9MprS9kwQK3YF4Pqfnm3D7SzBelL8V nlE5xkymab+UJcTnKcfOG2F49Sxc9m1A+lC3m1u0r+AzpE46qOv6SzZhiqODcOnjL1mbYeKdWUKs PGv3grERp8Adt1ThJYjHjWMBkwLxN5vkUipB0fknjAlwyq/UTCA3P0UcECfh+y1V1FmDpg0zXGM5 Dp/AmYZug3/irY28MrPDhlyb15EeoDE4zfnMFW8gE7d0yNmE+4KjxNtIIeT15SJdt96H5w7ibexy QQCE53ec0izOHSKd80P2l6postgH7UJFp4/iuEqcpgFZm5Zk7Bv3BMCQltqjcfyPW80EczsJIjBi 8EKJEKzxVykIq25Wi/Ux4ZuQKTmVI1JwLuXGDj2UjBp5/kCFqwAzvWw0O94xhuDOSInnhXVMlDya uPPzLnPG2OZ8GmaAIswxiE7/cuNLUDb51ihO8ki/n4ZwHWDP7YszP6UByMn4PXnV+HgqgnPc9YA8 CPsQkgRZIdrcwrKXDhmg4lJxPHkrSrBXA+huEqsZVfxvQk5eT/i1+7CUHtn2yRDPLO6faGpcKjcC W8zpLYhu5fWmChfBo2EKkWmpjq1vhuGa3tbZ7+Ag1OjZKKkk7X32fKOlN5aa+HbIwn5aRK8GfBrK ELYkEGNt3GnEnjAnAbfqzPAkWL4nP4xl6gqNoaKyrybgONa/j9+oHB1gWNqO4NsrravgnS7x+k39 fwOuxRKkp+g729ap4bHY5L7KB3MD4frM9vzQ8pwvueou5gyZNcb0XJp6g6cov1HiVbyb2ppb/1rp DiLsE8rnLCXpcKR5oJkuAQyF5ca4NacG0VfZ5+/rMCZfPjTKmtm8ft8BcJ+RDGgsRt5VKcFRtl8z NKT+fNoWLYrvJlQ7DtaE7mbAHQQTteyVLa7Z+qcsIblyLBGiODPC0IMu0pvjcC0JAc9Rq3g6JTIp fxGA3+hymRp6sBcxmlWOu/DOFfUO6ZqtlKET4yPfQEi8e7S2fqM2ZNScNZwmUNRYDcWrMp3HpBSN my/QeLF2etP4dw1vBa7BE5q3Lj4tc7ZxVQJzCk4t+f0+YSTeqYMpQjGsuhOQ/rf34nfP4FSn8Uhr r3pcWEdVGZCBwE33jkOKfIuL75PzUw/xs4/ZDk9e5WiMJM1Xb0mU/PzfugtUmsLFOmSEvdepZmqa QleYIyJHjSkjJT4fF0EZqx1OUkex28XexwjkDOXalp+LhMOGGVnm7KBkzIpCueOWzLj/8TOh7Aqy OlAq90sHDnccI6oTfNU6ULLfcjpaN9+hlhkKa2IY8QN41u3cELLFkbTL687GSM47Sdu5SSpv7dIT VGWVBEI/iZ9g8qYF4SGDc30tYa72tFgtSM2RVRTdT0CmBZaIdhgv3OGHlJupy3p0qI+RLmBescX1 dGifDcvlaB7ngUis1SPVRodxOotU8MF1XskRyohWmeEUSPjzoA2eB7XWzUR1m8aP1Zl8w3Vy3VJV IjooXX7MfmCN1z83xzJxS84VlBKsuaUBFfmFNuehIL5MnEypH9i+ByR0fccNKc1ovjYySL+Ez4D6 5IfdqcfWUvee1OzXCRohgYOzZlrHzgTnVaJnkEF6iYVaKNvvvUlRHvPIezpcMX1oQdw61UUzqKXD H+xuGV5T2pKz4gH3QUaYHznBXptdW9iPUiZbqdIwl08swWT49SCxv2MrtCr8/5Iw/31Gt6hFdAc7 wv1yJBxjIOQefOsJO9lkfZGyxUUlVYC7lkvAPjsbHEAunA7IgokTq+eX8vkUPj8km6HAQ5tM4+uK vcWNzkmT920V3lOy5XFlbHt97EWsSsv2SPkwEfG7a4o5T6y0azB1zNhUQvB79ci1yOigAYfQqd0O xaiMsHQy6Ozrtmkyp+xg1dex5Q9qMUnltFTZ2kmpGHLXdiBR1z6TBQl96XctYe0fDcJB32RmCZmC t7QQwNBd0JoY1sWyabvxDdP0pf22XMbF6DNQ7t9k0MRvliqp2jfO/J5ELEWbF8Zzz8ERkJiK+tAK H1jEY9gE9sqmgZ3DHEfAqQv/VgoMnUKx9aIEFyEsMlvUaf3adr2LKgBjDR6K54w7MJ1l/199qQw/ FcbRn+DDNrugK4Hih6zenLaceL/GV4KJY221MrxN21cUuCPqcqCvsYQ+FLezBmYNwbhG3e6GMcJq XW+V4ODPfTF58P/IZm6nHK/G6zjKGpQUvXJ3S/nm1kuRY27nZa5upPxMhrtUXXNves2OjP57DaYh 6V1Ul/ueEIjaFg+IAjxg3PfPS2TrQzwCxzZkzPfFrWQZE6L/L0G4flocXz5YNMGSFHTpLXkXoWmU F+VuofBYyVAis73UlVH+UjhFU3O5+9mMeWkAUoDJa/UKyLGBxSfwDKHP+vXZJm3h9/8jbaFD6x0e 2ERaNXv7WvFibCgn0Ii0Sv9CEMrSaE4hreWKECY256SJmfKJLL7l7v06eVoknJC30/xav50W5eXa yfpQ8XNIf6lIe8fC9+riRMkfzLbF1eE+ZZTXj9+uAHUEGNlFbYWQvU2O3vCtQxGJmkFOGMLuzIt8 yRvLf00SAV1ZnIRaSqACJs773DMXCd6wXdc1oFGsl4VbIObPELuNMDB1MArPhUxawvlSZ3Dt3J6Z i3VjnP46X7zc/x4FvGkLjK6Xc+l39m1U0YAJYORl9ue0iiEyIJdIBg1nvumpYybadLcgoWSHqz/d RMcBvEGksabdNZf6ymkfyw8pPvbhiUZLqprguVhMPgWbaxQQEQHtXWntszYfoO8wHCChmR8kBq1w zODC+WEhqQ+kLfGZl09QQCsCF+KVl9A220YKuX4NrlEshutaQgLJJq/gUPFCrSpjffchcjXW+Apt oE2xZEhT1m6HYC3tf+5cNu5pF9YCwPO3h6sddlMSdaQZ3ao6VnM4n6SF8RyoYDy3XUwpyprof+ai 8yLWFKT/w4ysGCHTRMTGYaZopT7tD0xh+eV4kMYVzy0/qFc2D3vmLTH6JJ5pfIKyYXNX3oYCaXb+ 5SRfB8RYeCoBDdZmuuT8G/HfJvoeXOL+EdkMlwV7gRTx0XaT4hAK/NhyzEwGgkMmL3Vlgw5PcKRi lxIxqD4PXak4l2lMY5Hch0wn4Tf/hCMri1GbyEEbOs4D/fzF8HKFdzfIKTR18cbOHYht6cM3ymUt brpScAnHWNMAa0COYhnhoieFyoty2v9aS/57UNN1wpOAPDhper1/Ph+xX9YZL08rIInRxbs3YGKV Gqc1VAd5pc64mJUGpY2dkg+777odrsZvKD8s9uKLa1AXs46rGzJBbwwlqlXabzR7282exVjMtebv WBgMrS3rQ2olfvn5zEYK3wFne15N9xAqn4ec4TAQxxASLfy6b70romlZNj9/+S+ZixGfgUHk4N+z vJugkj56EeDRvw52rXzcsHaoi73rkxoPTzFhEd752CaYfkzciWYyQJXlQvUPt9Sn9L3Gaem8nxw4 5oZr0Nw51DFnAfmx2QHCf4VYzmUnyAONIcS/T0Cp2HaiW9XvM1iO576XEK81bBW23h1dyH840+2c 4uj2xDy33qjuSOLFyp7Drsye1+o7sBwkOr3pZVoD4JuWQ0KQOBedkujOO9y+Ex8hDjBqafh8lZcD mnSRW2I+0UV/pAh56s6rwZXgaLqKFb8OAppJT4AtqC0kT+sdCi/NW+tbjOEp6XxB6zrZdaQvv6s5 WckWH+QUUrjGHmY9IuRlSWAXiNw186lFouEaAH2hKfCBxVc75Z8L79y8oT3njbaw6rfHuFpsmH2/ ynJpbQWd3DAlIHV1CRvhZSxzwiWHkKvjaLPsXaY/rEdCK+36cGJrFUj2TSc2ChRlrO7YFUXdD7vR 8R6hOaMj0oJkwokbK42gCgx5aL6w7ezz2hw0wPlgefMpx1YGDselZA5IlYSaEwEhSLaJCWJQAGdx dRotdgY4iL2bQ/j4TrWHssaPOh7AIatdNPMwZ4+3O6Xo42FxOd2Yrh0C7AA7Xq5VJ+VATH/WtqPy RfkmxXedB3ucoeQHb/tPE7bYrW5S9ErFakPcQH0RZuGVRs/vCChsFZZF81cxK0ps7lnt+InulDwq 2x8YihRsJIzr8EUWJy2HOZCU+8s46mru4d/Tc2V0t4nAAZB3w6V9/d3oJpfs9GSDu6EPLjzajllw AgTdf9wie4WqZk9Hvhd6vSVy3CRo9qr6PHIqqaxydp90TQZfA5kt9IgjKJZWTFa6gPlMYHCJYn0Y KyN0gg0lHs8daDjNQPnG8US+gsjMvuT6IHgwmfc5bntWpftAlsjiHt35O4+4j35xo5pXGr2xYA/l Nm5LYLzo0qVnB4e9ooFhhhLV+LprQQQWtsmWu2K9HiwBVXbiRJrC6pp4upzA8fbtkQST629NwmgN hFegf4QnjmS89jA3O42SXli3avrxdeyDEKu/rSMue/2UyEeBLFB0fcRLaGYDgxv9i2COIZtQ9gOV WjudeToSzOqEwlzlGWu5AYU8EItIAX2CWyuGF3yL2+zDe2OSqM0/HeyWCD0ruHMiRzVwReSxzgR+ ulCAp2Xi+u1mNDvySbmitOvhowR4+VPzwTfyQQ3e2Pz+YAisSTLA8vhgk8gwEkc/awtqGQEAJzfg 0svoWdiLCXnUo6u490eivYR7KcGnBc3lBP3Ybx6mLsWkon2oXtDAblR78vxPxBMg+uOGqChBaxre 4pxJtCdel/8rJ8bgg64j4unLuRQZd3xZ7ryjIxc4Qrd9QH4cU1XDlgpa+LgymRcCeVMHxIdTJkMS j+iVveo1J3SmJ0+JBmHVrewbroMuA/0K+LXGaRn5CiL1uBaZgDcCuliWDi7SPU32HwNxuzTTUTvZ oJJhZkLPN+upsoIjh9K04QdSkL+zHwQm5Qy3BUhUYZ3cGC1kUJ1cHxAx/AL+JuXVUdhdj+NzvYbX WkmLS5OQQhNvr3SIVuS/KCrQYl8uT+xz8ojx2raAugCHDt67YuzySfzMYXaISi+z1t8WHZd7Vtxk CLCFDP0ZYBdEauF8dx2qXgFyW05+U4vK7i7ej/Oj48BZYtITwemvVqtmDebj24MtCKTIDBq6BtVn mlpoEqfXGEuoMStSMaN+7pdP862U99iSdog/2yJ4rCzaUe/O2W0hZmzFfY+eHWfgIxJ++RXJHvrt K9ZcVrXynU4PlT8l05OKUYm7kwhYjUyeudLw56B1tNR1ipPnjnsg+2mIFuxZcdK+IIEAooBmmDZ3 2FvQL6dJV+TrndD804eeNyaPOz+UJCenuA6R1IT/N1uW6RBZTxwZoa/KJ587WR0UKNTV21b5DyMA Z8pp4rnCItx7gKu8EcayweYoPN8oI/PnUQn6hZa0cwHbxrayIUtQ6WVK8IUJG65WMw1yyqclhSXF Bgse4pJO2kiZ3+F5SC3W8Jy8oJ4x9WBVs3iysFd+1+SUPulLsuLmWLgBVCMPbUPK8DqVu72iA3BS oel80rspuhKse2sYD+ORqQ+wvy5shoEhNjfM/eC3prkobuOf4QTqzN16OlfMPGlVX9K+6VrK09SZ 0HrT9ZeXc8yaGzU55qB8jn7eg4IwC3Cx1qbgFH2FIY7EuWqHpqenzSRVkM9FJBy0N3QWwbfW1fAz DEoFcXshPPEuX0T91p1Pr6QqS2laPBcVmyGx6A0QBtQcX7k7ah3UtIR1FA17q71M1V3myQElCtD8 QCd4dWgBqagr0XRuPrLbSylocIa+KWoQ5YcT6H4OCe4+IbXqSY8LouEdRd3xIeYUtgEP0VrO/+jd arxeZ7OkJnIFkztx3YPBN3Mm5HhbnliT02dZTayUepcRRmvOaHXC0kWDtiaIZFx7fB9NpnYEFLj8 MbcPT3TLJWb9LTBSZn4f8tW1pvjAdLpGCKal5TBXwvBN0a0L1tw/SumY/lmpHgfM9vtzb6cRqT68 6LL2GNXmGRCFcPDVBEaJlZFkBEmbTA5otKVCdnn4HkAK/3oP+6l9R2RVlwuStYX96CtXlNJM2wKW mjohAc7R3cJHc1yUBTFQ2/Spv2z+3Iz3jmVjBAgi2+4hCF6v+WNmmFU2Q7bh5j+ya+4bjtK2qkCB zOvWKvXrdETTM6xTsNPXMyY5RWI9e3/5bqsBV//s7A9lEgzxY7sjj1ACFK5nITcSGOi0DwgGkeQo FRYhsDdcrTRhhvnNuPQTukJ6WrHhU2T6zpU/JGvbpdov2JvjM+jUjpnaLZqOg9nN1CuQZ11rvFIg GvGt8jsX/RhTOrP+ZnNbUS14a8hBAxJ8C8buNklijhiaCeFsVOxua9VGPW3LQJgTn5u0ADLPyJy5 24lAOEHucb+MtL8w5O7WlELPDqmUHKAsd2lSUcxhLFzqsDSUQgnPbyCWotZPbG677Lei2UmV8TxR wtg7ChM03AhOnulL9qkJ5QJEedtmTC4KPPEckIpx9Y7Ba60MY8vdOAeWeKUboVUOsg56nylFZ2r1 /okPh/SCr/YStXAIhrVg7CA7Ct0vESPRFOShL6OrJPKJbf4f9VzIaYN8pstdfQW96CnZP0UhmK4J EgC6EgO3mmq7u0D4U7okQnrBBcZlFF2LRtK0gLV7Ic3bO8b7QG566O0JTLpRl7sYLX042VQgnNDt XVi7RQO5fSPMtmzoxfdUJxvIBuG0Xevyk+1yZpFJIhZzCVJqQSxLUPGCyyVzAp7r9rBh9u3gpoUx lCvHloCAcBBQ+jlL0kKfh0ME+EactbjCqNDcWCEuVGxmSEQoZ46phjlemUsQntRbxvvTuvWdBRvI 2jnwMkr++scGJiaqW1CdiTtF27BT5mKZwKhcFS0cCTOD4OAh1MaQC845F3livDXvQaQ0aL4vnAOn SaRLvM+CV46GZQRcavmCFTK9j2tmUsAh0Rv3aSEbYUONGERG0ios3zzZcmvczSsGf6W00ThQzCD+ n6HZeDpl6Lx86y5eFQpKMymueT9fU8ao1+DT0ie/U+2RmfWBy59t5mg/59LR88iHfFCojLWgjP9A Ub2655+wgHOPH5g4KCjV4qnsEaGpdEFhMpGY3yMcnxMbBu/t7h0pGO2+4BL5N5NEm0xH2ty8ZkiQ RR8PHfabpiTGcFI12wsBJpb/6PdrQKx/sm0NVdmo2m++st6mBqJGsMlbtaBmzCy1VJo51GhTqLEK OCyi0jJhHi3pcGQQYAcZn0fVcSw4592PUHzR8iYzrfNYRSWW4KgmkPEZe7yUIHeroJTFK6ZqDvot NCePTw+HluE23zm129oiOcGKKU/4GUBimJ6PXT2Uc0F2ku4wu1BWvnwXt+VdsRHuxacP/krq+R04 yaBO5LYY5mjMC78yzOSkOw3ZtNxbKc8b+xguamd5oMVqywSLhkVZ6ulpsSsAW4Fq9Mxd0gs0i1BU 1CElf02GhjjX6tXDYFSbTPcKK2OjJDDugokVGMvWxg27ks9/ssgBK1J9Na3af9TG+0rsNlwkJzv5 RyIbGyAQOVx+TgKlE8VIXpvPdvX1ZdKTtt+2NRJUXxARrhSrM/uSASkw1/qnUwYj8/qyMwijaPVk /sbkqnhHSeWqqypEJAOXzlUAY5UIY5TaQn/NbmsHrmmLCrbEizmKVdPyYTqhJE3QwS7IWzTDhU+R Sv+jA80ppvyRbyOvvUbhLJSJ/f2C1B2umCyhyLTa2/nN330sPmv/TVCF3KDInJI2OfhI3XsWHqeb 3eoosCHYxqbbNpIgQrUuBgQ1y+kpWcgEJDdnxZyYpiioPLXFPV4NVN9j4aSqL2e8rKrN9TsXd7pt xbR+IhCXTWmDQvPx7S6b4yAwlyY/sIsNo14LHplPawaUwIJtKsaTrwF5HgmT1AJ8c4kCqZDSL+XY y5bLMfmfCoszFYfqDjO2P+Fnde4ngVmu5rqpV1yZc04ALDxqMUY5evpcF+KLdtyQh/KP44Sy/rVS seXqNcZ5lbhstVLTNum31WsdWMelWJN25qZmju67hPeJMBNNPMswtjcz+W4yRBH2gkkoKmmMlyP4 NpI1wNnLI6500PpKgnQRj8wmAMxrsChI8EjRFAgFO5rFfJUu1SMdBWTILgfIqJrCixnh7HJrttbV 8OzGK8ajiu/2VHbDaFWY4+NR26UKVc0P9tai4uyIa9g621UZ+g6BQhcOjpbxAGJhVmY5UpJw/yPl XOZRnDoD8AYit0z2UWZXobhHx6Vl5A/GwMovVkQfj420TG8x5SQJPE/6oHfaU5dJWItxUArtARFE 14RJOBNMj9ItXnKwq8Li0ILZ4SC7sSfiBX/q8JUzUgObhhyLzvMEJYswqu8TSCo1ULS8Il13NQ3N wISgCdesBlPpbAhS30xTCWl449rJi71OIvddA4moGPpxjDdlip/FsS3AV4rBsIs4eu811YP6YBYz vAc7WVVoHESdVIrGsaiqxy+sBmS4TH+TK2/4x2+0sYQhMOugMTPvSvHTjJ6bdXqZdJKBg4y52nLn p8MHJEjDLyZkyB1neslfzzDXQKPmnDoD3pMuHnsL4uF8Pl4ADzv8/SQsThJVa0hxLfN0rJPHFVTl AnugVdZ2lyqpzkXonfX/dQn1SmNJqg1QMSg72qLIy/3EpyaZR6RhF+eQFEPquTLYPXVkTEbGZTOL aKYDv6D3A2vFaEARXVbMLRPGY3m5IGwg+XiHxqMbFHbJuEUqzhClz9e8wQkRzlZ+reDLXm1mOYk6 wCjz2HKN0DQk+UwuIGb4fE15FUWKVcYtRgzZ8dGWfNNYjN8xTVhYH/Bd2D2PkvxgeiKcsyDjw0IW BQOzRtwtMswcqw8c8LTVRlJwfDY1KzBs13Jo1O2l5Al3zJIUpD0lgpBzGQZ3hLT1uD2tpbAw35Bv /vW8KLH0/9Peh/Sms5n6RzOp8L9hXqaz9CcOmrGqEJigktHw8R2i94Uh599LBaqxyqQqUgFKeZMC PYmxo/UaWP5Qldu4P/4mBY/uoO/56LoX4Je4xi0+Zu/ZVNlyUS33yl7eseApag7hh03rnnhijDI8 tc6QkYQEDE9aSs37QkrPiiXYxdi+m4rg/b/WZ63A+iab3OhRxFTGVn+XsIFZCOxNhqCTTbBNcxEl 43T3fIgjYSOJJXxLKWyK3C8t4buxMp9txgDouG47x1e6VGgWpjcxoFdMLIthxVmahGpRQGRxkBuG wTXJ59R4sHMUOEnYBjyPg2Hqh/HRkOlp1ZaYfmT4Q8eF8DkcIVFs2f2IHw+XtN92Da9GZuHQx59r 6nks7isETD2VFIxhfnyBDZ1Za3EIaqdJSagq735B2sIiDyub2nPR4u5C5Wy+xP1/vw5JI+0DQvvG LGdxNu3UUcOD7RjT0UDwHR5eIzqvSQjBYYuUvGWfgLxB3pfxV2ewgJEhPfBblhRRhwpcyXKMJD7e ODosSpN+AYSODTu68sOmus3yhemIWcKnm9yqFMmEDEKxUvl6Z+xnTYMmr2osm8r69I072eIWYvFE cZoBfEKSu3e5KLYQeompfp4quIiYmZWoYzsY0z1e9tWDTnGcLS1NghFwm55gmZk5M5yf/4nbIX2v iJyzQbi672np9PiEZYL08rymBxZNXC0kTED8t5ZjoBg9G4IVs3ZUBzcqCK9HjVdeAk9bBC+TLE9s SqflDFksVJeZGn9idPsSMfOeXn4c0bO49paYAh6egaQkcCh66DnMxAB067F74DCq44XIoAdN2qGG IEcpHmHVkBPi1qqphZDvtGddakHjMY4HOy/1taA62yxcfZZ+wGVfCOn9ijMNDDMwnm7dA/kDrtH4 Jcns3L09AdBt/Z7zB9P7kDEma17xaxtp3NLMfvFRLVOi4uokWYvB0IrQEw95gALNQ65DWrr9miBr G1J3LBHt6HrBrJ8iu9LhkNmHfFEGkI8nbZfWKk9kK4WLOerka6aFhk3nkmhwwCGIINd9yz8ox62u BKLZ2YjIrxq7kQVGmbxN+qNMpdg+D2jrPllKsKcig8bsSe5eF+2g18a10IUHdiBlTqADQTD6e51u r8Ahpd6At5rmTk8lQS17Q04qiYc4ssbJWlSpdGWllVf2BVCpb3Lo4UzBK7UzmqJYbMfJiz7ukbWS l4pyGE9xOdMJEO7Y1s43TY/L6Z17X/mWT+tBrxN+X597WF35JlOhN6wYCkNvtQlwBsV0vV51+PkJ dr6v/Lo2wE0CWiueLJXRKngclaL2zP1M5nfMhLAd9XFmkVNjoYPB/CpOq79cwvdESZClhdsuCAAU 0TG+5itp0TUgNjbHhqpznGWZ8dAttuk1FLeqAB4i6nQ7K/5VgGoMWDVXl1HFd90VclBVRfLWI+sQ f+JVXHQ3Eo6aIFD33AtXpT6lGarOG8AILfSYyp5LlSnY8wa37Qha2o7AJ8VKdi+D7dK25+jWBeMb gC/qELRniO9rtNidADv3EPTU2Rgrgv21ApgGgQZCn5TE+PHs+mYzmsoEUcvC3SPCz0/Mm0M1WlP+ ih84Fe/vW8J7w7AxTrHe2Ygmh1LwGMBen9P8rIR7xbK/jq6YefjYm+f/c9pVQvb9TZXR3lCQxeOb rWUA9nFL9hidEwHaChQOJ30gvcxWn0cSHCXKvX3Lsm5Vu5/UBpVU4uO8QGpKB3pw2i/l4gRMgT9x 8BuLh0NJXClpWfATXoXv7m84jt/zH0IDMJUi2ACCJOJNdh75Sbp4smDejX+5cn5+mW2WZlBi4x1E fET1V02lX+rwVL01FBH564BuGJYx/VTFIvvwk318dexJ/be9azcJwdT2CLOdds7HZB6k1m2hIQ4b oB19BPKZRpSrvc2RFJzqSfxFr4kMs8cktvHoPmy+ATor/9db7nuJ8oa+h2UiNDzr2VPm2iTa1Xup TO52e8b50zcy9rGbkppksnSZss8F51YCsKbO76++cAEuJAu5hf0GoWsIwXGj5668GCT7laVocDkm ShrIWfZSpQhILVrBiEvCUpb4rkA9PaqbU0mX/1dWCXSgosi6I3shv5RBqS+HzmuWQazRr5gMwViN f/9+/E9l6V3gqq90IzeUU/KdO3hfaOlg7Tu2rDyAQmXOZYuYbMiaoKjdQj8g+V53GvRc6H5YD3AT A1G5vnp8i/qZ1Ysqqv43sfMhMGSL9Hzm8MEuFOpvDdYgSO2JoD1yPpDA7eT1V6TS3UuuVJQ43fjA LGCIM/CLpJVM8E2IxR0MWw5tayeb4yapJJVwP9Kr0esltsK4Ka5+hDUCpXKgrL0iv1ef6bwTnkDP t978hp869+v25uHpJ2xK4K+xj2fmoYZK5t9TIe0NAohPeUXz4+qXZypveUptb/eaVNgATTZhLjYD d6Jrkiz0yXDwJbbhchVy7laSCahSaw2JEwBtXMW7Fe8uCeog4TAIX4A+e/U/q1jFtfT7iQsfYI2/ wAmMXClD1QE3/rR5uaqOPpDR7mONYqpozn7fB8pV+STaVeIHHCQlfxTK+66pE73ctWAE0fufgzdh AtvfhVbmXuqT5dtHEcRwmlJBggP1QjXpbJ2epZ7Od5WZwGqU3juSwzf93i3X079i9rbsjQLSy1FT hmUXetHTsmeD72KYUIgwdLhZghyxHHnzVWjVJe8VPS8sbRb01jsswbiQ8htVQVK9EIxwGxn5X/26 K0KUvfdywPrIyqZJVAGycOHfF4UpLqiTYxAwEwsNEncoKp6/k+5vWp8zkYjd/ZTCJnHU8IhvHAV1 0vCuL8sPzvIjrnKpSOrgAlwmxjj1JovAcoV0o22d4N8DaWbcbzjk1MZ1WN3VbhWMZvaQrJyoXsOt ZRcQWXnCKXAWBCmZXo9lFKFd9bDu6ZswE1LiF+bVBkyOz9r/IqFpBGwgsyzInH3E1nUuT0rS3ZAv idcMdBIFWMsd2ii0YQzg6SYjBjb3zPUt7hg4YP6rr0kJT84VS61IHvGI0m57NQpuKgLvqwKyrywo 4emotMR4XJkQciyxiW3VBle+1zdCym88k4qWZztcMMFmOPlRvlGPswkKqVHzBjGeMlo2OSr63KGv eau1fxNIdajUPUj+QzH+MO2VdBDn+o7/7nS0l21xz114kq3+XEMWCi3Cx6sfHXK0PvIoymm6qIiB X6wPHpgCVwdqDMNNPjaQH6L5gTcjMhEdbQmpy+KXuXneiXZm1+2+tPdsxJ7RfD7wf4WNCXnIWZxr HMYnnFvjSd+huZXG3UdY4K0fGVllmWouEpiV3H3XH30exQl320TdPSpy8aKl6g37Kli5ZB3thAK2 7lTBu7Iv7FKlfy/rQQiy4Bsw3XgjRaFf/0KD62O5a7hgZzigLABcev7Af+UxgSF27lzJ0TS7iir9 8MNhLk+Z+q7dZNtlUkr+kJ2btM5tvcLk0l00Kf8cIy5C0dtPAtykyhiM6GxUKL/QXKvB5+yZtVco M66U7TvdriCNtXU53UlMatzCe15f9c9ELN+qI/pLrxdHu6BAfsDtyjBbTjByk0Ae7i79PJFyUbl5 BulNiB5bkXdQmZmo5pTgHGEuwdhVi3HZoE7LJjHzUD38kznYnphHVzc8qXACyCbg8BzcQjitgje4 22I39CVDtpjhFjygxAitNcYc2jim43hV/S6NeNU+onhf968X5+/gVLBBxtPakTHEGzvG+wIDxMbN sg0mtZp2EImPjD1raXFJoHDtUF6I0uNpnT0UrRGubab2W5qw9qYtW2IXXUYvJJp4SG1345ExhROo EU1f/C0h2rycCeJgNwb+r6WUWXUL/TMPaNXhi9R+2pyexk1hcSFLRmt8I2O33DSm87TW4m4tE/XT sHS9HFgjXrIfnuTTdMOnk3Pu6vFkEn7VxLDPQYOLDMxYeIQK+SpyqG+weN9fNME/EpstoOnl5Ppi t4fvSdP+t7W4iktMlteER8AQvMVrBFednVfu6sPxZxqZ5A66q45lLHB335XdVJYw4zPsOfFi4Ltx Appb5yEpIrtmmEnGe/8E68WBas5ZqMcX0uhSWgfqmPYPRfXw0AsUpMMWtSx+hliBxXRrnF2CE69k VZWGAqcnyZ5WDg7wWbjjoaGzMHoFas0JBtwaMSLN8WLEmyo1mo5rt9VqriRghKyVHjikOyNZ1JKy RK8F6H+wCvZwmvIsWE85T3MLcht+6jTOOOSX1N+2oktjG9sm+ZJcSewTz09eVYw/HinUaKhq4THn d8L3QVEQopUbLrq9pYPqxbWr7IRWiYg4DS4vaoEA3roDFrbMu43ikwo2AKSFX4RUcBL5OLYllxyK P0G0EhpXYJ0D1cruRmA7j4ddhMAFn5cccVPi3fYiBHi5NWqFrRzxB1w7jzu/N+NqgGXCKbfzSbOY 2C5hSp9oVVYKEAgqQuiHZ5UZHrxN3CK7UL74bdn1lank2/YCTIRELQOcf1XB/kVBqEHlOyRI6Ouz NEigKuyjKITlhwmLhkBKkdOql+yRanmz+0DVnSJeYSwEocSof1vxt3uvz3GkKFy46H7lIY5D+Juk Tj+BQYQqV+fgLTm0k4JC1E0t8u8x1saAokn5LLDzcoOn+7/nuZ5XaS9U+oBJ6In1xgJoUR0dF09W hZKnc4BcTWz0ImNHyR4ooZy/qO7VYxbR+wmS61yuLgUeG5EuRGKnFxtYTcRYrSZVI0HaXQhumqNz NwVjswSk4pKyfbyIHFAtZyC9dpEhY5u15wetGRZOunHbKkCMQjRL0ROZNCU5CZLLdOdzg/NnF1Ce y9c2ZqRseCVSr+3Fwq+VfwJ9ebo1j+KwaEk0gfC07/KSPexi6tB9vV5cDNcwNkf6tblwHuYm7BPb U943+ZQWPD4pVlDPvQdpTGCe+HpWwS5R5Idlvd5uUiZzJ/+udhVZduT6qMA/yoGRQ42/Fjb+Zeo7 8+QsxFtFaUKHs/YSD1rpPtdXwAQAzc7KLWpyJOO9ygjOh8eIp1iVcyfldtr13FHc6pZ7vyNrbQKN drcMJ+dEUJmdj+vdt5CMunICwmJFgBfLtPkZEBY+7XHOOrrDpRWFwtA0okLbgFxheYWOCM874+nt g4lKq+Af3DLeyIbVxrK63i3gSLgEU5HuV0Wu1aO1VO0QUatPgYdkn5IdI3xBT2pj8Twdmeb1UZd9 JGRyyVSmPZybtgygsphCE3A9xIa89TbfU7Xhx3c85LVe/YkWTklV+dJbLnuuUqzW9sAR5Z9mobY8 6qCuAQVKUDFe06/25OxSxuIIY0JdH/AZ3LHKWItL3Y2vSydBihpUuV6ykEzNsG9jbG/xW28rVcnV KOm3KnnGHN99Z+lQ5HcztrZuST564Bz9BcS4g0E2TK2ELQOB5tkL1yJGSgG89THy6eE3mEcQcmbF QHPpypFRAZJC8Dw/ZBcKagF5Q4yl7RtHEh3J8lPpkCBEdDkXeEiU89WcVqlTztC806dBND4C4MgZ VXG3DymCCXaERLPNLMOGElA8GPBbe+DsTonJ2OtQDbvnWHetfcQxiHuIvkE9Wkep3r/Et4NsjFSk NM+XxyW2gXh2sX61p3CPQJZRpgPogmVgsFk98TWiyTwxyw5vkR1PxuehDOO2aEMMvZx6kNucQnQ/ QVRREB7nDyS+/G89mKPZacfxqmbeIzM0U/vL5WAiMVKdPqthU1PfdQ8HVrt7inQMtILUeX5BOKfu KmYQ2HQhZaerGmK/a2ZfRyYSO6/P6FRWoj5GIpB9765lF0JzN0un+kmngmxL8kMYPMoN82ueUZRB WedtsejQz1JH+ZOXbWIO44O03KGibc4VCGCSpXpwBIB+9vcpNcrbYJGgwU91UlbU8T8bgJzrOgrp v0is3puWXbZdNwexJNKrz1aPVirFNVCV7Ndl71OZ5oQWHvGSVQppqAZRagkWv1EAV+orihx0Cmfo vthQkwfOHNncz3QoqNl0iCa7jOUy9uQOlEI64Q2OTaSGy2hTwVjzWtTCrFf+FlyI5d0XHotpb+51 o4lfF6L1VOlp8jU8kHp3KWM+D+jf+FnSGpHZOsF2rGQrz8TuWK1GTSkpF8iMQnU2gUYw3kB3Vj5G sbCnKGujBys3mToV7A6kycIQ0hsENEiIuy780tgI8CML/5DAzW0aU+LL3ZtyhxTf+6mbnoHq4c6M aVa42+Oh8lvyIWb3w1S4od2gK7RKqGxyRMAAhEshbbnMR3Y3Y1MAP+nNRCdJtGZFddgdH73F/y3V AO4FKzi7Sdrd4FqX+tYvhRkh/qOEMGFapJRiTb8uwjpaDeY53S2E4+NCCz3ve7tcAnQDmNuWEaIB 1yjsfiz77Mqz/FP6HKn0QYABRQN/JvNn4ZvrsYoj5tSf2RjM/Ghpfjr57hoHdDgLLnVLA9VbDxpi 0/YwatOdXQYEoKczItkIOK1PX+FBssJUAoqYLqV/o8rSG1dAbUN/S34oCaUwFkyjOPLzL3piaf/f VhCkyd/japEtcqtsjnB90Upqzfq7ncbPK/EyOZrRtM1voT3LXDubyKBNErh+eJ9NtwZjgaQwqs7V vC5wV+Be4iwWrDJ/yDgyZfBNGrUdNu7CvlkZUN7fab+OF98NW/cy/SABYp+QvuH8INjaPYDUdOFg 8fyOzPeGZRb6DGkchEcQ49vDf7oqAAnBwTrzeUQkLV/FnAgorbWKZdfBYvj9dp6rMfnvyEtf+kIl PVQCs6b/fPpszPBOP+A96gA7r6mkOU+WeCWJkAUozMdyYMbWOqRBcsia30rTYFCnvjLhHlik4Z8s 41nwqdCLhDO9nT37PvuPn8IjN7Qzb7GogJAUhHgWLLSj+6LV/qEp+eYRxoX8oeQeVQtG1jLW3Yqj gVXz+owvtO7TmqlbMMnIjaVV9a2b2fU0AkfcjovNMlWtAy1LtHwVJEdkCYWf0HXfpaOOV+fzeIWC yIS4F/iOPlGczeucJXMeDjYI49DR9iAr/yKNbFLXEr/SNw61I5g8zbGowDyr/sDXG/G71RIOFI66 7O6LdEj3/lXMixcvE21LdQWhZWZdZ4ZkTCAlRY3DK+KlCpAQ0GgK+KZXAhOi9rPaFOoBlSR1fg7z E3aalaeo4a7WFHqiHzhLa9xKncbqZgs22EpTI+zl+SYo/auJNSbUYau2EXTGlmZNo5l6yMa2XhGX wkYQh9yepDUV+Eb3TUNT27AV2ewSdOvwrvzn84IWLsWTR+a5LF5enTC4dXmL7HmQ+0doylTQGwWc sHK/YZFi32V5oy9ZnEItYfjW251gbqUe7Y3m0KiPlsgyi8Vya1bw3BhSEAZWSOCAIHhfG6c+CxQ/ 0RsfMOAeg4GLVpOikQXnSXNik9vmmjVtf54d8RE5uf6A884TOgf27XsXZRV5PoA8BVDvmKilu7bv pYz4BFHqN+PCwo1weX9qLjI3Lf+ZMNkIR4D+zTdC7fk08O2SA75rzzlmJbcj6qBdG+mz2vYOVbMB 9PtByB1M3JX02VRqDgq3xf+RbQuDH1LZEHNVWxFExS8Ret0K/3/oDyyjxvAWwV+o5DxYm3Ub/uiv y6uz3wBTcJLe2So7XG2SwjQC29bSSQZ5wXDvJGR5aFb+TyZxNCNEd9TRV2V1HDtpMfZQaFclUlPR +7IyFRIX1YcNpy1kf1UEQ24H5AJasORKJJIAGq+Fqqaq4KqqhtFxCYYcLSu8+o/gHHYR8J8/qJen Avqd+i67sMFfBfz+HcW4NNj8Yx5yfHFCfiYZD6PWzqyp83ykqEJVAL3dliNHXk3+VlPZMQrp0p9o fCae6n+Ey3YcCD+8fhVhmLAX/TJrcCOx9u7E4GQMsFDOh2fsJzxC96kVbNunTo08ZDbG/xEzZ7ZC UxY+7I0WJyCrYwHyuljj1pfglp14fXhPjcQ4h2i0MeXnwgygzA7aKlUrloqwvamQfyMUQ/Qw+GGi mUxLhtFI8ncJN0b01UXJsEm1vY7xNJCujNY3ad3RgRn8NL5SDZ/jVHZYvwRN6vr5BHKqjaES+pPb wHMFENA0VkQa4V7MiloECbkX5SxSA0bYJeeIYvVEVD//nb+PbIZkqnJIcE8FQrJK3+NdlTp+8xlL bkuezCRhObPOcv8CCnw1IcHsYunjfmG5yDEAD7Aah39eM/i49zY++8NSufTLlzZTZBqXLSP2zjKQ MB9cX1H6vD3W4/Uo2SxeaaHXUEfcXKX/iJsM+mCcumbooTz86uPrTYP28nwkUG9Ki0yf+494Swv2 rB3Ij2lxlmENJzMDkNLEi6CwIBZ7mXyj6eKukMma1q5Ep8bXzrEX2fo/C/lep9yDaWbCPdORQCmN 5bV3OK65yH3+qGNqHu/hCNsJzPw4TzM1oIH5h7yFd7lKvNfs+C2lls9V5RL5/xVbIaaA8JO1FLJR LrRkvE3pChb6RNbLIvF+Q3BR8NQb9BzdCA8TOSk9DpyUSFR5tK9edg8HwPpnGz7OIZb1f2Nx3ko5 3AF49YqzOu+pqFm2mhOC8WzsAXuW/0hCRlozX+QlZW4Ja6dxN/jWpRbYtKARHzNZem7493SbFwQF U965Qp/GL68sURjs0DxAP+RI4Z3tzchiP2A+OBUYoEpYjlpPelCvtmhqAmtwC/xXQT99Ul7CzGCB xJ8febrASPx+MK23nAtWNKbStP5w1A6OddS0Fctk6zIQRkQlZNXC2L1FXvCJ7hDFJbn+2jBPxGaS kVW3kc7ZrO/2tyLSyzWz/bDFxq6JEcyMw274rNXXGYZrffGdY8iokl3VFujqizY1qINP6USHmNYv 380HCgpaZDZZ2BKCQVE//W5bBpELP3I6WX9dWqWzrv77su24Q8yQL8rdr7y2vi8eir7vHgv5gkYL GfDK6y5kl8Z8Ei1s2HM4GvDklXFThSmQ38F7B84F0ra9gTlcW+LJS8prwJPPrE1hCFbbZ5b4mcbq fgyPdGqDDMPZosihuW+FLql3RXe/tjXbzPgNz1kC3cLvIREcyl2ZnJCvSRmYlMrMKI0ynNggPMPc m7/CIgySl/QEaZDUx8029Tm+oFuZaopXd6uAbttegjRojCNKxp4DjEWjHjK5EO9/O39NWvolaqSH 9xj9JVmx0+edtUFzc+Yu65uqM5Nc/dlIWnpM3VpgZJHwfKPJO8b0TtsnV1z4TcFbGSB1xxSmukx2 NveFIG1N8e4rLxKnKdeIHW9Eb2Wv1MSltC8a8qpP4J4PsmZ0s/8r/g5ZBR05SRuiW1R7NxaPlvkU cQRNs3EHU6Jr92eMSl0KA/8SEYXUX03u/v7Ij7q0kUreE7uhQFeOpW+bwtdrYEZJ/gmL2D+rSaUv Q8NWwwDG4/qYvX6VApjhdlsV5kcHVX5DXrxaHHRCiCZVBGgCINV01Ubq4mqwHYdottYQTlGVJFg3 aqrRpAIA4tPP6TcO0EAoZlEnqtBgQLPyn0tF82FLRsetdplLKiMDFBLedcbUvsoBfKzMq7e/RAF7 eDyHxjngK3CmYFcN+WJDU0ekblFQyUH7p5IIQhHKFhd5PtlIsGPFiKrrjLxXmYLKTH+TPh1gDdqE ftppBFcY+Pf2l7IW7Dmkcz3iBAgs6an2QeZqQKAN8+cecMZ4hdbFXZ9TTCDXAQhrXGa+WPfMeRCR OHwTaD7fHvTnSxa1c48I4OfXONcsPooQymjBqOcb5e/m9UtfSrq8QKajSymli+Z16zE4A66pqNZH crOIeU+3enkOfz+kB5HuuQHUonIQv3W6PJz7esfeMzdKd+nke3ibosWsFZzoAxxdITuUKFwQywGy ycEOUP3jvAY1IEt1KhYR1AK3UojRdy/yUOSRF4StZnHaUIafaW0JddGog7fwuGTqdTSVHPrKJspo lxIZGgo15cXuWhBQnmeIwQ748UioN0YlZMvdYepJZpUKT+hblvSqhGD6WVn5xNwDch5+ss+6++HY Gwx0tKn4kqB8Pf87T1F4DhxE4inkr88lOtIOPlcgqFuDmkjCPbTHzDaIsM+SnoLvCr03qeOCCle2 zv0xVqF7thCi7eKcXkb7PxrFokhJHjusWSccQYo2a8JJ646L2clB8hR5jVbIOGZnB0V4S5uLNyt0 QSE6R9IoJsLrSEUQ9nrYWfPYKgz1VjavG95vuqLit0G3nPUg470y4yC+ERUsh0++QTbjo9BpkQww 7BYyjyzlfTiC9oJuhlsrTaCV5YHlh8ENWVn1buBofuPUYGfViDJgr9hjljyLhdj7GeCT6hjqH/J+ MRkyecrU01x2rlxXrDr26nYjsLR9LzYP4NDwonEnvMFx6JWbXUYcJLxMAc8xqA6Jm5FT7MOido/k wZYFVU2rjM57Yg1bocveHLsY78mIshAfIdb9J1ttfftHpN4TtNTFfpJp5KUYR1aVOeCO8IUwpauN 1pequpSkKJ9mUNNAISdysrsXnAoELEGss924/XvciyIn7i3PFoE8PjGrN2qJM532fGYWbj5wQHk9 sEsCUHmzyNYf60fnO3mKj7Jn2dZX+7GttX1ZwmjibBh0f4TO2eoa2/lTy52d47CYn+kiIfwSg2eo SRU+W7U7GSPRYUJvOZlNo9MXV/gyr8AV/O/qvp/2XH579teReXX/MeDB1gEphdwEWL1ZiOmQG+D5 yCDJWYuaCdCdSeHRZroolnmNVJcrvX+0/Vqx5alK/X/2dk+rgpMnn2kwClFRhtkvfNoDHsZ+rlZp e56e6C/tqWzOZKu3/ckL8xo2F0fXQXaz+n9+rTuYYWw241a3uS2/NEmngYGJky7Ds5YU70TNEnzZ aj+d7DF58j9xz4GkKHziGbFx3huK/sEY3JDKzjHWmXwBNlPwG4Mg+nmwEVzaRE+75sZucJKwPhkp juYIc6dmrPsxTLK2K7L3bFav4Mk6qSH3uCbPR3Jza9P1QGgOL+QlnuRNi6Kiv1aGq6IxY+qZINly f6xKI4gUX5Qvjizk163E46acw2jN4EfhQDbv5/XBeyxMT+bwgbqkjr0eZAblkNbPmNX+SH8CDv/r r5uo9F5V+ffn3A3+efH7d5DBAL1kiNm1R9dbMHVPyhG7arFiKalfNmipIo6GQOH+77OtUgsSOhaZ Hmb6NmUrx8/zgMs77RV/WP6fU2PkK3hShcQi0QY7f2ypEVvEaGefPbnSohj+GHW+/BuRwQDyu7z8 i1HWoA9xDenS+9Hjfzov2Cl2iWGMi+5/LhBnu192IdJKLdgC644SFCTNtXGeZTXen7l/XFByrLJX ajr+h0bpRQtTepUrVi/FUdWu11/P0xFzX/Fb9naLSjiLDG2Rcj44aC1PaV3V/aCke3K4pDCWa8nt QsGzoui3229BWiyY+mMcp3lSjGTurFhLEIrtYK3jl0gubCSoBgfJn8Y9boFBZiUcw9oTH/Pgzrqy mwpPtrr8pPh23gBIDQGlLyvw/D9QoLYkEVRAkSnfIyUB30nS8+7CMux9nnzS8O99dUE4H2+56t14 4zNzyPpFAHl9Y5SbD9iNkBkBh3NxqvOO+n9Bwa2GoHqDaFftxHWIgaEKGoSqBsumKd+QopdTB1sz BMJbOebhLpZArl/+kIrbDpJzSPOLU6nuGLC4sAr/Fh5CYprSPS/mBPqOzNC3Cq/AqP8GYoMkM1Hr IH7xBaOC0UszywXZp0rI1FuPZ6l7qeNTgjpMsWlHDPcD4DuFzKvEedOcOjMzgaMqNiwQ43rMg8oF nKopLmZLGeW+7jHSRIXsbJNb2MqfPRp5I/nJ8ZFW8illZ57qLBV3lXbNPTDbyt5hdh98BAGa+3fh Apg8BJHL0iBiLz4a0b4KcCGWsQARNunrZDEcHf2psI+N0zjK/ce0TR6dMcEpqggFIB2IT9fX3OGr LyvFLZKCD7LVWD+1AvIe04SPV67jfp86yxptj4pUZz2Tf9sXrDDVGzMy6f4bgmKBfj/gWUPVC68Z 5Z0vrqW4lo6bQLBbgLZNxqCw4/TRtPLjXPOo0N8chf2M+EcAhZPhDwXDzuodHdpFY/n9ISQuXWda F0QsbSpwu7AceGY9vq4RgexdtaG+ZSfrXqKY61thhX7QfmgdywyaHvHuS41WmfcYi5+yHQDUK6SM k1Hh9L/uCvwPGycCdvlJHAqYhY/sAlIUM/3P6BXgAuwPsstvTuecOHndu9tWwQs2mDfRddfscpES BXxI3TFkURQpfF//xQDwqm1qqqnDDHvasCDTQ4dQIFYanDwkJSk2kYSUA6Ptlg1VRhmEPQ/CFe32 8/MFirqRAzCZUswrTWzuqjuFnkT3Qko+TrgcFJ4nQjdTCaDqNtv8xp+o3q4nZf/qqtU8GBo/DYhp 8sMxqFhLPLo42JyKoDUzoINBFBetU3N+bcO3O64R3dQGlNRuLq6aagFJ3NZVPTGQITRKj11L4APM zz/XzseyRypWk2cRKNO6OPgqyx6sHKVWvpwRfuEZuLxWhh0dkVgqFArWr5Tmdaiv5RXWDISRUV0i vYlz8CbK3L9UscjFX+ytnxnI9c3Xx3b7PQuzeXCJnIEeN/NJ/elX/gN0y4Z8YcX1MpkrIVsHEEkF VYfJkzM003txrcjYwIdixCQcVLBHmN7DWH9Le1JMGxagi/rKcUvpw90NGeR0n2IqMM9RXw9REtEp hRVOi7D8xYvoD/5CXf9xwFKKpSFOjBERZkZUnF5llXcAR1bpOMDTG8WiatsKKVTnyTPRU4qZTYUc IxBKw3toCyUGN0MHyHdr2hkZFaa1MZx00JHIeWxp/PrDj6k6/I55FUfLuUWzmftxfpM96ke8wwHW AQhRDfhqFkEsTDrDZfs6VMHjw7RwAOK/SvFn3kqhRfQ9sYe3OJ5teelhFG/CsSGJwcc9/0jiQt/c 5VIR0762FWpXdM5zJGpTUgRnrJObqQZ0yKNJa4rfPmH1bu6bLcpW/hc5X/MPsxLIikFLEmnfVFs5 hhcUihfLSvcDRhkWu6szmTQMF3LUCRM6596Y5YHoLPdf+0QDgdQ3LvMq2gR3Jbbpu6cGIsp7TjnV FvAwzBs1nR2rbWk67NfNpsXQvgmCrAQeNibqOKmxnbmYKqWXuDd3HZxEqw1MJigyPc83MutVerEk f24vvbpqBoX4p6CxP83zjJ8Ss0W7NnvP+rzNHsowk4c9FhNIlpQZ4B7mpbVLeXkL7oP0otqy7e34 5xvzSlc19LUaj18KES5qZS7+poGNzXxhbFa+iAlOk2Yjc9AUXeNE0gC5Wr+ONLj3iXQ3ihW2XyJu U0B2kE8+KNux1tbDPjOQVi5H9TMCR6ZfCx+F0INuc2d1hBnKB7vKU9Pbl7aee/gVC5JyY1FRs9rJ VVikoXh420a/5dpWY5zFJH/lP7/t8SGVDYMk/YqvJNNxDIbdtVpuFRdcQhGAyYUhnpgrpJj57nvg HXpCRgxd8DYNDFck6IFNC0yde/JoGPsug6uP9RpYfkgkOGQTwTQN/OukSfKvytiFO/PMzADAjSQ5 01wqkiQAJpUhiFwVuOFaiJZwXX/MrTYxkbYcPhzrOFSYZw/p2lQugKY0C0UJ0qjD58hTPr3aZDMj VbJ8Y9ttFRyS5GXojWye3elHYzFppOuKfQC2AJOcvkGwsm62MggLTod1vXSbhFG6c0NuFTiXqO41 +6U74yF83seanG+xogNMSkfHmIzTBcJYjRsAzPo6mmJhdyRxz12gsV0q98NEjfyIma6/qX6PxG/m em7Koj73+VnG5KgQDWqqRBOa/vv3K3BzY6EZd62C2xiXHY4ggwC1Gs4wKGortzOSVDRkOKEyrTAS j8lAwrgtI7Eh6l2wd2WN/QxxYSgmLjBsPzclBWwZU/aTnnTJQtPrzu4vkKInrY/k//9w1Gd+1hhK WUQQxNLnVVi5sJ5DIkjg7DlO7D/akkdSfZM4EW+4Fk3B8sHWQThR3GyLq5aBMnz/+WBNhRjlhBKd T7U2knYyyTfBoIg+1N039pa4JW+2lM3YJbWiHJBsw4HuI7NBv8HbazufpSxFWU2s+aA8dzt1GhFo f9k76lXaknqtXEO4cvFm8pwTUmHT5NqjSEivxPhfkQ5A4b1QduSsNC6p+50yUctT8uG7pCjGhDro dew++ET/dwmA6P7WtzCijGWyNlV+Q2iiHv9wdrhBrdDxGRzLrE53BrLHF3U02f/Zbjk64zHWsEvA /pljGEUN8cu1xBa4MedSWpoKSQzFLzh+luEha+su895Oo3kTYjqUOS1QufX4tohn4tlb8OjCwu/N 89LbBYU7lMIfovYhf6O9Dft3UsYa+XUqYB1Roget9YhGL0L1iA5O0/w/4MPaUDObNQjvz6TW6PGU WBkJ1DlQKY45CMjrilxidWILEn+Y5b1l7NPgHfChoGbPUXJkZe4BCcZj6G8Sd33kH0nGqaNwDcqO 5vL1vbOZTByYy7luP1qEqwGjC7qaq7IZWj7SlJOLXC+FafzfHvXpCPsO8yHjkP/zTcKSiOtEyt/7 bQ00tCXR+8PrLhlZQh4zzIDOarU9u1NqvUgc+yhGQxkQsfg17O1pl1Ido7THA02dO9zGCmKF1gv1 tDDdDKka5GpaHXCxEsTDVvcLOungP5dCTPUFNJhegFMu0gAhWhqBpa6cthBab641HMWVoTxrkfXl QKTEoG1PmnCumcMhTxNvxwMYvgyxzRT1BmZDqn/UB603R7x1CKpihAGgsFYFMEkATa8C2uW5aTN9 xoefD+BCWvZXQPNqmjavIAv89JcIjVylVnpAvK1EYFJA6b2f9RDLT5nWxzj/D8nH6Y1WkT1GmrXY J+78FKVccpV9yooqW3/3RVXpzaqazaC7dP2ons/xZm8KjZnP9Qu+mGMUid+DGJ0Rd2N6zZgJFywY lgHKbnO3ropT4ZWWIkyteiS9G1mZsz4kkCcHz0h/eAVG6SV3BLxegmuFL8TfgWZLOcQdxDg1qQBD H4NlcfspA4vWFk6J9tEEd6MWa9U4MPP1y+GnLU7kXntlRPKg5aaks49qLBwbtaBG4hKrQ0Ee/0Jo 5cznMMWes/uLpsbO4Zu5oUEYDaPiWequML77iWHvchPhjwYYkbm2qp6wT+/8/ZWwfxVLY34qNhgX GjeR9cq2TC0YJi/zLDsoHXsneXKKOQDX0+Ng+0zoRyQlPLZu7MV+CV+CxxK9m98SjkvY3iDP+3VN dAyv9/UXhGe5sqyAn/Q2t/Phe3ib9Ls0BDM9Rst4HC6/5WjNhWhmW8g4Z7S/oLzkJy0VEBvFWfk/ KoegFjhuHmvcOAnwgPNnOvTlwPPZzXoOFDyTIyn9dM4tKoU2ODvf2YaEFtwWg6yL8NOtbZDziD7u eWBpR9mnnzG17vvU/G6BLCsxeeg99p340rH2IiJLacN1zTJmyzJSE2zSnv9xKU2SypbhZeqti/GF LiqmawzMnuCk0CqFecepP1vUAeQEFtLke/M6HjUiqfzAasgK2aMCBR6l/oaiVTWmBvNKKxViotRd xi1shRec0ULf6tHdbJ+DQlqe//0F/EttmpJ+Y/ngwRJbMh8UomC6jMZfjwKrzSeBFvZ26Asfz26o ZWjWYBrjD7ssHr3VYxJLh4ZrxGTV8OtiT8UUb/+WY0y7r8bFM+oVHPQSxkxAS2Gy/BUABwsry6RN 5Sq1kN9vUJrSD892zTGz9NbMMEEL5GC5eWej/yOthC71mzuySBQt3qrTIJCUr0Nrq7ybenpnvSCX 7nCIvbBIKb4c/8Wtmhib3yFpzPjvOIh+bCNyrTFt1kuez+OrNn1OxpdEQVNbJsmUr0tC5aw2Ks4N kCwGIjbrB8cDM7AZZ2S7s0di+7FGV8KVeweRAuacFrvHvnRY+0EMxI9QNNbPUTduI3VZLAJRfuTT QvPF84ywevD5GLIZ12oDbNUSODR5M1btrOCB//5UqksQeMeDHfbVQcqFa8vuYXcYsKkA7MyEk/q0 vaMgWoY4LfCetEkk+ZnVW+xBB0w6SPlkqF6Gb9GF4DQ0QFtLjSamdFkbpBJD5hYML7At2LCayBfz P4KvqM9SVkzCRTPR29SMzJ7jzqjhWYZl4/NapT2EpWDZA+sRnbqhZQomardpeuvJArKvE7Yusad8 AahDq3RiW/bXJ4Dj1nlaZago0ukH5qejnH3kOI20/+3lTgO4yZ8iLp++PLccVH3E4CjZmopQp26T zolOZ4jZ0JseEDsOMpwKfkNSnITGHbRG0yXlzbWpR35MDz2gP7d1FZ5pqkwn6eY1Ahi7WaPCK5+W BDVKtORH5ZWcnYw9HrsdDWPZMDI2jMqop+bRXRUV3Jnk8EetUf5WVvqOAvj+tEf6sqAXXUtTTwMo rPj+rsMrXnhzhBf+ZMXDnCbJ3ClKY+02tZMqt7u8Btf227QGGwk7Zg/KJcqe+g2NYpAyWa3Gx1jI xuWwNWsOMEE5/GwoTnv28Y/sYQR60I8OwMhNlA0R/Lewlg7HJA19aydnFR6lnjWPpYZl50n6KAVS 11NPUTUrinkBhhqW+6LY4fTkbr92e/PYOIlfdoKVMVspiKXVpF3hEomDemj1+tMYvZAdlusYAghr B3ZBjFOxVBTXTVKoK1n4CqdvFqSfDZLPa7zfyylPzRo/xLiNhJOc+dziua1s6PnKd3aXDQfDWEhi n0lu7Q8tnqjpk7iNqSl9IYpKlQ4yYxbbtJSKMuWtwKzFptbKJed0GJsGwqa2ZqDGPSS9GGxkX/Bb wRcHn6RVhhP6dX374BW5DQzXtBnTbOvEhHZ4aqJnYFF1EQV+9KiLzPOnQcHPe1gfCvOlXAH5kgvn vLUh8ixxvJBYUtWl0iySTuzkS2gObRB6hvrc7I7iOwYrKOyQjdMrCxtVwudMnCJ/QXneEIsv8Ni2 POUQriwA7t9ZAHKlsOkWEyHBDB+TvjnfDWg9ZBG0CU5PTv6gq/s6jSv+u00N09Fupk7CJwQ0Bjn1 Mx4bZbKbfSyOYrdRWRI/KnMClLBVZqrif1YXmQ0NiZmvnQDUSIdXAMU7A51zUmBLlIqlfn8UvgV9 V4Jt0z426MYOzCKrE+txJnlMdSzy0CJpvwwfwm/uHXxahIrDF9wTNFWABbn8bvUAG2IlvokZsEW1 +W1hW9I+YHIDcNSbK6rjp4Hw00Kmz+U7IXgCOnkazoJkiz57HqqBYjgJd83zdTgtPFwSVZK2jDA2 BBNjj3bd6J7CaKSRRxtrBnqjKjapShwkN3/KkMIIjelVhb34xZ6UZrqqU+wD1h+SaAuFKtwy5ycd 5ghRFjJyjho/Z9mquJsnZB9P47+JZTpvQ5faVYxptXYo29lb1qRR6abSfi/fvelixXB8cjeopkZ5 ZLSKrq6yUFjHaxERWdb3aOUV3e69ujQcyWwH7hYFYDnUPfEdhtS9hO99nF4yKaT5xve1PPbpPXR3 jOjkke/05J/siAUFfoYfBqVC//Teg1scPzmuYCn/7+K0Vfs8IdOaBfvb9+o8CIg2bV4ntUqj7o1p rvvpKrIxtbFi9MVp1ZIGcxTS1VfKzDBuvvAn2u243dz7s9zEQNnqmz9Uo6w6y3RPfJtlC0a7I71n 3ihNXvtXMQrGyspeyYMEfHGjEPsDaweOT3uYsvEt/7yhGEpR8EsXB6/Wvlk5FNB7GLnm51PyhQEY RSGgvofNfsblapd1GKsuRukF7nyA6hczj0A8/GXfz3B92BUxokjLMYkIazsWY9Rw8q0bOQAEBlsp L5WiCDgBIqY37aauvo1yw6ivdQbKlknvNOLBz0irzZg5hrAeTtmqhzkK2lb9lWpStl4qp7NRsA4c NL9ZeAg7FqOw3dH0NTsMpX7sF1z5lmn54DzC0k+x1O+9sBlawPEJvwIw4YmVzItlsI4pY5ITxKAb Sk1WVfmk2Wwy/nRE+sOK2vsORmCU9n5q+ig9aI2qxK/JVlCgmHztwyOBmTzXE4HiDTyzJqokOz0D AILvjND4D4Zy0GXuTSUIhpdMVOpfQnNVhx5yi0a8fsyhFhqT7ZAgMJktybOX6wwSnNxGhMX+99ST +VE5CW/X4O4uEwFZyVmrc7m0udghkeTJhfxo/qa84F0Hc4OKU9LUWV05ScHvpxCFx5RWVW4PLtQk NSgthcDOXF8pRH5hXVYG8bT4jFq2tYy6qPETP+eQyyH4Ua02qSV+7dOpifmj1QmMXytxCxjloFfG twU7YDxjub00urWPh3ur7juNrtpFjb5dDxFq2YFV09VuhkqeUisKU6CJ/SXXTVw9W5Vi8QRyixRu bmqhVLZ+4T1piXcYv3IEqtx7vQ9OGqBfjFHWbcxDT0Q/lKJo1yTFb2MvUGrhT+mmbO5xDWXZ5PJ4 OCk4A7W4Qt/zFW3rRQYqw49DzkJaBKyb/lSoxPOy7AjsMwuNUNCXZIn4kuOkpfNvTArmUp5p5KXX zqu/+DA4OU3b97fqvfOfSkSw0Z31Lxu1s8h6j4rFf11iHNugMbaZhlnBkzUEUCDS1aC3mqp8norx 67SSqfVfmKkmqYndybmQGjFAVeDfj+dL0xywArd314Zx8Sqi2owfpGxu0vmSVZ2N7QqeDKgA2TQJ 89EwbW1GdqsLobb4IHdlwfgnVCCKo77zwRjfHsncFuvZZEeEkEKpVOUJEOSdVfsKaFmz5lJQufyP vupxhxPMqJ4cDGDqkDwp3vuTq8SuQbjcnuzuRY+Cs8jPsF4yc+6tkQ3+RCy2QzQ6Bqz3ijQkeZNc 6SGWFato9taK5nDGd81KyHph0E8Dz0VTRhfdx9zKS0qS4fPs0bJM6atiimFEqfe+iqborke+eoN4 ZcmCGoLKVNcbMyZ96cCePXcY1ahnMo/bFXnsSQTSEFkvTMC0pm4LSvoAcDzydZnreqi8lVHySOJu ZmSSkyktanrhxAxgmj7DsDh+sgTDMwTPl7PeSk2Qr5Z6wKfnF9GQUCTOTx6uw/lMqlQZL4vbCCDh yamHoWpAls4Y3LmOVruYGWAC0rQ7hp17FD1SK7onmJ/T6epEZXqqhT1+DBs+lRi+X86/c6mZsj2U VzkKnYr/JBk7EBn0NGqZ0Xqta2JFux2Gh6WozWv3ISMlWmjbjgstF9ji3Kgp/YkAw1gI2pu16we4 d/7+38UywNKAR1e08RxZGvfX++LyD3Of1nLxCnVu2h1XRxywnCTT5RiBgt0r5zRQcdGyDthrvSOs jvxAsxPQ3ILH3grf/QWQpbOdatDt8mqRWZiTO3anxDpft7Y5we+07iSeDrEWtP7+paTxzg0vwU4V XGCPfm4UWX6oNtla852qwkMmmWcBtqpDdC1jktSlkz/Weo0+IbUKgGIiQg6t9A9oEqPuXUSzkp0v aeO3txCQ08AJZbmcIr0JQ3xmbc+GJ74SREtnjs4RbLLJ6+lenh3TJcb5Dqd7UaYdKgRnYyn6UWMR s38JcHSE7nU/ntQU53k7XnOsz0rNnyHQrHDVmEbii48zSLoXfTqS4B0FHvlTWSysgoTKTplWLiCb JJ1tXAz8eGArJgKQ3wUexLZpstzwurf2BUWYa8apUgAYBFXRjRrihuIzZaOxX+Da40+fqZUdAxK/ 1Dao7OYhcNi6+HheOd4Zjqw2w1y9Qa0sLT0WMGj4/nMMSsfHzBBELcWMzYF7nYserSzeNoKRY2Md /X3gPjcTWLSswcQ4QZqFX0df8XyPEAoENxhIzGvX2V6bvGJxuW+jxS0QoxiM/3tt2rysxdq8A1zx Q2ylAJVmYzcYFCMkkZoceGE86GVX8OoK0wqA6yL3t08yElKd8BAhNQfEFb2M7KNQCqn2SfbvyOk4 LpAfjqI0iJxwiGq0jbQUA/7nwEZVKQ70q7vCJvpdPAJOeFWueRsTVaNXe/G8Zhpr1kBidGKupK6z gVmSKQMQZ/GgOYTwI66J2kYii7m5VkCBx+c5Ru8TzXYzEfMkAAZBZL3lOmM/h1nei5UCtyBIIB41 xnhvQzBtI7j2KvgC6P/sKfBLqZ6WZ6kFXTSvhnXBfFg3s5AXd9+Ng+fVctfMgKGEWyGV2RRGy0fn vKNcksLBm+8FLSZk0Dro3Jx34GG41ML/rXLT9F6r09QlaUCbtrLg/J1NgUO/rVGJq6eG99w3Riw/ 7wloTuUzZkffriynD9SRYLUPzqKWJCRliP7uDHw1L+pHl07ZexRBy1FyXeoWKXR7FUvixYw6rczN /cE5nUDQs0p+ciKY5MTLDkhO2lVu0a2OtooJS+fUMna5FabWr0XyUOwvotEmbKe5fWPLZzYH1v3O L/9wJHog+Fw4ciwQLPO1BTSrD9pbN5G19TCqVMD29OlkT8BWbwOXsy0wVwiBBssi2u+SJ+zPI5hB FOkwlCCGogwpaQYqsCUZ5L5gzimkngLNsiX4nDTtjjIRF6ZRlwRDBaJ+8iHXMs+3ItYgPCC85Srp FqgqFiiqQsqFpAsuYovFeoU4x27eNKFxa8L9aLCyV3h6Rx23wnwC/XlGW1dBNYjw+hx6HOA8ikDv 4LrqO96rJOFF94U0UgKebM1l6qC8j6LYPT8jylQepAq0W8+dHy8Pf0dFm78mL6n27i6eXwvFW10e BFPRv8Jgl/uEJw4LyCH1aQRGtEt+5L8JGu12tL1swo919gUjAAHK7WN+u9059+43alhDZWyA4C3P brp4maFjqP2AsBmIESYaa75IAJ2w/ipirNDF2jhFEaG/rgNrhHOh9JpbU7Suy3gZCC3BomT+hS+O vpSuDpWfk4QSBPwq3x1DnuVzEBnVhDE4l7KprHeFTc990d+0M8XoZerBobUvyFOGwXmLRDhOgkYn wRuxclSr32Ls3dDMYD7gceTC1ctNnzrTuQaZIWYcn5zSDutku57Rxb6ce3W49v+5eJP1l+mSaFoO aXT6eQELMIwJk4KnsmA2L4XhX0cjRS1YjI+XhQgdZqyLT3SNDZ92T9iXjJ6yEUY4kNQ5bctcl7fX 6gZHTsSfOYA0yCq6+8XTbcShCTeRWMbkGylhxCx8dGZDSJBHqVvpylQcBwdfdXx5/JAfCcuWMcw9 5qgHFmmq2I+SVsARR8boSVvyiinBsTDqK22PRAjh0ax3EUAhWpPckM1bksez52IMz9bYyOUtw0W8 nrUh7F/W6ZOeZdHYXqnNVV+qCUDGH8yINbY3UGNgPjShPwTVLBGIr9A9d/g9HtafaoDi+6Y6aCRh M++PEeVSB98mfACYg/cRR/AfV7VCgS6wtI3yDnpa69Ih76RgfSct3F4OFD4Jy2LDK6LzyaWGS4ln 6HMW7Ju6tuEUY0W4GWhH5lZOfM76mG8Ikp1tFsyS1Uw7v6rT8iTi87pw0OKG3b6R49MxyKJ/22x7 QBGuIgWDCZDLjPXhXixamy3RB4vnHGR1DkaQOmjgiNuVFnw5eUiEyvhQwWTKNx7XS2nrcG62ov5f vDwhGW1+LZ053iJ0Vd/NaNg/BPIYZeCAVGRQFD1Vjw+ILy2UYw0HpD2H143CRriGsIS79my3Tv0f 3tGEmB3v89VsJzTFHVUn6vf+NknO1NlJlh1Z9uWjzMXd7JlKh0+t3KTywQV7yi1WFKBEMpR/nnAO b5U9xtvxJ6b4QA9fSGiXPeuz067ZqcPn8RK/VccgQpjchFfk6VtnTDRRnHK2djm+Xj7Jdp02oqF2 /6G3WgeNtixBlKN2OE4l5CTCmFIQxmXRIPsB4Ozgnk06zY6fLjcvQGjMIMOdG5cV5AYvdV10PQLl QuFUAdvOnLm9shS1kf1tV/X1R5XGvNsn08P+DZe6QzQXrOdS69xR9j9SD5whot+qGx10qH87FgFB Ne3LKHqYdvl6d7RQ3UPSakEW46dFiERoh1acObvtLRFpiNC9N2j38vNu9V45ghmKv+2BRqhg0K9u tw0UDPmAmDKM2h4mK7Za7DQqD4rF/lphHKdVXICztstb5KVdFcaeWhe7nAwA9ePT/SwaHY6eCO+S nFEWxDsvm0uxrOWdXxCkojkjGS841/tkNFhs1ELbOFpaWeLkAeVq8AlMsf2wUT+ugxWmN2F6GmfF yvFyQ2hcuC1O2BecPq381mOGtz+AKLSTmftUWEEOG+ObPVQeW+QFnn3wMCPCU34yqtCil7+cdfbR qksfg+cSfVYDnIbArLcTNmnX5DmOsjDcT/dHntqOMhD+jzgBUNmLe7uXLAmTKpK8hM83Vg27dC1M uFiKuZX3SIiYzCVFLwM6OpEYIqzw0Pkg9jH0aow6AgrxD8elHTaug516oaFyqGFAitksZtJPujZh Ha/kCdMgeWYtXJbPsfMHpX7KqbXq67Hwg09QczbzfixjWjDZus4zp7reFdclLPKxHQR9Vp4AhMhs JQq6GMU6TLByx+phhKX+mJ0Ayqhp8038z8V0SeNjvUO4/7jV5Z0HhvJIGT7FeLm7vFkD7YuSlhmq rcWR5qNosMWUzCLmSOoR+4sIr6b/0msdK38I2XU+FMSDbRtj6fXog636VM/W/vcZY9vrXIsE0eHd bb9bX7SlxXD5MIQncUr3z5FE9uOOsMFQ1fuh1JM6kEbs/2II/jR28Inhn1Doj5quKJ5o08sZRfhT mLONPJHI9NJGFIOW9aigWJ3pSrBBreGxwcZNuijPpcH+FFdEHuP2im+gFQmTQVGtPf9aZPr3XB+t p5MdG8E5zQCh2MoZ/ZnMUz1faFy0533bpXCg5D66n8bV9AIa9k+vuD+AG3/UFzwm8kG+olh3sqzh 8UH1JFNSKTj7r5gRNMoyI/l9Qw6A/99BTl9cXGzlmheh3FuUqG1rJo3pAf95IDjMmWGAsDrGPSvN VrvJSqQJZkw00vgiZlDHFBhIJXglrKdC3jZ6znBd1nlgtYbSFdj7hoWtxFbLbjWw7S3IWnBV5efa O0w/bjiuTTFPsIU40Yjw08sQ1qdIISkTt1yedvgqWO65MFq86fr31KebUx7KcScqnXFgjn9/HF4k X69re7DM6uLLGBS2WLDzrcLYC6wF9NGGgptRzBzwGlYscJf83BfegJWytN2TRccD4ewXL6ZeAO73 XAOTLNGmTvjhbyXkO2P/g3l0yJv4DSHmhGtE/EdR4SnhEYW9yz0/zE60sqOVSKzzwJ6VI60bUhl4 Q5fTaSe/gF4HhWwRgLp/oRkEyLCA2QJFOYdhLJnBdu1AW9NX7Tm7Et+aDpRvJD+10QVxrSEhKVZZ JQdfGTDMAXOHEmwGfVEy9gHIt+bXiKv+RBHiF0OgXrouTYNtyqRsZkvAGDTGdr7WnrRb4fys/uJx 3v2VEXi4O5JoiYPvxwZHWkXOdozSlQ0EHTIw74JnLBGz4ktgrKEUIpVTJTe9fXWvNpMYS3oLkTgS q9ND1RvL9yzSZPDgSK2aTPZDcOZ/2qPuac7oYk9GefJuAAzrXvQ1HClj+nKeEZwuIWuI2QAeInKj qGRyQpkZaU+2Oakxkn3luClowdf4ur+5EW0N37h7OKrLeCkNu1LqEgXdz1MfKbHJ4ETnOEOZQFMU f2NFhCsGmCzFOGnP0ZpiKkcrCt74++VekDtR1b3my0CrjthcWxDo2tYOJ7DnXQF8tsuwGzmOjZUV ib/sUSmvSwNd+/t+xK79NiMpyf+Dl27nG13htxcsJpZxjkgtHiFAtUdz5z9ylfLcgyCjfu/IS9yI xnV5gcBkr4HKDjFUPj2zA/VG360hdS+l7U3P9pprCjX9L2rGpf8oWDo1Q3em3ocX6LLzsy9Ymmws d9N1QKjxv5FpUmceFK3Bn8prg2xU9iZPhks6IZ8iJxHqHXohYbh3W8F1KDb8e2vBUpZ8v9PCHXQZ mnRmzwTAcIryx2pUJcLH6SG1czCrzKr+vNmxIIwcZkQyc9E5OCZaC1Mie9GH7cK5HJpPaYWnr6vB tU99oiATW93FxYrLqpU6lFBBviOMClOgB0jthJ0r9UI9/sVVhRrsDQIE+Xg7xNyLVo3dwEmSJjzj FCgfr/nvRVTuJZyh3dMHf5Je9mfvyOrAEa38jVTfFklfWCVdbcg6Klyb/VGSgYp5h9wA3XCUmaaJ TbCUXPOXieaG3zXKcPLZMkOMZFn9AleKvLUbLrvv3mrZZ9wDi0twYhfK+2pKXuH116BB1MegtM2U 61pPvr3xKw7lVun044I0/Dc6c5UlT/mklQTmkRvdMIGUwCj6TyGG1uwzFkR6dJqDVuWbqdGXIt8u hdcTfsLw7OV4aEBMu7+NfW7CjQEQeYfciGmhXhWKOHFe2bvpw23VBaYJ3BnDphmEt0uaTwdwy2FO 85f0myXr2E3pBsVBdDkg0kSdUji+eC4Mb8kkQloi2F80ayyWM9hXJui0jGPLpC2UvOqUCLqcAUvR T39mFns7LVZUHtQc4kOVdhelf8nNnPxt4y3azxfBaajwCFtWpFhQcDA0ybbghtKGwD45Z1wsS7Qu 1cOy4pF4HabPEO4NuWNOfcKkF81i3KTzCzCkLnE0m9+QYgUg5C4McPkzaMbBa97FlYOpSnOxsOCd bW9sWbIuNOVY8nk70MHNkA0a7Ti9jPfOnfnHRpaS+JYkxEicccc3F6mDBfU1hfpFIVuV9jP2u6vt T1o3gGJ+bNuhmyo1gGmGV96Aza+NXWChPZsdcTZpaN1d/vD4vhNfPljqTB+0Y29qNeDHTAkv1iBM U4PJkC6l8zUUHljjWPmEbQO53WkvoNPd2JmZCs+3FOPlZmhNyT/wINwfi2J+ccBI8u3V+p7xCip5 Mn135HjhteyTFCMiDtvrY9+4TPUQ3V8lBKSSLpZSiW2B6vL2kYBrsaUfB8zUvmBOBTqyDzfk7Oru uNSSJO1MAZ5Gu5aHBL9qM2rS/pidYX08d3Do3x8+pVSigDr7Dlu0HZ0pRJD7sYs5oeGQi2xDbQrJ WxchV2GMZZakvmx9Eq1k+E727B+P1hDcs3T9fELp2YdVEpRgCiYUSLXzUxNtCvn7rzlRqrjaJhTp RdIV68mMtvOId9LpecEFk81l/yC7GUbYFe2VmHy9ozHx2X7uR22ZQ2nYuMLugh4y2iycRGiIxStV HUqwglQhXxWn0U6X5g9yQ7WtZPsvxKNd/C4i6WnLS+of7OP6OPIfSUCZe79pWa6uZ6MqIXDZ6OAd RVi1lhMKt/mjbkUEBst4+hFRlKjPMqvHetUSrd6MRA5oX0xwB+pB+XSlPrO29/y1Vm6vm6UQ3KUX tqXiBiilcoG0aQg2Cg+7KyAjBVDuYPZfHwnU9zHP4USjvxvW8yd6jWeTN0qx2TK3d4gaCKRM59QC i8MlKYgdVp2oXOGZexLcP/hmvGlAejC1j915W7HVMNMEczlYT7iv6ExiaWrd73RHScy9WdEote13 W4MGsMaWbTEBf1M/H+EuIQHc0sF5jZTk0K8tQlyvyH7Yr0fu7Bpk+fay/PJiuoOS218OLwXbp82v q5TvLj7fZAXtwv0IryCJCBlF+KJv8vy17Gp1WpC0lUycO8YdNNYSs9lGgdzRetyu+F3F8NTbWBdI nOu6wtW0N6vWHSEwdB8OaPbntf/F4G5m7MPzlaRx0XHAYxcQbTvSnDk9Sp8+O0hvgCnt/WZurZ9k aghSVsvgOjWxc0BWIE69iM7KcohDWMaKKE1tWLEWDwX+Ii+eYUnFVRDMYwb4JXuT9PQ25F+1Hq7G h848in4Q1AzudO3AjFB6wQtSFrvJwP1PcK7tXfHlqwCD4VhgtjivirQwvH4i5y6l65Qpa1BdW/gg U2a4QpDCxgdUIMUJwprhmbiT51kvpHext1TAV8IQTUpm/rqMfzlFw0lqNjwVQ/AopCrfsYP+SDjt lI3eukHnV3KEQD310cnYF7L94sy16d/rsyhGHuRjkVwXdNz+zMYkhk5mrv1oOh3oJGcEEX0IRs1B jo0Kp6P8NSDz72AtelETngL0VPE+k0Q1kIhf1+fsJ/N32Jd6d7vEm9V11uSfW1qhVdn0H/iBUQhY OrNB7isJ54BkI4UeEtrfgdb4cKxi6W2TG+u0YJl08irirNgM5FZ6qmOFRfMggoityunfqmJVeKdq dYazH686qPZ+alkvbFCFMjXiJtGMvunJMefNkjhTGLZpeKtR7onbp1qO84/PrpYC3j8kgRG7kJ+K j7L8no7AneszwPIriBMYgd5jz9DUS3HQPjbTYAUYSlPJLdy1Oeqk0jrwVQqfPAxtpH7R/0EfhDaM 98r5e+H3ahzGqekj8Syj8jWIiY1uTdhmcTE2DPxNzdan54QueP7ijl+M57jkrycuSOIa4kL/N04/ zk0Jv5QWYKF6VL93KblA+EqQEGS6UgTGJgVv4/InciNgLm3e+G2JeGd8hBGCtr1/88ELy06kSq1L E2Dry9Ttr9kB8qqV+l+cYZEVPtLcOXh94yFASv9lgzXAIsHy06lw1/ew26PJeLIMh7bHryRRbJAD 8Ae5aEyGDpWTdbXdCGmOLJ1FnKxD1R2D9g1rX6nUUM1lKoE8Wt2O2p8dv0WbdcbdKGmIoCxLPu2F N4TsCjviCgcST/Z6H59vxkZ7rVcbgBCkQvvgRx5hyCMOZjox2nVGIdSDkVwARnO6zcVZu+pGMgK2 gnpYqXKtIWdcpiYgEtnON08wdqY3A3EUNJ2WJB+lNBmijEMn0KDf2AXLuiyucAtigW+978+qxtU8 qmZMx3BWS5ApfFDd64yN8xm9J3gneDSKtf67HBNvUZIxL45X/u3cgIIvGO+38WtGl3GeRW4fHnBx DFsXyR9Q7p0vr9PG6E2EfpUqtZucz92Oaq6v3xicIxef8gYi7rXNsCoxA+JaVQYiNhJ0B3Hra2ZW 9dGupInYzNJIGsv1aQ3lWmiuNRQJnFIhri+Lbfi++IZXyUKJNzNojuumGTP3Gq9yjMyEuxbzi7D3 q15E14Y6ogS339esh6j16KyllcB3CsgYgRYVW30MHembhqb2Gr1J8JtVtlAv5TsxG/ttI30sUlxE R9BBqkwWfX23eSpDQOOetcGYM+lJYa2a9iWFiGlcBjXxl6yO/tEVFwwCwQfbw0efgoc6PqlMYF4S H7AvJMxoRWe1c9ONaxJuRx3j2vysn1Er0sa8Gyzxm1EmtgLyE86PsVuRIUlQgY3TjnmY2dSuG9MX TiAXm8AVTS8qOvMEVyuAtEX1v7c68r1wugem6ljyE2+xkRr7CvBzS9MWHU9UXLgU5gwIyKKpKnbI 814mIBtmAUIyGUoxXTYt1Oca39LQb1ewzD/PcYrnBRT6uQ4gwBl16DCiR0lr57M49ZvK6XxeoIFz enbLL/MdeRiGpVgYdZr5eC4E/zervcrKH8+0lA6/mqHm7Vl+/xzHmFIYUzccWjQ92rrg0CILC/ii V7XGLg6LQOLG+sX9DyGtFWy/5kS1wRKweRoUVam3gVMFcC/sofzH00lxuUetpRkCPVvBue7kXYM0 zR3yqoYG2V1fVPaY3CfATU7RIr+WVosVz7JcZtQVAdzsqsvbU4+G6HECj3f+sLCOtpIw4PtZKzVk 8ASqNLKbh3dOMAoPAoA545+1ohMDK7wrlX16AmwVvulbgYEjKS450SZbsT7/gzcPx+NjS3oHqcvA KT5zjiudB/EovUNKbWwv/vi/UfX/AenKWJ4l0Zdin+2nSbmHfig8gQOsl1KLb5BoQ+7SOUYsnlvI YyNbIMs/FFknBC8f6EHq0WVIWUeTUe5YUUOFIJPD2qp2CeQQbCovlr/O7zuq3joT4xwNPngUhKzA hoNcHuzYxyZsYNOgSNo4kVp1sObofKyhKZACy2OMDY9UVVnO/TDpI8DwOK9RqUIYw+93DX4LNwPV xufbSpxBNjof6/ngRzv4SlBe5Q/y6iXF1bUw93IxByi3k1h4n6GfAGc9+YXL/xRqFWDjhjyCsjnk OKz4qSL7Z66cVsbsehZbQobjpXNagBXPXZsWYuaT32XEA3kZQ1iAip1Zgcq7fSrZQVtmQdH15SFe o/lTQc7feLO7cOC1oDgl/HkWuRG+UebV3ick4M2+kHWCPQjjI0j73bUA16znpB4V4pzaIZFra0g5 yhd912EZpOfLjomntd2oGtVIVypmzqIVpv5tYMePck0g7oXINgtwdzy/9dJ8sACQ4KWd1+QYX6ii +IciNHd1sHYZj0Tdvg2pT4bHTPCMdC2M3daCSGvCJ4HeUwSEiQzn4DjUNj/WY9dESoK0W3yzJ9Xs V69jNL6Ezx/wLV34ewMM4Xic6KAvdKDR6k1KzwEZ0KX7/6r8iNNmqArfbhUkbPGIt8lD6XHU7j5Q qrwaRalTVyhR8Ts1+/1ojkACyXlaNnG7dueJCabQ5vsn9dZc73/fHje6hRDvY6WArKZbPnr54CTE JB74FWuwana1ex53bMfJGWegxr90iQjl4rjwa8DUCT0rzcBKi+hwgWLovuSI7OXnPyrRDdfSdM5G WGhNmG8xgKW2LRTyBpOeVZjPGf/3RBrBrz3zjsqLZbwi4fl3YAfKmUE2BlNZKhsUf9qJXqsjiZlT 7Gya2Qxo5llIKPe4Mdg+sIqoa0SXFoZrqXeusdbTAGhly1oJwp/qoMDHNmoWe23D+ipEza0dKb9L iYBKwdijPbeqgLMkyaDa1EfM4xSYmH6jTULK3ZwNiMLyGU077hF+qh+MSwGg3qXOIb+KXWTh3fqq oJw7RPu6kIeq0IKxxcnphd2pLIbXLGZq0A1R7cVeDOTxDyvyBPqYTLKLXw4bS2PKumnDcdv1DCru H1/EwQutdLCaw6u6kKTDMCII5NizVT3mHL7wIWWMsm2PyFBgxwiN3I3+AMV2jV+xy8Vv6ypZZBVJ TsVFL2XJlKrbg0sUlOnSMfn5D76b+zaJVrzZH9/UXol6X8cHge4AlEAFWiQkFqc0Ld4tybGna349 EIBOzTWTf955Hq+0IYReZoNkPu5m0pTHRVac02Ub2ltnAhk6QX7nr5DmAVKikDRXR1k2yZM05yg2 XoRS6xk113SFl+DZPzktiSEPoVOYyUdE6KCWXJW1z9QkWnFcGFMGABbz30DO5vV29zn+looeYndD 6a4siBdl9IiUD4TBm9j8G4b96RGgda8Wv1NOL4PNALYesYwRttt2AAwSJmH+/JVWZwULn1wus+CR IXmwksSTKfTmVTokjzUB8OH6KSjFcpUDaSplc4IjgrY+XH6w7FKBUSylDqOBY5acJe+KmxPmNbiM 9Ga+9+WBuoICbN/VHOYsuyUSqNX5JafnefcCooT/iNny1WfwhcJ94rZQ+eJRTUUbj4oNFVsV8QLw UZIZPYX7VL8iNgZZrQhZHnzGPhG44Trj4gixaJVlrgaah6lxzgDp13Maw7acvFWmoPnS/dc1cF/r vtqGcsvqHXpdLIWHg3qAUOqX2USBUX9R/LOb3IqNIVTn0fkmKSXnjcw4FYwBdNcs+le0z2VTf+83 ER6et2sjajLWXEaFtKbpEZmBHmEr/o/okHArLfcH2wmRzQtzo5OxxT2LzOaOuYoHNjx6UCOpnGLI TO06BhSLxUaDaKygOyxBd6icdIBJUwY19wGxpcPXaraiyhupJ0QHg4zfg3ywLj5j0xV8Lf6QhQLF TGyNLe/L15jIQ5aboKdKHTspst56ESgXnfCrqwzLMQpkXqAP2vQaRD2S1S1uu2E/hi4diztNgw+x LHI55Z93ZjjTljD7bB0nyW1EgseUnMK2zOCr4qv0wKfb+2tHh4NiaIP5v9S6nW+3QxT8jYitH3aG V+SrwPnp51XxV1S5xSrzVL6IQInPCTbt+rGlWKT0lzqz7/hMBg1hlHvOUP7h8tO7Mt39zdKL3kdg SUsG4FyGami8lCABgEx4257SEcPEK88Po74bc5Bgy0Egz81GX3XSveQIt5VfSXisM0tzxbha4rqa GxACHq0U17hJ/ZK6xczzTK28VkgEyxTN2/xC45mt+s7vodKUEjhZByXYyL9cLXTAnt2xKhaIWlXq P8FIL60T+VPMR3zEi5IUhmWP+Xhw0JPXAT5XqwYxT+hs8yGC47afN6j2IpyANtozSbb0T0YlhknU 0JRsf7uzOpqJ2V20CtepouCMxzhz6vgnwmVIXIarZa6MeVEi05cmBnIFxGc1qRqV4654atpXc2RP OznWaonHU6GYA73WuytYzYhXwsLOWT74BW6svNLr0FNmaHxtAhYVsKwtmMJ877rTaJYuKVVE1CYq 9Ppx+AiVpy5GBu+4eJl64fQ+4A7qI2Ax5q02fKG3Oo1qmwQcghjs2bJHJjvixxgzaVK8WUL1E4DO 3JtkTqtvpjNBntrmU26JiIPexuxdRIqBsA6DYX+5k1y+AEXNPs2a7iJujgvK3uNxEmN1GblHqDac 7sWa4G2VbRBgwdILkryk/b2eYGItzADIEbyxR8fMaXcfS250YFzm350RJyz08bZSFQgLVHwFJhUV j9038DavfKLs04WHxu1nYQbUctCtZ1hkPYb5TPx9NpctgkWYUph2dt8zpuiNDQML6XeEnr243CGV 21nCU6Gr/MJDNdz+BHguri5W/YXNNV+Ek70Mn0rewis9D5JnyIa/azkpOvVCc+7NJOLnPFO8HCHw OQQrX9KPQMso9U+nJpply9OgaUE+PPpODVxmkXvwXMdZNYpASmL+caL/CXPcyt1o3vB7q4sbv57e 1sIwqOGOTwjJyjvwAfgFA2U1ahswODGaqhJBl8kfJTfUs5CmK/wEv+z1zKxAhjIGLI+pxu62LNTs qfkIjYWY0AwHE7ppXfHoTxPsLBJtpsS2Hgw4tIBsg1czb/9d6K8iqEQjEkvZUmfSO/3vJDF1Osz/ F96b4PFhMOkA2KUwL9PHRGoKaYN4hqU0VnUtGsJS+qmWow/0XfrLRuHv40EcMJjsGKaBiyBkGk/Y asRBfYWNiO/JWkAoUuxd+jypHZm55jfKUzdwB9XxyjP/ljBBY9YLp6POXpv691KuXVnLzim7H9Cw 830vVcR5c3oePC3lfB8zQJa/mg+GFVkrWSLR2r0pgtCMD8ClpT9FGmxraxPgZ2I3fu4ggteIXbPV ChyxLPPatTuMFBmmNyknXv0VUGdzitOsZzE+mksi4+SAkSGmr74mev9UHqwzxq5vTca95kECaBLm h5NX2cz7HsgxzidghLWQxHUynwRy05YIcJcejaGJX0pn4L+4WXJlu7uRYU8fL+yEdwoAlVIY3QUL fd5JaqrUZgemnxRjC7QunLEg6eayxL2bpOr4eSaXJqpMsZMIaj9UPSw1iICJTddJzh7+5noV61qT gpoFKG2YgAhPe4+dTLMn7MKwlb206yopHVamCcgc7yUfZQs8LkbphNS8Ds6apWLPVJDInpogEpt0 ZBrLEX83g28SMTkcH7q1VdWinn6Nwcfz7r33VU9VJIeti4wInCD9NCAiy3nN8HP/oITe3VBoWQ33 3X8lWiA2brFYhqD9tBEwe6GgzXAGVXe3zctY7Z+gaRrQlGujf9qhYiOZVAnYnRugDFnxOEM6gAfP I9aXffKW1lIaZs03otxKFiS3tpg98xFIGJRAoJv7m2HdYJGj0XENSu934ALIGBb0xDwaY3CbJn4n N9ZZz9Ud66b4Bm6T07UTiUWSJHbtrLk2ORkwUft0QTCMw5eRF0FOnII8zJVOEkp7zwYl0ajFOT4+ 3dIzI8xrwvxPye2q5vT5Q/WpfwIF1m1cb7tXYgsex3MVk+Y8oiDMwV+xnG9i0PemYCqMJmL4lYV5 CuNNAhMWGbpB7fB98pSHh5Lz5cg7HXOWyvOdPPu1woXj6mM+bK3gxP1926B108asDa3o+vnB3wxl mTygf5KCUNqBiLbfAvxtjXvadctl8MXXxMzXeZcdLeqpvbJY/cOzB9Mz/r+CI3PmgNZunp+Qywji 6dMG5SYRXQNr88HCbHY9HXLp7kPYgSsIzG2OAnso9xzcEjflontYBXYuQHt2Pk6aDLlJBXhJgIJN XPYQFqXEen1zbW42u6OEsCECN/Xn8h3RoppMNM8wR3P0YHcIrqtjQNwo/Ka2Owk4BybJUIQ9VPSz MRuzQ9Gc3iCdp3+dmV+t9GtlevKGoE1eiEUBkk/ecY1I2U3xZqYolMfZ7Va5RXuvAW9wJ8+Dr2kp +rfn+cG7HHsXHFSN/wCTfEeZdfZvRVay2Tr+qXt5QYoa8H+/9uIcVbSW9dS7CZTHFnT+ja9c4g3k lJ9dtOGi2YbyyXMm/LKfc/zCy7Bxc+du871P3NCD+qz0LlvEqyvQzcmWwauYlMvuem4dmDWEbUfi tJB4mIqjed57cclO1XCPLh+2XP9aLZK6GTpV2L4UWp8g+gVVzPTBAFR85Mgem3DgJaQcHRRr7YEw SzXKOvQiePXHMNX+KjGorhIRx/Ef5GDTZPZkJZW4SpCxDSdrlA2w9VTsLt50TCxqWwbAOhpWurhB EWXfn1QoNaQXgKREANu6g2U67SqwkfSmjN5Hfr5AH+s49BDYoi5jf4hg1AJOWDIMTSh0nPTwBRdi O7nk2lAC+AFTm+p1mp2C82GrwDyENF+lGr/pVGeTuYSdNlTzSK3NXa3OfTlFrhWztJe9si6MDTSK KokcIt3VMXMI1e4KzD4Ua1LvyfhDXmKpH+yE/5u2M6cQX/ZYYjdUTTTPZnA+B3nyROuIpWiidp6x mn3i3LrTc8XEEAYHUhCZ8B3nRtCvxj7dXzliL7AuZbDovqCXy8coGSr4QlNDFt3zTsc1zZydkNOZ HoC4wkoZV6CnwDbbUgxWCFjDtCob20xy4LCq0u3mwV2yhIgSPQPFadMhuUft3j5cDlLP5oAURPQA dsu5/e/w7UxqCBMC+0yUUVXhgy4+yZ2g2+ntv0rh718s5YSFQwPZXaN83uyI9qSKWiPYeHgh147w RkM4uIwGHX66wvjanexsTUGZZdM/BhsvGPmF5BNZKtq3NCUY5kZAqoQtcBXqYZpUTpz2Euthc31t LQJ0vluw9aN0KI6EiVhiBq7nvSpqD9UgbD6ur2rd6CvKUMplR4WUc9Y2MB/6pNGnOEL4u94Xqr73 CQpKkiQ1ED8B8ALT3Mwa1lTQZQBOc7I0dDTXmCG+9WVfxsyd/2GqVwosi54xcGorK1u+1LztBDn5 0gBlwlYRJ3rZhimomIrr3q6ka8Swz8kgULwQTwgy48Li5/10S0UCI80Hljc9hd0Cr7ZcmvLL1noM KgQWaxTjkmlY7v6TYI5lOk8XDP+wU5uiRENLrafmBwbbLXBJ+ZWTsQVPnd5YPvHu3JydiBaFph9O gvXGhpaoRxIGzLIvlx8P0vzaf45i1Pb4/u/eq+hDkSesvwHmqKlw0PepDiBQXV3o8+M7SLpLpL7T 7PEHMFjPharAEttIBVh9dDoEJmgWpbejkLnn2OOWxKHEdO+CC4k18zGcntYOf2vxgh6fdP4s6wXd 7httG9UMxBdZqYNCCAinwTxpOCpYa9AX75b9Pu7cjEON0fs3BR8fSxdVrn+Z+psoJCN/3CzydB0a yHyse4NXkCoAT2OmnhXFdDsGZNB/LgBmlKDXhr3/K3dfQlpY6CRhV78tXTXD+cGn1RciDkjh4QZm cBKbeP6+45kyqv2fBJnYXMAO931WowQLSWNhbQzeAvwBCsHI6QAzlG+2zr5VjonAqn7Ndjdw+UOO KtZ4KblTJ0DvR2EFWlDOMwqmQpjivURappFWsLXKESTpeluBHhOc9lsAmbz6jgBw4+UtB/neCxWo f3MWx+JdZMgJ0EdArVMxHD0VKh91DPD72dloyecMMJ1rPIOeCIXLu0pSd850eAfsc7w7KywAq9SC 8mhDUxWMYtUQJ5zvszFvE3cnucRmbr5/wBifiLaL6JxUHHnyQQXaGhELupL2WrhoWd+yQKTBGrNA t+uBYlQcjQdkyg1UQ1SEOTSHjy10v6Ic/bj0hHoa35LnkmggbB0ybyDzwlc20GzSvF9sj1/wkkko 04zRLe2qbY/gsJE7tKsuNQ/njrZIAZvnCbUmm3uF8SdG2GQGchQhDLkWCE91O384FfhdJ5RjIYku nq0BSLaMpOMCp2lBJPrP01Q0qU60H28e4Qgs7/Jn2+UZUERIoNSZBgnJm8qfCtVVrmZ//KArR7rO 2LtIeR71RRddDRzbzx3kSd/lqiIQ6uw3hzTL52/zFk8CWPpWO0+027/RdUeRcB80n8zo65wjaxeE 5VIrwyNUlsN9P2TZ/i5nhEGpPom2znXGVCsoImKM/mYMfYe8i7NnhaeJ8FuN/l1/x5UdCngykvpy AIdeuM4AaFe5+0fdBAUyJO7OzvOgmgb2srxGGbBQTyHcuWlM/ZTSUjyePWgah5Z2hZ9l10sOTuN6 h3rL9xz/GdL2CMRsa7SWt5KbHOKucxohHBk/MEjfPgpjXj5895ify/4fQviuL2yEcEcAPzMfpIl5 EmYz3bXXIvUJ9tUazS2SkIlLqo6X9R0BUckp7BC/5UBLbh3gCrDgksgT6LOUTP6VLKmQVGimrRLG pgddlMxThpE77zTQNz2iIqW5vGrloYuCt5AXTvYR1yMg7vKHuj7IodXt2Zf4xcH32jYWzNnun+Uj YWuihl1MW++WqnYKrOMScEQfhbDIt1PrlL5IHqu8pq2UvEk00EU++TlkorxlOzPLzXe3dyoPA10b g7Pk0CtROzL8PGBruSaWVHQNnxxqbTkmpecJsXxBZEow1nSO7ThGcfFmroJv3rfDLsU8irp+TOHu uU6wzfvTC6cXO2w0sS5s+xwEPiUOk+OajzjfCyErJiV3yEvoSpe/19n+Nf3suYIznEXDFanInG6U e6AFxiyhh0OcfpHK7xozr++SjXTwunUiTlo9X0sGaTdJNdVfd+h/AWFNDb/HDTZOxJ0FBcB3K5fA <KEY> /<KEY> ZbT5dxKQJ6XeBdsMLa5kwnmE82E2e6UJN1ISbgIQiLN298RsB+0VSEPalXTyrxFxPxORI+HDwiO7 qi+PKmlSUQsfgC3wzjem3uqhD09arHd7ONFvexQ/S1BxQFclzkrt0+Ua92nn8KWrtSxlY/1VsJJS XuJZ0DSsgOOyees3hJhSQn0HfaRTLKJi/6of23aQ1BqOolOU7Nn/Agivd+eAJEYl0r1pkEapvHaN SWNZXR3l11Ozi/QpjTHXkYM8NAJJXpMCGI8in83mh0b+LbyjtHz8tXleIsdXEYnRB7lAEbPpC6oC HKEE3RAMamRM5V33GQaSuHand9Nb5HsFkJgWwRvh3LY39mRzb9dTw6PvKVK26iQabJQALwfk/eqv yaLCw3s/HpM9QJ5KzTU3KEY0XAVt+DtrV9gGxjQ7ju8V3aEX5x1lC3xl96qjtBEsEw2Y2yhh3nBk Fhe5wYRMoI5P2JQVggkkExTw45kO22SJXXtOcVachET1xkmyy9y5hNn5ubsYu4He8UgTxW7+c5b3 ZSJjO4zVQSqNBPXkux5ullhnpBdsz7mShsw8fJg1FJUMTGLJUqKwR/faIw5IKvMEiG+W8qSqKrVQ Z8B4zItYPU6Uqz0Q8yk9Ze3hfIBlYtsvOLx371vG43HVfWfk3ROrTSes3/vEk8Oy4IIvWp9/Xwpf N5F8Dj1DbjRzMXSZwFR3wUFVJZviHHsgrVP0dufRsSS3nC8cT6K2CD8IcthIIMPznGE6ZpWy2CBo teHBPwk8P+SByXvtAwovzpMCcoTCZBaptNxmbchPMArpmgtUc8FaocLcE20vL8ZTYLZnsQ6/KzRH ZqYr/Hu0TsHylPTOBEQ2Q4Ccqz9jusYwlxul7cKkZmkn24naNoETqAmbYVNSlD+hhqSRbpMpHHVr mK8DrE/+ARLbCRQrol2qo/SOYlPNYatN+Br4zAiZnlRlNuPJVlX+UnrnETNVU4sg/4OY0c2V6Aa5 LCcQAf1vGmbfVBYoayTRRN+MzVrxaA0XPyZ5D0ajmWUtCSp27Ye8ZWOOAsXAgMkrUUKDBLJPVwsn g247TCdumps8GbQXiU0KEpC09tZkdDECL3+nzMEGAKX8jYRGAJyTedPFLmfa2/32CeyJrBXgiv/U d4P5g6VO8hCpd7cK7cCe2tHE4K2W5ORz3nHvCiU36EApzUMswqT/7o3NqaYP2ZYnfjkAvTDtMP3N GYa0JmzVmL1r4CcvYh4o+aCpNnt4zh70nGWJU3pIe93fmN0UxMxjKCHbTYg8+52yBCc1Fk1La06h wimwaZrtbeJ7utZalGmmblz3U8kF1eqoIA/1EIGSjzciFV69HjkvdcJkd9va+k/juATfehl+K2zQ jw+fPoLEkJ+ra40+Ro2zOQ/0IJ8ZnfY3GqEIIl4fDnGmJX1NBlNDcUKmF87QQ3b27k5hcFDSHldd tWlBYiA/wD31nn1SjTJ+aSQ9SvdgekCAi+xUIsosJsje1O8X15ly84Ra4FfIvBeZFEoV73ROZOVx rYzfmRbOMS5cGFaUAJWhrXsoL+y2DG3G0WOSHfnOKtD0BKXHOVHqAUGuq+kS3n8aez2jlCDA6UMB s+hYGOV8B3QDslK83uhRBDRXQlAYoMfRJjClE04WcQwOjdwCymsM4ZtSdJRl+WrqkcEYHQkB17qr ynYL11tVELJgwDbMlcCCc60LB/5nL23XDzgsB1GP1ZpFWR+ruq/ePBht/U6MPKa+yTcCO6byIMC8 z9lpreBa/SBGIux9IysokOhAZbjd6qbT9w+sks1RLjqLhxKY4UOKKvXSp4q6thWOpBbGjF5yMw6/ Or4hEnlNuakI4LwzbIA0qI0Qhmn1h0nlJ0MtXQI76pvi7F1WB8K8e6pAbFLyHroOS6Hivl41ZZ+1 uoBO84EFiT5b7fXM+6kSAoEhhaqKYeNd0RScqJq796JFS1BaPFyIz9rHy962/LwYS8jhNqSTmUzq HqmSCFtGIPUalRf8FOX/Hmile+l+x7yo3kcknGFE4Fxls4wLgabUZe/sVR786ParJ7EvmtUYNbs9 VQHQTeZMngv46ssNhyCb0DQAqMS0TjbxoLRi9twxNlaOQNzNUp56MsLRokMG2YgKz8Nt34bnQ4JU 8TbC9ZFP5gjYgKCRUk7hlHI0hIr+u6jUffxJvXoZbeNTVtUxcudNvlP0Nc6EL9uWorjWomDOK/KQ +mqpa8SbeDpLDBkotIvm4WdX4QScMv2EKW80L94uu2nhXYqFx88wfjr9tqASQVqj3BJ5QtMl4aYq ub8AF0wUMD8d+HHFwIg8lKYyckiafjKLNAAlQ4VbphoHckS+89OTxMKVMRLtzatWOhHv4Lv6N/Ov lWtGl1PyW6G5+CgNy0oZKILBgQdEu2Lr/hdZDALXelKVzYrMB4pXZI8gFYRYaa81r5Kk+2bWTtUR dJQO8ykQW3rlGvpIZAY2D+/DlusLQ+IlZiC1H3dVyZt+R2Nq/XtYGBioZArbS0XXdbF9GBa/odZv Imj/FwqSGAyyy/3vXdvZqO1aNAW8jyqOE90hEeUB19s88IQBkiZTdd0E/mhrv71qRLNPDfxUKg1g FBk18zVAgKuL00yguf+HkuD4kW1J/b6ubgAW3AIpLuTZsnZLg3MF01aF21WQXNuZrU3dVqdIh0uE 0pQkAWaYmyZvdzWLGkP+ycazHiVw/4hhTit3J/6JszMzaljpljwt/Icv5sPyJASsJNvo28hmc18m 5YxOQSpOl6nkoCjerTqJ9IIB7MpUWek5yQcMmIdYB9Ywlc6Qk/F4lMzn5p424Aau3Hwqwytw1aOH VGrk1EK6FOvqjYY1j0rlAwuq8g/liSe8n6h6SWVNngDEPUdg49svfQ7pEO0bawpRdyAALaKJjZxp 8gsfsJ09HNqhi3JvVSOkoMWp2CmbNZX85Lj0HWmVytvus7ku1jLgXgshvCag3Frk4m8I8hRp8Jyc R7AKoDjrY/gHgWtC9EhjoIWDQ1t5OBJfWQ3KPVkJCQiyEXbvEp3r0Xja+KEtfUS+e68mGpCVrHwS InAPOrULflQ1qgUgIpE1P9woNkKdytpmFgX5AaYjgP6znSbdOG2FELRZEcHnwAnyQOzIkWkD9eQ7 1u+ojMddAoq4DOnq96L95PocSg/h5GZbJgcXa1tYKDxe7fqF2camZRJuCZZ7NFii/U+YsJwzIs2m r146EP1k7T6XxgH7Kydc9LJArCsTKh/OhTbOD/YD70/tzhcA6IE/DQjh5yVlGB3W0PTMAYKnQ7Mb le5qHnLvMP/N5GFnUaCZ0cqKf9azHXcwkOR47/Y6mDz+tX0/HqLBBkqeGcr9Vw2BTuW/Cgjdcvx5 Fwx4YsJgkZM8dT2xgF8H4m3nX62aolvCvBvCTVvABFo9uaBjP9qRagdUMrKAurHHvcaQUkgFVvjc aOEIcTrNnAdpoqoWvm/DbEbiLitlfKDrdq8ErWNq6k39rfZk0RFRY5LfOGI+mrYcpEnmKfDJSls3 TKA2TK4t+WVAN5AVjbZfbD3xUg2OozX6YQsWboAgpQleh6ls3/RozHHGgyX3addq/p0B4nXmU+v1 0yqfd1WDd7iA30KDJ/6hxIUDokwwaXXGaxSQ3SQ3+Qxkh9qWSxGsEjLyvBfPH1dWD7y+nKhw6AHP V1p7RMbu9Ve87jxElw4PWu3VS2kpLriNNwG5JVo4CKRIbimOpchiXpoJeyePjuS8SVNOIJUWOAD6 588p6sEurJI7DRX2O3Qb2BiFxN2XlUc0gqiCYO5qD/XCPWUkcyKdj0nLitjSBxnMKnLR9GE7Q0+0 a/0FGNPGJAxLTgd/EP7waGifETEoOekdi0krGKLNZNW218waxrcNaQvTFPqylMXZlMGl9jlOmN8M sGwlZZ0SSIUEyxLsyXNRdaKHfKZtFrGbCrnS62CQcYSiv7cvLMTC/jk6gjlkmDTIhkCmxj9E2GYQ 4PQ7uDlUwAhMmyO34Ceb5DXZ66IEbw8M83BQGXFxP3pf6RGN5vP3C3tFMqoR5CqFMqsSD1tvK9RS W/7CtBBF8Zc2nHIsS0XHLJ1oIk8uzoS3k1wmH9MHHUyyxsJWvfpglh7sf4qtpltfSs7XU5N9fTra DNuRkNeTq8qztBlRtlk6erFPiAPHo6Y+LyZ1+l0S0Ao6/qmq42uwaWQP+8iK1mk4i+Ux6WM1L119 p3ozo/F02Z2ClFlIaNENgHh9w7gEJ1zBmQGQlWd3Ie9UVbgS+oGkMpxuktVWDQro1i24M4Zp3eRb bgz4uEQjSvRFAeLYVi96lxffIfd0+LhY+CJgW6OPhlFwtwLusRqwmT06bCokPtCQQglUmRZrIFKC RpOXegYI2+wI1WaS/i7XWU7GVSvfMTmBCYCycXf2Ir00eGXJ+IDAlb17f5dmdwwBWsJFSYw/WxtD W1crrGruDE5gNsOqxJ7XBxcIolYKU8cNYRuN/lZ4Kcl/qT7/J+n4LASGRBegSVImNg8hiXzX/uwP bpQ2B/2PmTHL8Wt0s7mPvV6XskrjNyJt7D0cT1vMNNzaU0bUHCpFC4NMGKubICWws9Crpkg2f2/M HT4ELOjPm192QfOYWEQzxS4/KvqyrIwUTr9jIVTKDY7t0eHB8t4niN9074/PsmLyZn+NjzqLnVWy CMoy2IGjwVbRNh+8/EMgTG99tWyzd5/ODDwYbgdcTBDRELBHeMv9SS0yOvpoOqRyTfHoJbljQ62I DfwGETQjP77qSCfTGyCcRHK8ly4rGJn8kjjx1ASKjoS3n4Xet33Bspp5+ujzMRFDt6Qttbra/C1R CHY+1GotbAp45f8QSx0ypBT6pMbJFM1zJeYI1jsruAxNDRlDr9Nwo2zecwaBGXaokOeniJ4b+jdx QF9VlFwm0/6wH8CvEguqffY9XB3nVhVi3OY1bM+1unSIZcWmnqpBFLm8vYQzytyJFCI0SO0s0Z7/ 1orUJZOonTfEesZPrfBqO6niy1t/XJY0l4RR7py9FBeRYhh40kLahmuXjV5Y7xhIezQdIsqjBZL8 w+N3lTQPI8GvsMeZNSLK1MbE6k6zWit1RZ1L5BH7IGsG5Q/5vV11wZ/wsvKq2oatOCxgekt+5v64 nowc8NA0DdzGQo1od2Ki2qlqI3Zg+9rBfDECFFr1CR74PfORIZb64zTFBbvcdwnz8nKyu7CFl2aY 7jIR1lJhN16dMzRoIpofxJzTNI+LSIsAsIaDtALCndZCcAvjnOqLxRwN15ubLtsJC6u+Biae8CRj ryq2XVW8gQnv1ins/iqmwSxzsVR9kRXlDu5tEUUeYHA3u45/3l2/76I31k5zkQGlYVJ7OT33knu9 z3hdESSsugsum/CRj/9ix8+Hwxiv2SYBN8N4bm15RNmK7WbdYpJxw2LRBaR9YcEXJVdsN4AXnoct iSvkGL8UPWhtEqG6lgmE7L8xycPJ3PsUhRXaSNE9HWh7JalLDvI0BkT1qDpbzZvaPOFpVj7SK0Sy SfNlHWbiFE7WVBJy1vXmbAslaK/CDFUR6IOq8Xiu+y6+zSob/mj8DQPXC/ukHAddD4+HMfd7gOxl 195tWTZSYi8w9JlD2V58HpKNfEEcGMVw4JUMT6d2pie/pC0EePWT4NoAYjM5CoOO5alBYUD4rpk3 6U7SgmIwan0xNucTGvknYXM2e9S665GyTpHNPG9NGrN9udDlNDdZDdjKSiWhM6+pU52hZaHvTgEO 3EmhaMzVrW8M1oeWnmdo1HX4Z03WJQ9I7nEj47thDuzTpP2ou7zIkHZITTHsMqYPU0TvIEXFCNSj EIT+GwU6Ruim6IapZ3eIPjE/FPMDjewExnu9Aamj7/HF9WPJy9B+IhBj7ACNScAc1VCWUvb/lTmb DX2g5ek6d/YPfhpQXrjkMMnApbNxRcDeMkh+uKubpPpEbQdHRfOJ/4eiBuCRr/AsxGIu3YWUITxx OQlgHJXXV7UUohw8Jz1EO4j0V2/vNhFvkbCo0pr7t/icTswXfFb8Dbiyqbgc8ku1ZSNc8RbLCDdF v8NmpzKTCJBoAauyfzlkYc/w9B+S5BPwz6xuxieD1s4FhJCW5Km9JwtA6SkO94T2oBSAafPbb+39 JneDiPtKDBndlRZFVS/L1EKRsLAJZFsJSdhVEzfbkFNiSpoazNFYww9GIZmsP409/pE5t2KBOiwh 7/50be4FjfYQAqzBOx3dV7r0K8mIYSCma/RAoK2qochWsqBSlwdg4UQfMVxXgBuucMArFbxa/mN/ k32Sxc+9JukZNrwcwh7p88d2La8M9dXmOFTG8spfgkiZiPq+IdrhjwmWoaLM+rEFB/ZiMxResN6L Wk6i8oeUfRLLAfRMiKSyql8u3tKhMHWwj09GaUhCYt+3yJ+iGskh14XpCuj/VvTnE+AH9sVrXYBA lfJhkWXvVydV7svfqd+ugeaHG8W1JTXwdbJVT4lT07j3kAmMOMV6/k+SrQrieCoWgrBZMGd8fGua XZGoFRBIeRzSKokAvzOhy7Ptb/58lXNmYjKQHIQIXEXNYedj182YJ+w9Tu80rUxetLxwPQ2LJZpI flWYoIp0JYm8uV/h3LPKRTLCaWcYaplvcErUjefYnNswa0K/2uv49PzbzGowoXuEFC44mJGSlWpf fUrIrIlUnQdiZvWfhPrsgCpmvl6rBz20Ekq4q5CTKb8ZlzhDy7wX78ugGT9TcVkpsOkNnSgA8kY/ H/1FRS5RuRrsdFARqLR7zYD4+xDQ+hpFQzbGz8rSOi0ttI0xj7IZu7/lJsolriV7yxQpjWUUvxct phbcyvgpwDvR7YOgw1MofHZK6cXEUjUKq/EQfpAM0Ydc2ZV7KAkUn+fAoNzSfrzlHREESXpWc2Sf DaBbDtm4vfc+GUZY4yVYGraDuzRFyzw9hJzX+0CKlmTB4CWDFH5pDAVdlva6JeEvJfBuXQpNMPjR WzW7Tq5tG1IsMHN5aRUHk0+dK9dLTmHaYI2lX9OcPNEttEPLyZnIUHSHr9pxSMltZ9Sx41SXmhea tf7BQ1UlIPcMtyXVMOlF0vamTqgflez2xoA1/cN3pBEweHd20sR6PYorgJYbZGYXluqJ35UqWB7L +0pQgBZ9D5dXNC+DNMh47XqGLqnMWDpAr1LAV+6+PrLmgTarcvbnrdYbITi+90HjarGLPAb8ZPsK P4Ko87nSqAmlvmQNvcMkKhtlMuaL4QNApxtdhF51hHnvMzQ67tR4wmlUCxo8OgyWI8Zw29B1MuSl raWBj38hxElc/G/FyIaqwrA5fR/dza80I/7myuc+seprYv0OOvV+r8Un+I/FtNPdgr116c1QRcQZ JFhXFxmNhoofOU+ASTokPhAQBz1B6nj9e4IgV2nBXfelRCystT7QKdHSqc2xK/wVPNtQQFwu5sPm iNcg7rd+/ESjj3kZQDOl9tvkVj1j1YZKPEHY7byJVseq5mkhveZ7iYILFU8JgvSBrFk/ZrcKbzNX UK3DnUSyPSPNv3RnA6b0UDAIJeqIz/en8n7tJlI0EkpFsmPhbm37lE+NAXM74v9OIN0rDtOJYKyZ VfM561Xv7PwSNG1i4mIVZbkoY2lIXk9QkvwSwbFVmtSSR74pb/XsH+cGA+dJ5HGhKL1U0/ezd98R /GMC6bsgYfBS1+eyEZwt17Hx0z/4JOUQ62io7PUAPs7siRbZq7VrSdkM5aUCsIpDXFQu8+55wrAR DP58Ju8G9wXjQ1BONReygpr0VCiXggtnlF/NhfHuTzH4+axnZHquXxikZVvTEYro8wvfJz+HPs9f Au5fmJcmC0GyvQ60GHslaeaSug2Dc6YgIneKxsTPVt3YM0ik4GPPiCSY1FLIYI8upq02opMcfo8w 6kdST8i5V3S2e3baX1/rmpIyQS2+2H/wwY+yhVnivf/e/2Qha8MXi7cXPeHvOb0B/N4Nc7WVgCdS LxIu9QVG7vtpDLsgOwE91BoXzvfjZ3M0tylg+I+w8v9b8q/KXODFhvg8jDwu8xT7mvdOtLoXss5h XdVQzNRbyftl0gwGU9FEnFSz3Bu3ea+COWazWhLqEP7YuRJjW/Djvz+9WooSI8Z7bACp0UdC/VGf OTraK5cNQXibfzUG3W0f+h9reYiHSTGM6dJi8mEbZq8HGKLAE3FaHNXzhl+ymltwMmoy02YfTRg5 5urNSL8hakisYcsb7xZ+6a4AwYMYEGNDHCmW0cxK911W0oU2ej17tBJKtzPTXx7T+7ENsSWXON0l hU94/A05QJ4swoQmD+1RrFtuBR4PPvcOyYZiUQC3hs2eu06uigIqHlPNVj4NyZf13782FgShXz0i lYEnlPsokdfn/ZYK4GIPP9lSJN5YKI7IgzUdcg8+KJLQxOeSZKtpPIE9hP9Cy3d2wNj7kqgIoEbR LGdR+CI3E1NvBJmitdPEVI2ZEncMGCl+L3LciGYX2INVbKOlIf+alcIQ23ZbBsTEWdakJRG5FiRe bC9hnM2Q5kWuRq8ECGLwvaxZ7FNQnnZ9bHR3kiBucaZQFvE4AYevM/EBHpNT8xkPoKJi4PW9EJxJ e4c9YZj1PHwj+t31W6GGQHpI9Kdu0ge3fbc9CqCeQJQxcPdydh9tM7+3t6e4YDQqsGlbTXAzCd9L 7q4kCNzVNnf1t4rPugwjG2zt8+z1txqDMF1SF5T2o7Z6x+NMOYxBKhDMWfvQDL1KLOdX9UxZBl8v IK5kE9/Sx8Xfp5MBlm2FCQbUJIDrHDQ+BXhRuaAkXGtX7aeDTIASg+fMfa54bYnrFAh9TgFUpoPQ cNe9AmGXMLpCqThPJxQdFr6GkgPAN/XAcGkVzcgK9DoPvdmZ0YsdakobOvZxughURfvFRm/7+llx uHSwPaKpyd9drCgA6ov7P7gqmbXxiG63/MYHGdtx++RglhdMA8NAvzFn2jiF3GVj8R9SFdv95Nz8 bzoN3EBylbNmCErqUzzAGhTDE/X7MiNulBb2+D0E0jkJSsuYj3RdTjENzb/EdgXQbd7FqMJ0Z+b+ f7nfPZJaOYJAmqrucJv5hIA5TZiOkRpIFJyX3X7qtqWlyqjDXKWfv+evnFTvEBS5b13ZKkQCVbl/ FmmBvAaRl59Xt4m4M9QQVlQEyzwktVsxXHyZ1pKMT5QFe/6z8BSoWpiJBQehMNx7nHFYca5S2tWT qg75fCAJ8NEiddiIflGcASyoRPFvOmryUNGPto+KXutn4NgXv3kcVmGUSiifyZKOQYSmDgmrWb7F M12RnAXdjBFXl3WKpfI+7mzl9IgkPkYWZ+hK1B/YdmwVBS2aMy9OM9zMJiGrhZh578lVezG0vM01 AwnDx+RCoE9a3TkyHhm8DSryt1LQLwanvUKxaDLHIYNC2wZrrw/cYnhFSIcHcSjLPwiYrlZfXHal hXZg8dFnLyi5bC9/331UzqjSUBFPRrXclu6OG6AR3Z846mySq4QEIfBWtvmg+dkQYcrdffyfQhv1 qvwiCrT5tdO5KwMnWOnc58l/81ZzeOEzmiSg+mD4yy5Kdizc54k0RtNfi58sZ6j3+t/JmcilKDim yFKiXMlhU+g0NRfM84NN1PElLc7uhbqJ3B9ijGDQafSc3OJJW/DynENF56ErpqLOFqVKcm7GWfcz ICSPgZTkdkBgRnlmlyuZhTLtOgjVon1azhxJD/ByojpQQqgY2GHcMhH0iCLs5RrARQUIVimJ39yQ ooo0ucv1slMO0jFrAwIJnQ4OXH0Yqjgws4vd2buiV2TMjJKbxD/xi5D6qXSLUJKAdO1kG0P0aSQK olCZMgbdRlF52m9scJ0IN14Pga9/X9GKzI7LNmiPgK3K01atg5o095PSoY5HNK8fVg2ugFrPaigh yYwRM/iJ3dSKwtGH0LqAFMWp/1Cbpkdyt3yevxtrO+AtPe/69t0mnO33jM1smhbKsQyDReCviCJi ljpQvvtT+WhXc2oLVQUpqoQJnXbtt5kuw2FWlNA1Bam1jAEF/WdRv9GsizCpN0v7itkAujKiF6Kd Wqhj7PGc19QNtw0IENJSyreEPQUTSKiBiT1ytLOk6O0bSTOIkVDlmpcp3NN29QOabNb3g2lGfVqt zcH8m6esV4uikiIInwSfZxyY0n2hNrckpkXjv4HwYxu6oD1xB3xyVlrQZQfAY11pyQr9D9S/On5S aFfAqGF0nfN3l5DOrwpjSdn2nOW9wYRGRGl8T95d3/Q0Js6kXLW4hHWHz2Gt2Jgi5sOYqvJEab0t SlLHxW5sVVxeyUkgpZZzOYYoAPz7M7eENMxaCJMY/tf3OSVRH7/jNq8JYMGTxgz9/xVk0lODYJIG w5cOGHjR4CJ58MAe6RqYruYnlvEzDxROOGSp0a5TSQwPGrBdKSP8wDHUSyNwPRAh09uXmZgL8DTW 3bDbOEIkkgAyDTBlrxMSMOiwA6eD4NxPu2BjQwFeiBrJIu/+l05cp14tmTPAxkAsDc1JdKSmz7k0 G/uVbijDrVhoGOOG71MqnimwMJ9Xl32sRmyEfS8BX55ncSU8DAHEXDnrmURSy290nAwpmGIAuW1H QovoFoJiKZmjd01mpLKGCkvjk+Qc/QkHsv13zOp7OUeX1doUKNfJ3qIEO1vmxLrOLGyrcoywwH8G 8E8mPghN9BxiX1nPFnCTU/96S5A3mkBMe6yubj6ta+Ta22jzskp44PdWU3OtCM6J4Y3aIb986A+G fs1xVOyd6NUPG4CL/wrK4s6Et/3gHvouH7QecX6QfsnrYReT8JRfOxwTih/fzkJPSDfTrXtR20eo Im3qaq5RoD+jMLa9MzGROVYh7+QPDoyYrkTx1E6UoQ97H/zPIK1Z+xKJs4D0yxFweGBY5gb+yb66 SipOIoRrdtNBr1EaYelfwQSUTRa4yLWR1H0CPLEFzJOyAbKiXuFOB0Pnzpr/MmZ94R9J6FfQE0+n bKB9A+g/g5Ti2mCuG5odDp74a3FBu1E+vOvHKordp8ATw6FbwnxiMwyLDyvYErJCXAYX8EaPTrM4 rOqQ4UHZrDAt+0o6XdEyC4tSgb5PVk+z3/VlFScbYfo/9UV4I9U/CvesUWjgl42n5OHz7LBiNR6U eyX/+eWHyC1uz1tKjrDAkI/fHPZIJS0rIpHNENFFb97KX+GnoLvb1tRSjahdWfdNTyAeb0jItFln aRG8+8uAcQoHMvWIHOxt1MrIv6aFPUCxAL0kVjg99UuZsc4YQ4StvRsLvssm7zAtrcM8I33G2O+r 1AyQh+vmR85NPOw7eQ1oMae33dDqESvCWLU1DFlO9YGQoMGm48WRvX/ltt4u0OsI3xfVNLs+7OBD pE6h601dRvsZSAkEfLheGNpPdPFOi8sueesMSIaK/Y6obStOZnOPrDowOv4BfShOM/rdyDT7e5Qj U3qcPnlKVpqvVbpHMjjZdjijf9adc/i8/IsC0qFZ5m8xUf7317QO2DvkzToSn4EbYGqOERhjHxWQ VLGwHAcVklejKYCWt82zMsH3gvijvqtcInz5hBJGsQDq1swkWEwgEo+RzIMc7hSXOEuvdMhVmhQ5 8MiuL9ehrL4/kzuzGFkQ97jP2DdKDkHXxx6d3314b1iORnVVY2aAZl2yapkIfWHDKhp5OjNSVcMQ C57alMAhSzA5dN0xWDs8yZ2SRJPNx4oImGzJXcspwjeUBAv8zyCQAg2QnzWYfjfAykjZclbXOhbG aGfXh+YLBLTGvtVm4qFA5HfOYTKTEEtH2SQhlmG7ajBMmFql4EY8yhd0mhaZv1bxlEf51ErXbKnW OOf4xKelm2Jgrf6MhacY5feB9Lx/kpkqlmXlgUfK0Kjr6l4VFBcbD+WVXG/68CU3HU1W1hU39UCq 6PUkf61MnlGsUo8JizM43BlJDuJPghqOcnjwErbjpo7WKcWqkqtEKzoNjp+KYm4+1JWyEO4Js38O B+U5RO6HNVQddf86T57+1VQqy5eyQs8ezsW8lTZDirwfkl9hn8mQGjlcphVnaRQXw1sTQ6btRNj1 7r8gmrnUPjc+IoRGaH8UuXZhsiNL6qSbSvp2UOJ8mPNxPGEFolzZB3xok2fsXBnVqeG4jIJs2FBy M2ps0IWXdoJuhAcCa6grClYKfK42/sIu0M4qM+bErO3SmyyqU3DL3dnI+2mWwljApHBYPbzh55IH K6YJYHaVn5jc6jEoQezaagIR0ovdwcsIGZ6UQX8E20yVqDbHYc8jGwVfF3ZZ2aS1wpg813krYtYL 9sse+quXnGbAwW+effuM7A6AZTRlWpdycfM2Jf5p6wr9EFXSYhAYrJ4paeUfPmhqLXV1JXNSo97O u8gDEBI22qOy+/naXoe/AmhKR2itWBM8QgOKFzveKb/XjCU0J65X4zAPeP9xFFJZWH857lsG6hHs 4TCFXfkq6NrKsb5C1WtAGj9E3RmcwEInxZMh7HL+ZCSYyHZ5BhmAbuxihADLpVDEe3bqynH0OZL7 LRd1Cf1WiFzO3oXlGpZGbqGL9v1vfPBu2gb/1FXoYBJzdwJo2i1W+6ByTNWKa80zkFKBi5Sy2yzW nCsHSCX6S2/Y0wAanEEV6x6BrhUa58LPlYJlZfcKPsu+y9bx5+w3yjnDs46DKjoCQIP1Ay+uG/4L h/zqjUaMuZlpA0LGhmOWqTzFMHrahTf7+AxHdyTz5kDe/zG8Im2sS9SnPVaSz7uKEfxHrn9dGhpS mGX5kzF0pPAOAPkBiqtiUxfDSEr2RvHTE1W/mHm9yernfhfV39DCVNre2ReBR3cXszN57umppWhV nb4omhRDI1V983ASH8hmjVhU6aphd8DhL2X3ussM5+ql27/TF0hqcFQ34Ce8Qz1tmUvyFN/KwOoj 5jVlqgo5ghhramaGq4XACXt7+bk4dYC9TMcJJcyrzDHEF/Gylml6NdF+mASMlmkM8/yZLFyoBkaP wu7fOYz0mTaDFVJM4QaZ58G2qxO4g6L4SKZy1yfCZn5jcfmwZ7+KyHpT9jgdhJlxAjykfBXFu/OK FG/T1mavyq0laxNFOdtUZz5MYvyyEbpElDoRpw99BHNQDV4dl/AHe3YMf9udNjsYG85Z4UjxBRoH fJliOLoZuMI+XrHcK2SwFvk8NFRNeRURdTOZuKJdLzNMl2h7ltxcmLm4v+6VK3F5ZXEWgXrPXsU/ rgcVPo4gei7q/U2sn0hV4lxAdL743mh9jx6fjD9LY+7kSae7rmaIQn7AT7B1SZgZFRYmePLPssTR EiFfjeqFXc9YW+W0G/ZaajAT8ExLtxBhVoiyP9+6SUXOXRm8khqAX5NLP0llY+kSP9DB7la612kM 9BI575FYIkGZ2d6jIXF/8tvRC1OejSjQKdzHE5m1sqJ2Q1tfZViY3NeD+boUWHzBt1VUSCzQS3ui 6yYIfX4yL/ACypqbXD3con2PwopsqCeRrjaYphjJQu5Y5QC6yEa5YP1gMlNVApNWNciwSOhRyhQg B4s6LH6tHjm7cLpi1z8l8qAudHKdJPz30grFsonNevnj5oQtUF0MRHKW7sNUNAi31MPp9hWlV5di RQ3BLQXLWgoax+5BgPQA85GaGZLam1h9B72wZkgNnKbYbtFnLQMda16MRpMEopHrIkhzepZjqWrj 8Sw9/p+EqRR3smwNaDon4zpRmeTzqAmWmxGnOWtJZcSABI4ARNxjcxJ4KrsaspEQj6imNKvTMXUH o769hSkTfZ2xDoU53g6R5yDoDTGXy9eeT/P/08f9uH1MbYA8R1/Uu+l1HY5vQjzu1e1Z3bCqaKXr RFLC/t40SWbDh7QLhJIAzBPngI/H7ut2v0/p6hLfvAYhO0EuPR7IPMOzkuPe/1umJEp4d7UvRM1X RizNnXtEhhhdx3bYkJ6dtwWV0w5QGVxEXQrhBp+RU4Lm6U6k6F9WyabTbx6xmQ09M+Z9C4GUfKuE cnxOfWa+tVoBw9xyI5MnKXfYomOsiXsGTN9HBr4/RTElLoUd7JPOsQZA9WL1VFXoTwqj+Z3cqQZn SpPBBn/1YL5C6b9bePbw3rsB+N/6cvxBoosF/kw3oyk5KLHm3wBde/h6TnF7HUeyPcXuZ9PLekH9 PpVRCTCtb7SqbGkF1waYNN/RVThMsbr4YEndGJ83SMOvuxEAaY4Wf0bPgVj0wXhUy5NtzUCyI2e4 dwxtlnHmQVS63lxCk3X8axC3RguoL9PbyiKvufBtvoA9+mGHbTAu3aDGcVmwpaFG36xML/ZU7SP9 LVRtgSCcLBCL4aUAIp/MfnF0LK5GKDbVm9vB4t+0fhDZI/FPwAYzpPIbGXN0sX3bJEY3OSF2M8/q bIDE8N1kM/G89f7C6QmveTLF7v7cx++T952YHf897h8e16JaPEHr4SocFIwl8svXvHdoFB4MzysO 1t5ocYDtohOblR1MRsLhcflsmzcR+kBs8S6WYfQrWXycWuPUdT6nkoS5u+a6TQ1xU8v+Hzl64inG bFYd9+AJcd6tbjqQ0xlw/l66ukT2kp4MaFK6w5x7Fis2z+MMjPwdWQfg+CzFSBiO9LRUQGeazbBy pR3bbbcgtVPlr1W3FdsaHCDlF0i9oek/RAL3JH6ITog7l7M1RLIoXhoOdRos/cD8bjksKPXgjhLO /iCi0BNabLVTGJUGeZg2sEVt8MN57DWiEUTIt9SVjHm50v36neXcoFd+CaUdn2go1Fu2yJDV90Hz Gt2T9B8cSbtYHmkZnrJsW+OjiZotNkX4gM2/hcwA1CWQCLlxO+56cKpnKTQlmbsgCOIy4Ijvi+LJ Vojm5uaw9r0+29lXnFRe7TJNn/jHDj62YhoOUGonuNDAAY8HCMeJPPOobQ3Eu7bSpyTQc99Y+Uuo /gng/d/5LXsN3cwzo7xQhBES/I0MaiToJ+qYhQIspCy04/KDweXxbYvLdcTh+SlIzFBAyMIdgD0i CNcJ89boJbO/mAqZ3dW3NSuuY+UV8v2xhioKMHSRXPW8OVNcnTqAOENJCvL9V1W52+yVdiJ46SY4 Kxw7RdeCmRtE2fOZvkfeQ5+/njeGZSvlS52CE8m4rELjQ0r9NTLELurqLhoQ4uw8EtZSb0CjaKYj DakmPIRbVCJNQrnoMWeJV3fssGACcBh80DRMTvcs+ceJxTduIyh12uaM23ft3y8pZrENyx/oB/tu 580LGzAQJ9oX81KHjHW/5PVF/AKPIFSzPRyW/Rw5qf4542/KrYr+5w98rFQeB7zX3T+kRg8jlDxT oKYzILcvcXMYYRkt+7CkLCT8laIHOhEpNpS3Bn0wGcdhSVL9ZRYabA4ZeNddkbC+fJ1MJuBLQXT4 8erDMWVCiDAZutehg01Gx86x7VUfpqdaqmYmBsnTkqnV8W2Guzcybt/+UbCzFVwbKfjffNfMG8up g6566RU8LwTNetOpQgW8jxPzUUF5sgaRQ2j8uq8NJ4Uni1m0hVwa/PkDOOosvR66r7qdCZ7kQo2v i2yYvOY95uuXp3C9oALZ0gHawxOgQ30SkNww4Qr70/bC/5ZEH8ok0+Ab6e4j84og33BXGgSCB8s5 zatf0LUBZ+FL3lDT3ahspwKYrb7fY60UXiTD8+IQ40MGEnD+Ah70TgZ7mc5w82zfXnrm+olrMqs0 6IQO1v1746dE+MvTJfaT2sVt/q/UM3smRl1AYeJ1Szj0HTB+mHJ4p2vRTDfCx8mhmYIpC7ttrGFQ OlJZA+vicFM8kkAdjx82UInNg162qQmfAfGiK+7E1AobmpOitGwQsHFH3LlHx548Mke7w1wWTpPX 2QkxZPhQYn+LW1yoNetjpxE/c2Weh/XBxgU1BG01JGcUUqvnCGk5VUSv7f3UbSXTqdqfFQm8euJK 2+WabH2hV3HExA4n6yZNcrFPC3jQ8DItT4+VqtmlCRRT4zTUp3PrrbXOZs4eoLNB26wTqwi0+VKo KxSUZ9KNj4SMGTR6t3V77neDDYPoOGpVzBCFyanhCYQMnCQdEGszjs37kHsl9Iwsm1KqpJogygOf kxIKO5H2Q3pvo16A2qHQdnsXAtJnJIfICcu3uTLVoxFB27nRKdv4xP/gAufaek3Y81DGjbP6ta+/ Y0f811D3nMaOsrBDRGo67zzfXDQaQiX80Lv4n3NjOWkIBkz4723xDna2fF9YgSMSTmGYIkpu1qJ8 Qws+Zk/tgrxgp/L/lcme0ITD+X40989xhwbdLr3jQx57jYMZQdLTuakbozVMHttbMkzTobnknfgS omORB3pl+5o8FHbWAva2f4d7bY4/c3FrUJKYIDZlXgrJ76YtFrPQzEnlzda8JehQbiZR+O9Gbbaz AAHpwmNeBwLIorcr867oUy4pouJ5c41/ZeDpK8cejDa3W2QkHMJlPFluxV2zC3Y/UKbQE5SL5/v2 kg9UYUm+CjpNGs55wFx0VkG8xP7Y0NxyhA+3gevKTSSWTfv6e7fZRBEgYxZQpnRCOO6QaxMDvkyT d5K+oFBW6xeXRUh+BNXS955bg1GzfCViIaCE7aJjcLAFesqQzQgTq8KBTS+e43QqczmrVUwWYdBZ LqLA2wUj7lOV4yxv3vnx3Zrm2DnJUCtF/dfrNc9oZXXMp0FddTmcy5IG/0IgIz+LOc/LMZGGI/fK ofIYPfk29WVGtIzneNopK4VWh1jY8xZgmThd4E49s38rpw6/020eyLcOfa7CsUkjR+/4TqKTmSMN jWSfOeVTxzhgZG8yZPJ602GJNRTj7CBoraWFNzRIa4pAmi0c/9vPZmbPXup9sh8pXrjgfHZVX5Vy ZuRK7Xnmy1KTmQDHwtaAQjMjYCDzQQ6z08TbxHpVH3YWZnmnkAVx0wTtE5ATDHllSFM0XS+ibVgD JGPEfxehnUv4e5eQMFsGrywX99xm1EBiEm5A22zP0s5oOhDYrqikndwwRakNUkIaF8SJ3axPITGe xwCJspGQQ0NH7PdJfnKVFJBU59hb0RSbzAuwu9vT+IM+EaCNNzu1L5tMJF7+9Rj5FgummpGXImdX ew0xKenu7yCDZw2N3IkdLJsdHpSV9kBhVQjkVK/2O94YOlCpAdOtMYqo6RPsnYKZs4K1DcFFBfhL scGi9CcWr6bbjiFnGD7VVwIZv7MIUjpH3PdR4rAR7m6Jto727a52aIAp+7BHIb/8fTxm/ujAaj4G YNSsmDjfBA1/BMvbnKZ1mZjlp2cNq/p8AkJdcP7tMwH7E/5an516tChhtRMS/9BnsQ3C+4YEupQL kHXsI0QvrvaNqQINxdJNUirzKNEfcJfbvjTuVypi4/N0ylNtlZcWOTR1O7ATksMDpHl4Dj5ChVbG meBKFWWaGtNwO3IB+3we57wo2CJ5GT0VF1eBxEVMW7mczmfGasU+82YGsATCLdyR4XCojzX5ZhQo IueBvkFIfb9QvMYNbswDVMvAThwlAR2/3fWUaL4qS1R27Ytlba/0dl0tsuxHq0GezD8bdw7ygjEj 5lR/QEnN26YdyhYsJg4utwimlnu5JDShmofWQtg+GpcAniCddXeKnRYuDkcSO/YUdm5laGNFh/9X zORG/QYrk9WnQS5KmuGGb6/U/uBuiCBPaefTPGzdoI5Ijm4nuQV2nwMR2glMZBy7fkYypw5vkF2N LUwPvz4tZ0YhMcEgEMYbysSESpxvCFKA07vtQSvC6Vf9jQVQcI2EQEB24cQZjFvD3uNtSiAeuRpK F4SV5NuMU/RZtvRSmjqOrCR24w50f/bqtdeZ7ryrYjQBNGzRZ1HsVoEe9G9gS25uM0Pp1J4TBvwC gmWkqysertWi5IjcwUD5DX5p99TDdbV3CIY6urk5y83hqf5O8YuWmZMvDd6oIK9d4sbn82wY35tP V9ptOiYaH8+3IOb6KnVwP6B/DXYQrHcXkggNDs4FQ4XxBjuIrurf2c1W4xZAvpFHVFvWUp34rGBl YHOXC7f+t7y/NFiAg3ka3T3AhFa4jypfkTDIDwe00J4CFnbHMQ4vSxuj5mvcxulOXoShvmvsTaOO r9mR92I4eO1d1s++QftHKjYAkXNaU9TmyeRU5fLS5DhK2RD7sF7B8P4ri+ELZIlBEzZEFXLICU0/ u+gctRfXgR95XxZqxg8xg/LNb8/afDmq9xm1tuMJwQstu6Yi3dMmlYkpxQuaxpd61/S0KAqmFUN3 jPywpjb230RyuVgETVhr1NQrzklkXRTfITTiV2Y5OsYl6/P6c9IBIHIi4tjsqiZNId1CcyngHytX eOVmRvZhLF4MONa7RmcPu7XMTxtCxiaYQlrwQbHiKWUEXb9uH/H/ZGXKf1+jm5upgVj2XCvmS4AP Jy5G/CiOpTCjwUA+uZ4jmR+4iJ5Ee7gd7jAwnBZ8chdyRcZGjhF2YOGq6ls71C+1hg1mdLxdiIcP 5Zr2kax3y0SnxfNQanUdrfnkFpEd+d/yVq7EWOeagwBZgiX/nSPj6M+y6MRDHt5k38wAGIHaHj1j a5nXEPj0CAll6h+Vj/QFDISUpGLjZsxRIXNIu0HnhNLTUKzpupqat+Rq/nX5O5Vxmf6hVzFmQkeL j3/ZBdzTkpojpZhczwMyzaxcfSX5PFNgnH01T2UR2Ffwgnt6oQM4eukapXtCpgFiU3FairO4iQY+ yao9QtuOKX/Jav6jCoNfOgU8DJ51Ak6EdZjrav1GW6lW3ch5PuebS3jLJ9BB3v9zRlisQVrWc9uF 4T1fJXRuFIr8LnPDItWTURflVzLrEv4xVKeaNirpfTvHwLmxS9Ph7RdUnWYPxG45Z7hUnqiBbN2N /7OPyOAUGvghTi5o402WExR+UNHWLqWPeiKuRFi01cxkS3QVSQbRVmYMc0NytbcTI//7T2/INakX JfIuWWHfoNzonv6asMpTmjjIsdKR3Gmkq+ELtyuzIvPrMcjUGmVyGASCvAMo90NSY3ISiAoUJQMa BRCVNwp3DaATz5BaeIi+rpLcwikDjachT4ZGHbF5p7o6HMmc8LE178j3acfraop9xGx0og0aOvJh fw0AluBrubuNcG+K/y4p/+oR43avMJWQ+CQX8Q2/v7ck+FhO7VYFnhkV0IbDd4ULpfFqVuSPydXp jjDGK3XGSF6TKyRhlN2Ybdz4l8J62kFcfoUBAeSKcRcvn637P9FCxgKh/pCdOvgKC4tex9z4XRrC JoS81wO+coT/yC1bcNgFNT8FAcfwQJx9O1ZIExEDKqPj/zWq/ebWKE6r+zIFUB6JdYNu94eRVpXx Lf25GgdLjkx+Vh8f+Qul7N3kDUd8t0xGyndx/cKWoAJXKCoElka+ua2YGI8Sm+RHPQE3liCiyhfk 6CNbBiD3Cq9gGiY7jb2gnEcTo0coj79BmMBQJLEaql0Py9IO3vyHE6mIKibzTs6EeiC8EEeg72vQ D3/2I0gkEHwN6ohvPx+1tOdvIiEZWdrdtjA+0KMyZccKdQTsRxLI/C1jykIsZmGVBUfQfrgxrCHa S+GlxEBAUEP4FSO29ivyF+o4uYxIynnO+URoEaAlXV1tHqa2AcDGeJ/fM05JLf+3enhGALTa3pgw dT2ubnCxWVEIz+2qKEdtBuuQwNoH56jYdQvdvAs/jcza4yR4uIPDPASOe4467ZH5GCpeH0eX9rKR jBBAj4BvM3eoG7KnB9KxG9QNtNkFCpWnRXo87wuyRNJxTb6cvx2luVPtGUpMJCRPn3zTJO89m78Q gS4IGBhldTicnDSe2SAZTnHDlKo85DwVTmRDEZdtLGgrR3/WWYZq2WbVqK7euzcDq4ZscPe/rqiM 7SF1+VwgfTS6H9TyNKgllaiiNaPi66SIzSoaqFRblKem74U11sXiravFdYoNCGKA2QER7DG5e05u TzOpiMJOwu2wR89ltqECmRSD71xSKdNQHbrEDtXNiuVtLgPu577Lm9YKIUmEX44KGMJiFXDr1MIe gy62FEvjYWPHXBmUwmVNQfmftgFVMn3FyRFRe8wM75IzADCxFbWnw8eU+GwrlJKmoFQyKuI2TYyS caYFS+NNRlq0Wt1iBe0i9B8lIBLuUD3cERZLwcJFypaTbyxsBRipmt2xrHaZbknBZiczQLc0C+zP eVai6a0ELhK2jNHbx6iXC4VuTOn1cCcj/fDf9cSBeEl9WzkEkfQjmBTPo3/xo47a6+3RYElBtOmA qeItUr9sEFt0DNkjFpcok9hG1GWuSUGfvWoNX3+eamvQ5zv6P8fxWbIrnGY7cghGupW7wcN6V1qf 0xZ751GcjhosNHFPEki8qEJ13bxL5LUVIKXU8+XINWdVrYikIzq7jkJzxsL1HTLp42714cd3VG4G 0/pHnlIid6KsyCOC0aHXHIBD4GapU4UuwhfPtcfmawsdan5tMZ8tgA+Tu93Knj1tkzGwdUnF+21N FuZ3gHAgyrUNcHHlSf6GanWo0T9F974yDVmTHNiTbT7Jveeqiv/1n6CfyW35hHPlw87JAJ/Y0KX2 yOu63o+CwmA539zJ1Sio1/b9n0DCSIzAMYKyxUgq0oIntJCqnL3eGtl3ob8qadS8SC3Pjvbp3n8H Z0LL1V16fJ5UlinGzktibtMvGktA45bREr/f8pvq5c8XY5U2tCwgTis5SB3VHtKD5Obk1q8DEVx2 SWDcNwpGYbJwX4j6Bo8iAuw6V9nYD3wHxrJe1qFfHrDL0l8GNuJW3C9a5UpHgrfFPmhimifml455 kOIPGA4nPKBz7WVPdQYMo676fARrsGiDES0kQUmx66BCTt0MB8Abhp072u9Olm0N39mOGlghFDQ7 ekboXW58tWKybKlOUnAUytPDykbP6RO6PpFX3sR3rh2cvkFS79VyiGA/XI52irqGC8oWo3GjAqDu L4ZK3y1jflYbi/iAyDvjJ9OVnQ9Hih0//GQu0Eqp4bYKklRZ2V6Myr3TXd+DBWKwDx+cDVoxBdZ3 x2sJ5KLELMrXqCyfWSpTV5gsfmfJFEPyfIKFQo+9gQjrpOwo68MZEFVsTgT02uIhjlvOTQJIPy1o DNTz+elIKAs7vcaYCe1xHOX4woUCB6qT8qLyChKLvaYTLfrH7KCfxrUdmoGIhqK+D+FKlwOI6IeA f37WLpJL/ACjpgesTTGjIF1WCv/zUy+6uAK5wYhVvZ7Wdxqaolb3MSXusTHoGHrXWGZ/wfVs+5OS 8TAq+SS1YGGht7U19rr3e3Q/rpFutbYJI/gIRYMYFd31pTKr494Ft4EVFpYXwemcAz/h5apB+gdS +nnPf36lv9w3s8vprsO7XIXLoHWT+mV8E9o4GS6urJEIqx1XcFOel9Pn7bH0FoasFgn75VB6/9SG BN70mgJj5PbT1+nmrAeiXZJETa8Jfk2oCii/Hf7jGaj9lOgHGxfiw76K71bW1zpIAyN6jQ+VLLa9 7O8NINsL5B0Cs+W8mHQrwWz/lpN6VKnHjrQR1EqCaBdqlBEBJ4ZKmQNeXGoQNaAuia4Q4dQ6/4nV dey6X2LlFhIpghqTBwLKQ5Sj01gfCl2pnvV5L4bgxD7MUSOkV2OgLVAVt/Fyy5u29FyhyZJ3heb1 z49g1tt/RLkrdYI/BEz5jZgOtpmO2E3M7ajXpIaHCvAwxaUt/QIn7ioYbIvd5P515Ab3rnwhzUw5 xFqLh7Q/JLN67njkJK2qbzV6SWy12MEt25lmFMl5/+0wkpapvkmrlHdngdgavj6CiKM2yld7IfI0 j+M+lMhCYjh9D8CcyG59FhKOtJgrmzJaQX5YZ/1Glq4oahatOHimZ7Oq0vZAdHyI6SPr8ZxAqpRQ CfBUHA493y1uDCEjE2YEq6B7LfnGjwBCrdN9pQUw3ZRMqxKDofGLZn8prYmCnRJX5BYlRhzX8Vtl VbBYhSa2niy6zae+GMbO7xLwSy/qwrNWfB02eneMmNq3fZ4Sf/86jLrLqH6Tux0Iik3CxbrvUneL 4QqPbLkfEwKjzUwWJHiePvBB/fSyu6PYtxq7EyJtTMDdofPimrEqpxfRT0QH2qsgLht+jYHSS/pY s2+0JeAtDdaGXxjPny8NYXIrTAIiMU7W7iPn2yg7/o7SK6z/IcYdC/yN0ydymTNwsOLRODd0BJLm TmOnTWkzA2qpuve3X5lF4T6i5Cy2H12IoI5bmyRyLSzYyZH9Xyhk9eHWZFp6XtsgeX/jX20Xob6J CSEN+p+OI5FVuVPMxvQWJCibRaZrQpbVQ2gv8q60laBg31dvAP7jEeXZMxz7ry9nep4Pa5s8Vx21 q7hGUrHXXXy11U+wXWmDs7nPcpvV1BL7mRVdd2BS7t4YGGif9axQRmaXz3paidhftC0YTm+lG4u+ y00RlGJ1CKK+MM/vc8ldvisx6Syc7aXwqoLvCG4L2B8BAMA69qpmGfzcEujVlYgPBaT5FkTCMK7m exWF2feOQ1gzjOQMAwu+koFOnQeBkSleJAi8eOqRdu161bJNOP8Z36KlpStDTzwqVhyukeYd/8eG zmtTOHaIw+QCpj6W1nyWJJV3N5tNrPxCB7Ql9xobA5wdwyXOcrDV6jPJ8DOt9CnkPJeNG/jspHXp POxOv/Jff53rEm6Ab6Mn+SProgL2AwAVGX+Z6U1fhEg7PffXOY+tLfv2RbCADKWdcQwjZKzOooET 2YDoCWYlfmgxxQHGmI8s2zxLwbIaRyRCPKkzspgMCEoGPI/nHNxLt8od8gxyUzrjAQG3vQPBohUM Ih35Q9+OWa7elFN20tTezo1Lm4+ii8XjPJ6v3dkvIyOohweiHfuBgir7YUGC3FnjBD7KJs6tfE7a 46vT5JAoLWD3H4syLof7N31B/dAfAKKYjUDL9S9g1CEQ+JJHvzOyX8/GtXl1TtrS/y10RszhtbSN JCPLAz+dTOZQ/QVTW1ZDDMGwjdvI8NbYP9RrpfaUAWDKKFDqCvIfdJ2Yl+UA8SpeC92sAqE3AQQs Y5GxcDaCkofuA0YhzlhcR6PVQM3N7MVPO9pgSCB5y08NckWL+8sQRJ63R0Kl+Txooy26ynvW1dlZ blAaIBMMVIdq1TGFh8w/N32aDKmZg8bqp8uaiJhGFt9My9w33eiY10zpvhkYTTKYzxJ6KDjGNfuL 0UTOlRKdIw0kLQwIH/rEt4ZvBzEbwqide1tlZB+e/0XxLmDW5YQhmzRZYGOztZnVsRVa6UAJ00U4 FCLakFJKz0m4tm9hcdt04uys1l20WkmeQaJEZy61c0IIk7wNg6NV+bRaf4Z3SAzPFW8l/yCBt5eE pEL+XEGXDx9p9p0SdiFlgUOPiImdQu1UYcFL6wCLazNcFpp1w1YPRwdtkMCRLeBI8nCnVF5e5J9M s8jzP9piy+nLl7SBPKA6cJjg77hun/ShXoYJdTjJNF+V5UPPxKbx+dZVwX7J4NczVp0j9spFyNpm 1W/L3fZS05A95CPpuqdCUNpzUYhNKxoiMZsQuUENpPOW+y/Zh3Y1jZ4fh7PTM1LM+G40IZrvIhF4 U1p+9d3l0j5Q+hE5p/7/ZCFSmABsX3kGSBOYiBkSU6vUqYd+rtvF/CEmZYzyGTydkvkWZY/ziiqH v3gXNOv5y+BoGkUr9pb883FvKgRWDbchsAHymjVkzdcmVVSaGo5DnXvs344j8rFcwy2foJwiJA6k nWMGHP3R6gpGGR0tbQbqP7vAUiYw4temPLcg2Ypu2Q92sbJscS5zM0Pl1qq34TZpfTW2e6yy7xgW Li+rrJT6WfrUTXUQbb9qRYRfPweU3S8bnOVpthWf5dTyaX69k19Zjx7u2Meb09L56caJeuv5RWm3 5lgCU6fg7st1/lTY6oQ57T4IabfB/m2GdmCim3qK4ki+q3zXfZXFx0q1sHPVxmznQg50pIjubnYA x5CHjwcIrCK2RnO3Lpem4NNwFbiroF3SATO4sPrCVcq02x959Uo98yrY60BRXm0Z/F1XVXhCWEGD Qo1WlDzXApBAu0S13I5YMTRdOMsWEqcQbvyOAAzUlDTr8XlWMMg2eNRemXEArVlxYthxZwGAUceh B22ByTEfgvTq0C7N0O9P9gbAIEadWCevRv6k8jSr7nQpZihhWjtyw5CPXb5XbV3CbcBCtgtS32c3 h/47Yi0t6FD8trN6vCc6w51t7j8SIMeFTL2LKbkQ2Oyw3MDdxHVjW9+u5a89n3l/jDSwwBGVTlDL T31Z6H49RLOHETpHvU+GhYoJYF839WDeM9nZ9/76g42DQKeB2Bg8qtKFzLlyTmcB3iqVfKEXUzoq ZXDxDp2Cbq80+0GUWvL0AVS2WNFh6hbuCdcyu8YtJKH7NG49uEFNy6VAEP+6hIuFFb4+zkOXqnJv ebCcnxPt4FUnaAkCincIJ1okMZljpXeXLs9kInM4DGODh3h9Em9SazlswAdSbnQgxJqQMRie2aTQ gIESvhSh8rAwe8ehMQAFuRfAS0TSgzCDC6vi70BvU8bbyWRXPu66ohJHButw+NxKRmUEye6V1fHo LZV1HXOwEI/f8EPejVuVLEMh2YGYGouLwAFKFAGKrQhUHVaMNOMjXl/PIjz//Z73CnRuKqRWaU2y hdJnboFPapkWZVXpzTaBJyBzO4UdYJyQ0bsWlWhoxNcmCw2jABuJct4w2j+dmFJ/ETGVDf5Va3kW elPglH2Ss2N2JiyUya+dOgB5p64mfByCUrwvF+YxfkzeTK1SPD468Ycmkx02xl5vXjTTnjVDoGtP nF1r9qn/SFiwEXmCvBCYBsWT8eJLWLeu1M97nTv8RS3Mw3EQkhvjoK+MrwaovQjSHPC8kBozd1Sn Aqsv3R1O9OyeclXbD7NIcBcdJ+TyATeWNTMmE/et0ruudqPpnMTd81k4zAEGwaNMEoM0EAkJ9Mnq DzoUaT6xZh7h6BRhAX02SizNDcOSOVteiBOuOUDuyPX3LyQJ7TR3N+juIbaEAYXvuTeMKSNwZF9a 3Pk8R8A2AghoBlIm+qN/Te/7xuB8zly1SFm/NhXBHDduXkpqYdmYNgeK52JZINjz5DiCOA00RJey EhJ44EJyPZNZAt5J7E8e7cD9cGNVNK7TLw8e8QWdsvo82X19y70vcMPU5Bed7NeOqA0ijwb0rjd9 YWrO+ETTvCrmXI6H/frS+wYe5+RFISoC5mZ6YKmR1IywB1WtmAwSARO16bmSo7/770sgiilPKhbV 4AyKqMawz7prVnWUvedmq9Ct2D3GVWv6ofT7ZNBMNhez3eNlLgmzeh/GBgUcZ5K3o4Y/u9o7tNGr h8RyDmql3OgJBDyg2nqkMkC2TAkmxE+Aos2Gmc6WJ8J92xDpkMZXVOEA6ibE2ZfyHxsE0SVixv5W d9bdSzVNo5Mk2euf9OLQ0jwpGhUQOm7ephrs5WghxSCXPKlUv5ZsZeiryQ6F8adj9aF+xtDLurDB z8ifOdKrRvA/SumUZ6ojGAs+9lFibIFoG4+EFcs8zrlkb8rhCPNoiiAGSUjNi4XF9bfuNAUWxBPC TvrdhENd+h5a82G2FHHA11s5AOlHE7mo31sxltMJC3EKxk87GnrpRX8N89+lRpIiVshYrhcNqglM f3FqiPLnfUET3zE9h3Ofd/4Hae6WasX0k16XAh69CDkYXbV2hLSsMGPHoBGl7/9M2ZV1ItI1Q87L eNRJPOVrVsxMIHQWoXXOVhYrc3lG12crBpPGkJzCH0SZYpcIzgTSNEAGyk5PHmXg6Jm1EfuF/tu/ rizQnuoO2+7RRJq2PeyV158kSU+JBQzKSQGruPH0jjFlpc2Xm1VUMeMvyJdgesW3nvOQRtkYwQ21 uUSA2afnwoQlx7mmZkBkXZWUZDh1gjHxuNBt6n8qgkjJy2EQjDtN3y08SjU2I7mHWV7Z2UOX6qwr UWLQngv7zgkkJwHGYE32ZSHsbjoqq3BM3lePlpejVcp+3ORyMVLaMy0uLJzqVT30ZnezkSK9g5xg be33QBkx+D555LDtsev2dIqv/xbCL6B/uFI0EBU0J9fVBxXxpfYs25teJNYfx0iOvrVSrbpip3Zd avksILWbLSkP7aBit5xZU9DZDayG0iMPLVdqL6YL+p36FCDHyt+i2ytVnMp4kWUkvHzM7MGR3zz7 ZBXBEkc8sydqjF886lrVeWMvB/GMarPqBRevUdUA5tF8+5g64ghwu9kPVwg1uTPwBzykbsTRZv29 A268zhBGS97G+1aBRDTezuYWqAPPArKR6MJBQ/Wm9HdiD11KE6MNVbWLxJb8r/ddFGiEcgVp4uPb flt717x3Wjdwt/qFkt5ign4x2tvsrz5RDEqaTUjICZtTy6gTcNyQXwogjrZBL9cFxUyLdW7GR5v/ UNH4inXiwLAN5qgneO32x5Tr3AsQhjqXT/kUy1yvR81OoUJWobcOoOFYm2elAPymerOo9rvuOiSv tMZRvvGCqJK8rx8IZypFwYMDtC0UYogNUXwfbf8DzxYnAsKKzQ1nW8UtxX8ni2sC2H/Zu5LIQs0R nzuHFuZp/kr1he2GYUJMe8PDmNh9ZK652uRPHcu/0APuVrVAJHTKfx1+96daSSRmTK6E+pqlsi6f 0DwDkk7uJowH5JzjUvZizJssQFVlPX4ekAXWzAVqCd4g+b0gCKlBBlu7PmfqEwxaBwP2jXZv+1xO I4fZ17/jrHyS1+jlaDwfTufaSi6/zi6YRm4B9C4jfGQVFugT/IUxxCcGH7rz6XiyGP0scrpFRjff osZQWxfLlGFDiuT1hDrXpLh7uZQ1yK38AL8HvEe+cAVUUm/gSoY3yDJlv3bXEax/3oQGnaN0ltBg c1076Bo/Wi5I9rUVAdlG5I225g0hcjCt9WL6mE7A3XWEKhDkcOBauRT7TX5rbVDmiRRh9da9PLf4 yx/tDrZ2WKNLhl9COjXL7VE7W3Qn98a+acNAwM2VQ946vZsD3epaRPGw0XTQjYmuMPX7A9XVJppu 7+VziamZ9sHYFTQQgBhvrqgiWC0Z7RPfjarqAQckfeBAOr/2tYnJwfL2eQBkuhcq6O0evxDYCs6M QnwUWqWCpV2A2Sy4Pv9mUcO/mbSjj3GnjQ5D9eRRBo09/FqzuYYuh1DCpxOh5TkBqp32XjGyEuoo vJFvdDO3ogtEu5FTT0azkuo6Yf/fDnAk6WhCDPezGCYgy6wCvi6OlGrq0yaES45Kl0+Uw8c3FcqP EPYO6dUEwwEYI2njr0Qy4G3NPM+3jHa3Mi3Eb6rA5P/wEAw/1VtZX3G2wH6fklDonQHRO6bwdGgm Tw0FRKsQEJyKMWBC9mh7rxdKcafBwsehQNXpcNcxhG0WJLk6K5hycXQXcOQcq8pL7kdiRpDxLne4 9xCxONPerJ9b2q7iXJ81bFXnMksx+/Tc0u2F+u2Dx+lWMwLBpd/0NsTmZBKKDKOs+Uan7MRf7yPd 17qkkEWEhM7I75pSrspWgu7m/xFDYzFvTvy7fSfcReJvAp1l/+LBXy3nXGpyxqaaXE2ICx9yXLqQ vV7d1LAPUuLwEIKFhHOJcOJq3yOkUU1iyvNdweu+DmMfaaxGCn47DEP7b0hEpX647hEyIrxSVYts h7lry9dgW9MDj+NV8YkZ/B8ns0/yWKA+SN15cb7nl/Jwno3y7ToP4Me8tdMuoT6sYfXcwU4mHnzZ IiWw1pRCW1i0691p7MOQBHJyd+b4CO4ngA51a5uxd8ICzsRVcIn7tQvZHSDKzMbJowqyK28sAxk7 fPj0errny50I9C201zgmSUwwz/b3aCB0yhhCWThkIbMpckUBMqLSZNItkoVNjZjH/alxPANivqrJ CRPryjv5ey6GCKI0Do4yiX2DqmkKqLPWkcHIBgs2sEBZf2U4jihtUCFzOT+AvpGqzQHf2AKQ3Fkj yddDzgg4pGQ6dWw6aCopLPJpg4VQHP4QEXx9ZunpUFQbWL0O/WTAM/qF5bxseV6PfEV+f8s3fE/A FKoHj+KXH+PyeTZHGVHPqVrYC9IkGGHyV513fu5qrxwsdPmCiAlBDGliHV33mhtmpkoi1mmdsUbE ynTM5+gKtg45MIM8MLpV7yXBbqd3HpdcDqS75RwoSHokSfDKvUx/tX4J/FkF26KcIi0YT6C+j8pn f6deNBMkCWrT9NcjbENfB4WqCyd/ADnoo2hbK+DXogYD0Ve8jStWZDBW07BC5vxQ+/EgZ+UijzjZ Koa13LQZnf0onwlGzSW058ThC3z460rME5aVs9VmkVLc+irx50yrI8FeStpRHX+jOy27OyajPPO5 JBUXi9BgIRHWAdjpSLHXUl8mokCUQGGuxO+kSf1Xsax6Yzq4t38bqfwURp3o47uc24zUnHW+QzI0 558OU9cxXH1u6m4TwHgX9f6hfQs6GNriXqP/axKFYgQDjBAvKQXbpCHxH781J4uY/AE0fCmRoj5n 9SU63WK4tUqcwmdtyOrEUnTgiDbhaOxu0JmEhz8HF+b9Oi7F+eppEGi3yilZ8bkTfFKcY98eKSv4 ftGwoj4VkEim9MDMAp4/EHcuNRsvmg0sBwBvTnMQoXHvG4pugI+hILmDuhLYj9jhx2cByESelAZP rYdrE2d/wTPMzBIyMgg6ykD8OolMjoOTQe8c5vePERxRWVOxjt0ESp/JgIo/DREUfzExD/IIBcT4 R0FYCFY/d/6NC4idsU2yrT5g0802E8RwxIwvLUKzhT1Qlmo54rou2HBvePETCufs5T8o1rPJritt Eacmmp4H3uMQHNaNl075OSqr4JShK/7UI2kXOSPzh75C8MIRD+tr8PU+P6jWLiRwsELkg0TYexpJ kwk5hu4qVY1voVM6KsZzxtfmLh4WXzTBXmh7nYzEOAqNgcsj5qW4whxf4uoA25XDBlaHL3OVLYKf 3b6+LbT9e7oqCOWt91wXfOY/hodO8aeOZhGrKoD3CpjVqYPGCXOrAQMoeyXlVkEB0JfnnVqyA7ai QtynP7EgRNROmWOWPRz/TVWB91Z9BjND/g5dcLEpuXZbOJjkIbU0enO+cpZd8iMjw7xdAsatp3/z S0vqWQx4HkqCEMe7T0ePR4jCyrasijrKDR7SbrUDlsrR5Smv1wd0gwy3PfV9mTTRX/f+5ufv4TI0 WbDz7tql6vSyMRRr5kBOVNsa+w/WSt/QOTcVYKcsX4KD2wdBBPuDNukDBi4WNuxgGaqkYOU4SEE0 bhsDFboglD4KDoS/bgFMzkdmsL9kDotv9GH6wCdCgDQwUSRnvJ172lDDI8oBTFsh3IEeBQKH8mn6 IbPDqGI1Sygd63i9tnNxn5IxgY35Gn7Tex1lwjegTbIhSlkybh5hHxZYisQla4QIEOad47B8kfWi HlrYTL/Y8F3tysb4JKtMeI5pDhBVbCK+/2exjGVHlMp9JleH8CGpHEjBC8WhjemLc+SIauVb6Cw1 Fjsy8+FA8/hUBrmcc6xTCiWltq94qDFeKPBBYRWvWFSKOITGx51IqHIGHItPF+PuXVCAqfj9ndsI XoRI8mFCd8EFw+5qWFr7skQwgWCwMIsjSMOofyelWBOzNClKe+HctuuJ+NTSjFUUOQr34wk4yeRv RcD1LxGxYaYHe2IpzbECwE2a6v7b1OT6t29WFclqu+S5dQC2Wxdihkir259NqxeHUbm6gAiSkKrD 0hB0Sv/VyDoF6pIKdxYjiP9FULoA6cBn+9cSS/nMSO78ShEBiGuPHgwoEbna3Do5lCiaEHiZoa8V F5CTiGSq5JQdBOOa4395FC/znzLrZpZo/K9bebA21iwPKmdIFjeJn1GcM4iwfKhPuUJ5ov/nx094 KFJSZ/nXdeGpc/DPdce/cMAcA1bbVlW8RdfNlQ5x06w8WvhRWhEpUbO6jv/peXOQJbEdbJ6CQweo WpREQJGrrDGkp5xknElwucpMDVAesP+REk42imLKEELDhAdGJG6HpKW08e/CE87e+n5IdwxA0LmO 0bRWn4ECI8W0VkkJ7hQ+z4mqO6LRopeGZu2IBTPQdd89vKp6yLNo+XlWKSj6iUlU8EjJmkKY1HRL ZvDseImKJQ+iPWqwSlqU0MIW4wQNXIs/9hlweWp+OyBnQ/nnsG4ygQzIL2OdMSxXVgutbktQLHrp dmyqu+aG9RlTX96KsCUzkNq5UpXOdQ4HCcD3JrdKRtz4x5Vh2QV2eVoNOoA91X/RK1fzk/l4+akQ 6Hl9KZLOmsy68OPp08/V8BQfox87bPLgTetINGHpbyThRs6MdXh1Y5vGvtKpcGRbYh9k762pTRKI i3PHInCHIIldINCqqWgAATQsJ/WOebvys7OvGrMH6gqgq9am+DrAp/+WRfT9ojFh9NGGzqqtlDAv VXEsxmqAb7KR/z2mB6FVA/y4cklkH1ym7D8ZSIjbRclt/qHZ0jG4AwWcanUYo89mI6zlWd7pkEGb 3BRXLF57M8GAZlAteoYIAKw87cZBpLsLr70IIlUt2k5jBmrK0AjWo0fBJIsOwtcIbJkdY46RVbyi xMoaCmgBMhQdz9szR40cSDIW3BOjvqA1x5V6fX8F3w6vw62I0IPL7lUOz3P9sPp+/Us0z5REEB+Q xK8Q3IHgH6V+hJ524pyHJVvt9UMRwDi9sTpyMm+bmSlBPHWmylxwwoqfxDMsjhbnmPYCNaiRPcbO L12AtP2XjFGNkJt+dUiN9JS+e31aOyB4hzldnuFOKIcntACdQDpFlPo0SCyG5ofovAWD8oZop35X bWZ6x4V/7Ub2jURFVIzW5lWXDK6aV7GOVVfSOlKjThMYwUnpsIJ45UkXbDgl/UYb2OaYr7Aebh29 +mHPaEjZKC6L9i1q7sML+uszLREkkQ+nN8H7r4X2sDDGXxMZOL3wlHtXN/IqZeuILDGB9xbqfVKH gh6xFQpNecdQ2+3affX+cG5hZt+0m29j0aSDfrMZ2gIEYOn743SL+ti6M6iR5qWxW11n7/wilrQG 4cAs2xV1uwhySQhdJ2ug1D0jlVJmwdr+eeN2p+59NQueFhYrEsOdjO8gHi7qbCJgWk873N4Ko7YW oARMNKdHIZi9LCJ+pJqb6qQnpYWHszkcoXapuIEv4z1mHpO3Sj3mUshbHAf4w1kQN5UFiZlJVAXF oiM8VDQuRrftB95S+tCH0PzU4P+1asvo6aDglLapIRFTxsPJPuVDLQMi/VqhPThTN1oXe5oJc56M Y0nKFMcvQyjEsrcAD73GszFwbdHrb4iRLcJuna+XnucuYnvOX4JmVK/hwNC9EAyMGnfQrYYTBzqq RRUyZZvSqgXhWn2FAFafFoRF6oNuYT9zNYshaYACpvgg7IwdZPhr2vE2DqxCnMIjgi5boUHS3k/x 2oavxZfbPk/vkOpOURhhqMVrvUl2gChtjAewe95rGfiKjPaIDHGV578h9Wo7BuApabJEWaJaz1rr +XYVjKHume7kccowk0P4ONAqocmfk899IWZtrM8/Epzg8L9K0Bvbo7Kk2rwUDmkTROpVCyS8bvX3 VmLDuR5qjwkzXb5erCrvj22rd06gnsIdA+bV9VNs4H8kLhgSHNgfgzzAUuK1rG73jlbdsAz7omeJ zdSDohFi7n+0NCbHpbAr9IhfP6NW3apBvrLc3NR1//YvhR69u2EFz9/mMfYD1rrMVRrbeY5FhNz8 joawUMitcoCvLFASfF1EieGWS/cnYmHQ5Myyg3aQXy7vsNeuTYxS9OvzREUqJXljTSwB68mljToT AwX2UjxV/u/qcdiDZMxG7teE1tIuxK12CGIG8Xc7PBu8m8nAXrp0KfLsDX+OPNL3pmAKyr/6fnBE Agi3wBwn7Dw6ywZpVV77pVoVHX13KUShwWu2LJ21bfCR7FEUDALkMu17H3r/UG8WPSamzRnsautW M+ZFr1A1xcaPtxtY57B/7RsKW3HlIWZuLV2A6OpE3s6NgXkSfRTOtxSP8COz5iLe7qMuyuvICesc V1ipVZ/pBcoezh8VFNJJHUAPctagcpivVP7WD2zoM2jaNnpB4eldQD62v8Fo3+1N6uEia7zyAdIl lEmcMXx1oe2Mf5NGCforNgyiHndPVbIK/uSfMGNOGTxx99uqlR7K2phqL0YZ297y/3D1bKJFKVNf 8BRqyiWNWT0DY3d6H0WcPyvC8nPojbA8QLYCvHFcEhl35U3vcucFXI8g51QXVG61OjKi5N/0+oNq wDsnmAUKMzN7fn0ZDJ/kkmw06UHMFgWwU9kyh8lhGM8tne1A1q95174fmIUr3xIF6xHpVJKkCD+8 DLFu3mTMfo5V5LE348Dn5ktI2Ct8Nb4ue3GzcTPhPz9xBvhEiFito0ghqyHHNZmK9Q4YmS48UerL wOzdXhIfcxQKb4MDCWDVUe/B+GfbTO2ultewNKNrObUW6gx20jQztbsEmnR07Q5GuxKgHSOdiQcn oFOXB9SIrnnuYLALbu4x6+hKeueOUKZs7X53WzI8iX8K9TZMJUU8LHIB1bPIJK6RKb5bdZjtZo14 S2cblO9DL2S97tX5lcnR3O3Kpv/YufOTC4x4EEaBqyM8K+F22NlzJbKKJNHq89w+8KdykYQv/ggQ Op4JfdSivdSt4nOS00F/A8ViMQDTeB5qXUp5TfavJfKSBLXCZ5vcSQvf1IjN/GJ6X5AbkFwXomAq wQbCCEoOveKNfRaNdymuoTe5rIAr23HAVYUIhpxR1DdSLBMMJ3mk/ErbcVtCvsUe/3qjwPVdhimZ nDFpCGCc8Yuw7IKGGk0D0RrQHGAUIHQ4ZgK5xjE2u3pu78YHnn9K1X6YoUkbDgt5jeQ9lCgF5GR+ z9bdZVKlIlRx9oVTx2dfKW6V1ATEMhcPaHZbEl72qUS8kcrPt2Vpq7C2vOEyn4xS5qpW7/hx/Yis rK0W0mIS+m09KobRrCj8hUnIsxKE+RdsfAGSxQlR4QmRVNVFRIL9SyeffaTJISns/CwDe/WxfIqu I/bRI6gNuPeiNqC4ZBVtQoqSGF+4r05Exw6USmzFcU8HOwlqMKxMfhvwMZMCA46OT92eCZRCofzO qaHrZnJrfb5+zEZ3enbYOZRLgbM+alJW8NkwnFxlT0CAUHIpTGhu2HZUpRRfaZHSp0XPvbywLOp1 QW1oNqRhMOm4H8tNvVx8AFaMb5GFGdswnry6gkk4S/SyawPM96DfzsDeEm5DX80qRL2mt65Hkcew m+FR658pt+tEIE836NtgRsOaZguXAdDAz4QIwzxMGqJRqJx7ewbGdF4t/C504bQ8Du1GXkGLdu++ rYPzwTsmuPd/Si5O0nPJJ7TfkGS+mUXZXW3kCayN/taMtfLnWABPSWaHG32X4detwrSa6mZhy+Fz NfsFoiXH2vsd2bsa5oVA3KbtVelQXQsXi1bqC5UfEVF8v390x4SCp81Azl8T0TiKzyJ7961kNqjT tnGfXdWya7BNWeIb7bDN+PYeMIiz13AeS+96noWlU3ugyesji5wo9y77kRXafsJI7kjxzcAXrtO2 lQ++FnNT2/3YhT7gBKZKBZ/9PUaAOLUVVjlqvd39Ea6arZbcydshb1ZYUJQfweCPJ2GD5774CO6K LBuITmsbLC45VtGHUxnZjChkFUa3aiyhIlNVOF5gQEnWg10SUqNgLABvW1VIk0HMslK9FGUkP/c/ pmAlJDpOULXDrxkF2L+aPRBrqUA6aVLvIFS7iS1LjA3hRaczUcqq683MmdlCtxje30dWSl1ZFVwo F4Aw+1ImfQxqij/iivg1xarygsMkgAOFDUsxwWYjsMQLG/GuVwB4tHEiJZInY+NJExZTlvSq5ESe G9FHbonHawP+zHbkJK77xgBRzxBpv8g1dMn1gA+SIX2DuFDrTtSpisqQOznUy3nBuLC2GT374U0W c/7yLz3qMQ/Yp5/D/wqxum8HbKUYKjxHYgWQ+s2xsOhq4i0+MHH6ksyKfVGPmTSJfnTBj70IwNH6 9k/3NK/itZ+bHfo6Ry7G01fB99NHdbiCQaMHmlmCTnFomtsRL59FTi0e8pnNNu7mnxYl7HoY+jBa 3gZNNSKQ4wnDTLqfwDYxODQnLuXFxQdScyDGiDP57r0h/oywBU/vrAnitAnAjqDHEYJ/sjrIBav+ 53T062m9GuMZNL3ZgB8TAHfYrfoL+wzz38J/oPpPYf2cBa1kAVMpRuCGaWllFiattRH9rSyayqtf TT9S+aeVD66CBwV4yUk1Ba1DiBca5ACX+i12tefwdjM7qDZYFk4lYckONt9T+Dgu9MROho+zoisN b+WPS+Vwxl9/OaYRKljN1Z6LtmsSgUppCaDmF2lJdwkI8SLWTPeH4Cc52fR6nrelQCVJZ9YZePe8 u7J5YXMGf70ywKLf2hc69TMHs55zPeGeEnMsVacDetqWE+3MLg5GF1tWM9EouVeOF0uos+qLVbrN seftQ0d4nrsu5zQTaCLN95E9+3uIF2EqgpN1Jc17/RJ8MN4OMO80Qi3OaFyLEfndT/tBj7mfaCUA rEJhRfRSkhpyAFC4JRFPG7+pLY6S3vytjQO5Pc2uRZ8HivcIy3PuJsQuSFw/kHS5g5/Z7dedD6mm vQB6pmNEf3WCVtUak4eqvOsLU0PH/FnesHWgeR/84J9hOX5n94wIL6kCJi9sgSk8Qhwef+rYj9YC qaf0sxM1uaAB1wUFhEmN9Eh5wdE7Bm8xvru7PRYyCPSlDAAhz61Pa4CUAWLaAZI9HBJVG8J/BdaD uV/LGDobV7bBUcgRcup9MXLE3fw2+ZMpS7bUa/A94E36VHRX9zm9TLkH+ZIPsxO9gNBLmYVa4Ym/ P5GOHpi04yEIEvEf1zQijxNz6tSr9OA2HmQqwZ+TC1DJJ9XBj3cdkSZKc3hDmXYa4m0l7cgGuAQv uYhTmg2EplPh2ZWr3rQuf80Y2kRbO4/uo5QNVR3zoxGL118flCpVnFF3gJ2Mm0cwfSjmb3m6wxaw FWR1pQgHGMV62v6Oc6e0/UCpbW49HrzW980FSFDc7atPAhS46uIaqO1soU2L/ZFUVz3CpKb0zETR X/RMu/InFjwnuedreY3EtvSzkL7AJ4EZAZjPGECqkNVQs0jOzc8yRlx7bt70P6MrvbMH7V0/vDDK FfSOIhRIF5lM7eKD4rZBl+IcuvkaVaC8uQ8xq2hdrwMjFBqFy6ZZdozxfZoOE6ECbHCFim0yS0Sc Nwpd/3AuqhzFILeVq3Jm+OfFApgy789UaCpGLDnxGKX8g03nyMqcMF6Mt0bMXBkb2ykdkNzOfu/o ttLBR06ZXTgknqrTIwh4/hfuSH5Qt/0U8utsIWIF9+iwtSxHSLINoDw7f4XsEO4VEH7rn7VBeac6 RgFPNTwWkwPmxnjIcrnzRsduNWGQWVB6/CMhrPmg+ZJTQ3HOjlpIutGkVPHz4dwjRaYFIucSTkBd IUFAQGuIfOTlGV+RpgRbF8J6xzDmT6iRRZry36Cf0J4FRpONs4HN93A7j523ZU2bU5KBnTctGXUm +YZc8GMnk31OUr1VaHwmnzavjr9lDv6FZ1Fxg0yMvQh7ZI6tUECIpBQBrqPiW1kB6RZ14qC74lBq Hk/norMYbqcYB010FDYMkdB1a+sce0zIYMHpayznivRWKq/i1xm97MMPmdbzOP7yQ3EObW2okV6z uW3xhpcIytZF9Rg1OjbwearVHTd1EtmxyDYVFxTiMC0W3hCvciWsuEsPnS5rpiS/R90AcTAYK11i u7k/MphhdsQkMLV/SA65PzZnWfd9j2kV+LKPMQiaHHN+1yfbhRSNJ06JwcyqdIUWtzsRxIY7lFp0 2nZyooso9bpwzAOm4I5H+YwXAcR5cQTK3Zmagb/H9gm8MqUALsZvVqLd2MhxbjqMi9ih4YOPmWNw PaFSESR5/HDSEcytkajaerTEmNtc0jDyN2bFTgq8nOi0N3Yuqxc0p4DJmP7cvwr49Xolf4l0Zh86 8W8nRK+WUgPOofyE/Ozq2fiWf3pXoc8FjcWp+RMKk9CyF5QoUe7SAJHgDJ0VRvOQghXlA/MKj1jU phzXBv8zxrkl7dP45Aea7VRXlNzE0j3oTspeyotPmNzB+ogQ7NI//fG66NrSBwOnwsjb6+yTTIMK HgAhNJEliJ9gbbiJCQCjT0oGzFPwc83gAW30tuv7eXIQVUDhymHfSp9C5DCSJ1Zgh4S6FTxyKst9 Iqav+4SMMBG57941J/vceMjMn2ID4Yu41EYCRvL/xfNiwOaZNL6SSgiJdjfTguPsFehC4KoLEfYc zzaLMCzgbn0QiA21+0LAm49JaLMDkoynyP9wMnw4I4Xuavr0DaNK7SkNXkT9X2YDnPeoPO2fhCHq 3OV3yqlgW4SZeyzqowa+kRZuzQ05mAIANTZT+ym1O5EEeAdsilMDS8/nOpEOnpcncwVe/c4QPh3i 38d7KmKAD6HkD0DH8OKS/cvZcgP10O6jdV/69YgaTWDbvDdpMuyixGrKXvtNKUbgmgQ97+GkP4N2 8+MB22SVdGvPfhNK9cPbRnXPJRgiEqSL1CQsY8mXC6OSEPXfMjtdULW5p3bIpiyi5dzyj64ie9ME xIaLGP7TwDySZ+X1LaGA7l6UlB2AIm4UcgKrQafrhoyXQu5w+9VguwOfe1zskXk5/UqujoWjxR3b dWWWfAaokJCJnC3SHE2l3Wwa3gJvSfiosFn6PHOhcchVWg/+qVO/PjJHJEOva9w03DIqtcUxtsJn PvbhE87WtIKEpG9/7VWm0oKYczJYpRTacgO0uGqI9RMGBSpTrOeeRj8Uwdf1MWuGL0Xq6DNTOqy9 nrPdKMKi9Um8Ix15K1qHluRPa6ICENIKGkQCzubYv/pE6Q0e+lSQfYm9yWnTPDyjVo4De9KUqNMt RSjYJ60OjN6BKc5S2FQcVBpNHUYM8TUY82KaoyB9/pG/rgQ+vq9RtxOTQq6OiIkX2DmawxXgPFbP VwY1TfkoEw3J7M4jemTXJ0U7iWhMYfZaIw6oRhkqr2wkybvuHa06ORIDC4Gx2ofiYRX93+iKC6mM s8DCUj0hmrntRFRDVYlCd08UWgBxZQN4jTGTErroINX76rCYS7wOqQ8+VC2WBUsLMqgBiKJfiK9m dGf+RwcF7F7PqAqdGf/p+JbavU4Vf1VUAkJ7ij1UIUY5bfpmUNvRMyCC1BAn3jMc2KnSZXRMOKqE P0fm1N7jyQSoQWgp36L39MoxGCWo5J4+qKWbQZirarT6IofAX364uV4jhcvjsCC5vk7ZG0RMgqmb Yl6n60JmDZRHrpK1Q1+wYrlbMPAw8i71zdsH5DPLXSqpGMWt6qFy7RJY6m2kbB35gfybC0nI/3tY sCNjloMMS8nl0k6BRmq538Y05cWBxfj2MDQJe6MvPmuG8AfGxiWxUdVKNjhCf+jjtfDjD6ef1QjD rXqIAiGv8cdOSbyRFqfZ4gGw4iANwGlOeCgdCMXInxp4syD8i3qQrOlR3kCt+bhRnxWyGvz/4G29 MyV802Z/sSqlTFCC0nwdSjNeldVnVYpAvnAn4YhKe0xR5KkfHTR8hcD5K8Sp9s/I76a15k8Z+saI o8WafbVnRBbyIzWmHWLTRuF7nRiFjpju1JnTArKqpGelijoPTvyjkUjD9d1VDvYQhdnJ3fUJJos5 kviEOlsoJjcCdc+YL9/HtbXcY78feWMrQXdC2Ri3BEctd1E4yJdelz9dE/rONsFj1kgffxTbJPsX 5glGAIgZf5eib8fUBkhZ75NBN/QeeDzoLlWGWhyDK7r/9ByQrZBcLjUoP6Bq4QJs3Bk6icSLokAA BPSkpT2J2/QRYOR47t4MYOlmFnPQML7laqZ8dYVzKIvk2OSD++ug1J3TSeF29F5843ZyVhje+CRX 2J9T7nC4nn1hge+AJDpouQ8GpK+1fSf1cbtDYJLwxBw7CAcvGHxWzg5UYV+HysWXwWQXzWApNN0U YO8leB7cwlJxk8jKZz3wf8eXrxaRJpOUe1CQ6uf5n2G7Lo/KRMWBei59BSe9h7x1L4ifGga87zN7 Mbhe5YAxogS+lZony/GOFdPtGWzUmEL0Mr6wkQgvQhFD5Z4wxf/XV4LkURySMIs6r0pL0AehlOjK IeSECnr4JMNOOUJ889Rkn2r4gYJ1q1tenRmR2hVT412krNFj7U6/ZNiSh3FH8T0y36sLyhtQT5o2 /2PVEs4hYfkU3TEVKWsJSjdWj0KJDYhVOF+RpVFjiGvrpjCEZxqGUk1PDQZYI5flawD2C+4YntlP WX+aD+q7DsAsfSRdmJEsdISaGp5NBgRGY9+7vAPihMovgSgj/ADMjAdGufpLVBd/tzMo6rOd4G+O v8W6MjPpTJW0XCYO96jbN49cv7/M8Hr0tGRuIl3FHpnp7urH2RPpo28fbFfBwdzHNu+cMeQJZ9NO ky/doY9+sehjhhSqKkX5/f2sP6f7VS33ecVc7f3xJUoYu+Ym8bz1hZuhDWGFbLIlzcmaMyNH3VKL 0hmlmK3TonuiY1uR9gKwKGBG5c4LeR4D3TZBoPaMExkycHYIU7ou1IZAa/Q+G/kQo5+MioC+u+m7 BiWSIf63x6ocaPJ9aAKvIlxHAx4TNOjRy8R7ntn9TWzLp1l+2spSJP08asyR5s3wd+qxczs6xClW QeQ8GE9yMlDoQ9AToZdojrhbTV6IdJyKUbXmCH1ammKpzZPaDBueV8xYf0Zh95nv5Pk9V5s8ogxn NmEjsn4T1x5SimS/H3797tS5lSZsZo2zbdedbGwg/60NjN+HossrsxJimnu/0E8N/LTq1E0qQptm W1fkiPWLKhcZFHbWzm9zQ22Ka0RyljsPJyIb+hYBmTU5A/QWIY7zpH5mqqy5QSaijxSeGU+rh0nP S6ieWBXz6YZr171CkaWUIkRjLt8r7QVq9WkJNvPwI92PYon62zDI7WUSZugZi/yR86g/HshhjtEu O8n1vQPqaPISNCJxYaIOVs96yXjil83VjZAoFkgPqalH1MGFXzCbe9wWugtrVLDIAhmROW/KVBMw PEIDDuy8gNwCCSwI5FCK64sWmG65lPo6eJE1K4Zcs4RSuoerThKX4mOQo4HUTlZEa0Ht+w/kro5O iMnVOoD6R/wCtxh4AshgOjNn5Dlfo2GvHlzTI23ptMacInQ1knCdyAznvMTM2ZUXeW9DtjDYuQdv AmDk0L+3UAyH6+Q3junVMVy8Kddq7TFNZl8/pHvs5K74dLg645wJHFOOGwvtG22R1hPJgaTdMbqU BnKpMkW7ikpNfbuswqdVf4bA7U1nNvHa6fEggDOwBoE2jNSueXeUqBlARieoFuPVIFQ6VofJM6EE QDdDi5UWpDcxhHZXSgLz5BAIdeAQCO6wgsLds6KakQzhgxVLcM9b7ncvKiPHfa0ZwkxkzHsDw0so 8nNKQt6lEuMs8PSOFkKDlq2QAL02jBElKymQvs6rj8rmi0Q5Ff4i6f0JuDcQ3ObYhku9xMJgRvIi ly9ef09aJlH9guctZiMp2WEeBloAL0kr66ojn3JPW25dt7UPpX6cJN5/TM1PgbaYp0o/Pit0GCn8 /hzB/QBRucwnw9LKkfddrEf45S6moItZv5DGocWrSsVkjy/t9sVdHBSPmilP1bPkUqNAiPTIkFQT IFa7MJIrHlW+6hWBb2I0i8eBrw1hZwsmkiQGTTrPmOqEsTLYHwYSepEQI4FkN6Ype/oU9g04yIBH phMdofIVlWlzWy5dCMNb8DAIaHFapJyaEqTngh9+v4S0IfaBPUaA5P2+E++uqiM7et7ONjSUReaZ OrGeh2D2sw6PTbSRYvqO9Nbpx7kxvMw/6e1Dno2CpgbfOx61SjO5ptQwrofjdiwrWwg/iFB3A0mu 5HtdPYBV5IwHyZJsmo+5FH3NFO5ysYz8G+UFP+ALGr6WMx2CiRhhT9tdVfne/7wevgyQoIrGoC6k oeW3BerCk+xv4hIrGdpS1cU5rNFQzjW9D9hhsv/0vFP9UI+MzVhGV9STZj1Mc54T+8rM5z3gI+Ce XioTGskiMU+XLtGyrgbvszobJ0Ji292KFeYnXMcAEBBLt1hwMw+K63Uh2RNl/FZNzOPhbzdBQjd8 EtJT7KnGeB4EacaBo7B+2zIeESyYNUOeDLo5uhrNhTXUm6wVnLcsrxyR2BR8EQDba70gsFtxvvs8 yMfTmuQuqt2Sy2/pWrCjydolnWb7QYmmPFhOZAG32zD2x3bnjLqn4MHbUTjxsOEvF4IOQfOJPOVA GrOn4LnwpGl6ns33M98I6tLLJ9v+gLo4+4d5EbYdNyWul0dToSEaUIxQQs1s0x4LdaKRkS73NreJ d2lAVSRUWhamwBYdudXzzllPgq8mlnIq98VyFvTj8jENSKiztq4HsWtzCGJeow0WPSjJXebKdOWX Bl20So2+PCwnrTO5Ep10WZK7NUiv7NmFpAyBxXfPg6O649zIeAKQThOOpb3y+hOgC0gfOGa0sKev k8GYK5AK7S6QsugsRbD8hvEveIpoRrIfD2bq/8m+PrRuEGNRNBcbq32OTZPj+pxt/OzjUSGKydDI Olmy8u6IJWSR+1ryWhdWIXJjpmFFhJDCO/trd6H2DpZPn7ChwkT1LxTJnzdOetmUeS8ZJTIzO2x2 0qSxOswIJRPFhOZPBUjEPO944apbsJtnEbOCeotkhQtEYKXdwRICKcUIDrmM/CMIubY+PqTr0gUc nGralYFlLm17lVPXRxnEIRfhpkWkNDJH8Sk4RJQvLn2TQ01dvjSk+vDMNJWO1oWVoRI/FmzcGKWQ S4r646m1v8aSP86gcZ44PVToUGLd9D19ZT/lS1aoAmxj7GG0b8arG5HiWd8RJhha9GzbZ0Ifeqol SvPp1zT+scIOYLKlXSPcGVGzTmAC4/I9yOAEux6JVenrM1Ow9z5+ucxXUPkavUDZ8mbH4EBXwlRg PihYZL0r/UEDbJKyCFduQnfh0k6KjN+1YUBbHLFG9Vi6bdJMC1Ld131ayq/nu44S70SwnQBVJA/0 pMw0629p4U6hCrdbB+4bHXf3yrJBqw+YmLDpVrDwS32oUQin/52pKPZsmIvsqnWvww/wyjXdQ/Nc ONfNh6CWYRsKJhNA6YfWE7pSteS1u6aBJSf1goX7m0dbQBqRVYvO1B2FzojXgd/jMwhM3+8Rhixa hSub196Bqaavb10b+NRvmmpEgT/YdiWe4agefAoDU9NGuNLYLfyJgemBvwORC+zUi8evbQYT/2D7 cfAjFTmZelcJprLH58GVThOAXeYD2epPw1Oq0TH6ooYgu6VxqBS0UsndfNSCQTo33wVQK/c0E1rD 6WhbSN7p2gEMSdWQVN00gNwcX8L4DSE1pDwZfosEsz0LUMtGs4gqZiZLOqtTVfyxJudwV6ygdNZf jZwaWqD3tg9awxGDYpZZSRnxkYKbMG4ctROpl2iDTKpxfiFBTPPXNwFZTsPxA0daZwLIsZVBPxuA xogF3TmXP2g0H5g4fBIvSzYJn2aYAWstRgn7M1J8pE9mbMazYindeoDLwhSSSOlYNMRFOpEx03uo /ubZMCmowVgMZAVU3iwrUwzKnqa/YfGkOb9SmLTutDDBr7R2qj5jZzfMm+Vvn2VqHEueK9M+WQ9V TKhkD7+1kmSjYSU9s1jcFmnLQeABYNiAw8c3CDiZhe3XD9Kr5a1TXGO1L09H/C27iVUkOMAJrPub gjRlozxCst+DJYrPZYmmpzaGpQJXdk2250dSyhYq7DFMgoO04+6VZn1X+9ukY5Qb82uiMBv5+x79 zdO2XKGOLe7AoSufmZ2gvykeX6obvbfM8p5Yo6My9xzNbIjbsPOuiHJOaGorMMakhkZJHoL05fRO aNbpBXsZFLi58g6RrgsK2i0WJH3G6JxhS8Abk/SXltoBIopC7oIALFiOKJO4hCFxxE/RY0/bcq6X D8eASQ4MfHdNI4U+vlF0C/qUsVUYn9Qoz6ZzN7CAJG2nrRvNvkzTAs2oeuPXD5ZKFvE82hWm+XVe oYGlKiINl9kBc1ftWZasai5bgVDL9DHyYAp1Qf+wMZ8kRC/PaAnbV02jxpbV/T1ihe9Re51q5qCD XatLCEvsS8TbnVtp9QRavt5Q+t2wxvnWoiedT5k9XHjVjPz50AKXetpoLAu0steXIN/3BUZEysh4 k5LGOQRGAkwDldf6jbjeCm5znLy4EBbDnzAyG42PprqOZ/LfnhwNvZSsJ5H+Ng836153jas+P1y+ 3UTyMKpydVqpUqUvxw7cOTostQafjZ4Tn1XdmGB/rgzf7kurnu748gf6h1Vr11ZJz28IfsAKBiyJ ygFf1psdl4GinliCF7uz94X2DxIFco4jFZ9jStGKaR2rJFpCgW1vWLIMo6HIZ5KOLVKw1M6OZU3p lwglPwr7swBWtaNLRasOt3BaMv/YleiTBt11j5WgwoMPv+z/QAo4I3IqPVQz1ci42VxpUid9UIpE qkvRVOu1znxuRRK+e4ddDcFpC7Wu0kAzHEmBFuLBEWepjYsEp/wvJBN468+E/kS2skIgi3l/OUzk Sbl1wEqLcKv5DWGD8xyIQ8irlFYhS7OL9h9LKBoBH7SAK6nPrB27FQ4fp2MbJmYFSK5EGRcsRmN5 gAkILve28I29KE8C7uD8uau5AukUMw7v0qxfNddZ8sZ29AuFTaMx6pSUyL3ETLH9JSpDtB+qG2wi irNIVw0RHrPpTTNSGGt39RNac2cZ/XV+GTK72YGrBEzU7t1+aF7zO2Sk/9fR++oXejsfdkdfORbm K1gRcZWyndCGddLk2R0MGXicl8+3SeoX0rC3tLDqiWSDM11uOXDTe1EgLkqUxT3fmLiHlBx/zuJu e5G+/ybxNRpBjQOuHqQFD7L++ra50KulUedTK7GqQDVDZphDNY0tDG//PgZtwLr2WcVTJi9WYIF7 eCBnd6uDLmubxi1eV0Trm0YkU8VKNpOekGXdFgR8g2e/CzauViS1e5Bp4Z7/+/ukwgeClfYyYeTa FPKSNvouFxfDGDVcOxVWorZDnL5VI4xdDG3HFdMxrYAyiGfM6BBdFGNR+HKCAxpFR9mM2mnJTzFk xA6zFAd1pywSccn2XSVzOEFUFpX5wxIycJPKWkZy6NkZPkYyE9rpzc+sG2Vl5N80CDAqOxBcPs/i VUFq7rr7Bn4YpPXpWPvAtOINNaJusWysLGvH6RETaLtIwVIaXfD1iP1iDc1sFTBkqjEs6iVb8zte 0bRjcIFg0qXIpVold0zEauwuLr/pNcEcwdjrouVpw6fbhGzpnRTSVW/vdMjRAZ1s0+jtvoND7rZj z5W7+GcK1Fnd6SyQh5T5/Fl33YOaBM1A0h2ilIxUDUMB3e9NFOfHCiTvCqWb77Tv/XYGu2vMAVZC ZNELqqCFB+d5D+G29amNf3IG9t0fG0EQxIHyK2YQpe41RpYcQMrmTZi25CZxDVYl97V+xUJMfkuB gCf7MCfV748ZI16scGOTr/XtRLdWIpjXI25OR2KYdOUj3x3aJeM6ogrv995WIKuAZV5GEOO3IDOO /2OptHTqDLgrL1I7zZD/59Txn8FDEbAWBR4A3SdG0lJqj36AGPCaEH5FDsTgaUez1b9gsped69Ag UYIZF3oYR4D5y+MtJXouE9oFzq2x/z/i6xk2tPNfo3yBf0tzeeu6xDmuvGs1w3JbrupcYKY9sMFY IH4JzYJL451Qa7s01oncEyNElpQ1iVpAc5vrPqLd17nNdGzWtlo1/cv3hWcjgL6QwTbi91rI/4L0 MlITLzQsxvLkUcYQAN76p/eXwVXgyPua0sLpPm2SC2omJFIXeLk1h0i3tgp8Q8o5qJVFfblyRFne GNJB9JZxnfTtrO0g9VzN09iAQNio/uPM+Q7tSKr+IMk76EDgQPIfo8P8pfdzFr1chO9bb3/h8ak+ J8ep4/FPjcK8U8u78ICInhD/calCQvJqYgIg5C48tCTLQKUIIgudfceo812QvS5OjUbMYzOzMsQN tkn30O3c9H4vlimS61yHGWPcr8YZ51SoGWgHbhCHTdnAskkWbaf5AP8dJXx9/luauD+6AkTWV7mi EaBpfeDt8bYsU/Y/AFx7XFk9f+sobJ+WJe3JJgNi5Z72kHdaOqb1cq0MESnGPWRb+7LFxCUTaV4I aM4M6PGLR9qXIf023Yg8ZOIPkDuQNpQhzJopGONYepvU72fHX20J+CU+iRjpvJnmPGTmBrl/g/Eh eFwbX8UMoc53dWPqoa1Nm+r7K+Y2PQX60SO0+me2NjenEbqJXtImo0nLdUTkiN2ZcSklKHhPvvFi amm4mHBnwCnJSLHqKfuA3ZX5bUugiZwJMzAnSh0v3oGzSPpzr5qLVzuuegHvqcrhFocMMs1YisfN JKOAJB2wV8RCGGcAgNz6J1X/hKf+BLtErraqkKfuAZntR1VrWOMllneO8wm+0pWlABG1qtUFBoLn 9KAZUUFwI5nXxdBGFiCMSxxteKeePRq09Asq9Yfy2/4LrxADF79NOc1zweQuQAajIFfilBO/M5LC 7+Wnehi+2MjEQ/My/LSE7VlUm0LABBXEgIRKexdSUDaUTztfKmvn+t0nrqXJYeC5ad6ni8TGMJ6A PC3fzzWZ4dOvTh2GGjoa+RjNtWd4IvGADDsBeZQlty63UuXq20XR/qUklBSH7K1q01tx7RZNQ+7K LI8rucGkysO76q9D095xbjcKmWv4b2iavJ8liZBAFy37ypB6QCtOC82+BuWkTEneYrxZM1qxFFNW QkPfdtNrvENOX0eCeAYmyh5873ne/iRAimiJxBxy2xJRJ/7zV+8xOFsbIsTg865ebdAgnC2tdBAO 1nxe0NKHtRnYeeoTPKh7nvr0jv6WS1Mh6bYnPoMtbPLyNBjrnr9z03Uc4yhs7q6iWbb3d/kOKITL eN2nRpKQQuSbLFB0nyWnOTPtDuY1RClpTkHgfCh7VoEQs5SEnVLsKNJliLMKqMcgkBS42MB9S/U9 ruQrbawP5luVn2KX1pXioNhD0dZEmAU6C8K8ffRvwSFJslGXW0c5LKpxWbs/RX3Pdi/uvbq+thmi jIhLlYx6YJD2jF3NUmhT7rVLcDpIwc84kfeoQp1IFyXeXLURxDsnRkx4QZ1lmmwaenROc3WlqMSX 0tNPQejodfupuAOBJsB0C4E/F32DrD+oVhM7TroQBNinB4xBLjfL3JeXw8mzOzVhd4Hz0e43va+M 6xK/lcEEI1eQf9PAjOF022eTpjOVHRVnEc1XAaUrUIFaeLkPf+DxUaS56JCYWPJ0P1LBFeHgXrBL bSzBuvHsYc/M4FLyIRlpWfFURajZeN4wVuDVcq2tvCOtI7XSo85NcOGcQIOM0DzSpSy902S/Q8fl sYNnFle98OrSOYBL7BIq1PsJsp4yrV6Roxx2pQyOzZ8lPnCgD299l2XzhQCsIh3jv/i6WaD6nAn4 mc7/8DmYPls3JRQ2ln4fYMmN3Mg51Ye3CbGFoxtfYxmhi+C7FnYNVgPUiHseXhK6bLRxJBN65U8m ItWhC3iLYlwjfAOWiBJDNmmaDlMHJKzfe8DJMWpmWBLhCbpTPFtpdpnLw6bb+8aZxyXA1Pjo55Hk iALkOYNYtyiHoBeau3EziAfBafuRI7GEVG39rkFf4uV3XUJ1Vp1JvGpMAE4TyhhhGc4Fuq3eWPok F1AuwDhevhnJTtJpZGku4MVutvB37tN2do2FSPGU1eFmXgSWwNg/YO6NVfVGVztP6ayUYVBHT2aq mW/HGbcTw89JcroeTlcvRF+VB04bKfUQ07NUgfvuhW+3dyrBPXZv8c2za3kd2KmAFajaaitd1WaC B1mNCoQcJlyAMKW4GpfNWUc5AQ9pv1YgRBJrLa7Ho1oi4bLTSpMG11zd3gRuTRxxeHiWmgFAwuih qoMQxhNKmhVFIBb5Kt49VuS5hT6qPpKXviZhBf2ZzoScPEjp9XbPqbqw5WMtB+BPkG3Ph5R+Lmi+ od00pSqaV+all7BOlR9I5kLLuueCqg9FGsQj0gHhitUVlBJ9di9mTlWIAWkPtPzqjLIuF70muSy5 SHfXaxvQmdXDfmutnJcxxJby/my5NTNKDyUBUFFzRUJMKnIHuwftWHYHBC5z+x+Dgg7cizzhT4ze BTLl4Sg4QzMzArsH8GLuV2SHRBCWFvkXj//Lfs7odGKtB9rWl7/8UFlzW4kn0rBXqLNqz1tocMI3 wyQSB9C2B/jCD//3yvC6iqs+1mucVxCWZkjfU2kDqXGzwAtqYG8t5XwRFxshD76m0GLLZSoveiXr hp1Pq/A8uF1XDnZiKW6RrB5xdw10HC/E0HRhAM3BjjWzNsIwRFLggHA5DtVPHpNYvdfUcdyv0E+q 6K4OG7eaqUQzbSVYK08eBKC06LUY8KETxqeuc/GQDKjRDxsB3vBjC3g2Ll6IXZOoeFOKAJOISWaa n6CMihCB2WRu5Q3ZECzya39wWKeuKe08/odh7rRyWfRQIOaC23U2mqN0k3q1uaTLU5rO5NRHlUMH RMHP2NSc9bT3WAqZDlpkL37WRSpmDPGNscG2hGgTm873upkQCXzdEz+gZADq0gcHpGHzRBm2Djw/ Lk5zg2Z3g6JIQxfstHuUJ0zYK4E6xTTnck+lKDcJnrE9Qc75OvTQDJSdw64SB8mQ4eCXFapautDd 2J+aInkKkKHxu5OZCseUOUxNOY6mLwZO5aynFggwjrjyPAub+7SM8XAJJIEQ7JBtl21jmIfj/jq3 Mcs5Xfj3IWcbzrX1A4cQJF74mApwL8HrWYSeTwLKMw0N8FUyh8MUafaXHoceTZPzAknhHinPzB9a S98a7Ngpw+09o0stFgYOEPuUKtcj3RClxbX9H56AQ2G0gtTvI7xsWKSEcFEXKc+uSwlsyy8EmdU0 +EWuCg2+ImNpFUdeBdLNMYxOhJc+lcUu7kmQ5sLJqHAnckBYYSv5Y8PU2MeBX1uM8ncO9pUqRfDK JBCkWrg/eCOMcMUI1OL9SSCQ8c8EW61GpLqxQgcaBtdInhkjfuEHUQxKjKsl4LZZizC6CRYhXM/B LoGCy8GZpLcp0BB8seWyA/CgfKHz3BJlNUWKwakAj7hG5iLTtHj2fc60yu2hLZtrLW8QnZCZHsOT 8nFsHWEuGsjHz5O+QCPeLogoNXHsH6CaS59tRKtx9/PJLvP3pOYyOagb55dFbcHL5HezMzm3Jz9a LX21QixH/bUVFhQJM0EsMD0o93uFsWM+eS2nY2tTHXLgVK19PTO/gvrtvy7yqGyWEh7PfhcRckCi GKOJKhEINwsu1/nNoyoe3XK8La6MRb9fT5nvFxm9x3Vjjs4ui7i5/L0cuIaaGts97fzHbKJJiiw6 MFUpkQEQ9ts94z5vMvyXxdm99rIutl09tNe8Jc00f4KMxqRXwF4FKNnpNYlvWaeeSufYcwqqjj0W IfOzGCRuMR1u276Um2YfDk3JOMhT+CS0/12nnKtr4hsNVLrFXnA5ypmtRjGsjls0QbmhnbBIkjoX gbpVFWv0jJ6YDpM9hGZHFgpFm38QBIsFSLkyWTIdA1GCtmnHYKLPoQ0XwDtarQju/GyeX9hj5BVv FFfgZTnJWENSQqUCPMRbg8cRj/WCKbyQ7DImqo7Pj0rY9h3Dnz8j4vwRaEzAXmdwcdX060tiDxWA AjkJBywAliT8TaSKwdj3PoJwFzevP4bk2WBGt1FIsIMqj/vTAu0uV268NURSYkru/OoKXmvevRx2 DK6xQj7gNpLpEarDQ0FSy2bpIKZvstHAKin8TusMWv2bmn1LWKMJMv5Rb9BGoK/9hd1KWvpuHN10 bZDlal/51ttSGgzWVsw9/J2c3AuTsAGadn84ymXkX3XuyTryuimjz2c64HIrhvdcytBQri5oP/nY 6/sQNyJVjis8fBs+wB8EKgFzP4Jegf8fFFK6HGJwVSXi+UQiBq3s2EOmRzT8CWfS+JzDELnV1LI2 1yqRTf+J+ppRjmblKaxG2mSk6N5xlZPO18T1F2qjVrzxK2ny7B8lkD07jh74w8JMv6u1qtljWy56 Bb8b3Xu3qPp6VTCcmhKH0r1gtSDmBBJuCT0vcBluJFYodMvqg/krNg3UzvmdJxfl5/SaeKIpE572 OnAthk98qZcGI7accyDEOgL8M+fWFLklipX48s1HrKIQGwfwKjZZYCT5gbJsja/tsWLzb5Oeg5ax Se479symu4Hz4i2iShAs9du6y7RSFuIHbs5kNUcVoRsHmsAg2O8fFThmhki+sX1ufEk0tSJAb4YR hvDFIgBI9WSRUgXjGZwvlcHjmJP5Hec7B3q4KvfFTva7StHrdGmm6ujPBH9T0diPVPWBwCCQeII3 Mp0o3efNWJV60hBdRWHwRIetxg27/cCMBp+ACsfBq1iplZiheFnspNofJYy9hPbdyNqmd0AMub1u ZVtI6sF2xN6Wfn+Q715fHZMHisNlileOhnD6dpZ7BedROk45dgxp8iosgNHCOyjlKPumqIi4EebC ysbHVNI9zFLqi5tST2kJll4NHDniQmJ2IWHrms2ewsbD7v9jwMS0TYUp7gimqkplCIbLPLMaMwEb b/X78GRcGHBT50EGBgJbxNoDv2PRWtJq1N5wA3B5OrqXK3yXKLaPMZisXkb+/rnAO+HMc9HirdkW 6vu+v05awCleHz+y6SuDZNh6IMQo6YGX8stsULHqxW/labOZeObudVht9lpqbt2uXfXouRMi/i9F 7jglQ43D9+XLhsRtzHf+SkgVGk2ywqa3YvalPq1C967dVaUK87EAKaLbcXgNujwkVBzaya/FohE0 Eh87eFZwk1ciIFXLADtyrLmW7kB50UzfKfKUqG/taOWJu2+n+1w+O3Mzp9jFL2DbG8UkVVuz6XTR BQL+3xeb9nrXM18ALjvSDzcDWNBO3ETNnthBgP0U2hsCZzhNPK+sFX/wvotTwHq0vsmzI6qPlfDT +WcONDm5GWx1PpvBjf/asmynIwPkY31Z9slmIG2R+C2i3dsQntzXUslmxUVLWZ+aWyTfDlcmCJAz ZvIMCqxEzRJdAs11hEAuWP+w7mNYze1v78H0tByTJQfAXxGmXdJT/xJ35w5Ax5nSol5gP3vupGoX ddkKGXnFe524a9rrdF4dOXrgrBbYPjD79sikFkoz2g60IFddyfhEICqq4vOqN/lmO6/OPF7pjkeX gG1M7rOK2mq5GLg99u0PBWUWs/fTxkQczjWcSwFiynGBwQbLiOkJRnh1QgR7QaBtTF365hlbnAuB kCNQ80PijEl5ZVZ6TSCMP6cEwySxYKAwIzfMKgXZpBtPL00FdRFrEvQ6Kk/lvAfLq1cn8ltS/eWv CDHKN9DLe9XifHa4QkFQ0l8G9sp0KKslZWgwT6b6eVoaJKYmlvUaemaozFXLxyE6WjVtxAacj7cs kUTtKuuxkSuCBkGIGlSVdrd1sQd80Ne1gtaLQFqfq/So7M1W93+FzSTJwh8p1htK/zcJjzAaWbwG rBvG96X8VaHYoVZzfy/FMVJrL5LTgfmwTY9w856+1csg0w53Q3k61dBX7nR5qzx07uRCRLlPuP/6 fjse++/ESINC5vwjCdyQpUZt0wAPhDlVwtFT0nxx36DbAFfIez37uywEparx1LwsMSJ3JDekEqZC /9+z5Xu6HsvNIRQTdz9RxRdtWcIPWeW4HIS/9ixSvFY6C3HPnugni6byGouz+3meIlhMzp9um1I5 7/J43ud3aOjRhw5YGPqxbxmDdXcbxze8m+8y1HjDbRnPTqXLqU25VoNIWgSmo0qHLV7wjCsL2JYq k93SfMMFNZmg0ZOWc/BoQ4WCYA3gKKtqO5fdyhlnHqjPRAiPOdHdWYz/iWNj3jvT4G5bEh7EZDRj OrdGkqyuMdeCDUtIWdkytEnOR1X+mh4U0i9is9/96zDZ2SJJY/F4bNy0I7DHk/+JUgffkXATybxV v3ri69qtAhcyylpb8nn5V7andhh0twEIK3ZKbzgXiNP76nMorr3G+ELC9kbEDRxDMaGwh7qyEG50 HNO0ZE5c8d388Y01PVxDXxaxY97zxhq/DOWYamztqWz+OGvtRRtvYRU1HhEAUIk+Hv6hH6chXr+w zf2solfoo123DbBaglaL4JDMEK1qE4Oj11LNoJr5wy/QSMOcEgYwA0HzecYtMlusuGYS4cHR0k5F lWIVlir9X2Wli4diGOFmV2H4kSudICVvL3MCvSL3wy0W0rp1zFjiDnSNS4ZsbpMLuTd/VYUjlgkX 0KorlNJQdMFtwixhn+kbZTEm6moxgT1A6G3iAK60TkHstofFANUjZbPqV5yP57jJARqh2jmJQ14M S7lu0lKsFEpKg5mtapeP2hC+2PP9yYwKAIWVV5eJdy5EyJkxl7W2kZRBT5wbpCkvz6DgfALqntNu oC1tcT7BBQ26kJi09yu6cvO5fTLIuGQ9FMEkVLN/46yzQRIUuiavyCnlFXhTl3ToEyXmltDIeUW+ 79EpBEXCRAhKjR7DCwGr+ye7uG/NcOQmlErKyU0wxqDhdTkdjNcJ6+0AZg+ILcqti+EhFpwSG6CT DEivA03N7HrqL4R6YUoqH4RsC18WMGPhnqwDk11Hv4fsMHFbB8Z8g9NDFoSUAhpi3b2/p686uM8n eHQMUGfTpiO3QVMRG/HIaNBMCSw34iID9R0Kll11XGgK1h6iNMkq8H+RKo73DNAjCxYQryVIIO0/ p6NaHLbvEVAenQm1nC/s9WfJx6Tv85fbEFxvJZg99AszyC9S8I6JQJ+AYEYLM081sIOTsbVCu8gD DgQqFgUbh4x//WSX+UcilDGVE+821HBuJdH2zBR/M0J967VIirRqdOU+2PTeEVxnDxpYk24VIz9Q kSLwMM4glqAU5z/eXT4vF7qFcquUB38aUo2prKOTSWfykx6JWZ2Z/Mbfjs/+ldrNiN0LNoYblSws /pBvbuAgUIL8yTTbq0fMQNy7KwLoGgXgHHFi9CZor4AvJhB3v0dYkeLJdWqgLjNQSOFLTGr4zX7h ZU7DZsbwuqHQz/82y0la+p/qVv3etB0WZP2J3laM0L4EPYy9ATkHmdRwkztmm0OXpB2QCiWtZWNd 8C6DW5IWWGNz7Ti9OrewTfkoUDZ+Rp44BPZsi04FGS9w24P1qMg48Rnfl1nwl19INZCVk0YW7YnY AS1J8KyE+MdQOhnn6kVLAU9a8FT/vt5eMjG/w1j+Q24njArReUPDj4iOIC/Ph51/dItDnThhoeF2 iwjBh05GfD06d/uPgb+BJNRL/ffuuxEmOxsGU7ubDoFL4EFpftHP98CSKVY5GfZ5bkxjhkeQnbOa RURn5FT12d97tqr+OMNwPbI00gq7+zQFbf9a01gjA1W58TjkbPpvWEmmFmO1+vfYNEp3M1lKiyR2 wi5dnCQwe8Kd6sRyCKIJ49MiCOvKQWKVKo8/Mrkv0OTonEEbQK9o3z3alb+2oZ1Mg6pHH6Po0vNz +sBbfiFxHKGg3oi/iUTnunNLuZZIRnq6ws8tAiGpHowvCdYX0B7NMY+4gqZtPMlKyKl5STWDeuGc L14VoeuCW145OmFiyi9Dm7hzTHM3Mrn9lR383iX5Ue6P7bQEtd4GNlWkxh74jSogfs3NjmHTlGKJ YG6U2ISAL0ZJgNu38jfsxgE0QoZK1HyGMHZZO2UTPLhsDIq6LufkV4OnhCREIsPo6zE179RJaBxm sb3tsAdhQIxRJ/gw0jVrvXUdj6FZ/evxVWb10/mQcpi/Tt/ySQNO4vlJ+w6iu/9DQTu6Zfcv0E+n JAwuDOL2ZUEAKTdwODlHapEHmCm5IDu//Z8A87pttLDS85FzcvXpWSxAsUJetdnfwY6fTnV9f0yp 4VXs8tuxVjK1QFkFCaefua/fEALvz9l+2y4GsPI/cROvYOB5upGOFtjiLAI0156GOyEdYZ0sP3DX H1Ps44rNT4GmLx2m3BBeuHxzOrvhnThvStvA+/zJGtxc3kahGO4hWxUyn3dzbUPph4u9drwu+y5d xSd5FhppvzcJEDmkd0FIjuG1cg73xzb0EOywilKp0N9cfKWGwAoY4oQN7FO2O5qIOnOq+qCBJb89 QgKq8INMoasnGxdxzuO748Ayskz0TefvWl9jpGW13masgyPSQX6842kZV3U7R8hzQ7Eu9tItDVcI /XiXHeTZ6MpWK/3APBmVREKvMDBn/uqEQkdH8gJ3i8V+8BJHx/1yu0YVs57hZocECIPBYzv6lEl4 bKYnELF5r+8zRabD+VrFmLC4V8u/PiLA6QdamTT4AxpihPYj5rUD9Ua2YXPNfyvqavhMUTrMx6dc xjVausLrOwozf/AMlWYEADzNjb6UihxqUeuK/QFpKoi7ruSEscC0nTHPgo8ClpjjIj4FpA/YAoMO IYy9z0/ZNiauiGxsM65lLCdbgTTwFt7LlgewEq0yl8NC7msz3MK95EGlz36wX1C4dnT8hdANUL3M 01nPAPS6qaA1xnkxGGOV1JPDSqdokwwHdiV71aQF7YdG+CR+pFNDzfGaqAz6U7PNdBqsUvc0pELI 9L3z/KFZ+rdubmCpmkinvBq8CBf8SEx3TH2F/MKdZr99rNvG8tzjGTIWmrN456KqsiHR3FSMnb1J U1t+GRruNLfY81Y56Hwf3fA5Rctpxxdhky35+7BtAexbWRunKE7KMGdjsgKPGCKWiEAFaevl2LbI lrAeUV9JTyuyUrTEmoANpeJ4yne2SREDlUEgOK8LgFwzJPmlNdyM7ArDbVkuXnID1o/E8Oz2LwGm 8yMB7z1JcpMS0yoUVn6+ImZa9+MUHSx6nD8Lsr3Y8QBuxBwZuuMU0kWhDCSNYVKFsGvv3sm62KwF 8vQn3OjokW0SFq6ciWsMPbshVBXBa1Ha9bX78AoUrl3xamiaDEfBl9NKIsl/IrBLKo8+coVvndq3 NM2cnjERwSBHEoBEJDX+QWenOHV+f3dgyblBuI12fo9slfwleFau4kv3nYUftL4L2xwu47HKRmPZ rXHA9Yxv5jYFGPss8DBAZq0Aao5Oa8jL7/mNViiBEODvgj+2tkK3yQItUnwJOrOTjxYlEzfZ3pZr Q5k2dA8hiy9fWE7M/RIBAz9art6ETO7ZtPd20nVP5HXbaRdm9th/QV+8OHsLT5pE9LnE+g7LL+m2 +GyXvT+EdU0j0cSQUivz1J/qLem5vlrnMLv21xP0OAcCffLrjXiA1MxhzhDmKixxgrH4YAcIib0A swf/avNOaJX1v4wuSeCotIKMIyY/kBpo1DgfWdNv2oGVgTuFk1f8aodj6kGvvhA+m+tsF5VbImqX DyFXRFh+Ab/98k8rFOG+01jhB0QUvxf0QgTBPYRLlMJqyLu98rfIOwaK2C2VMKQXOIb6vyn8uGCr NthG0z0YJ2DTTEso6geklHwTi/JOfGq10uGcdk4TC7kRZQ4KsQYlx8iaZdT/kRdOvCmt6VIQrDMI HsBkgk08pRDM02gWgVQbK6XBjF6iXozgbYAhbRLvPeMYgcuFfICJsrhyHg0Vtm+CFQjLiH9fdUOv DAn20anIPKo5MeAc6mpqDeZjPhQFxZr1+S3R6jjaH4nkw3T/43h11cZFHiq12Jimw787OX6pVPVn FtA1cX5bDe2iZ4Zy/DKgTClf2ILN2fte1HhF59aXnasELBHis8/nXyFH1i85H+8c3cxIfoGRSGot /XWKPSLAQtpAix3//rk0kAbHTFDVNgE+P0ynYDwkEMtSSu/u6zABqH3CSIy6KanBe4izc9tsuW76 JiI4oV8GxjzddLcFtYIsv3xq94jfDniOe/+roX4s5fRpEKt3wKl7kGKFkyqzUuxdRs9sQuTyfRYc 4g+aYdOUsTSQrCuItOn0gjYTua5lPdCF3UL5oFtpgwK7QmVrWsWJlmpKrVBgzrTD2v5WHsHrksRN sYcFIIoMQEbIrzkmype/8BbR+T/t/IxiLghrwknxafnuNxWNmWMcQz063rO/B6rDqwWfSw3gKWCJ fvFs0Nt0hPCUGHx9h+dn9FyVkbGNoZoFJ6y7SMh9vuP3NvHzm2jPtPeuBxmrdFDPp738v1IqW1g2 QMolwH4mgVemwmLieiTKV445RQM7Qgb2SLOKz+z3Akk/4wgg6ZhyZJI+truhKc1ZQISw5Av5Fh4r ylfeZCR6d2dYoYZdLUnxsWGXeLvJbuhzXf/Ffw8Gx+xaldtbV/nyAsRWiglzwbUlHOcEeAFFzPWh bQJj7WVswll2l4KOURgqNQGqOEpPrW4pZFUcoBgOhhFMMluSWIdvwiMCS1jlPfaGKAJPWfoEOVG6 1I8/NWSkZqPq8BDP+rL8PV4LSTKFYKOLoCcPgNoVXQ6GVAimwT+y5UPFSphPUXt9+XVCDZC9NuA9 s1f01//tuLaQ1En+O20fbBB336B4KAYsRFOElEL0muqGB/IK4s5pIFpi6cQjsSpGK1aB0ZQG3WAo kVMl96EqvVHWK3uBT0++S9R5HLhhx8mR9mFWy10axmPjzKhAASyMfDOYhfg1ZRy4i88goi6x+quL 3bXD8O9HZGs3ZIegx+AaR3uDZPYD8HCjrSctq31sDj4GXHwm2xzVentGEIge2l+LQ+auB7fbS8aa qvpK05te8hSe3kfJz7qcVvn3H5b0n+yWSWELPe5odooEqrglHCHunYWSUcGLfljOj47zxBTNyR/g 92jIlnbxBCsg9huHgTl3KH+3rY0GpIK9+GwOm16Vl5A3Muyik7JKsobB9clXyNtYDAFRZW8moGcA L2d3JQUi5UeJ4p8HultsrrjwqhB2ku2/pITH9k7pVyAgOYH0G6QFdctyb+B2lZzmUonOnRZanml5 SgvoN84Zj1l0iCYfgp1fxArvFPqlrc9DUTGSfc9HipVvCtvbrFPjcpSG8ec3BvM6KGRjJhvZoGpY PZ6mFkOTpfJ2WY+owgJmFvaUmYklS9Eb9PUEAzFzu+Y65mHdDpHmxMYUtiiaRX1GZZCrjIf4QzY2 p/WTM7MIUV8v49wIU3TCBsQV2Md70JXBxZm1XWc3RT/dIrYMEJLdUZXBJSo++zOYhbu7VS4c5GXM 4VsEWe6puy0bP77qngCtBnGipy7RQiaIfO2KYchHF3W9x/DQcCAaJUev59Wta9XI7/kc17dJD1bJ cV9aoLND9qW3kxppTKPLO4n69NWdFKYdXjio9MmyAqROdShOJenepSCdRJaWOSQR539dMCsOm4BG SCJBa3zynfjRdc8BZkmHo7vwdzaI0O/nYmd4b9r5T/Yh3SOU8i0HMFBT47hNQtYbZF+VkJIPvF11 MGf/75Kf6txjyvGPXzjRX41AUs6U1+eG49cSCHkuxVVubzfJNflxfaavZlxEO1edxCHMsTAF07Xn ex2wfJs+bd09CYtW8l6tVrcr/A2J/1FlxT8RzuT67k80QexNAvltWod+pMeFf75ZSKmd8Ht9sUwD NNAm4AIUPFZVzp0IgfbqILABxnjQ3uFy3rb1Xd7xNbFACKlN/KoIJZRQdyNGliBA54Uev4IHYbhr bDAFI0SMmirw/nOn3Wwkqq/R5g3mIc3fkY4HYVgICgtmLFpcUXZ27dGukUHDrOrvCIPjGJHhz6Wh czCbj7n3iiam3JfH1ZCax6t/NwJnfuMo3Ughfe6TzT/vkm3XvZ2DYNhgPEI+umg2aONp7MTq/3FE pOLRisJ6WZJPlS55z27+rTvH+QQVtauEzjaEop3WrMmKXcUB3MnC7+zG7pMaJP3hgXus/3hv5e+V 4/k5q+7z4U9UrrlK45s8Lppn2VBZ3oqXnJf1nZx77yo8bwONP2eXPT5uNXOa9pTfVIBSWrdgyCet eZNIypwNnaa3n7sYuZcmrDavjGX2kQGJ3JFEvd7AXlFfLLeMoba4OXsIbzE2Hf1gOaJHMVewxdgJ feF8IVn8SFM4fZmvwYht6GdbJB0hiQkr382bAD3+IqiALPvfsxiouZkIw1ak7HMUGJAgyhBjCRa9 Mrr0u8s9c5/IN6v2zx9cbvBlpXqVFl6Av+R+mLFPXSM4NOZ38Xq53todHJwOhRHSRTQoUQv89gaT 4i3UXXAOt/vHvBnuPi7rPUZBARmfDWUW4nCoCI/uW0UKpvb0UjzFojHkQhdvPhu1pQFm4IRCMeJI 6WXuQh9UgM9d6D5upA5dOkUEGy5LdUGCP0hfYuNIKzETbTeFqwmHiIHjqh1vR93n4CZeEurDyvem vMKrwq0TCTNnw9UBZdJa19WE1B48To6TjDoHRJ5gb6fJqkwwRnvMpYNpDPwVJrWePSL4/xRDq/+o ggeUUVwF+VFwWklPG8aP78EKFTap7gVfEofFy7LZNr/TWvQgKLBmtUbUUunqdgVXRuiOCH1qvtPZ BuCgb6UCsUOJoQfeD2C1wjheh9AbgtD71zj6GAxc9hh2gpwLbNZG423pdGks+ppDKiSg14pDkdNy dJ+NfAkAa9Bc+YU3pGTpY9+Zb1fzNhbV47EO4oJUWf9qYTFINfJKJUmN97nL4UTe72bgalwGXvbz fOvlbdEfqMGRS1+OPOeuSJGVSKwUaZIJOHkxElEWALJ1pCnnc5SAYCOVuMahyvJ+c6yO3jOewOMU OYUslL1KxA26SjQ1hEXgFVCg5jQfWD08a3CIDXgbnwZm1xiOmIdT8xA+7awtHBC/UeGFRgt099oJ D4rfBkg2w6EwIO0A6C92gfjvAelfgv6YMm/I5DFKOZvztnf9YMN2YNaA9vEel58y6GcC5sT1O2ag Yu5v2VW56LZlB657y2xZ7/PMNJe+RscUnBAll5ySIhVZxIXYdwc2pndOQtZPgVPdF9+AYu9po0WE g3ETptEksazU9seFgKXn7Nf8TdwK6wlancrVDex104ROLOr/Lixx1ov4gDeasuONsswSreJWBZVq 1ZD2/IllAyqvNajzpzVhJMskM6HEXqfNNaW8s6FJlUVBxrme1L8izsZ1pMg2af/RPWSPwdXxGCWV ce7gc4mxMZldFNIUBDu9drMkTlYIeJCoNTwHQ2hTPKRSUQUaF7EJ78tFHagTyZc33/ag3KzEsktp kbOaOiNYse1mCCnCJYmw8/4bywuISKE2s6rFKd/WDLX6GaYB58+pTJrefnbjF91B1wrSsHau480z 5gzFJvMIxglLmiPr/hDFi4Lq1/jFK/ZOM6UgUr/BRNgCo8LpVD9HwBnShKl94CdqWUghmGXBA/Mx Aqxr+kmCw6++AYieaZiI4qhhHp314gHqdZ7CWsKgG72a/0/YF3O6AIxslXXfgaOcJP8rDeOfdYzr BwZX23vc72s1Y78RZlY5p1VOkPmyxKfLaVBPHom7IvKaMLK7JHQMmnlNB1TPZTkYnf1F+rd/saEZ BlMy56RUQgLLwkx7ttY/KIB5CgHKYjKCD0nYdIUCmkZNbskyKKNXR6oWcybDXhay5Ppcl+5oLceV zFC2xy2CDPSG8iD7Ph/iXuBGYRLRh8IWLVlQ5xdhmJm4UThcFx93TBYb95dvq6iTl9vtJflLJWl0 hWfmCiOj0Kb0yLsfvK104p+0QAlUJRIubcQmvFG7bE35Z1+aBl7giRbfJA0KR+dfAFuojyDQoT7M o2Y/DwJQRxC9ELf+Twnbvu+K2YS4oJmNJ4tgabW1BZKOxOZwbesAHxQI+QoqoChtG0l1i3+f7B3M cCMgizAniTUIujJz95zWqJhXMkAHy3qdyALDZH1qohv/nqL3EuY0JydG3ltyO2sqrMgGHnGwXRlB KCuEuD46AaGBPWj6JUEYSiZ1EUtOEz5xN8Rs661CPaf6nKE5kCWk7uDTX3sO4NoZB2zqPoKMB434 Bn7GKn7wMF1kqgC4+K6q1f0haKbYWiCIzdxeGQZoXk/4IXe6skBPusUBiA1jXHTB+ytDU5U21oQU TT5r+qTVwgwwBGO4Oynf3UCk8liS0sQWMK7aKgUkAXmARDTDv7eW8nxmwYqalYy5LLHwfr5MFPA7 1L9K+JYtiiH8HqeJt7NiBga87rmPJomfyJOD/s1ExKv7Zy9VJbOgjFsp2F47YGpsiz3E5EDPEIrk ZgMvk6tcna+x0BtDg8/ENah9OKJstZ+cU4oRUm9QifJ0/HmMtQwJqUlJuHo+9ly2E7ck9xR3ZSVV TZ+mdsEeOJRTOSSR73yXlMRy0go/RQefR4qsYlHYajryZTk0U9+yQMmoRl4cK7rgkp38ETNHF/9B otl+pnaQKO/xXezwDoE9ShOjrVPte9VsuLc1H1kOIM8vHpXnInGfo3rEKtr8pTXm01bpn9o6PaJf 20R+VZATmEY4jnSEuy6Nei/+xedAiAcaZl94tglKc4lcM2Te1fNqBHv6TGmU9FFlGKtwB03aC7Na Y7WVdwkKfeMJ5DtH3T9DQyvON3XLD7ViNIm1dSc8rWnKmi0yLQ2EFmlPtYQhJ8xPXKuOUfgVwZtT JOdTb5cZMVCJa5baU0JvYq2rpqBKyvPe8OCKUn9B3D89FgfA4OVuvvrvtL/ujLcoSsfp4ZwJVLPF E7hbBv9ACC8D4m3bvKukM7uhdzX0QysXhnmS1gXN1oyjtxlBs6jtmv6OTPKFwerplc0DQ68wqQuC Fn9LL77SNTEbV0jO5oQnlsOK2kbY73fpdnJ44aI2g5kRze/2+UwKwyb1nNikbP+ezWLU1tRSiEmq jTwjuve0ujeZc/W8DqFXVoCfj2T/rpLO/kVemD4IQWOOd6CwRqENtvZ4QycqW+W7ozPhzYRqKT9/ dXYEka2AtPuQTCG2ICib3JsE2EXPkQE9eRcRVW/eF4N93JQAOtwaBJs8Q13p/r4I5reVvGU9n9hA Qws9uvsO9H6+4+weK/+x6ydH6WCXZOKMwAu78bV0vsv7RishzmqlOgDt9I/7jplM41xt/ENIQfUj fPf7XHnnoDafL5M79VX7JuTGbGKMBqFQhh1S3JqRbGN/x4yu7QuRfHLiFK7jWxuKREdp7xfDFd2H BvsZpPXK3VMCR8TDpNcfRA0d/VttpQBJhiGvddI5WmJsdZ1+R0h2ux7e4DuxjKxUZvSex4gVO0L6 j8Nt1IJ8/VOKBZa76yhzpNcIRR7+xVK5IitdqcSrEfJKyn64VMl95zNkWdQPSInmTGBmq5JKF78q 6XRvKXsdk8XhicfipZs1mvu8BCDakWrDh0pOyRsQCcoUupR3ON/s/LEUHT7O9FEnpLHWWWoo1rle 0xX+c5Wf1XiyEInIM1s2ZHDnfPpSwlWb7Let48E2s52Zw43dYResWN+ztPbIxXCsu1dO1ExABtkd jm9IVW8Rxf2JBfEqnWSFdpav0BIm8X7U/yTOFMaY4k4kO69T5eYbRd6+AhnZZ5Fz/MzSpLeUANSo LVKpVeX6vG03xARy0ye357CJhlejgzqD8SCEb1vn3KKGwu9M+A/CW3M3gcEOE/8bIp+aYuCLW/iL JZqOvL1zSAdwAjbYsWahlK9UL/SjgcCgbewCsGb8hkUSUi97+Y9DLaWXX+W5v7UXt863uik11jIy 7Lf9x3fGSoVCPxSD+DFISw+OQm31WGDFdrONYGNV40LwkYptNEUqcq5csBzkVKzsxJ93s6zV2rxQ jgiM0flvMqUVKt/PGL/fYqpRQScV0Lnodaz79nNcgOF1/dKvu4iTe0Z6suHFeQRHl3enpeFpr2GE IAtv4nORTUWUsazhda6nC9C47dwSZs2cRgUf0IzoqreXYEa4a20ywHKjeNkepYJFjaXKCxCRwJFA gwjmyT9Ry+ni0ObpeSa57mHcEGLjRN8tEx50dGAJT22qORpEQb9LoXFgZ11sU3GuNy4BJiG1c8Cs el4WtdCotVE9VYis9hwiqEHzOUCmDhAbpDbqQmt0pEA+FVNk976hK0ByximzTxNYW/+gDC0oCU2Q Vgan58g7RESM1ZtjjipXOiqW0kJy5o8Vf9PjDWWB+T/zNt8SqNuwCsSqG49oLJauvZN9FyucTmRx W5CePnU62ShDvlGPhGZqNeFkyOcAl6dFArdjw5fn1oDgxF142xnoKWRc2g9I5IWMAY4l4LgDFXUW LXEXGiDCurOQNle2NBC06olt9BQyB17ulwobf9QCnkDTVSaIXFPXzL0ix71mAckvItLdVXCbyqUQ /iFauZDDslDlFoDZMq5msFbWosKz2st29DnHaQj8NgT0qjYDJxNO4AIMfzCbpzmkOlTx2w+XLqsp hh9094MisEWGhQXKZTgBZ/521skqLqrtq8ci/F+CeVmLS0v2jeEoPiHr26w5aaLhKwJFTv1gWzFe sHFTkuTc+5LFOUEQKbJ/THxb8PEcwrR9acUUVgpEhfPL0xVxEsDP4G8nrqw3Xr7DoEPjnyYSpe8e gZ2TRt6uIJyGkxd4i8bgM69SFow1vWIApPfkYe9RPB/ZFJaG1rvct24W4RcA1L0WnmvJIkZoQupE hN7GEjbwXGmz1hADI6IYHLjn39Dpcr5Ckgl3VZuFqdnEJubWRyoaagoJ8IAfwdhEzILVmYvxJH52 QHOyHp3U2DxH6alx1UhpsjSqwNP805U5VyLcmbwBuf24XSgoZaxqtw0Sp9mQBPpOVDd8QGJuvff5 UhFJ1Sgikn/5/5qec7VP7x99QgZVDYTINY7DLRn23DqF3jVt0Ig5JN8G4Fu0o6rVRV7rxP9HTDJi AqBlou+OikLKAjb5YSoKreVJrpFtzbowSMkcUNLx+Ix9ZkSxflE+WBqbV84XDs+fbaWInP0gO/LV v21BuF2fz+5ndyr27Cp5kcx8hEk0hn5l5vYmJCf1NOdgykz+bHkGO9VVt0zBJ9vagoAXSIG+ZWAW OCLG3DZrFtqczebStkTb30B2yIROrflzOUIwc0rEQg9KTI2439aqcL+qvT7UK+7X1ErV0oCZJPzd nsH46F5lTtSZjz333gScftoJEtXkAi9ioIFWOAA234X5pxcq39HhNJFR5OQh61ogNQS6rq4Gc0yi ZMN5VyhfecEr6g27V7LtCxZqrG/VFvzH69QjmbkgTKUwikhRBjxfOmsOOEQ7W+8353CGnTQlU/1O AMVbVrxcb8BJIGg1GquQnOsBWO9bimW0qtnFrHlF7ooRFErFGTlObAyktcw00gn8ukplfK6hbCHS 6wjxzO2ut5MFgM3Q8uquMgX/ZW1uLVUDKZwufhtP17HjOT97/XwIrGcwi2qBpiLU0UnGcidDtn3K NlcgMl8wTYj79dzc5mL7Nr5L+dMsittF1d2jYxAmgyLPQIU75yLzamXlZSpqOhTxeYDbrVvv6yAk 62k+OT+SsDpt/0bud566JbIGmpo9THBW/eYsyT7IjrE2BYQOgp98X007KlahXuSbJ6osOVETshrF f1ET0jUdNmDvxnomqqXK6zSq7ATEAYjf5epmvz2aqULz85tCMaj2vkQXm7lAObW9n6BD5Q2o8m5s SYQs9cjjFu5DlRw1wU0g5FrGBsvu9tpXpKVAQ9qtnzVzriVvWeF5YS5NaokGBTgIQXpXZLKLV4DI 6aKQsY8wfBQH+5BLrrIQ8fVswOsNPM0s6UCgxBGSpnpGQBe7PzVxJRi/S7AdYcjDfjd6c/lq6vWR i8kQkL+O8/e/rDFjmYXHKuRXNFniudIvHJYN9GxjyjSEtY2oYNfQ7BvGkxVtkknxDXny25701pQJ JDSU9gNzCK60VESX6Gif9RSn1cA4gS/Gv6uTfL0koTseQO2/pRf/EgVsgn05nsLxKzBAh1NfrBnC V97caJ111GvtWeM86dbQz0D1F3utX90eOtSKorQG5xXpdrWi78qZpyfN6JVe8krGpdj8JZut6st8 91soHf2VMG5GuJrebcnjvtqtZdb1CKXIVS1Q/mDcbTjRWtHR6ZLvicPoyhbiuAMPUqgm+ZtyrW5B YP5Lwmx8pyKndghbuxIVG3Pq3coFNh4Zd54fKqBkWLCJVxahPYt8i4SwDMNLMK5/PZzv5sFrSEMt ax/qRrP+ljDIdzEdiWftUtaeQ4l4jq2A/oPt3gNK+1uku9Ugb4Ms2TKJPHS1iRohurXz+7eO1V/n yD/ComRlG93+53sjFQSjh3KKoMwsTzE/rioxddrwy1MlR3qTTyDVlz3KHWxN7iWZgyDkJZlbrm0m bj9N0ly86/RqoRs8YveCjuPSlR4yiumZfpLETRx2FwA1qYnS86blW/z/OjkQdDfP5wmWvF7a9sq7 boiplI5/nWmsupF03r43B5D+E4eBDJ8ZqDvImXVe44l08fWVLxbkX2O710YyfLaMqkM7A8lxoRI0 le8wL9eBANYWD3C5iNU/+SYEHVaKagfA9BrEr0/MlZfmBJQGE78glYMh4+lfVVgDFCVWM+c22BJ9 BOldARzS7wEgAG57EZqSXRG3L2fH19juC4tHP/nGb/eofXWamkv3mojOPDS1tiYa+GJiuvEIgnAY fny4hc3LGjr6JJbik2lww2WIrq5Quw4Dz6H7Mg8XrY9pQB6mMwOkdtdtFMf6gRnuqByXqTdyoqNV NxwA8AZBAIt7LQZK0fSOk88XkMG309iMA3oGKluvdejRzXGmMT6DTeDx6D3/7cpsODz3dHbFb2hH nfhQfZqlqt8et7xD3s+oXkg6e/9tGJVy2GRtCFqUwL9XdpHgW7jriI7isgFg5v779OtNpvuUgFUM Ia0nu69S7IEvmyA+dNaRpSuDR9gUvbqSV8mURhz/c7Ie6xECQoQV9/qxOAZ242C/ngB5fKB1Fkhy iJa9OZeqzZvW12YRvsDq7vXZeu1Lol33tG5+4YzB27ufX7pOvzEurpF0adewjtJp/SQUFJvYP+tm OZkdpL+eXflaR9zvj43Shk1fZ/qPn5v2DESiof8ntlkWQ6hD49gikcHlxeSPEZFuKLxUnJdvQbd9 W+iKW3WUvVSkbuczUpXhoOlMUVeCNo7F+k6z6dSQ0g9qMQRXkThOTa2MYFUcSqkHDLvPef97IeOw 3VuyeMEjwfvWAV3ylK03Is56ObTIZMuaweJKCbRB+qq86S1QK/cKctSeOCxsu7osjzVks/JRkrY3 aExGqxRZR06gjbggPyYqFHaMqOzZyfdZanLHgofYCgqSSHMu1oushSiUfRh97L1CgcK8hKsO7PHA DpVG1IQYcfKeLWgi8Zg+EtSbO1iZL0NWLNH1Ss8i7kv73rsprLbQgwWEsZYmY7bbWFOkyFqtcxb8 KNV2WwriTk27hcX3GIH60sPNMoK0fCyV8H00i8goB5Tx5rfLXSD9rOgAFL7e+3Lv0OYzxnsndBZW sl0iDym3HTTO6Pl6LDBE5KMEs020BqnuUFR1GQHyr9JTVSzmu1Ftfsi1mGbTzJ8bbpe0uOe4fJZA IcJ8NJ18NxDVbBqM+gmp3p6q+cP/EDkYa1Ps9wG5c8fFfywASxMeHoNJfAqxFsQ0SGMdjMBtgTad JvH35jv5OI7wuVzWnvz8gZ6MB595+6dmQ5jE72nYB0KdTAgqB2k/pXn7R+7Brt/L1Vf4C8bRraQC PxKMlS3ZNk8IQ2YO3q+Pn52y4zuoDBqb1gYJGaDkJL/a1E+dWMWas6bo+jBWsjGhKv3Jn+s2DmNM 1G28wcITsx1fxSt7rAUDhHmyNVjo02DEO/bMFmvn+VVLbBq4DiFxTFexGTTGjzMYXRQpqG7o5XL1 azvNKMXNghEJwxr7SPM2QIXX0/nN54vYMPWvH2aHhuV2g3VuFBoquXM01TOPMVTCsb4eM9IzsIpx /oo/WD5S/wiQ8yCJiCGsQFT55hZJOTROEvoKrjByl4JgPcI0D55s182ua22+fUg02QHyfLK/ULyk vnIVO6KaMABDcE2CId6eZSoULIPa9I3mavyV6GD/Klem+9p/L9A8RlaG3hL2kZgPuklzfaEFZJlZ s+aDkk9yB8NKz7nfXhSjXS1yeBB5d8b7UjguI6+F7Y8l57WYwbs9QV+xrFoPaFVFklekXcfioxe+ N9rq6HT57ACvy/ffbTOpdCKrJZxLrvFJgGSAg0hk5n+oJTe1TUhluafZ417OQmS9JYPii1/Ubsgf 936/1geTIp3jtzpgiqaRfCZzHjBVqVjSLhE8Xq3PX3YiI7hhv7flfN5RLWdUIM1Dj7AhgLANQRV1 YfFmsRj6a9sBviOSuRuS/D1Oob+mbRuLQPgXREDexjmZceAxSjzLpNyVZ2AaZE3QJkIB78A+DZuo FEMIIYBIjCvWy/z+AjoejiY8ZksOklo5jCZ1cGt0B9HyZcmaKU/g2MLik7SkXJIlA/kAsulAIM7c qeybfpJZa+boeP+QYpMf3/ATxhlw83n7QqhFpCFA/eA7Qvf6CeIYPY69XB102nsCpOgsaff+Wu78 Ueh0kU9+Sc5LRpVEuL+1+7IXdRdytbM0GgaRTl6SfpLv/6gHMrEbXBHY/Hylq+QAUVCJYFEP2SIt jR7YvbT4IpBNjL6vCMVmyAeUTLwMl5xdnSbDrWVfgbnOzRj4UV0GZFFA5up16Cc1cJ3JthAQSZ8u R6OxeblyOlef4gzdFAlU4CoDGpPq51oPF/aOrjDujOfjywTHYKTHhjmGDVlwcHb0Tr4BY+V4kpbU xPNAv7ODZVsqUtER+yGddNXb1ERwSu5a+igbe/0RgGXNl4Mk38HLmqeIQaRSeXPJDpYv09fDIi57 hYQKwYBHuRpe9fZ8iHjZbJ/QPOncnPZOGNN+LPMN/9rLVjB/jValpmlsza+QQNJj8yaf6rwwj+Iq ydAateKObfMLX5b0rJb5Ybpik7t0NTmKbCharF7P6sEdcSFco5EU2k/UP56ryLHLlgBxR20Xz0Mp gDRzj5Zm9sJ485+MY22uVVajTlJPo7+y99fkJ+yJAwaxM/TjJx15bm3rkXLINaBpY1N7OonJQnjc 7qTGCoUFB7SrsUQJcwII46rrGuzC/4A3kxJMaq0WkJ/GSLp2+SQItlEjZ0DBEXNhw74PBCrZ1pJq ZX8YA7tW3i0qv80bAL7Uz1iYXbZfCDQU/obfbmOBvk2/13dmWx0VKCEAZXJLilUNaZb7KPUlMWp4 FtIwmd2nvlK8JV/NeiJ7HGoLdJ3fK2yG2+tFBDYfCPy2WA8Bqu/lgPae0sKHc6xw2CvGViGecby9 CnLRz1dwoOAsiYMOM+OrtDw/EdjovfOuLpcHF5gka2GXuuNsmholiKGLY51tqHfsJxleoWCyvdIy fvYDK1MPYl/Vt8zLYIAOccgNZymcvOe9RmJdNulOUcc7eEfvjmgr7kJ6/2nJCKcAaRAxzO4ZIIBE t0eCn0xL4oZ6lByUNtdsKQhiE9Z5BSlZo/eSfRe/LaYfTekvVdHi+sU/ALaMgVMqLyzAs8pmRwOu /OdZMAcG2PCbWEEEd5UFkeDN960ujX6kW28GI/kXh9rUOPo3sJXMMyI6EAPzJ1nHDfOny7IlEOKD Qg8RPi/AqSE9pVTJbFLtmpVkE6PBGD6bmhEAXifZ7/pxdbFf/O7Tbah2sVU1WihKwIvNfAYpSvuR lOPs4lZnqkkd5RzfOt+ZFpXESlRk0QeTxPOwyUaRIXvPWGxSyOWQmwfXA6Eu0FFydVhCJOVDDXf9 R9QkHn6R3SXv58L6qvujA4/Ctoa7geKxt8A3C0DMX1h+iN4scJ2Twiw6/9tm1KvBsz1HqD6l4Rtj 7Zu2zx99mKhGw2/M9KJr8TAOl03KSX6BBGeQkCk9CUrSjKOeh99FI+295uBaCb80e7i1oNrS5ayu AYLgPs0LucHT21Y9vx8Bg3I4plcu5qcyVKyYOBP6W9xu+fw8O28yH01U7BcGm9502TF/e+P8Femd JvnWbDziWIYXzGqndfQdqUnGeS4mVhoQii+71fE1KWt6U5mVwLGp0ICVu1vX1OEjfozgVX4GLog2 kDcu5xOKWteLDKXOlhSgvQxeREhDRqfYFDrII+48guV0rAU4pDBvLMWSvymoyn4KSUIaStBb2WBq qr5hyUv+hkZpRKBIAhwXA2jxAjFcbYFsLBXZ0VMXM3n+w+6U97XXimYDXK4IlBXlihtfkmTEO69r eUA99BitDfdt5f66mUcPtkJSSfVoNbLHGLeJs8EaLzOvdgdGSN3Yj9gap0bLFGj6ZJbI1Xrd0GHz 3QC+4jIbVomfCU4Cm+BoOaunBomNpGuvlk2sga9CPehuIDCxTiGhu9DTRw5AtmoaI7NChYRpqeaK UAN+vOTjXARfKQnnSPMq9N8a0SDu6rXBQ7B0H1IcRmADzFCtn4FYL3nWsxZpjIWAq6er7cmKSoxp pv/BVxYdVI38Ks94U6/S7Gs2Nz5iO52/uA2Fk4lVHuQSld1xct9njGxz1kn14/fpQsid8F0Dooce TxWdc6nKr15o7lW7qFvyN7sObOvkVPD33ivTzgPSc56sXZaDy7dv1OoRAozFqJULYUpvDTH01cuI bEkgJ7FtYL6xSETLcymAJo4k8TDRQOuen/0OKybx6IX9nW3kNqHLOJ//bCF8WpACQ9xUTliNdB9d 6IN+/fk5VvYOcMUKElCq3ZpYlECoMfPoC0N29ACI1V6fDwIoivuLgXDt4lNfFxyMDg5qSNzCt40R SuDnFKTOFDT73BvERyd9HP94RUO1XGCSpunjkvhQNvbf4KvvZiVMJi2qhFN+vvn/03RK1H+r4Vft 1Ss0XgoPsDcPdR3Wrxo9AhkMPmKR4MCdJJ2wLQM2oJoeoDe2VqtVXgiiKb3uVib3pipPMEsyGiuZ 13d18yZ3FRCcdGW1N1mCMQZ2LPY4NEtasDk5W50W4D4p2fY5GeQ4edAPBmWsG16oy9o+DhX6eJo1 SkzB5AkJftFUx6Zigy43r8hG4keYlbAZhOXF6gw50z7imssCP1EidIRmhAsd1QcWwOp9Z/FcTjEH phQ1AkXvU9CEYXIO0PcsMVy1B/bNuu2UmiGUBkVK4zx1TldZn9QC5N3JNHl/WgJS5ajmvStCmxeS RAYmvg0UE6NMv8VTsUIeEAzyc+BRuNVBZo6Yiz3av/+VRJx9PensX1mLrNDqmnIsZAAONGneqhzZ KNbvZsMo/1wrYkx3jafAapcHm+ySV3uu8ExWvI4xZk5WENXQEh/Ta6x4wu2aOXdjdQ68ellHPiis hyrD68GnGOuXjawDoN7t7bJfUeJ8khwthKc/cijvAhdU4hWXcbMdMHvIZKEE0kvQvODROdRWLEtu AuHzGt9sAMg3R9vfwYrkFU2Lcp2q8vEAvQS1JKSKpXeXbcKHBG6Tflev2J9wMbj6WPBnRmRec/fc pIZp+IoibxoeRUeu9WK0quUJ5mTrk+qWp7Q2EAIzoRtut5lrA8GzhdOBBYpaSZgfA8JSTdcFLA9k xFdtM/8CKD5RQUKz3zTwCUvx5mes/fCO2aGUNNzdKT0zvNbBHinnNs6JY6KoCSGc3f0gVDL2GdY4 h78UmbKR520LJ5mI/1JD/NHRaEcjxtpxiU803EfQFsEfn8k4dXZ+Q/T8mqBHSGrgqaWeEpsd7I0V r2dmh8lk3yEvQPQN0Gi+cF9HSkERIg1FDjemf8QA7w5nLp6A3kBseiSuF+y5el+0L29dOjsV6VFg WqJ6KeSyZ+Yh+5dGYBt3mmcJ5yfFdyNpFzT054sy8ZkysUf/wvTxSAlcDEV9xDhNhgqc0lST9fxC yoUWjGowuh6jj9/RTjpg1U4n3zDgshHd/+nmIVOLmhqT3CctKK18PQFpv107MxfJ2CTHMOS+EbqC UvNxHzKdLPM5o4ta82p1G5sUJKOnfQP3yDyIdtrZvIw5N0dPbrtzrrAEGf33epnLPUCeAdq9kzSY D0Akd4ie1lghv24l0CVKd6QiqFJw8a+Q8LAvv6zlltLhJhV8QadL+DgoXHhh9Me9ZWCxK8/SXiyj gstls8ecRNafV+xjpfhdplqBJk1NkrWwMSXrrIHiZp/BwGh/GWrAIwdMw9o+yHT6rwpZss/KXznF gZGggGNV8LiO9Hz6XuEfHSbFLob1wSlQgjj9e/rccNISjWpkOMysnq+k3cpZ/Ajz1ly1MstO2Qej Z90RERT/utqf0dQ1eY3PTHX13jzTyscUAUZnHtj4pPkqezBiKz164RoRqyCBT4X+IUMUbJJqRmW5 K0HvBgM/QKPW0VCON0VoDu5gMssG4jkIqJpjpQigryJB0ERmBmdMvRuTaKmuJZ64ei2QCunIiPFk ezYC90s7fU2bRlO0p1VsLCg9NNtPDOoKHk/4r6Mpoma0Cje8igTwK1+SfDx1+jdMWMXG8rd5XMsN qBTF344nPYW7slDXhhb66zTUB7hfIb082Zf7oSxtzKqZwsoB1hQ6VbspT8TmIvBWt0lcdOYQGfb8 XtrelP2MePLHiu7ygnq5MatZLKdLxfLmFSEqPsFgs1ie6RzuVTVIwDfNd1BMxlVkon19myF6lTv4 TervhMSFIPw+PqdCL4QJ+uyDsNP2jGOe/P0vuTUB3rPr6GWP/wTZbMxIWAnrRpjlSR9rSiHcJnrg h+0c2Cw0FQTu4A0AuHeGdDQj9RHmAxzZSMiAr6wQ59tOpIzHjhT7jNAEp5D9PTs/f99a1nWELBZu fPS3IZ9WZmjG7R5imxIG0Cqxag2LoVe5xM08vmbtSm1CEc+3mOggwy+azfohCXtyftkCW1YbSxpI vIwL1zJlQp+Jtv9Zd+t8v0hc0+fbb6ksxPbSX+nK5ffwrhZ8ELcJXwAY4bQoq5rT7O6CAFioVo1m BNIDKkUxahWs5ojYAae+3F3/1Gb5eoYiZKAcjxa6P7+a+kOCNj/7wHaDb634Rcl/m1Jn5GtxyFtY 4LLbLgj4XTWjefFpl5to/33aGCJZxmW9lMDi4OrTgMPFVPFzH8nkMlvu8fIfjJWquspheSAOpzQ9 6ufEUVHaWxSDj/+SCdRJtz6P1L2Wka7wXDwPhDTM/+baYQaizad/+Uqts5XYrUiS8n4BKD4Q8ztW f95NZLCc9rMyLiuJzPLeac75CbyDXB9n3cNdJ4o1JYASovEQYNTcYs1BBtswr0VaCJCHuijWbfCR 9ExyJbj/xYX84FwW6SRDOK38Yp+8IxW0bjutvCzvx+tRmIOnLfkZ3tgi1sb9V24JkGV9bwbutidM bq1+T1VQD/wTPwroOGqC22iGtDriwH45cBqQrVzy+qdOuKm4nuC+bc4MBaLrvDkuT/GbW7bHfMXi MXXSf/whVwcntiLvy7aRqG7KXLO/YGNU6WO4kEnjvPOejdJ5S8SvDf98BC60E0+KYEbfVSn4q/X8 2XbPUQcQ2FUuHXOaa9Dhv3UmFJzlKoGUWGfNYuZ0IAH0sduUMIEFoFYCeGWepHIJ3U/WAIXY41yG fml4/jwcntt5BQtmOIknuOwLaS7IIRT3buA3MgBPZpw/4eP7DVeofojT3dyttL6/TxkZ8Jyq+lOa xQFbyGiswNQHv8pK06TkMffyuah2jthrnIzLDq2Hc+7drbkqL3Ffqthta4f3uoaPdgjCmGrOL8c7 rk63wegBotrl6sg1uT/XEvM3absSPB80s4oUJYM/S7lAFyjpMMP8oBOZPYVQE3hrkglWPHMwDqX7 jbhzRSTxTI2jMpbXmh5CNUjJCSvIr17BiK4S8CnE7KmPzXx7BAkxLArAOGoFBkKtuX4FMCtihHWZ 7Qv00zO2T/KfsrS+EzaxRZ9EJkoLD8afW80LwLrc6K0k4/Sxk/SrGcGdkJQubeNwm0dhXYrAKcW8 77bhFXOLZao54brk/Q9EIi53VdgsissVN4bQbpDwE3Do8arLQ1BO8g7K1ScaGzJjQyPa9bUjsBpY wVBC6FjUeC87oPdNmVrwos77ti24lN7+kdcho1c1z7f46lJc73z1Wujirfed02Mnb4qpWyLPY3zT YtacFqw1XAaU5J1Udjqz+7dW/FYP93AuJGhnDKbJH7j7auUHJkaimNTyyrcPhqgiTHZF+rjeb5Ot r7t1CCC154xMS7Nw1ktV1NtBVKrlWkEvZL/zvw7Yfui3XfPC3YF/VSHL8YlTPU3ncNC1M8+AIsrT 1OqsslnXLYGQbWf97spmvA/2f228FwgVhO5evrMe7gZMbUEP+zrItauv8w+DKQ/S5SXjqSI/teHI pLawvnsFUd/WI5San85ISs6g/60o2DWheXGdT9wjTYQzgT5oNYz+7Xq6lLf7oel57KNJPZw3WrbQ v30yRVkrf082NlY2yBkouzSp5eNBqPEsjj9WJJvxaLEqAQn3RMGhSHperlLe2K2h0a9bMTEVbx+n tdYbNqygmSYC6t/CKsSX77lHEO2FRwPAvbGGwGUbHqYpUvYhFl/l3+vx5o5KFBK/H2FyfOvaGdAN TvNN56mB64FcvECzlh25v7iDlUMvTfZT2Yr2dZtZH/2ZoN7GzsZGeXOhWvubYMcRWSmIrDtkbaky Zb0PPAidZbSYSWn9e8jsl/JDiJQah/zw9I45qpwMcZUQOC/HlvgirSJDCscSZX5yFmVTt5xFGE8d QFNB29A0R5195WL0BkSohAaatJBxEUhlo5aNKAmXNq0TEulN3zkFxYOrSCQwyx9syKwuFcn+0h6+ MEKup+wo7GkBpAu5YvZyZKZRSzhAQ89yNlzV5Nv5zTmtDVK9PdDM22zKXmpyBKU8jLWd1Ggsp8zs FcilAyND78J8v9DWd0hbiu8Qp9yydlknDcdxKGl/zdtmUSLvAgO/I5KwjH7BvJXKo/4KanZs91iC KKV25lQfzvDQtV6A+CyckKi3UAIe2HnmZzcGRYZy/arkRJq9s4BDhOyAo5a6LeMyYT25bEM7XffK PO8UaD5gVsb7pjCxWQpBxhkFi/KA3CKSivZNgWxaE2Y2E7DcBaoPfL/kyJOUszQG7Y0Df8O0FFuI 3OmUXKz7pvTB/VEW8oUlWVdVwUBJ5qid09QhPP40eHbK3ngLk3IrZ8PniK5Due2Tb6VwWTvHmFGV FEm3r77LcQmVdkzq6Ez5Dt95vewcCf7CoQ/8KjIQSwpAxP/kQSNyfUTZRxxunwog4EKIHnkiHMWk D1NOODUGSek4OA7DUPF5dvOsZyebCYcKHm6vf24/RFCbV9fulc6eU/ChwzdbtbHKZJwGzF7vI03F neLyRZDxSIdWa0UiGOxvy/oWhWxUjbDExAwW5NCHZ2T7LNPHgUvyaWXd9jDp0suq9Xb4yUIlA4OU wNozJoyEU1mHHOZwrsxQuzhnr0S/NvkF2UoFOMDnYLPQQNUDKY+ck6o6l/Jyq0u0LKDfuHLzQH/9 EzbTeZgicNunNmZuLNlygLfgH72+Y8aX0OQhGlIg9LUsGG6e5HtuvUIPDgJqLUng8O+75SSuOjBg 4Iaa1EpUeT54VCTkNG5nkOFoavqYKnqvFA+4AvGd2peW6Ij34QntamcrOadlu1CLwlXAwAPkm7TE Ej9VMXtQHF+bxW9ry1x6jLt1iVxUx9zTeh2LmvlEjEl486i4CnETXlUweDzaO0dAK12YTM1moL2S EoC6xMTzhCL5OWp4+6MHlUjRGkeDvxi2+NL8+trqfptpA70DB8zhencKhXQH7Jutta8ioCyE5vru QXVChVfYSvi4fWBbVeIPabh9iHKkCvMGPJ3rD7CnM6JrQCbUfZe8C3pn+hzPQlzHwsRu4hSsCRS7 KlRo6mtSpeIge8jcfvM4iLomNUMTRVS+/1qOSRIH4DdE+rd1Iqd8J3WYUZOiNQVffPU4cJZh6rmf 9lRn6DUhu9Ivp8BHovKBSJjzfxmPycQKQRfCGV1QMZiWviPWmsJcCiWkI0deY3gwDsqF2JAf3Uvz dEqo2kpYOcps3nkESlMDUoQZ5iYmfAco4SHGv8heJc8+pROGbaFeyydIAwFE5UIiuf5tnGdd+OqO hzGnBbKvMtBcpju4bInyk5E+f37/gq6BKm5oOh+CXAJep2ul8T6bJpWD+IwreJe286ypfosnSApf jUGyfqNvVCiWmf35qjbjQfeaz1Em9uCpAJIz3C8cwiI6N7dBuVIOm2j4Df3YMDjo/LAfP0HFHBhh X7ruVbi6cE4y3wbvawY2t8r2pveJCUk9eHTmAfjLi4Ju0G5Az00ZvL7pEfXwMS+P0rm4Ixjrrk9K gb3nO6Z0rgwuCaivzCX//gJRORqeHdoNBIiRWErR+bbxuF+DucqSWNXKM8btU32XRU0tekvE0R4T zCjb+QkHac+RyjKs2gism7fWJ9iV3MXY7vYByH1U9gfsdRTeBb7zDglfK0HXrPL0UNZhIkNjJqzk JIIVTPMdOc3kL+/Ng1L+s5DvLXY3VDOaTFv9Y9LFVtsLRNY1lRS3uOpIAJnI/RgPcmVDog8Ufz6s iWFpUbftzu2EtN3LWM9jmgewHoSjsTv9IAfENWC5Y0e6RLA5C0FU8cwBNyDk4vHjDuDSeRQRJGTz 1uGKPrAzkDZssYRj6Vua870CZUR34DqoIcF+DvJcm8NyUOWEFdM6Ccc58zwmVOpEf2yUpKz6FqIm b8qAuPfpA7ao26ybugxMXz+ILsyoou3AHcIt4hzPWYxIqQ/q0H58UR2NA06dCTSdat+NRbLXMsb2 HogYvcPWNP6Y48DEFmK/G/2pTDRV+M2J+O2M6KU5h5G009+tzr79OUo9qEUehl3By5pcQlYYl9h4 qus8nMPeqmOs8xOR1M7HEsn7eSF7GUeizWVjJzULv8LbesKTeoX1BeUZR3YSfELfLkSv591uWo7K d5EVpiT3+AUkqe67r673mPrgh+S2kYEJKUT+NiHgC6lpkAp2wvE4y0Z94gxRoN7cHEZ2/9Oe39Xl 7byRnKiv6yVAzH6NQShqsjF3UPWlnFCXqG66IlzjYnNwlGDz1YDY2hQvf2CNW3E8/LVAQpHylXfw YJnPWrFVrRadpoXDVyKNUsUQHRgzGEqvAEm9bQpico6msAzzh5WgG3NOrxkBVMJSlaxOAaoYoaOV L6EMfOm/OaTerWqUEh9/NM2mCMatwTgcYVNY1LyZA7pFtECvEZUgul4EjybwPCrfVmkqQ4gePg6Y ESvtz9OXeOzSlimkOldXju0MRAYMY7vwOKcnmJs787yuFY+QOxVYPOObEcHQUz6SbkB2ymMKLDwa BhNzVd97F7grrdAS/bx5wIX8hwPvonnG/SHxeIH7mTJidknWCRn0OdE9DJ1+9oKAnMiOT48EwH8C HAfXStlW8lgMcaGW4+G2TW+3dDwl8pkJyvsGTuo0V9PHgV7vcRHkDSw+hzuiHXP1boIAIb4gk29i gvhEeinpKOphKKtEVv1QtH7Co7o0XXCYtwoJ8V06mLeJBM8Nh1XvBjXlwi9IxAXSWviSimHffEoX NE7o11YmiEirAZtZyJwv+aAhqJg68bU0hOIkyT3rm8eLss97k2zqjJJOxC5BHQPyUfvSHD7gHdUA Y3JgbZRNTEz7KuaTzBdO64UKfnRCEjSlD4LpucdhyzD5q3asx290JwbBcsMO+op9kawF7t5hWf5j xkw6O33MgQ16K4ERna2ypUYb4FpFb6yNxr837V3OUVDqqu9Zmw+tRng09XiKGy6CPjNA4kwhWezo OWj0m/rQGSCqETIsHaFLptV8ek55sNzGlVJ0eCPcDpez0fQgmCrRfH0al654pj/dmS2D8HgLUsgY fDzWbbF1T7l9+2WF8PNVb8zeWpO7Z1btNhUOPSm/HwsC+9BjKGl6YOfmFg7pMI6SklvdIaqat+Pv Bgh5FEbpA/mZQgtF8dx6hn2mrhxvLKi6SMn+Ik7Og6gmmcTAesKFBp9waasUTEOFTOufc9SDsUC7 MXQpecrO8Odq/Olid+LSFLJEhyxlx4SLiO9NBzeTboEaSFxu+QUom7WRFTDvNMmsO2jBbXRj/7sQ 3eB+2rqGFcUHBT1+YlslXKDUqU2ixjOszkiehUezxhMvdxlYxz4acgvQumhvkDK98bW4IOl6Ql5h I0vgP0RqO15uWNyppvOGacGPsYVh+1KQTxI7ez3T180OZIYAjz3eHSYAMfWhzhwxwVacdndv18EE szbI0EQ+i+1z6fK12kfmcykyocE72rYdBASvsqmnbIqlESDpoPBBkkbVKoJlWnZDCm5AgPkvLz5G OPClgtnZ3y5OIxDlbpYwUs0KZngsfo3aL4eSFIfTfBsmqVOrxVZWxCeKTuxoL5STO1Y14bIPON/C zcBM57l95o2M7L7neWR5zNXhNGKcvIZ/KoVPluZd9rFN+M0lDVh+DvZCwTwloUi9cnPRt+ocjROk mFOein1WTMTEl6Eqraf2tujMMbHeNINX4cwYtbhCzo982Tyd3E7MgLQ4iAOXltB6Vfew09k/H3gM 0zv0U7ZiQFa7wn/xQKVeZpl+5NibsJ3aof/eBBxULSzI+ubeDJ9dvTCXYCSFTbWqApm1C1tNFWAl YO0EmkBQLfjb+6CkGz7Gh94etfucNiPNYIetpN2JsQLKEE0oKC0/fBY/42UnkUIi1+Abukt9kTag 4daeCB4rZqwunSL5JciptH+visgA5KbHl+dzOJuOqTfg8PzKCQW86wEHxlUT6eMiR09Aj6XUFZGH HS1WCLpLxylu+mjqgikeyjYSjRlVHhMkTZ65OkWxiJgfwJNP5KX3qkd448Yy3fsROBVXOuDozQG4 Dx8888t6qtGkuaZKlk5AfFckzeZNfkYt76OFbItyLbRE43lku0hvuVaCSODTWt1tJan8wHcdaYWV KKFuwfWgFwb5L1qEYIENYw52joSsed/lORTN4vpOMUieEAqA82QkG/aT7vMKE4SGCdgHe4BgePMo dCCAtx4Ro6psSYbnxRwZtrZ17UaVSIaXh5kMyZoWOcIL9jVHRbEYtI2Jcdij8o337JTx5vW+Fw97 qVwI/b0TrPWp+kXMSCFwMGjpKe23ac2LGXOi2Xl4C/S6WokikHpVNmjWu8IT7jnA8WxiVeDsknlz /Y3gQ4rra/DFKRYzyNbqTPjBTbf2lNm9+AMGzh0zmsKmvj80m4U/PXAaw3GAjV8nTRVxDF2ruqxG gdWi+aTkRaGQZY9ud6DGg53e5jzT2tnAsbrToQTgLM9Xh2lC/x+72ep9bD4snqOQXd3CNlI7cC5j FtZZuriesl3JktJtH6f5SbiL+ID0lbcen8HtIKnCXC4jOaNdpM4qb42G2inwfYpY/3F16SZE1Rhd ce8iBNYut+t8pLyL/cGzHScW7Ncsket/PmZhHTKTqf5/Nz/w1CC9PYC9vWKIDnEtHRH8FBbqZoDI oJO+0+WquKGPLNU5kvVFKoqH5J0/961/McRZOAov35nZHK97womd4ES3PShr7QbE2D5R629dsDR1 kxRZgP43GTv7svcGXpu+5OrczWuEDxberbnCKtqf3D4lpuZ7hdrPqKMrdnJk2gN+/Lehekrc/k7b 8F/g1wEgSQYN4whdU1oRx77yAK2tGci2LFO2lmrm7hy/heTUBsSF/NUm+BcHj9Gbeez83wVnOwx1 xvSC8MGdqMKRAzZIN3beOWD1eN8bu0PI7YsFFUayimRwLDPOgAA6Tw6Z4ARBlbZwr8l5cscjqO3A 03MqsEM+0II8lK5dJNVDoxswP1Kil0hYtp/h3j0xd2eK7x3XSep3xCke1Yn5FUq4ADkoyJTcRLsh /4PwtVysgg0iXy9CRwkEEG6wmd/vq8+eoaoRFDmFm/DuOH0kg9bJ+kaRJXE5BrUyQXKL3NwhMSZ9 7Td8IPWt0EM1jqYwFkO6kjvUquj64Oti9ujna2NaXARVfmYAF1p2ZQUW4RlGV+Eea226RTztMCep CB9VO8C6g9OCvbuvLBSqIieuO4iTzgvSqo6KIhNaNHTiiekkg9p+/JYWLiXRfTmJead8BS9vjLA2 omu7CD9eU3LaWX6/r8OqVgYz3u2ebB2nxF4E4EbDEcPU6kt/SrAsFJHx0fPUMbo5LJ4j51iBjWnk jVYO/NAQa+G5FQ7XTTC458+1cn2Hds8HSoTZ4TlH7KQslzkUF3MrJF8r/EekIU4vu/AIrWzrk+IZ o5h39FGPTismct1rrLb2++5wa8YliLfQoIGfIB3u5L0yOvkpdPWDZTxmd90FHk6+GXUCZD26UTH7 9I+cq8SzfmZiDd+IccMqKlo5+NXFnjJ3q6SGeL77znWZQRV4OpkSfyjzC6OGzdOpCfGDfv3+v+y1 RbFtaJrDvkX+tqYghNuogUZMOZORhqqrtc+jgeoULqJnFKEwG84zS8CMILa1MnZ47hMGXiouiS2U t2p/UiAUz1Io1Db/u9xi7VfxVA1397Qr5Qvfcw1rj8QckFDtOzTJqTUGuwCIeaFaEdI0KZw3ZMmT GU5DVUsDZWH0Rnb86zUESojrKFX0aJTPAMVi0PuZOm9dHead0RkeKH9V6zASp15HmDxSWDA2Tqmi GD//cqouJb1T2Cd+wVbmHdVRGZejA8sHJE3cSvbxMbjYRYtXsQIifo8ogKu5WF8EOhdfXxlIWHDI rkm+PCM8oWdvfDPzIFOOWzNFBnyxA2B/Lcnj6Ajms6kJkH7RVZnsRaATXz67hwrFkL3rKpF5J31O kg8kLRkmsgSWOU4hZH1pO/kvgaVvBlEhFfGESZ6jlt7OqVz/v9qCZMT5xQqAaVLU75K2Cm2ca6hi jXGZA1X7klebBfAVWT4uAhSHXmRv0F2GieVY+qPJCB0VoArs/K+U4NmzUtUqddNXMxirj++Kssko MQivvcIy95Kq4NoalRKF2VfH0gpf+/zrwPFElWctlQlJ7YN6YNyY5Q4BwORQpI6px+qyYuB7EjKc Be7DwB/gCe/yKXvZQY9PlMfplO9Kz3QM9t1iLp5/sKPYgezgurSRrEteq9i/n5V+6oRzyB9OVKm9 /WZl7SobTu7mcdo8fYlPSfPW/O+dDJgVi07MOQvb4akw2pWBkc9dzwk3iY5VZ1mMWUGrnU4xvHoO lSnUTysbOfoRRzcRY8PPcWL1od91W8sRXzdfPbgovG2A1g7cQzhzf9qLG752QGvsviK4F9uyTQHX ZV0tqbNRbAxNkV+W7c3CNYFhGhegtt1kmur4D709hd0mJjtiOqdaHmA5wosBenZyFe8uL2ewE5qJ Kw1f0geHQXkWOG7nwSxFLqQ8KkNqUzXnUrlgkFNArxXZbMF9ljZe6vgRs1KKyJmJhEU+6L9zncDr BglwcthDvLiUw5yu0FH2AT/eJgHNa5Hb32AsXyH9BDr0V0NkPFIdeCorikZvx3YQoUIAm3IgHA8o f0GxExZo6u/vt65iQInJ4Y2o2AuRvve/HIbiSO1xaglRczun0FEAxLq/4EnLZJfJDFZiRtvKODto vetReSt4Wb4xTG6GlAwAM6EvnqYB13r7M62Cb7QyG1BuLaml4qfFrzUwH31gMdwukG+haNxOgWqu LCOeoXEG9APExu9aGA0svPBtTNW9fl+jIxjhraon/vTP+nN7V8fwjdvC/HZ6QM5nHnXNyrhuL9BN hGljKcxYIMm7GISI0ZSALouIbdv59fml7WQJQ/7OdJbpnAkdhobQFy23U7IFOK4uAr05N0o1rfN+ zHK2TXusxLnII+OJPsNS6rFmECEnZ7gbwR2VTz/E3+DORhHIzKThdor7Y0ag7c6PFjhP8teYUYEw UhoVYBbA4QgeXg3T7cgb9g4o/XlH9O20X+auJHlksPmDrKJcrWLDzckiFGtT/DnsQKiMGrTI6jqX 3Q1AgVvxXaq3fzH6awq6r5kMZqjRKrfrpBNUEFipF8QgOHg5+O+/IH79LFA6qjWHTzPt322Yl8t/ AOos9xpED7C6JDXk5/hz5KU2N8/fF68PgOyPaO751aKRVD0aMEzY97yk78xgvMFavdXtkRtEku73 jW6nASDzOE2cb9+ex20/t6ZHlLSqZMbT89dZvRV2AHYsffRkaqaPYbewuuqU/OrfN+8nU7Hz/Qj5 3RHq1vRIi5/rO/ZzcW2rY9OFjTl8tl/A4n0eWn/i3940D8qVdleAa6ZYTbxP/Hg6jJcmX5S1i4Wb 9BjvRyKH/ur9hAw4xGGuhs7/dbcGaIc7dBYdX6Rm8m3JxuGmRxUnllTwcLlstavfy6RH0hE2UUON Q/+S0FR1SPqGkoBN1CRyt44KuvqgRuD7YM0GLcE9O0cG/ULT4EIoEunWbdPkgYbsp0QvfEBUHLwn k4dF9MxMaqYPCPVwfxAPcn0G4pbKFqBrC75DsVZct3yFCfiHhzoJVzABF+yZ2J9o5Hfxc64k7pf8 q0wxWsy/MNvILbi8jQu0FRe11ZJmJv+OcQ39gcEsx87Yal5+5IRMTmazMqBKwaN+shU0nc5mBHm7 5DsjxgpUabbOCe+Ts4ZNvS4MheCKeUCKjrapu7C7rJ63PNlDnEKUcRe59o57hPZrIDQAcihIM7tG IT3192U9XgZ3D3XygvhRLP1mLI25/rj3YpAXrspI1Wq7DZ0b1vjA27yPlEl2pQn5Rh5H864D4pwW uL0Ea6mZMpsYNtWVZSl1QeGw8KtsB44wANtobfvRqmPDT9NRAEq2kQsaXxLZCR0bROb2edNAZIie TzfEzqq7TPy0xseA2YCW9M6eH7tkvYWlhoceSGcW52X8Hz0Hhd7nEIiCwBIx4ry2FvAac88dQvvJ wRxhsWjLuPoTg/dgGHVo+pXZqTk02/ZCGYwgzyNwg5AzsTfzaGLpsNNa2UyaWLr/1tASS+O9zgJT yHx6yeob98Sxwz6ck3QF8Sw73+8n+9OkY1eZr+rEEo2gnn61XsbjaCaHHdCMcPkED9yLECOAZ49W ZMi2S5fNsR0xg/fZL5SWaDRoNFNOzGTBwLsu7Y1mv6m40ItBywWxUsPgrt3F/RGErcr0cnNRLiFy FSZrHA0bpPgURI5q2k9RIQTxOCa4GUQjgcIo05wk6PsgTIFYB2Y8vYWHoaYY5XmFGNs4kRqWX7WH KKonVHGn9XjwL37iLNHancQTe+gsVNt5PmClZm5AUFiXwXwJ3YXi4ENoSfrUBig55+QPJva4zLef FFUVR+Q5efEx2/Addc4awArT0h8c7R+iLmXqMRdoRm6P7ApE6AilnXjgeLeADnRNjspYVa63PfuU WF+ECB4k0Gs+UyMWdVwCx8J2Z/guwAIcmv0LqgZmFuLGBf3jEkviTT2LdK8Hbhrjil0dfJhCfjLa 4E+9suQ0qtceQRFX7t+L6YKkFtdmr8seNa06O058Z8wMs/dEAjSHkKIgGitq3s5lHrohGV8Lpz7E YxcEY2oR9I/VncB32Oo1t2ASv2poLhffpu01rZH/nlV2wWW4F+jwK+zYqxNxvoi8E6QN9PgWow8M HGw7DPN6XTK9U1RtzhQ6cjqov2IAnTsvIT1yMj66naLWkN3L2RYi/J+kbx/PicshSlC6EY9xvzUq bxh9wTgb2mSC+/uALOEVO6TR8qelfLbkhw9kLddgpyTeR1fMzPfA+3GDvJqNDQZoM07RUoVczFs5 ggY6GQyns/AcfRbe5qGQ35zuuQttYpixR6UQY97COlpxFUbKVa3ksdHC7JMkBJuIg2FATlRCNKdp Gvxrnkz5SxXX1dsC8Iitz5hlOzgU0b/Fe7ncdv08o881OEuylptoMAbi7Ro1W4suNM5acs76ZZAL L1CP9/ZMJVrVLJj5C1j0vLRRdHhBa5oi8sA8UW7wFjnVPabQ/KyRupu2eE/gQqaSv3xEDMGtXD60 k/0hTOm57/AzMtSw61FDIkXfbovr+vtbKGSMb5oP+gy+vsCWF0AuNb//aoPSY6fFGNGcVdOsPe7b m0JxSXUpkxU3ONgvQRk/BU+wHQRM/GsW7JZuvHT9BdAXwLOG4F4H4nmdNBa09kQNtXnvrEX+XMp/ oKOpqlD98ps++qgeG+0UDHwEh8F2CpE8CZ2otCkQPSMDgld9mBVZ6Fp4NwXK5BAAchqAkIoB+Enc Pf+ykptNCGZkwvd2o9hcaprvyFpBDRUAK62thYJ7BIWJ+mzZXd6EPIrpcnppWFVLKGBkQ+H7Ecrm /DyLXx6wS7qILpSMt6QRfzvxyjnJzs6eYo+TcP9VHk05x3ufs2MoxuDpQMhJ5yhCejBJnNf2bXhq KWn3pat6SZbGw2wiL5cX9Z8ni08b1TWQdDXdFjVChPfGj30urxlr3OJ1QL3g9lIw9N6hthAvIMyR jCvQ5WLym04a7jnhUhnvgNSlc0jHaLUgaH5ShIMOLMLo3F5X+hN0l8yigavwWdluVk2rmtyzG1H9 ltCQ1T9Xsa6TSe8MzCykKO5uCGxk2apEQptPHlfdmoRqfCA+oWwxjXBaKPbTYJ3rwNRvuJWHcaE5 1xWSkaw1qKNTJ5zKmitDwPI7sTFAFFGXKAuxDut3Ol+a9+pNN1A6yMqf1l3iS+NjhdKlZ1QzIcPm uG/rHbvIJnLAhVfUNSf5MKam6M8AROnem1uRJTNtIL8hmORH0CmwQ9AwKjB+T2521pv8fbBqdkOL W++t4lRVebQx12h1MBYEss9WDU153NUs7S/oEOk6WpKk/sK7/7gXffOuD4+hyDIqURN7WXYJ0Aof wGwvZEsj1rg36NVYLAGknZhyxANha5JiG79k40ZpBCH+nIVHxmORmiwqTxD3vKYgkUGR/Y8oI++S V8YGdAnwhHUFLTjBDzOdLy7XjNYt2Zxy1Klvjt2sriCxCF/Y7F2LQ+Xt6vP7dye7xOSf3ArWRKVH iohfCuQ0I34tEMgzdQHC6o1yK6rRftcLdGFLbIHf/iMhpB+H9GopZAhIbouQfX98wCo9uTFBzqW0 9EEGrdx3vULsNs1MY59y/fCxHTHf80NwKyoewC8M7brTJgu3bHD/jQ7uHEP8YL8lmtDnEmUZRT7p BA8aEFgB0EmXnaIc//I5bJ/QOVhtsfC0m8Q8XxekZuNRieoZe/DTV5+HzqgyL0O9jY4PA7tATVS4 ieHo0nAsM2d57bsWwxAlYOlKJ4C0kpBGoCaaZEtrkh3Uiy1Y306yrEg7BjJfPd0xoBOBo/vUMbJi gn5Ziie3jRAQyB+87HLJBjl5yYoBL90MPFUIbcZBTGVanSiqhlwqUDUhq/MR0CLWijTb9vh1B2SA EIc+gkCm32HpXzefH7XfH+5VuKoRWlzz5kJ1FmpmLqnPAP6CQGMVzCEXduix/gvjE/mJQVAZzTve 1cRC+eUQnlj5hs6Q3t+e23RqoEiJlVhI3iEB7f2R8g26upEKJByCHH0RVD817/dOOZLiGrVJfePa oOXE5PVRwPx3aVE17Pbel0eMHQAGBtBDDz81BkhB2CKYL86Amui9rce3BvDq6HyDbp9gjE2iiK5E LN1Qt4fbZiCLu5FJpZE3R8Pe5aCQNoAEIAPVgrk7IdXKIVt3yq+Bt865VcK1wFJ7YS2t02sfMQeg ibsGhKpc89OV308U0rsE8GRWj6o3PKEgjg3ufThP4SufAw8RnQwQlUJmO2dPhS22Va0eNpOXARTn y0ZYaSxdJFOcR5gJo90MGe4+YVR7EOPwJuK87zBCbHJPt35tQ9Xz0zG3dy6zDjY2LjVy1xoIlMEu 3O1Y9tooNBdaR6q41Bj3yFgjk9SgQN5KUWSVtcBB3I6H/ato/tFirmxNa43UIv8OlAfqLhU4neNN yMjR/qMiEbSxOqVEB5GTchzzq+Y/jOyY371iFJHCe6rSkjktRdJSxhUg0JYJMF6dFMxCZl5D3IJ/ Xc6sh6SIqqenS1z21IuleXAQcYjTvoaaLFdTqEtZPhaJ1wYcWp7UFVqjLVpYwbxpb48EMVxybwRS tIozgS9LaifMj+OUsB8E/DrwnKfvzE1gM+6SvcsJbZZNnj5JLT7RuIo3G1w8APgfX/r9zis1oVcY AOwf+SFRjKX/eyembyV+TcvE4i1Ly2VTN+y6gAJaEtSjildQrjZlzRroEZkNN+7DByUVNdTiQaEF ZOKU33TwIdRXMLz2yqt2Z8TllMGCQuiFpZqeaZL6+N31afd7WzbfOIOTQ1DshErATLMkTwMgvB1g wWOolGggdxQfs7NANtRMDE/a7atsjoHQ76teyd2WfA8bXJLMTIw8tlG7KrZahH+FMNZfZ5NBTjLi lFDiLQxg+i6pzs0BvQ2halFf1qlWH+AStqIm1raOhXJPIi+BAAMZOptfumYG6aabNa/TkSkrhLAT PcDdke+QAkyqLFUc1XiUne/fm7RaggxxHyDi1W8x6TEWHbx32O7bwVNlGDg+MjV6KAnsfi1ImnCc e4wCtbhfPjR34kaVDJ5Fzo+rm2D9FVEmrrzfEH5fcvWbYQIspeNfHOmFJRQfTfmwi48gZ7n9Wdou Z30qXes7RugvBRqXYdIdds+ASr4Zw/SPwOP9uTjzLFe6179vya1jHmMSuMgs1uX+z5Kgj1qDWok6 SBCvA/B8S1xyq9iJQSABr4yLopxsNHsd/CiQw2hooSSWQxYSTolTMQrMzMEJCK4LMnrvB87OZPK3 J7Wg7f/Mm6N4FBjW9R2wAAZ69ObQQmZafS/e0ux57ggpCTRi3hwKNALmJjx2sdVYFSj68SK00Po2 Flhp2ps/82ZmNWR7rLR59BaTkoFAt92BdpuI0Yosx0si+XZEsG2pqyz8EtUPP2eknvmNa/3zvhXt OjE9CSUEuPBTug+aDZwyPbRH80fDm7zx4WpwK8+ngswrqWxq9S+rp8xqvAoHLOKsbKJLLkIg4WHE W3wqOfk3o5PVxU8ctbYKzj9a3aqLttnoOwI4dx6NMMzrB9UsDE4ZZU/x2hPwek/vz8dUNctaec1/ YlSQs60D/BVy7T8UkxK43y4vK7yqkKBnsCz8Qj8yvfFmhhCuvSIhLyBZ2W02LT8XeQusVO40iCbz 5lnPxCmMvGcVDyXUS3SgkwtyZbD/3hwKEpPxEpTyjE6lXuax/lit4QsifOMiECd4nzHdeukdOBGV yZivqjSs9LxcMNSIKP6R9bVrbxSxWE7L6m+Dr5tW8pYCftExm8+ULciDpKsoHoeLn1A55IpwbZrJ B03jPS43iWhDt61sh/LxXcz9R4zDnZxraMjfj/aUNnEnRGeHdwW+FXfaTsZOOMMEky2HhcB0hTTB JvwJ+1tY0/YuQ2sgc/lyLMH9DLqhhOURS8FWE8aOSOw4cwZUIgqvhob5zQUgNaRoYZxq9knYzq/i bH1EGCl3I4p7OvmmhLQ8+3LV1ihbN3h1XsOPCMTG3qCq+2pYxXDqX8uNQrpj4tcakm4B4O5ECnxN /ZTH0kCPM8YbUhOE3nua69hi5R5d+R/1lTQ5p4lChZyBCXEeJZqLc9HeYe8gbSMJ3QP9ZCgx2vIB ZikOTimRZzKdQGENbdroe/6cRCio93cpY6JA92J6fzv9pdxAsdrvZzYbgXxzBlsXlfCL7Xq5Kha9 h5kFP+bX+9RDxUDDLaR//zZdLmkozRLYY5aiXWx9sTE3tFzzawPMwDI5gzq2lFfKHDsPzdxMXJ9S f0fxe57SnYyu0WRKMqd8eM8IujojQMiFYNkw6Tv73FaIQ2+X35KL/uaIvjw8yr+QmW1tW316fpAE 6GQXMqE237vn9p8gn8oR17zZg16eH6LeR+bbPuHWGnbfUg8GeRO7Vy5Zapi74UmaGM5fDQv6yVa5 qCn/kPJWKfQjHT9uQ1Ph6T272AYFLRRXdaGToIpPJfr5weie8STZwyBByBA38G4+Z2+6MFHeFdfW BxEhbksRTDwL+6wW20n07MBbii+6HePTudfNC0GTrRbxBu3zHn0YlV6LtMK0UlwFpW5p8BiamSTe 72GiMlEOTY/NZqr1rHTZNKbixY7m+0yIc1Foze3Jsdd5zhLJkTWKymDHrjCvjCr9+czCwz1sbIe9 /ySKboJV+CQ5dYYCyGE72VFIzCGvy9eCSEL7ZJDLpVlw50YXDeEZg3IqFOomMaSMuIkZknxQdjIp wcWFY0OSTHB6NN8g7j1xLfnuhAMAy4F4/ejh5Gpk2P7lMIIFrB12aN2Ic3A4OL8Mg7k6i8tCnDcC rMhkHof01TI2vQitXK8OQa4RAcVLCQ/Qkw3i4gTV15uQbWMrvURn/66VjJq73FMnOCx+QDXsBHyx YxSdKyyZns6G1nW5yfBAELLzP+6H+tOgreusDb+7gTsZwsjmwICo0SVXp4b/EJ/+UBNWnRNWPzJ5 S5ilHV7IlDCls9NvgFCR+UY4Klv+HTepYUdA3iGvziXs0129gFuyVokAyzalF+YnQpGG56HkIhzc rxuUMQtGhutM0pKKEMMlsGMbHWcMMfWDR3sQ6p67vMSITFdqEC/tSENZfTWgqPE4fFZVyjaazOjn WJHKj1GDqdNemmw8y0bwg/6SXSioWzyijOUN6VQUu/mz6dCM5FJq/h+QPGbP9N7+501lU8gOdFpO 0rN04ntnmUczs83Lqz3FiY2/KT4eSQAiCS9iZlgixDMVVoY9g/HwAeFHJr8TBl0iCsOXhIQoWBGq bvdoTab+AzpalaDXdjJn1llfeaMq1pc250m6jrbJOULGhc7FKddCp4HOg6Wd0SEzPAiRuRdAtgqQ cFpNY3vb0xfBBmk1pP/WpGz1cKKuK0gnoI1b/EfKLEkxkOb4eQ/QmiQPcHBQ8JUQaqK5Mv4pnm++ rQkkHkuw6WOIDFPg31tK/w1i0H3/x/b416mHCkX6Y8rY+X6WHLXY//xfr4n4kbuO20whEUq0LFtQ NW7BBmGzZHcObPMtYZkN4fpB8MD96FEf1Xr8XqjhTPtYmM/eBvFz/UKIv70Poml0NCCAWVRuQiGA W131jejgFaMIH1KWsQUJ6EMANHIPN2dsFW8Y9asJt+RYqTELWw78ImDpq79qshd0hHsMkBr9kiZ3 kFhZ/SWTnOih6AX1ho0dOfhgAyqkKSKPrWX2/UO3zUiFCP1XQinw27xTuBDDhtMbWafBW6EaxRwU bX0fRu0YJq4RyMQRphZP7Ob4pq9j108UL0/0RPQPfdQ7S6dbSU+shpB2FAs8POOX28eyCpA6MVZa QRjJSjYnmvNbGFhf/OzkfxjWmsbjEHZN4W5hJU6PRifKPGTB6jkwk9w7gAqMIJevF00VtTMW5UPS TbWvTeqymP3adUlTlz9j3+ApIi/XsMM2oZiE1T8jCJA0xE/91oRJ0528n4rc8ruZ1RUrz7hFb1z4 M3fkb4mf2MyWTh38KJ+1O7uvgLBRJqOfu+3DZ9A9maoKbDh3/HA2E3I8YSeVlpH3H+Etaehoy0ds CwWt5daJGjsuHDxFYrcZUitC8TmkEBx4yd1K/rjxpmS1Kc1ACjYigR2USHQ80iozMUYjpm+QE1K/ GEqgkLxw/TXbfsUo4h0oHPAdcJw1Lz8jG0NQePRnzVPvF+FQ9Qi7iOXcU0Rdn/hB1zI7F3OvEIHZ 0LVgev6tgibAHdSO1bTO72XoXtwMP2qCQH9T46vnV+FlKIzsNyfxRUtEeUWIaf8+RoUf5Nxhs9cy 6ylUWDSDRqXxJo/cW3tJmRBG6UzA7OtCQzWKgi/y+fRa8AVW/H8U/FlBQTUV/9AcooBHDIN7x458 lPkfYK6/VI20QQRLOOmjRYb52n9dDxyaWJfRing37Y2/8LfxQI5m/nGPQCBrUayoTC0E4Un2fM0i /aNK3qi/OCSQDVj6ECC92PPAxzUPJAn9fEAuPkA3VUwpx1I05Nz/z+HxzLESeDIIKYXHepNK26rS TwdSHEgIWbXN/vd2WCoXK4WSLGpomjKYOXlhVzI1OiOAaDP5cKnDFM1i/zOkCkGC7ogffo7tH7gf HpKx8WzmOZDH5u2GU8AJea5bR4XMlyg/XBd8gpAqzGyFBRC0nO5c/mcdL4f4lxRAOTj7Ej6IjaCv x5XaRy0yY0mcBe9HYNvUYlgQgIeR9SCH3jynQnwkzVJM+UwW8yQV7SH4w5T3uEIdLjBxNydYswSl oBoJv3SDJW+iOrRUNyfzJ5aAbA5lqymVKfd+SF4Bo1tMJ3OcwuymgA9lyDk0eQO0j2+tRSEIL1hw i4UXQb0zSET2+259LR3+s39eUCv9HaoSlDQi0zozQrjMEaX4w/gugh5uJ78niFiX+t1U5zI0Y7hz bVqCCbPHYLxvWXExEcwr97KQg6kYhBZ7VJJJ4GOWi6T6cnZOVlQ7aSOD1S3pROh3Hmdc1xBAGSOi 8f9y4wO71+Dxo+vCzopx6feIMx3Cc2swjhYj/Vs8rpyW66p5mr1BeVZPf31gJgzAaTUt29V7FuKW 5w+f4V1riBwhWy8oNqrl6e7lFkm/r7oKXnMjA/+W/uYZGYbJ8vT2iel4wNmyPq882ksE2mUIClqH fbVscOEfqY1waW5tmLND9uHdnFXDuz+N2GaHNRS51+8RB4nVGTFssBTQxyz/3pGIBcsGl6AG+IB4 ZPvBnf/OFoOy6JQAOFfYhOzZRnnpzhRVPHwdcQNU6PAG71/NHY1ciZqBBNG6pPYEzDWnYR1cabTp H2H7cBbmQArm6L/SzRD1zs+1NnG74rkysKvhCUMthbuhA/3t8xRq0q+SDq0CaaWBXtto6BAxvGf6 71U3jbRpE5PF/kpYkT1Hn5Pif1onBfE9zQiyT3pHKENf/4pw2iMSicKqhqL80LzZwYcWtbRnJSs7 L1M5TijpoQ65+8wRyM729dJlDbuPADr+zee3SecZebYylt9+KZPCVLkH2AWSWPu6BdiQCqsVXVFG cECDzYbPukTxKTpu/orNyHHkn/1y2O8/P+AbapfvlQKTMW/EbsBN5/paK8vPX8JGMMJOZODoDhzS Bf2cI7cgxdwlWMWWwP1O7ynVTvXv8ar6rL+YSF+BzaG9x1Lgi8h5E9hwhk8GhERjiIKjT1cR1cYx opFrNyLXi2ztkntPBycWFaa7VYo6Y6QFZ0DkoI8mZBJIoY+Z8Unv0oG4W38lV9ZKasZog4ybJvjn MTZ1h8W9umzF1CgwhXwF7KwXtYruoZc5Bs+XKwN9Mqz78SzSKDGN6XEKb1Bb2YpOAdIAA5KYIjWq dVqUM6yehP85KQQcSQRAOr9CBE/sTQ4k2dWyJsHbJiwrvxT7eh8NeC9exHKmFJbxnUWKxVwn882G +rE4WxPW2G7Nf1iXlyCTvM4i97oNthcZGosOAIWtq7Xh/QSAI5dgGw4akilYZZPFboh+h+YW7D11 dVC05yr//7EGlQkzh8k15uZcF9bCe15A4jrj+jVCgHerrv2Qj0Y0VZTiJE6+ZmlPOFLS6FjJjxB4 Vbz8wioIpO2bHupUwN+DmyP6qId/Wcrjy2rRAaK9e6izQ8fGbqNFGXU6DHiq/EdnyWOzc6kfCAnp C+M1WKjJks20yKpRAff3P5LjE/zHNROMZ00JEbCWqOsmDPkLx5oynx+OOwNEqrRJiniZ3Tafpy7b +MZxbkeJBVFRquMNlTK6S+8BoEu9ZIBVrOKaIwN1u/cmk9WCzbZKcFsfZtUN+lZ7wIxIvRJNdTbi cixKYA3AP2vtYGuI+cx0H7KwBewyjF4cP0NCp8gfrTe+Lug8sreJZ4xDzYgLi1MFX2pSbhb+PBPO CKcsYGtbPKrru8p4gijnVVmzqyS0OB1SZjcowxytCZWD3Ml0pFOmd3RVPMdrr+5dzLWo18LKvvhK EYISvnba8sj88C24zwFNlXFocJ/P3U2dbShxaI7S/BqxbMlRNPp1xcFgCtbBHCrJEid5K9ww67vq xeJ68Ik3z8md6zXjZXJhrbUndG1gGf+JP9Puq+pkQof4v5pj9l0TtRmDK9CRhh3y/MAJKXICcBpH +m+TEAOYFc965O+d49743svE9K75goPgNG9o0gA9DJKzG6nt7OpfulJG4gfhnkqdADV2xoiqfaYp YHmpVYMjOr17bHVxpPzUYIF/cpQjHk84X7TaW62/EuS0IiNlKSsRNpDU9JYWkHHVigRYGI4QWwmK C7oPsf87xmE99tK7stIKSCWxtPhamWrP4BJr+mRH9TONH+GOviNakivRvsW6AZYFTN7Pe5nUfte+ LkZ3xOvVAQ/U1ZMfd7LEDHlNH4ceWWEjVSXCGIPOrrdByp20mKATs9yZg0U6yHpZDJYNuHKY3m2c 7JQG/aDBrjoIIiA1CrWbhtraLtosFKWACMQq4T6q7gUyLTbwDQq4abc68BP1+hORIAi4Kq0/dQw2 pGfKHKcPLinWigHMwCbJrlFji14NNZc9E6dbCjnNl3z6ts3a3aUsvBy+DNr0syfiqbdYbEz1IGLF /2UGp8osO31FRIvtyae8vsLxkzB4b6B63ES91cxHSf8GpjBbPulyu+owqc2SQ24xoxoMG2e61Ao3 VunZJcO1WbCe5u1w/OxZ3lf5KTCqWjVyGzubEe74vOupIbpwv4pyeJcWrmQ6/s5p0pFeK0pWAve8 gtJSG5cnx3huznauj2MeeGqeQgyK7Tm8SmCD0heDYJwnre50ti+m1hvWQo5eexfwzYCinHb1hipW /BU+8EMmrMwjrhtxEHIiMNb4lastMG7iGIvjkapsfs7s7iCfx8Jkcpw1ZjW/jbUWmq70GrclMt/g 1tlyEdHVXL0yoIiu7tRjNX9OOyyZmoUArHqiLMAVpDQALwOVLAdP5JK7pwTnC62yR4/dyanK213M wqiwokeaFjugfrKqkHUQO0gz5M0+wdeNTgFiIy5S8H0SDi+mO3x7M+rQ2Sdr+hRepi8a6oJES8Mq jpC2ipzLNX/cP91sn4OQCQKyOA9+rN5ExwumyZxePCogAiQS9nhfJsk/omTwgNlTOOi5BgBeQ2os ICyRRV3wqaYYkxjr5TThxAS9l/YfiTv7iEzAglWftv5ZSQDADCQuXmI0dFqjyLdJBFGR9fOZvLwZ BbmCjhUBmfEkAWZN2tZ2Vi+i29zOS8R8LjID7m0rJzg8A5RGkTUpAO3bgmIh5xEDckYcRvc9GJvR vZ71ee7f4i88PEsovAvZx+EAMegLiNlQJg4CdpA95u5gGGLaWdrAgiVk4yoXBtbg5pIC47XfhTYC L7QDLQVcuEAQR+5lEdTuK2hdOFvr+x9wqilkY1HTSf0IPz6HEKwmERWb70bw8DkxBP7FRbaxrlHY iQLQqA/9CVbYMANdqnJKDP1UB3mqYbXb7DcRgbFQBI/byb5pIFqzSU8MaY9DGVdg67/73v/cEXnY gZ+g1JSmCv8k1E692SrBRobAxouhGMs44DhqLd/uJ6FBwlcTQI0vZZSUQhWX52WDrO70ApokHC5q Ah3eP4Lsi4i7zxUb47J9WZC2nLbLgjqy47A+jnVcYg4CAKk0RzjOXLCdvViLt4gXp/iMeY1bWo8L ZArDKSeK+Fm92gOR8fTQnMDBX6gkIkCX5hcCVZK7mc5jP7rwidve3vLAc/0kZ9OyHpJMLLIrK8tY 1U7iNCTf4pUn6yIxAU1z6siun0IGZUi4x7tRt7vUOC750PlbxOuZfoz2yD5hFfN/PIup6qVtyVXg bev5xTSjRs5AeRa9Um1e8KqjRi75Ds5OaY0Tw/1jCr7V7Ap0CdcVRfcHzKTiwRrZPP0hW2BnzB5L PVs604dUTtlujRrlTSXmPBOEwLcogfnmCOjfqMNOJB0/6y3pxbBDsPefYRZrAtYFgXZPLf0OtzlA e2TuPlZ/ephX+SpgOpHAEON7hWb0BenJ2l+d8MzHzTN1ji9LtNqZ/KlFiOtCZVh4cEuKF1G+lf2Q XPMDGVTlxL+vF2G+Oh+dbk1+O/PM40yY31Zt1vHbSK7f21m//1Nm0EDdSsRFWRyDKpu1KTpzl3f4 GqiqAjZ2XwCiREPeuc1I4VuQZEeRatoYjkNGL85R1AvpbIE/gWbDa9hLURcZwxJOqJ2oO4cb8Trp BCWPG7Ywy3Hb/mLoTCNB59f9Uh0KPtaVO6MuXzF2pmHeEJl4r9SwVGo8YPgKgpsgo9ryjxMyjzv/ gbK5iigTJse18Wgx+JRVBh86cQ7YuQ1ObDCOwewwt2wqXJYK7PIhIgVi3x4yfEivKzNo+9OLLlvo /TyDCcaaEWivHto1pj2arTfCd+4htmttb011GrHZqMlnTPIGKeDQjFrnSd7y/TsKH98Z1pV3p56H Rw5ruvwdheIwuFk19lj/P/2xTmEkQ3+rBbkBEqTLUYmsUobxP72hfv5j7tSlLDynwSSxqUCySCca 2LNJSQGOQufw1e9sZtw+TObzYkfYniQpR4fgUBZ/zmPxrDnAuyGw89LE4yKtJY+JYSnafruGoXjK 0E7xWNrBrYx7fDBWS21dC1KIVVz/pe6G9cpQKU82EmESgqOc94Ty6z2+XOOlWLelVRMA3IiZOHBR jG5edvhD+05rA/0uBpesYvaRv4kEcIXYFJUnoCpPAJ+z3Rvcwqgb6HjiCldjYK2x+l3ITx/ShcRH n2/D9P1J47MnsAlDucnvh8QrU+QzK+zskl0Qc8qEPhf3nDkLRh7MJZMOhLN+Cal+iDMFl7xnRlNe 0xhFOqd5U4oF9kxR9axwwy3N/h/nmIlrPm9QklUk3Ue/Gddouv/9a4UwWRk/tkpXnCAzSN7Kvq9P BtjsG9dSqAQivwwqwQqtRAAF81GU9G4ybOlsh8ql7LxtpgFlCtI0Zug7adD+1BP+CjVKYW90bnOA K6oKo3uC8nz6hwYRePEuP9A87lgqI8I75Addgfah9YiyWy7oKsjOiATCbkviJB+zPOQGWPKraA5Y jtQwGC9Jh2C6eWO9whLukahvTp4vd4SspJWt7GgyXVbGK2bADyfwyv+eCCfKdrzAwoaSlYyYpTJf q017tV515CeKR4TPaaVRc7Z+FG+LY2QEWUi9eQD3373dO7aLeI5qz5piMA/9MY4OECnvqVSFLcQe q8a2gi5AoiuGdBvKTxSdaxst5rVCtsNZCSaJ7Q/m6rPvZzZCE4cswUnSuH+5dZeJTBToxx1PuSTy 0qQKYObG4nIoGvky501WtKq0QvHxCyj70q9u5X4g832SrH9JOo2dUVlXCFzI9ppXWT8fNhKLeDLe L/6KObK1aH/3W9IQ6AIbZHuZa3d2HfsR5wDoLdYkHIlNpi4kq8WLv/8WsETJEe5bEpdA/vmAqBWB UnIwh7DEfUe3z0ztk97Gv8suS+3UliNH+8cJ4UplRChbDDJgk5HFv1VLXP+HHu8pak9WyCUudH4m 5EdgMu+zJs6Q9nA8t9EXjfxBGPA6ZkPns3Rj3UHOB5KC4izRw8aJazVeULnmZQWdJvk/qxjjJgdC /uuLgtBmsCwfAYJOHG0Tf2MLHwFhpcJ1UPJiF0p0yK9aVSOH8CXEDJh2LZogHZYZNgqWQjeg88rN iK0U+CyqO2SbK4zh+6Ja5llzWMsstIWfoGgni+/3XeboIiwCkqu3ViowpAT0svb61SEnf5hnDUVq K8xoB3ia2sDHmHaK4jbZJoOITdGj6xfUCaA6/Tg2vdiGf3daBzgsR4nf4cbXKX/XRNISR3TTrFMc YTaL//MR+BGDx7TA7rxG/6iTWsF5zRqGgAqT1KCYGo5pg7okdeio/1LEfSxKqSq71LmmPwL/Ik4q Uf6uZDIrDTwxLdpfoc48V2mNx7h+esv++qjLKYuuhQ7FpDMDoZj4gRLkqKUYbus15TkSIqNpLqJ/ r0owY6bHOLphg6ZXCYRitXW4Pni2FC/sUUds6BjyZ3mtNn//O2fX+w9Nrc6rfKtH2zxIGhI3+wDK MEIevwlI3Gb+KPxa5PaPZI/3IC2tp0a7YqLhTjtCgXqav9m/IrOTk+UtsWampxpA3e998LGYrqwA dufHoQaOpOCXjD3IPArPec4BRG/ufa3XzPDxJSUw+yFdQe0mMFDiXQMx17M+nc0vvC9uZZvSt5FY euYuH+10h3iM505aNBBqgcbcdpVNyt5hw23yO6+H0ylM7ELa/VoqmroU+Z/u9n9Lsr3Qti0syGo/ eRrcVNXhdP7roymENl4CUyBjF/Y3CWxqQ+JEoJa7+5j4M/djrizkW3JuaxUfM/Drc8K2wzVWCpxI LpEs8ZlMIfOSDZmRFc9QXnFOVOpg+rjb/GfsH9uEEsHLiMDm0PeYsEINOpedBf6STAvVLCSOGqq8 2wBbJKfDIgV1liYLoLSQm93nXJmafnjwWUtl8h0YD4T2YYvf8nlr7+f7xwVFRBvjf0E7vC52DieQ +mzjK+Z9WavoO1evh4X8gDg2OwzFttl5nnpX3vUehPKYMo4A9jlGPgLNe4PzLUfXMI1n8iM9jTlK qJUQjMXNzs7pCOR2n+JCEfDqKuMYwmwtV1sUZy26Z7HMoxSCTTwh14dNl1f2Qq3Utcbf21Qgn2Ha kgF+JP1vS5k7kj85ZA6wiMU1EWyZDl1Gj9RS6tuEwwjbIWeUuMqt6hroec6fhjIRC4bP9WLiQhPy U4QJ2LEbiGgyz9p5Ztw6WTMv6/6ONaqIWoBpwzoqK12SMCDBhDdRn0w9QFpOuiz9FBbWiWwnhE3u D3C9ew1F60nYcJlQB0tnjYUpHTzLh5G3Oh5gHk9p3akgLFHQDH7q1Y22+9bh3/vIjja7BTEtFq+F WFr9QOw88ARg/tdGXMewuMYITo/c//NUf+aXnZSpN8LquSjogNEnxx2GuhZRJVMl73UJ48ibE5CQ j9XToWrW7StQMzpAEuIRha/cjAk2F5RdL9s0lkYIYNHiSr52u8wMWxBHrj6Ogd2XvjGKmlPaCcdS xD/3I5N00IpZ3dVTnZDwcVQJLx8nOe9/UF3SFSLtyAAMqokEIyAagI3x92O8+ydFsrCnk7blqdwK LRN8iwnKSzuwY3FvwqqsI7zzJnGf4tFrwmLY7J0Lf6vOkwonHXN42GJnvquYSWBIA+miuUo2LEkx M3zPxjjFoqa4C+qc/agzxw8aw2mvz3ZjN9NCffxrY/+hwgi/FCoF0R4p7r/tW0giC6k2Tac8RCTB xRdEbSxEzAHT8lhYG0Z/KnPiL3QM59ofhjUHquXTho3QxSm6wKIFWpyOQPN8itWXO+Av+1rcNRB0 hFKI/DPZNKd5z9uK1GXW3WfAvBD+VkDT6Qub5uRruHsdaEVAyKFAWtQF5NWV4sSzjsZDVypOtpgs hkMvLyQ9de4KM9KjKmLvILsLpUgoSS1JZeJlC5Q4y2TXe7GAN32Wn4OVUycIrvRTbd2166RJkQKc 5NbSke3suNiFHhWRJGAhvUqlKp3Xp3bfLC9OGccKwmXzOSmrKBSHGc6XnYSpFptwBpAuLcMRFV8n VlSfyMxXXmcjDIl1me4ZBKUqt832ASHs4kAWXiTPCrSusbrevj2vn2jvs6HJUxGT+EOTZR7SsUDV iKhDuspm+1WP/JXhaMrx2by3+5b7qKwVxVA0aIonC3YHzZU2VDQ/FHy3ze2tKrwE8YL6qUb3229k YcXHx/RdZ/KkFHC257/5YP3PC2Y7MVk6tJJhiTdVoZ0A57uQbVOaTSwEJcfFB4rTMqB/+dkLkBZR kC/oaTrr6+MWsFTXHK61UPGlL/a1fEGGz7y0gf1TPJldy3FmDvSfbzzOcMrIie79bIokIh+e9wK4 a0BCupEHcqgf26hc2bx27RTpVbDTmvZJ3Zzr+5xP98/IBo8SIDx85XnYZdRyp9tEMvWDzVPGHYGH eq5iPyy9wfAfwQUKJOsIjH8dC/CdS8BdIM/fXsXFS4JJYXz/qiEufhCSzyAUroKDMkxbuB7Tq+/A HYxZ6W9Uf6qIamG4N6HEnSRf/zgXM3TlPA+5jQuwB/3KkRYwqBFQeRNqsAWscImexkYUhyzwqhqu 4hg+MWlsOcniyk48aS0ImUbpgWctJ8FYq4x3zpLGmAeAdn6UapzJPWkk6MWuyfTu+LzJFdERsXWt aqCNw+zLKrVyt4psnG8jUVbiiOSihoplIZDr/k5ZSxz2Il6zxtevsT436yBhXkPgZ0NGTlrfS8NZ IQVh1WufT3jWnIxy27X4klO1e1KhW4xJO6VHD2WdtU4MSLUvAmCHQ0ohSRqwqiV5RiJcVr2jhc47 eAkHLzbvwYv7C2I5KPnQmGXKjTI2Af1ejPBjfMlZ4Hw6+4RNxSSsaT6XFz9TON/F9vJ5qJUFPZ7I fbj4OOFqxnkjE6/BVzQkUPxhpM8XcRJWrlR1Ib+iLY8BTny9tfQKGemKPiQFsZOOi2QrV+b3LSvw 3xrUcbpekbggTif9hy0tWqlpISaWBhTqGfp68Du9YalneQT43P8GsR/fD4ZhGZwUpZfH5GFZLlfH ngIRaKtj59sIBDwCETrnx3Gammosaa1b/VFFXMSxKNQFXwYDCJ4cmmImXQxnh4cIB1g6MuqLmDSm j+FzCUQY8UxPBYihtDPz2NDD6UNJKC/ozI6cEgpsJ11z8ZzrqH8UMPeQa8dBPCj0up6BKi2ExxOc TxwKMbqXAZwYxRxC95wPoP5h/lRx1a7FPlQRNd3zF8eIlgoSBxauIY6OoYTFDzbajxDwu7CVQqBX GqFc+M8Ns3V0zIBPx4dgSsDj7JN1bV5wUHsYpfFeIpYO15VA/tSbQO1IrV2mv6r4tyJqRiAdJi03 h+hPlAbYTaKGFbSynvEQ3vPZCWqXFmTJm/ghGso583GIAH+tZZnPtQ+jkLJ7SKEJBp5tmsi8UNSY h6OqEWrgtpBk61mU4Z7TeycqEBFKDEFLnoo3cc0mzy/C7/SJV8+PISbvdNuVDcmRm8ncNghxHfEG racinJCWg2owLhTTa6FyRM8rjACuFhv/sawNwwEIOvILPkGaH8GLPOl/5w2QyASiFvX/1jcCuR8e 7H3SsyDSpqbviekY2RSRidE5jofxAYex8JGwb2Rle4m8VW2ZGfwf4y7zc4lL7cskzxnfO9uzRGa4 FVtnTlnWA1hOnVaQuuLb/WjIhSUJ3at7z0HlEfv+wdIsbO2/lW+hr3cEdNPc5i10kkBHk1MA002J FiRg9FnEetf36CoQQu3yUkistSn/tPvtBgr6/ArLoLQia4zCK4l0sSrszgsIecPwLt8jJ0XZmEeT ZdHXjECxbSvDLM1LaoQFdEH0NLYW3oipzAgRRodvK4cLlmxUJTXOLSrr/3XiBoLTJkbo+IaKPBSo HTu4/vJZuDWRM32DarxekQPuyRMsQCrRVwA3JupDFq4ER1OJ0mslUJeN3S0Fu1zndpnrbq0//cnT XQDunPdhmcHuti7p+ItbKnmjM53/0NezztI8+ULAPWB5NmHF3Di5CqUb+15yiT0ya4Aq0gLwhl93 A+68Bbk24d++MvBhyBNr2eyI1BuZU8a0SFvTvOtAxy6kUNK4Sgr+O18npM71TrMST1arUFIlm3d3 XqQZCeNpRkGkfUgwLd6mk4zJA4I63XuLU075oxr+Qz6PiqE2JeTgO6B4tOC6GDYn4LtpKEvT86G5 qeIC5iL5PeAKff8BCfZLvFUaj5Pe1w4ngMj8xYihbctQWhcKnR0UZwzfeSxLAMFa1nGBIrxsdQZC gYnKfkIaWcsl3OoKx5z7QuHMdToSJZSMz2AfSEyBQFV8WPq9l4D+T4Mu9ZTw9rdoYWdKEUigibAn lJcBquc59Q6Y6cgf96COIznUUuWp6JU1cBxrspreM3ZzZ3x/OAaeDTp5nSh2M17Q0nsDlWLDYPFN zIGUyn3klWmXIrRjX2VXVdatOPsZdgeoAIucVjcI9oFyZyziXioiZMlVnmGr5Urto+2axK1hJ+I1 w3cerNpMEA7ZsD/H58+EZnPPe34JaSDYj/uCfwAR+gQ8M2pt40HEW4SzaOf0QPw24nK4bKHXdvxq drKR+psbofffQ+mhVSv2n8t0+lkg7m+rZ/eoPrXy8LBwr05tVYM+mZ9fFAXJLiDaA1ieNUrAwOEy KwsqD+PKeB/KpWL+2xp9uFJNslohmfGGVzsArLtM+0s0Nz9e7Ub2cDz8BECts9VHAy5Jg5G672nD bx3rj9Dq9EnfZe0nhgYzIkLS0Iqb5vGiXhLrSFUALWr5B5oRUeRrbkIIVb1NGCpCUWax9dBWdNpj zs9vVqZ0v4sFwWv5ZaXizSNj9zpNR/Muek1MpUky0XGWYr1/BUtiSTA70GYbpIGCtTtkEgXDSRkp va//xiTnpunKFuEj/ZvE95ifoTVVFuO33tisSS01mkHKvvvr8CGGS7npmKazFDSROXK/38ch1is2 IWdvgtDFFuDmYTpZ9zKYX7TKZXgBK9HuK6cdyhOC+c5k6PO7RSObp5mcN2qrsILwX8sXMAWMYWJY zUo9ncwf31gWBfgyfxWFfvWn7EH2qjJEqOQWrI46stnng2sWQz2HH7wkR77KK0jggBPGySdZM3VX C0wrd1ZBExcI56gdiR01gakfAOTY/ATI4SQCV+htEW6IlaBS8h84dS/u6asGR+/rehjknXF0M9B8 D3depTCmXUczfKcgkPV9jBay5fElolSzicu8+g/lBZJY0h+wJF7NV6F+BVYt2+we2XKwN/hMIiVB 0pdctFdicAKRNzRd7yZ8gnJkiwizmz9txS9NNjUjYQwo12+sLSV/LlmmJCyCAULf60jNuXeDXorA hzVSjZTPNL4SkLX8T3jeOgdppmGRT4o0fYCOE8oBhjtEiXm9RhJ4FjOOgZJRQcZ7xpEL6XAPKqf/ 11MJTIMEKV9YQdEdByvYb6PYb+lmzIVB8YIqdHeyZMySPGh+Vi6PByMca2MZaDPuSDSbeRFvImhr ZOtpMADpLY1XbPDvp+4yqTcQKGhdh/kjVYHt1DWIANMHPHPKx7KGD98FciXD33A6SDCHE7DqEg5g 3Nv7DA2y5YPSHA7sG4mOjZDb5KQCRCpHrxjiN6VcjTBCr57Q0dLtAqywlHPmY+/p0lCZwaEbpCo0 CVKR+eNy9lqbcXLdme9sxw8inw6M2gnkYUIABUuFDlA34PrL8CE81XXqCP7cYpDafWlaTOqsAWgL MVlV9Fe80dYRsENRa48Jiwe0KBrmnKAJ5w6ric9Y4uc7FWNZnPoxBk/I1I7TLzLc99qM6IaQW+d2 Asquwrp95m+tP6ouZ5n3xPg4A/kRYvkhz1wqq3YSVXT7+bc45Z7P3izH+UkibHcnjosOcdZGt7rt CgAQiLw/uPRekYmytIwtOJ0/EOpTaholR7McjUDkwSSmW2T0wz+wEJEl7b7JIT2wk2Ut8XGw0Jr8 ldZXMPF4/xooUekzTqvk6PEIKR9620V8POtjtNL8bz8gZFDIzCHKgqCTnwKuJQsYbS41GUWYRZN1 J949WUKnUCebiJ+HhKQlLAQA7ZPGIp5S8Os4tP3398vBBb6OhV6/ghZ9oUjLmrPj/PoQEmfr8iv/ y4fXQ4q1pYpvHtI59G3KXv13PuCUnpd19t5kfGsve5rzgsDsinVal5NZAQ6Kv84ilNGbAlZQNtUm F7JEJdBr7VeEnr7VqcCkzIwHmjp78x/1Mgt6EuHCa9ChJwwEYver1QqWJUkRzYiGpzAC4KfeCpJa fHUcQoVXy+0KHBQ+0ZVC18x0rMOy+5KD4SLzn0X7L/uB07iZnXxbi9ehMZXuGeve+K90cscoUesZ jvUgmXu7OaA5/fhTzNYKM0LU93mRyp6itoanfpj+XcWJ8GX2nRW/Gq2HKRytWphkl6fg7aLTK1uq n8E3r5dMHMeXz1zkcPx4PM0O5Z5NRlrRhUpJMYLWdHf0jBV9fY6crBmGW+ETuFwmlQK5Uxl3fDRt ozxcnhx0AcVR+4hbLfZDFX0kHjcwLsCKBKhr72BNO6BxkuYwUvEw61aanlIB9c5VoV4dOhSjOQQ9 iQxWHTErXqSvKy9DM4TW3bi4b8+Ibfm5vtQ9YCBpjdsdZfoA5soLB0Xq5ie2e9MztI48n+SZZ4YS eSWBLbGfwJ0+W5bMq7z4rro+jJkgUw0LT04lbv+CcDWYFjr29vDSELuLs+qPDWMt2fmiFqjeHtbQ +lB0Ai5oaX372X9d3SR4kPAxuAmSPYN3f9yQeUDeVjoLbmGHm+P6+6Tb+UwdNIg6KD6nJDoCsdDu 0haobBaozDB8H4yXEa2i9W1KUEpc8U7qnatNlqGvhsRhKuzdbOsgvWjsnZhlmp2ImNctDBDx5kOk Uy30bmz/Vj5GzBENcD3do/G/aB3k+Gias0SMnEYAWpqZF/A0kVervnh4AcMBFh+27LRPl3kUi1uJ +U2KXm0VWIe4C7HLNUwMjWhrxx1J9bsclxymViow+3cqoKmHy+wa/3Uv6SJ9jAVEULZozR4XSfo5 ClQYCdwd2Hm/OYKhgKznlmvywoFkFeT0QYOBEhXurbZyVzc1MSYkGmoHuOARSHZB6iynGKksfuUD 363olrbcurOcJWwnpXu9MHvPtJDdtxz4Ir/zb6AVzIDpfwjirgOwq3lPsodC1DAJSByKB/R2D6mM EnoC89l58+H6hVC/WWJktTCOFcHRreu9JZsGh6uyQ+salZlVc49+b5+uEPNvPDqC9uJGQ1WYVeou OfuYr8yhh7SzlbOSv1iQrBgsJXuZjOKsy/ICbgJhyQaNi6iihDteB9/0EwtWmJ1JEKhCnl1rD1bQ raky2n0jb2Q3eyIAoMu3B1SljxyfaScceFXV6lEmsAgAoaI9wlzYgMD8QAvnK+5Lyk10cicuee2V 8LlM6rfRyT4TplT3nOexyxz/quMKGjLwb/GdOxzVgXQGa4RezmjkdV1vuQnNrLZzrurPDSxb3R36 ATzyr0MtXyF9bBdXKhhHn4chNAnCH/7CeUfgOKZR+DdT/vZ9Jt+MdNWzktHkQNmHwy8Z/KyGyTG9 CqOIAjWVjROZ6zURBnm+WyCOQkU6yhaGbtUcBvrV3XAq5cJgIkdXWFklRFNKis3J4bwtGBeYeeAs gi63olEFE+VUCtsSAuiqN9Hgjc7JKVul7ZHfnEf3somfnR8r3R2eg/jNp0QUkZ+3ZPY4JWYuc9pB FEd88A5vMjEEgARIQsoX6taVyrDjfcdOD0B3F7tMlpYq8DrF4T6x23uH8145Epag9SXqI/Ng5adB SF1IkJMVRmB5Ir/GTXCPrZj3/UU9YxK3xE+XAts9C5saPWVVotFwh76Z9NhBIr0oW5m+dJPIhKcx 0dMnomc69XPTFrh46HEWVonuYkMR6GPlK7cbRUxNN6vgmHbAlnzQGUm/psB8nbNRPCU5hQ8Ls1Bb t9XgWC3orJmUo3NaAA7xuipvYhczoHn63okW61nrZODrBksbeqD9O4ZgW5mq2AwWwfYZ92tczMmw +Mb+GhIVfUl6xfe0VsnDpzmcGo3Ma5hR+A1JvQulLRqN/9hb1h2JHW+jrEzZm/DvUweVS1OI//kC JwekDJl1E7nu2u5N5pjgt7hHzk1n3s9+3Ere9maaqQes6SrFkdaJPIOj6LSzQAVey/ObehU4lUyt cHZDXNqYr58737Uv/0rw+GrVtpwJ91A2vtlpvTlGUgN3OCGTfZZGoP1XbKzLomsUyI6s6NgrbCw7 Ni2lJyXSJdG6Ey6Abl4eeFU/sxCIXcUofRcoC1VA/9O4B68S+fIJdWsh5pmJaPI3niJgVfNCUJqu n8XFhAXP+u/3x48lxseaA44etBcUKcOKRC8x3gS4mcEVlR0WWiHbPUpjE1e024FTuhTIekOD9WkW cfmwf20vkPnLM0QWHF8XWz+SUu/J1cTkZqXPj49zypeiFpDRP/9/64PctOyb+ZkvgX9lO8P8AXN1 6KWF9YRS2tUpte7gcxJ1TY9E0noNm2zvw7MWeYKkYjvGyEM9cBpWD99BvlkrHldQKc2z3p+687M4 pFxPQYxo26vSsccURz0mw9BChh1pZRKOpJ16LtODvtlnx6rHg8gr5PSrrB6g33z6JBHYl+fcXMJ4 tBsNCCZpga9xQY3Dg1yXZWpb4g2mghnzeBJmlLT1Rg5YSVlHDi5NPkSQyqj3YXFsFZSi6fEIpS/4 gy5tEG0ZZVZX7aZ4V/H4a1GlEheM3SW9mbjYqRJbUu9uFjRG26SStisu8sqtjuSCftwSigq6U/mf OEeWXpINdjpUvuOb12rmbFYVaMP0UVjS/PgSc2OKH3J1Az9l0xUkWOA9YQo0+i2zn/erjE/xvOXE rK/rr67ab021XlOfBx0WKFCgstHOeLrwv7q2RHkR3IavkSq60FcOLMZi4QKabhu2w7NZ66YwKZAB rdhvxVgrf8wQLAFfN4FgxIaHzPwXbK/azmIgykVdgwymbw0dVBbZwfnh2MYcQw8u3qZ7jcAv7JEa uFbpFuYQItiElwuZfMeFSiIqwGZ2Sx06/6CHDY98iQUKn7ruQUftVNrAuXu2rBuU3BfMH/6rcJMN gaIfHZIPrS1KgNJT5GjJmRx8x6pdUkMjepliVKtK+qhftWE2pTswGMn1IFjecpz3I9vueVLb+1IX L7X1gMeDRQax47Dr3CqfN8Mx9JuNr3cZmxSv4BG2ZsTxgtUvXVqn9sOEU/GPDuChcVgZc1wI+Ufw lf3JdjmHFaVtk0peSXX5j1S6hgy1huTLxvQ5jE1Qj94DxUOk9am5aAdG13DNQZoD/OGMIC0wT3i3 g+9QQ7g9vOaJDCZEw7YfYm/WYynS+B1FQI9O6rjJIJyXfFAFQ7dwQ8pk2B7BAHh8tsCNjlzd273r C1zS548lM58JbQYsvYSeMM4edIP6F7/SvsR59SaMb2LdzVKhT+ykopVZQuxCqLFHUv46bOHKlZkn wjFwYNa6FyTo5gbkHSyDpp3ot93klyCNT1ysUETqmgzfBoDXbZC0vxS3f8HR2K05AnNn9b0cCH1l WvAVYVobWm5O+sRxXX6dLi1Xlsrp0JY6TFK8XVREjBBziEVWDaGuwAQMiZcJERtO5L+7dsdoj7Xr 4YrkCcUxSelsecobhz53nSnvft98L+vKHZI01ktzANUNhODXPyVBlDXcT9262KSVvSgWT+fIipfL g01JxJxOjF4bPCt8bBC4crxPNIrZV54BZGudB3/QHyugOtmdqAWNjZlKrhs375/Hf8PDNel1ThdY yshR0A5YVihYZWCe1TcUF3Kr3G+VeL3bGXtHL3rXqSuUJFBdxBUnWeYan1pWKrC+mvCT5U86N4cp k8jjmbhguy4i+8ItBTqH2iPP/QGFh3UtFhdRlk5uBLXpbk4cm/DqQlQOm8bQU032km2UTSfP7NKi cpwrEnAoUt18Y6YqjMGydNm57yISSiLhlyMxrKVkrex9h6TP772HUpOcKmywj7q9hmGHELraOMWe RpYrpqM+P6bqzejnEKCyJZIyZ/nDOjyJfabEQwkUd9y5Gj3XKxEYVsq8uMbT/7ij+KRTTcFjTV9n rOYjBjepTh7nNENkmXCjIVLsqRhRS79cIRPwXisO/w4hmgots1EczNsoFf3EeAvIQQGwU10Q4ARY Dly9yKWQP0lP4qfb5wcc8z6xz5MveKo+m026uPJLGyWUJgU7WLa9sWSeYQOSYP353RGtOjq9qjtL tCEsaleVaME0tvG2Fy0r73nWkdsW1A4/KcXOEb9duaj1jdMi3jEFE9BSzMyPzgmWvr6I0mw+Yu2w Av915ikrNHvj+0YZ290u5kgS4fUm3WKRQwov7kuRO3W12tz63MOF4Df5lLczgauoTU8qN565kZUD v4z5jXrl7yjn4YxKXNxijVMQAs86xt8CzKE3szh5L9CvtU0+f0R1sYrrYS9YvhTpAuoxVWF++dMD R0s6w8+YWC3GSUOIrSTdtoKKjCBBVpPiy2048At6l4F5fhJkRBFjqKyWFzF+nSbcY3Rj9PxK4O0p bifSXVO0/QxG7021x+wQxIpv+TP/SmBDDu+3ZukR/f6KdhYK6WJp1cIU/Wdy4byNbgRuge2fiYKi Kc5UnsdjfzfYrVmMa7+3clRPRtL6UDi1RjtrzJMn6zEafbitCmJmCtZRVJKx+PmbA3CttdCrkgG4 vv49LH/UdtauVv26k6hCWP1aEDKzafKxEepy+Itx0gVdQFM+6KUHbz4tJ3h8xuPj/SOIdzm6igIi Zqpm2MXUFf/I1CTU2Ig7M0TZxsPFuVjsGvSAbkI3JkHGSxoSKxRHiAuFU4juN4HAekWJI85LcNY0 y0N0cAWI3y6wDpC+3AeVK0/2dOG4p66hpIHYZSkqOCnKqq73T3D3gRho1A1qL6hxiOsL8WHqaB14 ef+GM0FSkYjfWL1gsI+n0HhjXVmZUFk0sZiO/OG3gQY3l0AoVsws7fKdCy4IIL15HFHelRnNbGal OVWKWi0m7VTf8kEGU6auPA+SebDa/p7zRnMbspTxScsvYC+9ZTpFHlNk8aO6KQsRXINr4lsZG2b5 Gh4M0m9dM/4OVReXfRkWCVm8+pzjTRB3qVW29DutChYcXMoHIW/lycBe3daHJt0bmz46+6dBfLFU mPMGUKID/d+j47T7fevRQMcIOQp1N30o9eMjfjgVSR9AnBwIYyymvzA+BnhnXRKz4tru9BHv3Rbx +gMkfP5gIiLiPJrS4HCiRjKbO2nFPE5AIG5AfyN6IEFqUKezTd/MNcVvfwAedjmbDoTZUCZqRGbc 8hnvUCGGMQtpTfP4S/Tntq1+Jhlr59R9p5zODYDmZvvW9K5RohWkwmNpmD0hBiFsdHbzwktDCQMu 1uvjYBicg4bGVAlZxMJEpIcMVxA1dTmikAGQtUJyngFC/PlyFeS9MdRxwa9nuku68e6kwdSCfF46 FTIMxRvE+P2HUjAiyU4cQFqME+N8eZHuIDXR15agzAJTJKDmeSQj4fU8KZAUN2qe5c67KTskilmv qdudL/00Shg0qYV6YvpxAFOnC4qn+Xk3wohGOsZxG2SFAfzmZWDPQPsI4uvBKeLgDHxk5v2z0ePk sJHUOtuLy561PeSp7PepLJMB3YiqHeLQ0Y6Lr0BnwCIOsNJ6FKfpK+8cGobgx91DTm7yV+7l98XQ yyCnOoeeH8CgYzKRK64xGwxs5TS+d9Wm3uhRstK6/4Ih7AP88a6cP2vaktnBugY4amv9jTQ4aKbk gff8wlI9oW4yDBtf21Z5YRuXTFz45qoy7dR/Bni8EyRVUrQLTSd0SBUwlMbXU7nk5wN56wWMOUJk Yjc3pg8Xo1mr1/zbmbZRwNIVFzEw5pT3cxIjZm9jcfvfb9G35924mfn9L/sdm2GhuVHqyS8+7Hxx MaUCoqjMbC1Wwumth0NrITpHQltVKBwH04Zko9k2URZvXDTj0p5uWIZkANR20Rg/pmsiERyPJYA2 1L2rCyFDzuxI3qBGOfxkC29lUukrrwaZhoVtEtYsZbJOMvqlYt9WMR+HEq/wUHPa/3Fi8vn3BZSN 1NxxP5nAVFU54PiacnNPxVUn5jxcBCqnglzBsVUcAiFspNf8ooQCSDXXfIaEffjW8DHmqNAEeBgE IsOovWMUULySq70zR/V4TU5zgqQSExnPlDJMFLFTH/cys7c5HmLHN7XrRF1m6JPw+TrIoOz0qdcu QLPQoX3OFfHaFhsaFFsOMNHDVM6MmA25u/1jpGURqKtfYinBWAOuG+qoflVTQ5oD6SKR9XewtQhJ RQfQcKrx6peTu28p5m1K4RAWBvJTm81r1PYraMV/8Qjx1O3hT/PP1gzDOFWj185f2gkSng8KRMSs FgKLE2tu9zi+/yfxvGdXjmixuwRWzu6DsLgb+k/mUWmnev8v2ZaCijuKC0ZafD48XV0B/acKMadp vfGCgcq2/M4LF8THLGrXvJ2NMJxAWJ51QgaZ/dvK5rASMe91UcEZq385O1yUjeyYY5ZpQmx9kWbt 8qwfnXnXkrIyE8yVT+m6VFefcw3AmNIHhg0r3MKBEneLYrv/TuOcVRslDyXJPfDVBSkvnFC4LqTL tVpmmDN6poG8MoKsIt6GKiFAe4I1mLJcaWo0UiR8REmWHVFW/5I92KUVbOR6noWmI9eTc2NqHE9J zuwDvQfmr5ZoDHURyZE4uPEBniNR4/ZnXgIky4xwEAmEoN41Scx8USCCHDd4CnxtGPmKNHtbwxbe yjOzicktku5mZ0IS2F3rmt0rIuRFTVOsGRHD3Vd6aSVhh0oYJGFJh0uEzDylWmcuYSUx/D8fJa0C Zv4YyW9pWO2KjtLNjNQnqkooZHuekA889gEGcL/AixFm1wF3b7ybwt8mFoW0Nun6BR2L00ZpV6RN 1cnzT5l1eG56N+0BVlIvMkOVlZIFFRQKaas2xDSzDZ1UL85F7yJl/bCvO6gwMVNhzks7TxSazrA8 fpTiTa/IKsCUMeqKwDIJs29ozIcJ4dNcI0WBsjGbjJ9Arng18Xdvnm6bawPYpZHMCQ5awIAWtadH eIdDq06z4dwPAlgJMJKBm35WZBq+juoslGsQLe7/gC762hnbhwA43Qen8kM/aD/jeoo9cktZ2/Lj dwQrhD1Vh7GooNIm/z7y0i4zTnmXggQdikphYF5987JCrNkf893Kog8h/lG513+QE4NN+fznaWxX 2f0EgQSkhjUf1oq4jv2CXrqsPmmBZjBCaeksP13qgTbtvmuYwBz4luVKY6s9sFkGZ2M4hhLd3ZuO GGyPkVEVNNrq7jwqcqK0BzMIIUhU6LWgYpubIre6YnNbs/Fod9808vc2Lq3Jq2uDahzYd0qWcbIb KciY/4WjpxTUJVHLuJVNyyV6i1JpHU9mO5gm8pSqwjodk/AOG4NtO+o/3Ds/oG0zuqqd8Pp0iFKh 5qwxCsoCX3RGNrorlvq3lCB9Yy6PG7EuAcyDUJVXzyBl0bbGNaWoz5xeEzcVK94jN6PZlx6qmlk4 soRAo2pO9X/KPHpMMndZVXOWBdH2yCD7oikpMvOvjzeSNDY6tLXwOr76/rxP/X3BA4Hfl4x1odM9 T6Lad6HBXp5ZVTs8bmn5ZWSgH7Dq33MMWP3dqj811zipbqgsok6EORnIChZaOQYHqqtjls8oXYFI jdiWtpVABLm7Lyt/FQ7ZazTu+/g1WpAnQDy/H5Zg/RYOdenyTK7CslmTKKKNkC7Y6qtBZusHBPH2 ij/ISmb9pu0ztd5eFk9kcJbjCVPKfzOupjsPvrgwRs6gQ5mTu1wLzq7G9d8azEd09aZ4Bm+qR0dK l5i4T5/5rKXAhsywu5g899x53sW0Gs85sXkhxwH8lWHDiWyOJji5RTV0v2Zy83G5DfmjwltmJFPW EpIpuygfOw2IgrO1lDmc4C6qhr7Cb7xZhN12UeUMSBNfo1r+D63EW/xJ6Yo9iTM0IE7mrjH+Xdq0 hFYWUk1XSpPxb6Wl2FgjZmqJRkoD39lFsCy4R4U8msoeHjwJgZdgYr0CU/kJkYjpgTrErdIh8OIG JbDLbuLnBDkkI/U2WLL1NWpjGQcuqQ0SIncTvp9D2ebmptiRAHtS9CmbTbLbzC1OOZS3/DOOkV1F CrAExSYSW/qNyCwzFo8xox2O3bbwE/7t6JovIes5ADQqGSqEj+sV2XlnnOuBMm/MOWnKwqsSbnvo NUE+eEro1GrtAIRVu4dq5A/27cb4IStDx8jGJ3yVcoEYiYhJ3/Tc9XJ+EpVUPbS7Rby8RqZb4sNE /PDNnM1+1P+Nh1LbnSQag+ufIzOuKv6+BJnZ9Wt4sKSfWo0IpLATIqFOw2hGky2zMqPYJ5EYekj0 VZWlAGSl293SKKralvTcfCAfDV/fQ6DtwehrNUuX7y8/fQdTzYpeClHzr/foNjiNoq5jMERK7pmR b+++j2bTuFbuq6lLNWrj4GNKi5+uO3p2To1LvxKQ+8LCwDMMYnwqME7Xcfxg8K75AlYPXVTIvFGG S6n3E3fGiUHXu7N8b9yEy8xASG9dIWC3Me3iA2Hyj8+5kERQcgLUm1JtQPP+76D7nPvxryekV/Ip PhaxquOKRNUEhqjJBfhvTl3wbpx0McoDPepusXJk0ezQ5w9MsHzIemGWCebF0guXcY+SPix+5BKS 2HtirNmOMrR+dnrSCr09t4nFInnbqxW9ZNInVXMbkLiU5Bj1zKGDWhmkmkt0c6z8tHRTAxOGD9W6 6UhhflJlLvBGnPfCDvX7zCmpP1uT3qA8/oHAYDY5WAklJJL13O+92FJZ4Qlwsj7R8eGA15St/zPw yFbdOv7IVgMZxWJc81zo/01C6W3eeZjh/jizVN/+oyrEsLkkka1SryD62Ridf8DYV/xqJzBFY76x McKiU3r1Jx/MbxNjZcSyjNALsfStNDqS9tQIDTW3dy5D1GLxxKYcMghDdQsyPrvN4ZS/Yzckef8v HCSIySTZ6Qbpv7Mp0iTQoBDXXu+PY9nP7jJwSOVUuxN66jO32mOssa2CGKK7C/mQrIbifnKu1Wck wgx+V1OC7wc+kLzGuJ3Hxfe2VNbbrgo+5i0oKYcdAGiDGID8IkYSSmpwA9SzXvs0NCh9403NRkfi yvpsUfPR34/4d/3NKg33scZOVJUGZS5+wCQWvEw9mBpWMFHNfnnJTLb75vFk9On6j000fFMDM26I e7b533JlMSkfSKH6iiGYCx7ois44VYSZJTULqQwpeeqACPRNjC8NvwCilyB0Env1iceC3X+9fmVS 9oSk6kHawTguot4smm9AtqcK9+IQ6+DHEnzbLivZ4Kn5OuHTiZHlWE0idsUW8Lxr4vnyfMFtqK3g Q0XulNxv9j+/vDnVxHsjwLXMV/jInMdLB/OwheJo8gae2Mgzc0KHarWFCcG71Mbj8Z/Fxmf1hT+j ZiAnHABue+fIPRnH8fRkTqgo8iEcXgKKDOqaM5mmdVKk5XjQbk/7wi0QvrvK1GRMi6/hUeRtm75h 7A3/af6zN+buHS2AGXS76uMwcv0fROncWC+mjIHdcPNtER71Z5hzqb2DIoVJ0hKRStzDh22IAsjM LBS+bLsPfM7pHyi5kdUyDKKEwJANupGPbKd6Bq12IfXhAENV9W4Q0bA6Dhb1xNn/2G3Zrv+jeQGX +4zZDZWHbeAhjoKCnIIr3Xu7AlA8oLep89qioiFwhXyfHRTlutTzpVzS9Xc6MOR8ComMbJR1NVCW iUNUyY49zxzNLV+UOzHHNz98jrh5aLVEbDCKJ6Cr13z0BAN1SUcxtW6y5FW65CYmiZhUtyQZC+4o Vtw4pYkeJagv0eZlr7My7wRFy6gNpV5Pl3DWpSzo0rojNGOixOCCKYtxgRdSZEo1aYjVAwnGZ6m7 CQsM+g68R4G1v5ygvfhBOdE3vHWXVh5yVonwZyp+R9dGIRTZvtAVc4ul+SQGGbXKcHapoDR1SqgI xU8u8RT1/XynQ68+zxstaTteCAwPFEr43RSHWvDzN4hwA6vBmFx/lK9DmKNjEsEhYa639byEZmWa OjSJOiTv3Zrc4Ql4r1PTR7BcGq46BErLBJ+fYIpk+tXkx8AldIPGB6zFOmqe1umtUNwCNHFrIHSb 9vmPfO/5/Ycyy5RBA2I05EjaOA1nlgsfnvMIB+kF96mW2M3piqUJ3ZKX2fBiItLMSab9KwN753QT VrVr9Cr/xcQOoFfRjCJFFlfA0SObCUH7x619dSHwg8/VmX+KhWs5PhoDmdRoIbV64zdIJFn26Rpd ndqSMgdynG4A/y025kaDgMrO1TL1eTijJAZXs1ilLPGv1MSDbZ6TNQhAgFTao/ewOKr5WXq0kVD1 O/5YMoPxE7AC6Mby7foDCDpNVKg+DPQdh+XxNJ0J6C0K3+grqJyvOQ5pE+EDrjJiMlTazuIgP12w okrJV+e+oHbX8/H9SSiJ55g+XJrM/V+JyyMoWnwNq3mN98vX/5TAEKXhsBFBUiDnomZiGAA2poTb ASoDvVZGVO3WSs5kpr7sM5J08bM8NiyygUtxoCu1BRVj6QWo/Bl2yRwfYMfBG2C11P9v0NlcYFxo scqXtSwsRH/bS7kJoTmvEcXva/KcSX5uyI0Vj0PsfrRKWaGW9mnLilHt6EF3M9Prknnj0hSzm20L gYxwUTP6S1Dr2jM9bF5WgyQUmvbVCEzk8bG/imcBk5hbAxkD78Z0K1K1fpYsWNycWFArQDf96vrx WGEE9ZwEk2JB9zpcwSzmZqsLWIwpJteaMWxINYphkPoGuxaRKCwx7ECRdsfn35oJL1dQ6/05b//i KgMTVmifkXLL3pHJc9uT1wBlPOEU135vGAYM4j6/sRkbv2mxdXGYmSq0XR61qFavGYex1n2f+36m 2J27oANL3eSxtNSi1CLUgZIG/9kVC9dEWArqRepKeOfTptgG21LkNWdK2/YET6NsQLHvvf5MOLk+ ItYr4PS7cW6nNb8rBmCmZT72x/FcREdiGfww+EdpVl7kML5xVdHMFxWy1YXQkTT4ocEcy2S6401N 0GcRSv2qphjnNa3/LgY1xetnFdzZ23q9o9ugDTfk+m+7AeWbeGZ8cYgiaTqVcbywZgAm3ienDh6A vs+o5q4tA/JcRMZqDT2w9+9tgR0CSZHJneObDA9c9hTpI6UMvfdU3x2RJd/QP3WQut6pNy4bxO9g 5g99SlRpzM0AX4rqHOEbYbY19t6nTPw+dYT2tlBa7FXU6/BABalH8fc2de16ojou3AIo3uIcyJ8f uZEcZEFPqXIvgq+pVMVkk7k5yQVlGtUQNYYVqJCZwsmjdr7oN8+oRAX94QeCAg9RofUkrss5V/V4 mDM51TE20v96VQQqvNjMrm/NjCPzwH+p4xdeU9tO7VBNAyMPHeRZup9vmWXVTWOpGHrg5EXBtcS2 knesol/PvfU1BzyxV2rmkONeL5oZlTJ3QI+odqeu01mOaFOMTsFpr2o7a3JrRCTZf8UOwrdkZP2d BFFlB0IJytEde7pUHRM0hoxJh5KVumotUOQKpS/FVQtzdL6rGCMkD29Udsl3LjeQqr31JDNfcTrn ZkTBQ53F2kB2g7zB+ZLUk16yKWuSFriz93+LD+ok/xMn+ITpkbUfdsy3pM8lo72FjJAbDX/gEAYH BN1l+y4fwCKHpLB38Xq3IM/J7802qiEZ07p1rIJ21e3jJqBcOgpEsfjrvGP5CaVqCh5Hl89MgEif xAZXeMsyVV94I8yLSxJUn3KQYqyGO3PFNhJIOUzGRQkfXQDxd0EnK+oMvc8fbBURWCzEShy6Owpx 93ATaFJzUjIxkUw59777SyRWXoZGAYOqL2mSNaJFMNdQOYFXARKWQyMPjJEWvJijQA1NButkpu0q 74hf8thbN4TfXY4EafhcnPzS5sIcUJZwYaJh/z3hzwitg9HlmRuo0hByV38i75EYEdPuprl+O/Kz TTA51ytdMZoVeL9BOMQisqQpQdoYYp0P6hrzzXdt093ZUHhwcFpN3O2hMybH6B3hLFpcI9x/IKwr 4TxgIdOLluqTRqVb5xlzHjSf9ZA7Dl17hPxNltmKUAjrQmeAwqRzogEIVR9hR6eObQ1uxRyORAZq H2isFYAivnxuZ0FKK4auB9ZRFZyRYLuqUhd1bpJ8djx07G4pLYUyz/wIBFPue4Qp2wYJ4tTYBPLW ShJp9HvhnlYbQAQCmMQg0oPx5YT3K8OUwy4FAbfdnU8e7pGpc2oWjdq3STZo7GRnFCdY3jRZCWDA Awc8VVCGfXZwbgUbrOwdKaTzsD/JSWVub2YRF8NNpIfjj2Gp43o9VoT+UoIIgAJkNIfdLR8hknGN lhW2kF3qHfY2HIdjmrqJSD25J+zxjQd8SsSt/fdFWPXgE/QeT5EE0y/vkdtvuvPk6wGuph9DGqxT NSur/sUrErX/V66nm6So9ppiDSWzx3C+2tFbCjbP1VNTzjBKvJuvHk1SDMXFo2RPz7HwSdX0nsCc BNuc1d2VcUec/AXjN1BERHiqHiKbmDQuxkycfv/7dB/SdDZ5dQKppSWc5kDxQ7kp5FL2fcl1nvI+ 2T/RV6n9E9L/gqdDHvLav7kH0NbPe/K/Azz5ivehklBd5Gtzsixji0gbLnTf+edPC9tevP5BMIW2 yERtrjINgNbiopCqx1kdjSalkWaoI/AcvaplYwLvDu1TYKnncqTqU/HfhIOsnDb/22sIt9VIvgNw Amn7rgkCPol8wGdFvR1sI+wMeIBaUGzGQBsZ0dpH+yaAcRFKuYYtgHy5qCD3SNgXPnpFQtpAq9Gd JW8gl2siIBH1Pis1rvdueKCqDQLYUev2dJv2FnQ6QHRUo7EH8U4RlMkNjXtz+7duV4HPBzAQFcW0 cZSNFTW5ySFVFbk0HYmwhi/eDXk0sAuqTrTwAaVfHUUpons1srXVxcNHZ3JDQjA4feBB/pHVRX52 rtiM/n1fPRA6S7qi/K1Y+Og0cqSnjYthB21Iq+Gn3x65GxyBCKo/vCy3xiVyW/2ASi5MnZQRuB8N rbkRp2BYUWgX5Y1uXgFx8EAYycsSgGqmSiEteO5rzNQISHOzLEEwKcoqQqMDK1eb3eoRzD8M3eef NcfxjhEoRO+NXYq+09A6zohfcF+382Fs12vSXMgc7NLNSgFm0knWQigieR9vIzGUe0suwmHc32lS uI4boACZtFseYO+gJXuzlUYkhrNtRR7H9V/VTVse0gaJbMAONZC0uJppu57TcJZsAZIFk3Vw4gXy 3dbAN0RdQsnnNbbeZnEtr+CT21yBx3mohTqQr2WzOSRqlghc+2hngTduy+S/p88l6EJKjphDxyRc M7Y5MggwhHx6BuRyIJA31wVU1/ODXryeDPem+J2IQLlkIn7Rcwoonk4NOOIa2OBIHXD+H41Q80w1 ggVYpUGnxxj5GwgA48dDjdKyRgi1Xt/xgQJ+JrRhq+VReeVR/q6ccZr1xh6SMfM5DUM6Bn0ugqy8 5vm5oGno5Jr1vUqeQhEdr5MJLsPeEqRr3hCHdte0JxSbNVmh3K7iLXmyyYIbH+t861aLMFqb0c9d JtgH2zKmSruiNfUBi06LHec2zUvtMgFHIvtu1prYz50OEP7O+rgnAhG6oYwJ1uxP0VsETXk07+9d J0TWte06mh1uQ+P9dHgoOr4c47i27FvGAhIokIsJQy+vwRsq7oRznDeO35N/Qw8sXCSt8ySBq1ge Ng4oyE7cB9eGRIyxU2WFIoU3/tMlo/lsqg1Ga8mWPKJEJPPK9KOIY35XXM6CApEfN9IZeZeGZa90 ggIDSgwJjBxwklqSjAx1lvGjNx0V0jBTZKZ0q455mFaI7wKP5/Q/1h+doAL7ZdeqRb6p8oDTA9fj TccDyxakJ0znWlRkqj1EUBl2VeccR6wdVAVNxus8vcnr1wVbogIf4acltEUOuXPObK7IeFuhfq+x 7DFE+1kSwKD+ctWCuDnJHODRzN7uP4OItt3m52fuufiLs2wiGH/zGoZdOwsbrMrxMFQfx3T6XU6Z +L51uzbiMz04Qb97Ujm9rjeIkh4h41CeHpCP89csFSIGFvgidlCNIOR661c7SdfqV6+0HoJcIB5h hcCXm5AjcEidWG+KVqhYd5HoD1fUqkbN9lselr1GXUyFb6b6Tp3cUXMXKqVkllM46GlenNYwXeig 4Dco6uU2YJDKL5sji6yVKYTTVC5ClaUmvzKwq+3J7RkufsEpCWdg95LpZzZoDU1TZfQnMwp2Ef75 gbwyXP9UdTRaYVZ6yLzvP3ZbWyREuthKyoog73ppwp15fFPauzEbrnhhv34jBA59cPfUkY9oVDnk Gl/C40IfC3u6mmdQtGx9Xz0fhBvstBvEZBhJKBU22XPozwwOlhr6VXpzGvEuJV8cYnRcTpDYgEum svpkWZ1BeqREp98jZiGzsRR0sNcL/3VhPwIW9IsRyh1CJ4T2u99b2CLAdGrhRJ5TIXx6mGBqjFbi 731Pu4YsGTiV4Op0aoxikeT2cUNOgQPy2EDflH2NeUTajr2QcImPEUU8ua6ciwxtMoALEtC6ppiv +9pPzqBSo/GuEUEy0hWesFzlcXktNhwRAybaFqRf+tvomtguf7e10iQ8NimaW+/RP2DHBDq3mGIZ O9t0ucqMYZRzRyKvW+w19s4V0eLJwilKEV7J6snKHBgK+aj5iGcAoHCBqhDdGJIQvaYFZP9VGBKQ 9bFCNQSKNE5JB1+tyLg8OqHsQlT1kPaNbefnBnpwqIR6jYQUW3iaRqAgpoaIL2Y9LHhHl08CHT9V qH2c2gjXz9sYTo6JgCSVNQBwEhiy3RT8XW29CHs2EuG8enXI4iqi1aRNWOyUgTDOZrMjay+qFtLG PhGz7dyYUx41R/nYE8NDktISQdC+BGxujtO/xr6jNsVpOb5Nn8YlWbyxeYvxoLgK73EuwqoN0H+7 <KEY> <KEY> DT4JuNRyUHmmLjWqWHZZSg0+AYJA2HFZpy+WMxyNrpGaq1o7JKaKeT2pwgghrOgh18qHmUV0HzLp mK+ETqblfmcxxFJkl7GPHDO+eeUk7P21P2G7glEK+fVdz2du28BuGQ/CvBlVbzzVUqrDbDuACKdU yzQPqeJaCZv/QgMqUmxBgIV3txvw2Qow7CWMJ0Zvjzi0AcIQ59rFKf5ZCzDVLuB0wgPin3cIjc+V CXfLkAK3V7Gf9/q5grz0CFzzOMvDzZTCeqiRn369DJVXLkfp3P2eM95GKDMv8QaApFCdL+23uq3N 7S9cyImC6TdsDBW0ZMtbGjroPDVhwi7oewp7wnZFyLWUYQjELzbbxEpizvheIaK15CyOOqUe0Eh9 OqTM1K5kx8jtkAbauQcRM+dnBGLQDdpa70x6reCmvDfIYypvxsVswWxo9/gLUajNvFRp8n+xOsVX BpFKYL2q5sNfNeaYNeO3mXfXUcTpjtD0GojO2RqvAz9Hag3TWsSfNx+IpshRAaDSV7LkGljXSNCU wKMo2DN+Rd1Ak8IoMx/zUix+SW4/Eev4LKPnx9g6UY5sSap1vI84GQfYg3WhWSFxMf6SmyRmMwXZ YRVIP9nPWAODAuLVOjq9lVYIGMiMV4DCphKCsiQVsBAjUaN38MQkdewD/QUfjc24sBlujsZfsVL8 TNRgLbu19jqSw7kq4db/GWAdYtBL4Hm7hi4xjrlQX2rSmv4F0gWg7H0EHL6j4VZAtLGQbqY3r2d1 EIKQwqUQeQGU3MDHj0sof04/nPd8Y6rQ38dWhrJZzn5WAflVc9/U6zD67+B1gb7dLCiFuoe75Or4 lbYYcw0rxo9B5xYGYTtCb4UlpLG5vXHzAX1wT+HhQ2/+Jb6T1deocgxOhhHN2Np6I0f+NVZ0NuCA U04fXN3QVSEHu//PHmnfhoOrdQHA/JdP7OFB05WOwrp2cTa7WqnUoP7qd8SWtwgwyk+h+o9sMTJ3 u/zWnH3h7G1P3zTMfdp6xrFEh8i4WVwgv7mKoOm4ZXM2UjSisPe3FKlz4e5dul8nlsRVv9QCORFu hf2jzDn8l4XxFbYrrNkQ8djvZ7wYx3LHqW9yzBazbVFaTewZ8h4F7L2HNg42SDrBpJiPkLXIH+IM cAe/l1ekiQ+ffDwi8HH8y/HKUJdxjns+RA98+3Y82op8na2W8d1qN4S6uPrGTZV8y8VGFin9VGwQ 63nfx2Ps/nzwafUiXjpJihmvwQrQPj0JLbPVx7gpsKNXULA8CL/JB3Lz8flvWm8EGNjGWAfRKoig 6Zm/olu2tJbBdjg1jDu19ErBKlOw7+H495/p9pDb07i677AXOkqTI4tXEuxdpIhjAS7fT8D9NAwo iFxwbRiZYFSLra4IpX+p+Dddge8eMhqRmTjcRE5Z5y7Neb6I0i8ia67J57jaDDZyZycRzS3bXwS/ M2L7iH8Ht3GNUg3RSkiCpkjIEW4nomlVn25llcj5XGjAQ7doU+lYz/VgrseMrD1D24cM2qcnNxdw sQDKXxIZjPIbG9V5FN8xxt+t1hpHyqZURBxiqDcFURTVjtTvc2a2UlMPhg8j6Un5+o19kcdgYYy9 CjIjg08cduzpHCZkw+hBaBZjFmWjG/2Wy8DIFkAdBrlRn/k0XGGC7OvMdrA3yvs06G6w+0NlW/0Z rBQ7WnwjO6KSLN0Fibi0aUnASzA1yFnEuB2cPlinc1M4w3LI8zAzepe1WZ6jJvOUlnzcW6leSKgE 6kJQJTR2h6TO+hXX+WS/COT/C78wqO6EZxkrX0Kv9khfVmGqFpG0YogX5e9yvQFH2pjQ9RP/wcGZ pUSMZ9r+Ce5wAqrC3BxpCNgzLDjp6aLnI3PCmmKt5qBmS5jr23mlP5NMNc7knvIcYHdSHjo/00YY exxthN0n+feo+BWt+KpglYECDKEF3eifSUfo+EnqEJ546HOeCkZQHh8uCR1On5hSKA3iUlJd/0at l8vYAGbwOpWCuyvFiHVtWv3YfUueinbwpf+rS2WCqGQU7eU727w+XrGj6C80yDCAvD9kqEDBeQwk T5N0Fm8VsSjg4+Tmjt5jI6puPfSvdO4Y1Mk1CcxEPzLZsMoWrMoEfrj3vqOt5ZbIJlSC7T3ocuf4 9KKw4pnY6IBIbNQoVV21FY2ETJbBEf4Bl1U9StQyncBq89lJT1g8PDk3l7JR7iIgGZmx1huUiJ9I MfRHmqUOid+tzD4yjgaxUOSagtwk1/iOPwxDrSdHHpskH0GbGgFIV81MMKGm5LT+lyjZc+0fk7xa 4w8bZL4zByPV2BQdeVatkeXdtwPH4ZruBI6oAn4v+ODaqlRapMTqltQNABOvGbWJzkGHzlBjqNQw IlHsb0Bu3PBL1L2koQ9FUZ4RaSHU/8J17QsNNlvDlL9K1Qn9iI/JlTNNAjpf52PLJBlPYPfjF7NJ QPRUCxHpaDhbryLcFgAlhITXRs2/HHFmqeGObSjB9Sco5r9cLZQxRqQXvTULJLQ0NDEartz3STP4 wJP9DHtNqcdeRbzPUTVr4Dn2e3HQ0dXfPlo4p4vxlpGq9KBLaQYYjsOmdv9e+piFQHOJYuhADRHm uiiZQ1JLK1dKnsmwYLqQgfWuAOMXVtha81gaa74MnXMQwxmRz4TYTtZ76HeRew2m321CvjXDiLdo MBqRdq3qoaSzGo6nDkzI5fjO1L/7SsqvLS2SCeeykTW9vGMHBXjeFhJfSQu+hrngO/rJL+IVvIvd Am0hVsTTmLA8TmsaU+n8BFvKKQo8i1XnUF8yZNc78gCS5lTZz583x+TnyfrQHwmccHgmzq2XBwVL 5ulUO07LkHS+9BNS407UgoSGgwR1TnR9580RYYUxXIOtKtwSg2Df14DnLA01XvFnOvKfsOqWsdXB f+QxykczHI9eAYtglNQr+n4VgLybxqHARihPI6sQKL2+vGzx6cwOOMpAAhPPE75QerEquLEbRe0n 8v+2v8iCqmnLskwFIBXHjmIKJ1qQbAmssy6rE+PwJzOZBffIE5mWNSoTiaacyRvyA51UegkqwiXa hCXKXAm6Hio1+YfFNX+w6OuGR5txOVG31L5O4EbOPYRxfxvPXJXuLk1/xzZO5ZS2d5Mll1DRrWG0 g11D1nqjNJf56bPuQatgff5iZEo2xlF2H4P7wgsLU5y/noKWOEvn6khn6wjex9jn5KbNdV40oRP+ 3CmieGBDx1FHLI38sGGbSb5vEKF88vj31SVLUK7mtNZ+2PIc31DDgewoPCR2UkQSh1NUsn7qSU8z IxRLWMfK0yWg3R3Vw09USuLHQFWts2ryFJUOj0+RROTAPBEIHt6zsCjeG4f1qgEW+jBpYvn6QEe8 EiSyrymsMDTbb9EFVhtUfiFtgt2YjIn9kIrK/XaXfsnQg+d/sg3vKe53my4yd1RLLOh03Mqwvd7m 0Hwd+44nBw93XtoCVqXElPSsMEmPe9b4T/bRviWSTkyhjPUJKGtfeQlQ4nzBIHiblUdhuexktGSY 1aaKMWSRDIw1zrTdQjuSTDNIF3O0KIfriffT5wMTiOvVGBlG79f51bs/hNc/ZHd5AxwkGZ+eL0ns loVKWueTqR2b5Dfp9PjMzRv+n3R+KvpZJeJQi84gbM+UN0LwrP995NLf8GwSWL2ED2/swTqgwm3a RpEe7S9mHbBX1FjWdrw5SDH7ymSAzftgtzYOYxZbI8MlzUXUvQrOCm3dfDqq+qD1AAHvfAOe3xLC gBlwVjclrrChCU/xO+wQCn1+5pXV9cdxMZWmd4NVI0cvPm44FpIMTHZoZTW3z2lAGw+INOjXrq5R 3q3BizsPvZvoPP2QU6RFBVVv9XDmoB/cMKJE68orGed79z/geVEXlftRNw+xh30Hg5IFXjSKEi22 ZirxV7Lb4I0u1Yb4zeaviQ87AkENRdLjJkyp5adrVCgc0YxZUP5wPQKljbLxpFQDj2enIDXiorKi p7dRwuEmdSRzyea7hNDwkQclKBMIw9kvtz0hwWRdhjqhmiplzY0iPAe8BAjoKoEF47/pRDt6Kc9Q VPKtQ6hI7dXFTt4uc9voRAIY2STTOD5Ko7HD6Ay2Y6idy3INE8CsICW8ubQ+VtwMDnimy5TlUrn6 MSLJKf2kN83Bcv6Zo9TuPesYuuCbdwKDqOq7kkjxciNtlpTMCXf44wgee05bUvQlUHIlcOXjWrbI dSdSwCBreJFftI6c2VjKgsOSsyKJq/81/iHQ8SSpAODr/QAtt3gmWYxwXJ6qRyQQyQU/4slbThE4 3RpIcgUTbCL4teAvahASWUmolnx+zRyfCeYaYB0bbdQGM3zvSA9RlCs9aZ3ngS08CsuPwJrYGuTC rGo+RCollhp3qXAuFvfgW6rzS+/mD8oEXYrBSfkbP4LKRCmcZm1Yotc7R+mm3HXmO4DzBY39/BIg 7Y1vceWcriSK068CDa7aJd6RMaTmFO2qOsAKXtKgeVV+oFZDicA9AHcnMc+cNVfJj0ywJ+y+N+xB i2DdojeXDZstDLkNWeToE6nwEbrBERebhJ6Z6F93HhvCNn98K1JkvJYDCob+WZOm8CPc91KdyNvx xJh8zcckDErytoJ6Av/Qdnvw89RFcqCb9/5NN/VzXvBd5rj9ai09OpFdndt61SgvfSZ2EVI2Wg1m J+OegE1ZUeQ/I7DZJCMKYu3iYeG9K2gpWNc6dQPZkuc8l9PsVuUqU9d0WcUskRFzALsiauxnxaba pNRIVM+k/cUFxLGt9xP9+N2nIEjw3nDK5a7qG6xsHY4LqHDda7dJDFNuvGe4zr1h4WX4mp9J697L qjhUIT/HdAjZti4qzJIaYTwAcPtJXlpdkif7mzzEdNYry0Vluhj3hYLS6Mw/KTpnyExfNfezj3dV eSzlHVycAPeEmi1gZPZrJNg0br9DMT4D/97PzLicXR26CqslVEo2ivcKFldsp5B3brYHMaDENCpH MXWVgqbtlTEXjsh0qkFHOl/a4W7yo9iZWkz9OudymUm/YWdvrMS4ikCxKp3ps9xPMv9l3AXVksuL 7NBXJ4CSb00z1I1tFeceIAm+0xOkSBOCTgSrTvHiHjQNVFZDbwoZW+6W5yNV1KEahdC3MputM1Ke iZEKl7cMhCyzzYPwfIaGYSeflDnjIPg101q+DbPkUcc46a0XsB4nEgg+SHzhCRlWDHaWs31pSuDu MuWGHk6Z6kTsCtpxTsRuSBVZMWD7Y5sDqdBMN1ujPrFzRa/kyQywar73qbGgwgFq53mx0Xb/2oq+ DT3A8tMPBNUBozUiVnrFWSBkjnwEsATc5JhjKPOLxS6DA7TQI1W0AyHqPsYH+lRUYvh0ZSAnHEmq JutxRcUCa25FoJ2qPozQgHjojsKMMxxM45Ng8EO3DGTVLyi2G9zi18l9dsuzmz1yPXxFnhycD+sE DFXLYDKHqWPaQDqVrJi5gDubH9yaYcac1psJQPvPo+yQ2j2LTPsDHlCe7LPRFF6Dmo59A7J2n7Yu x97wXGBrHhofh43Tu1s/8a69OjtfPHLqfVdjcD874NL4hpe7AktUgeFvpSZp5rQEcmDtPSjamasC jh8Mymb1qfQLdYzJISXOhU/oImQpG76esznXo5ZtzE7/bxm6iMQWmJjQIQa51Z0h0TOEHWsx6nJU lTmRTaSOFmM3B9iK5jg8guCA/LCeR9eFx7okI5ocKXkwejFTucE+5XMdc3X6QYrqwQukOmEQNHRk nvp8Fq+GmS1vwy0xdPdKVbNfGk6WJe2BV+AsA1Dq4QvgpkzlfeJP6REPqfTEBNCXk1bLKUWioPvh 9aSAWegu2Th5cfmIxweqcy+fd3g2WyI5SjuCGzut0tr8aSznJlTVZpCidJ+tbkwDHFt5QH3exbnp iDN4apyV/LeZSYS7JwdNafrI9OM6xyNS7yMnM166Nm6ynmahNBngIENwFFBi3z4P2GDmPE+XHdAN XuKvAE7XaWqOiWnN8C02foqxMv+qQTFo6lf4H7cMdSEO1Gicn5Z8WwUjWK46/ai0j+6q143Qz7ZC vPMgBfCJmKKJ97qv7rfiNCaHnj9W+RXB3aoAT/Th4s5YlrCAyjvLOAatWv3MBLGBv2dfQoL3FpNK csBLm8+S9l8er6/RgZbY2nCc7cnJ1pKGq7MK/cZ3ofkUC9IEr4v6Qn5zBBGhlYnQXLTfJkdgjl3i QGyg5IpFvfJESbXdEbgkEeXPh3607pRLInpxjKBTz5365vbhbxj/nqsD8VND6UPylltQI8YqlG6Y DKbv+JZNIVjrozEiVfA48HZh1j2fNx2KjiNyHpGMQS32VGw/zvAxB7StYVyDiU/Cu51DSBmgORpD GjB3hbRZTJw2yVnoU9yNAaU5GUH+6DTXnTliAoDeyfjSPGghZvI+/hQe0z/9DCSZ+ZVfZ4uwDZos 8zIUUw03MnDxIdLRjoT6WscPeHiiy/9J2g2hHFLuLHqJ3JUKhQqZP8m3vbY7mKJWsmC8x5bIGsZc JqnbV0ZiZHN8AxDyEp+9Uh+WNNV3Rgt2m8hchPsaBQkRyW3HN4g/jhjziRInMJLbKl7IGW6YVhkk m22pMqvpfZoO+PcxWZQwWy19Gfr+WJp5E32dIVSghzFojr4o0oklqy8jZnHJX7ceYiwI5mRebo0H beUdZYDlVMlLPENF/ktQS2Dbv9dTnxNjaR19TSKwXWN+TFqpeZUHL6Kb0BSLoD7K9KvwTprGIUi5 Tcd2S220hxO5TKzmugxAghtFhw0UzLwAG6pkjINXAc3ZJYEFJidu73Rl0nL+J7AWoPDpoWMah7Cn 8pyg8nDgV1IU7R7QzHbytz8xC4awkqii1qxNU/BqnHGWZLM+fMkLSeCxkyRKu0Yspx7bqbKxihX7 FlJPy1jL8g4K6nCaUqpxnoN//midAaeTSWk0bO/qQyAXWtrEccxanPewMc4Lt1wwoFQEVGWYLcR6 oGvBXUghic9Lb1uYgf705Xal174HCdyJOKA/PmT4x0iHhSmrI9thAzxNl63AARL+fPZAxT7YK3dv VqByApaXhkjM5WPQdpId95qBbE4R5E8pbDlPK5Fez4V9VsNE/YUHMiuALX/j1tFJeiae5P/HualA IuXHtR07ASOgwCmA0YWGHQGRnAbQJxPZM51UZjuejVJHzoYoXaLuT2tHclr4nY9fLlpNqWpRxyMS os2Ppsx1n7vKxKwitNUHSTbpK+Sadrdupx2fDWpGiG8Z2MrkoqnlEahqwotlYvu3+HhNdx8D4aGw pyWTMqRYV4tU5etyo3hWjTAAz2dBt2ZMl9NGkFt8kBQiQ6YBNEzdAoj2HAXtS1kTco/gwqG5hozb V8MHuUqdiWFc6dZG+vio7jxgj6GmXN5xw55NVDW+EBHMhb9cRrphsI68wxAWViPyRPAchzlgAIRq NscE8Gq1zCdCbsRPiSINyx0M5RTkh0nrNXG0WWFB+j3yXzjE9qAUD4p6EjBXO38QsI1l60xc/ccW CitM+z5ao+9XVbsdu467/FAjVThKJlDYfE9ksK56KIsiggZpLDR2xBRLbejLZjompswvRUH/ug48 5iAIG1fzA4g0z7j7EInOc3lN71Fta7ifeNnJ6RJfFrS5cjYhSS2ZrGxe2KC6itZotWESvY+m5B9L yZxnwLGvwDDcJB5u3QjMZF7y4PRRBqxtzYK8ctwFHB81XZxbAz7kee4DgU+nuB85eshmnxsDTHWQ rl5rhmZ8UHmsCY+hrOQFGHsH0QOvLgQSufePXMIPpEmk4e/unyVS3JvhpRWEfNknhMBnVa9mJcxe l5F08b8lF1KD+cYCvxHAd/8gL93DHCv/FyAlKGavG8TcRVlt/vlPUOW7buoedPA8ArqX8kwW7RnL SyGjrAYM6nJsz7dcH7wMUEVnS8ufBRKQO3blBq/PYsKEMgMTlkRJ3xL/TvZPF5yUl6aFwyhjHWhs lDMcDtufBsjIsHyCInp1HmxNouiXjO7Gq+KiyWJez4kShiBANcEZdzzy3pZwkDudFtzEL7wUJoVI 2zvzv+mftaFSeG8xtqqtc3zEeIq0Ur9px1eCURlJMz5AdHGQXec1TgE4QV8GqQoyehQyLtA+YUvn /4XINFPYjCrtc3LdL2AsvepTlbOvq2iD4SbOsTpNGgmZIw4NOtTJBaZ6Y9FrYInnq9FsP8MeuwGu 17PkEVXiPGZ9Ux45FE6XjCDo47lMkdWoffqPPZ0rfZq+Z+OOe3dlMPSK1uoAOi2RRfrcA/d9nlrp pfA+GPk9NBMXFvZOKb2sJUNOumCLz3WYBqhFe2xvzHJ+v74OBfHMnIdP6edb9Wr3/KMveOByoODd hN9yqPK/zKaI0/cYyev6l4wBSWN5aBGVDn9s6qqc++IChDGfjXrrOsfbGFrdF6D/iopBnLEQHtL+ BLTwSSl8hykuEQlg9BtrdXhZvmSDs+S1blGHe80b2jOs5H5GU8W7yNJMg9VqnbkLCjbjG6XAtTb4 nyQAQXqvfkoC9xT+uYX0nUm7h35lr4Vl4dRwDxfpwGrzactcWwL9BcRKcYKgG75NO+pX/Zs3N3Ya P/7KBNEHu1L5kwomQrK5p7nbho0p6a1t+Dsfka1Z2dEhauyao0N9UqrWlAKovR01jrdCjVZ6P3c+ gnSA984TYiai1uBs13mcHFwrByT6U2SaPzqP0ghU5QVHEyLOmzD+9aiZrcajqTIAnR6XjfRnfVU2 ZKOZGC2t4jvWreiwqaMaj8NYgfIB3hWRtu9K71J3LSe/Ql4WSV9Ef58UoU206q6ndDkWp5CZsrTV WWYldvUNLE2lMz+17cL1YlNrlwbMLA1k7KiLZuEdkNv9R/aeRLaFpvjlFVWdzr9vKuwf/4l25gVl NQOsBB+z+m3/RI5Og/moBWE5hQuoMjGQvRGQ0TYB52wOm9+sva1hMsnYzcufHSKFUA3TOvfmlJK7 DLNYANX9dlH5hLLZ987wuhgbNpH5KSjgu9PvUjTYdEd+3ZeGuW1PJIUrUJ4MQOOsoaHCGH+WfZs5 6/ScwtnxaHXnOsGdhHgrtNJmNCBKALsW7LsgBhJ6hxG+5Ccal21DY4JBTwoHVoanD97ZUcAiR96v uw/06hAt2zjjpz/pRIMnZCIaFJCQsYyoCWIdH3Ni67rPFjqjgWYMd8Iy4Duiu111He/H2iFTX1ui CzaMSVRZn6aEQBu2GCfjOwICWsNyih8KDuEKRUkVWHepTgh9ksNz+39epQtusF7LlpM+O8rb3xmA hDHW5FOhLpFS5Xq5R2ZWIFYjG83FwFCd4xGvrP6IdOVIVKuQ6bQCmkU2aKWS/7bywxAcUdFxfvsc JJ+ZMyAnmAjHogCPn2iP6Zim1Q5XqexST771ukN1WqkbW6iiRjN73St7OxoOzrMhRcVunhDU3SOE QtALjZKrsn20VLw0VUYXClj0e1LSj59ljxQZnCubjTctHytZWvz+t/rmUF3thN96nMFv/gLPTTbW cg11CG3jZ9ElhQJKTERTB11OkCMbV/CFeRN/IZbnBCzxL5rWCAN/TFp9r68W2kb73b9+I+Ip5o2B LaULgYIi4jm4QdU6frEzG6M+k7Eyoaj7ubIn+RsIMrUOL8AHCQQm3fFZkHoZ75V7KvIGWdIz/39i wxl5+XseACxTp6zjwAE2uCZ5RRGIMfcKr57tQjVDOY5J43thVQ7bDxC21QQm61tufwYWG2uTNRrk 3dU9JLL+hs5kTJ9LFra2Yla4WGw/uhKcs6a/cRDOC2wdnTxm/VTswzEUMJBjU2Pnezgu8qAZxv9C EzHen3+bFVovUDtVpoEor+R2kWw8fVZa8weRBIwNiR9mw7OrU9jqvqte50X1jaxVrpWRSKMFaSDs l2qPSDLhj/GJ1kUOpFoPaehFYov0zzn6DENAdkMWKEVFBACnygqZ1zaGZgzZxlL4/HLn+265Gopi CMAji3SmXJsPhBknWBHYc3ZIEqsmRo7CQvs+dGRS6TEMTIsxVDeyr7MFXydv3ZRaYxVRPILkK7tt 8PGl0MB87tk2h0uEIr9ig69qzDje8io5rKGMofkfwlNuuIWrlkuEl5reQQ8VYatL57tY8L015+g7 dZ87hg2mX3Q+LjEcB4sD74Q4T8ys9qhP6fHCVd2ituY64+4uWT68az8onjbICBy2dy0p28B6Y7kr Qnw73A136WB8gFEeX/uRK1GpZX9MYKm0qvLom4viJoHtelhWRrCGH3/AXvif9Rq860W3eSrnBnha IS1W4rzVZJaSSjyk0xBITDP5qpUdCZ+pUIzHS8jUymG6H1XMv6O+kIGCF6hVKIeSkLErVzJ366zj rq2z71yLIN8acW/wk4AWoPy5omKEHEm66JnUfAVfIci2cwh5klXE6SIA4Ht53pmEJGdbsp0CkLZk n0osfd10ucBQ1uS3tbsek58UsC6Cv1CKsDbqCVzw6qRUmoSgm8rkh+bZHPcvVkkQXiYkQYVyLuf7 V9V9vHP/6jYRH+2/HY4pghM8rEcY0cUHF44Ocy5eEW55/OJqjtYcn/ij8DVw+EdIAJBXSFwUk/5E 8d5g0ob+zadorKnXZhzykey2KllrKQ3/zr7yZl9TdLd4dLowMQlXnRrsG/EErG6eRzHfCktVOYku JBm/PR534slIk08vW5+OJuIyJ9FkKRsh8RKkckLHNXZdl8loqVi095e7luu0CbLrDvy0lHqmQUPv jjwYDYvmoNMNKAQgLhCLgx8bhaS6jPDqfC24aQ4PT3FIMy2BpAI44qOhGF8O9kGGUvyVCu0UETR6 JzwYFtFrsDFMfxzaKdU5tFDw/RTHPbp6tXNOVMcegxfBz48BicRLnGbRGi3UgfEyLJtshekYwJk5 ZwMAwe91NsJoNKN3hAvM2FgH8sVwzP42cf/wEOGzIhtS1HrNuYPxa/MqbXTIkph2CwjZ0pvQRU1L CXlCpU13RY/DOYnnfb4OYyJ+dOyVV9t8MUp8dYOrfbpYxTtY6qRhJ6hchORPV57Dgwz2HeoWMHA4 9GkLG1eMvLCEIo/ZSgNnt2vjuoLjiaAa/5MNZkK53+/q91+DPDIuTJQoifujZIJMMuCmN4qxddcM VHYiovsOKnyq3xtUX39CiihqnMhYlEYAfutzFej62UBT7AgeVQOt47/J9sT08EgpfIMVTIAV3cBK lTxdCnFiH11QQMUveN+X0lHq/VGY2mwDpPWQOlbR8DxkIZA4cVxcZpaOPddUO/Kmy3euzvp2BCKW tXHmGuK3PkckIPhqeMjRf5aovT5SJwdpJY8h+ZzdEbZ4rNY2pnBUv2AHuy4z4z7JyBEFJEifdJk4 u+nMYsKbHguTouwsSQUJfTRXDnHalj2XZgL4UR2BlzEa+2gGs2J0mdGvGAIDSTC1YaPM0FT6/DBW UCSu1wyN+zpT2bERksjhIg83sSvEXEx6/vAOR6Y/heqYadRdMibO+7vq+3bYy05yqmiI3Fa0VTSA 4WhgqiQdfh3bkrIg+KARdhd2D/6v0+5H31k/aLvjNDN/iykY9HYpWMuRfwgaJnK3VE3cgjKLebs2 P+PBLIEcz4rKSfptUYz9auQHp/IVxI7GJe5MvoXYWyfazqJ7W1idBYMpScPB4cvOXFdUGgA8LGbZ hiPWE2eqAHqzvZpZoP8PiQZHKhtB+PQChVQ2hgHfURFQDn0HomAJTlZbSDHyLbcJXpIpFR8ba0hw +CNCOgxsSksHoZQxLFC4jBhvLmePW/4MbI8uaxW+xPEGRc/j0jScP9mTkb0l/WaOU2ZYYmfm1/Ul 4jCOGWI4yNBxIu0SDJ5SG+C9TSmdCT8Gqo+ugTvJdzYt89mDq+npU5Wg7V4PLBEBUZ+pPBzE+cLo G9RvK2Wtt9zYeKSaheN2YUbfbgrY5vYb7VqJ8QRx9V9NBTo8B4SYC4jMLkPqXEnlL8beJUfPa/oS pDmsBRhJZO2SPaRolXMf4MZ50a3Wux5hBSQT/yhTZURDI/Cr7hdASmMEdHb3uCKj+iLigLw2JdoF gyg22qPLfEAS8MtXfnGlEf9XeeM/7i4gmccBCndTsQdp2BN851P4/nvhTmtFFZK6zV/XiFNNdj5K fXMnkKNutfsbi6EAK1UtOrsRT/AzMMC7t786YS4KeGnxayJ1kttjUJJKXFszLNoIKLgYEesCjWc9 YkDb1/VseBxnnz9LMhBv5urVYFEa8jyLBtGg9+pNeiw6EuPeOnV+xsQ9SRNj1sic2PhydQwdonAO jxq2MOb2L+1Pw/z2Pna81+zKPDo4ZDyXUbiUARCzfS+aRJsWVwq7jWqohNp4V9Kn6vNH+fKNVCIC hajNR3hIneE+Pmk6ZDFCQyNl95WWIDlyLq4LoRmP3JumLNDcRQzm/75t1AjxgBgl+lr+kdW8BWSS MUZrkrXKUqkshGk1APow01WV74Hgxn4nJ6VCc78mqBJcKlaa5NDOsQefuSa1kEP7WnPy+4tTNsFO q6+AfBJ5fPfKkM2Fx3fARs/dAPD02536TXFG+NRo4k9SYvVQgE+j+okiLwj4E3PGebgcJLHZEOpu GfeBOnaVx+7DkB+P8JOJaYlU+OpXuGtFb/bSrbcmvUOtv+bkAvKvG2F6fS7JY0vCQ/7KaZpg/9Ug q8f9EJSvLDFBrmDaerUuLD/gUJajWycj6u8B10pBK/F4B2y6aDA/aJS6dgw69OQtIZP0EmZk7WpE cxh5PzzwK1Mo/GPhj1jfPzFYzXtcskWEsh5AbKCPMTXTw+DH90DmH4Oqh7jphon+wka5gxILbSkQ WwPhEPmUWjbBtZELWhy7VXPb1aUkkQR/NKL8SRlcrhQzDhe3sd2f+uti6Arg9MalZS3uEAqazAQB QcHKGyu3orQ2ujD9/4IW12Ud4C74uiA/qx+w2keDgnuwdfB5sSaE8Bzl9oYYNmSkfnMK76mOarTN lf+tlwZl4KGy3M2D9jLr/h+UKFmofJP3zTrpLlMwPMkk+v4bJ3v2OrfE+HPfNUwG1BVTxKwqwEbl YZOwGUttuvCh22R/ybNJHWQZy/WhkyaniGROT1jUlyw3MFxVlRRg+27PJs88EAj4SS/zlsgGJ+5B fZwLJ85RfP3DkRxqAxgZsMO3Phj11J5T/EoqevqpX7d2/TpcP1djoyOTCeFg+cqmS2qidMSGAyXy eNxn8XiybuAYwQsk8t4t1cqvyxP5nJLUqzDME5fMYnT3lsf5VoueEHpt/T/ignKPjDE/sEX6NX+N f3qi6QnEQi/Cu0NNOujoo09s6aLZ4ZUTqnnTPoDvZCfu4yDNQfLMyZdiBwPLtuzgcYcpFQGBfNbJ 130DxQPHXmIiCQCzA4/XJfXoM6P6lc/qGOk5KIRCL8k3Wg17It9E4ihoe7g10FZBMyW7LSo0FyVx j9Tjl1WZBCQgZoP0KA5ic2SOQqzudUqsR//KFaGG0CMLMJq0tM9W0mpvwtJhB0GctAAA/IsaiKBO zeY3zXarCUraPqU0SOPpkZ9Ig4cJr8pMsjFWILt8/WmNwbX3GheH1Tys6yncQmmQhe7aSlWKEvZh yPv9RZh9SDriEEfUeseNQojRk69H9HgX2TG6Gz3IyCBl3DBw0y+voCAfAMB7nmjsx7YWofxT2mFZ ZoQ25Ictq8wIXihuOw8ahataQyy2nZ6fhBEdYW0gI1AGClxPngCGrEVbWNLaM1KYFl5OSuuoEYvW diM+BL2Y9Ua1yNr9iDE0FlCs0RXWqCDsJSb9iSUNFGjuANDOdvU9AvYUkdsuy11eY396Gs/W+hsS /fB0vZtaUzKV3GSN8xX4O5Qv/IKpu/BIU3wZmg4UFIiD5FO6X+LSDaX6pyjBcb1zdOfqqoz4/74/ zsokTovSuFQZeMF4WPz0bnIpmJtClfsiRoTYYZy4DzudpTLkkxLg+YHv9bE2nO5CShPQgKOZeCWc C0bRgRjeLZ1kIDMcLLjwk6G8KGIKgTMo3gtDYSZXZX6tfGhGAvyTFP0et4pynx5Tn/QFro91nnql og/O6x63pFCcZvMmQvHIAomFUyfWC+UDfbp+uxrTL/1i8pxCsPjQhKf09vnSoWjCSw7rY6GNoGer qFV1P6DjOcThgFNefIweiWFXqD0ovvlzI3DC69W4fUZYQjkvB2N4pewPBEyIw+qrKHWjcCcsMuJ2 CwwHUTNfq5aIbj6XluKvl3pai0z941BxjxxZxyRHvKVjYeFRi36MZnOChxm4/N2d1AvuyBpr/uNr ruwijkTq6Oar4kob0IDlAo0AeEZxUKylCM9db1aWd/3HfT+7yltQb7681pv/a+KfaODENHEDqudB TRq3mtvO2QHU+VXdN/BHF1u/StQNP7prPpbQ+3rfTpWfHemKzltBHFvCBlokPWtuDqUdTwUsxL5n mbZspse1HzGqI1PC01guFikZ7fCoPW0D4sMBqAHJ3VbTbSP/urMldLcW+2JdjfbM4cjCiR9F1q6k cDRRXQAcqCtqueyBdpHxiWQF/sr+bobtYXjOpTu6NFJseEGiqdMmHqFykS/v4LqWt84Ee/vis4hc QE1I6k54AGalXUEYSjwllo7UGkhgSZuXb7Xd4t8REhhssF0vfuP2B4lryqHkOGq0UUiGBC7D9djB A8bvw86hsrSByul2di2Tz1rig7Gqk/wP7DPmsq/RMFBCt/9mi6z+xr7ynX77V2+mykzOLXoTzNwi p/W5rPCadRdCKUt7Fmbk+OQ27P+CchPFgusOeoSfXotjm2n1YrJlK5wOc1KmZe5/gXmsh+/ZdSIU qWHa7tKSHYQkpMVVrBy8cQFMipnxFqy/3XQ8Ps/yEoucQny7Fm5txYTaZoffcrI0nbXs35PoVkOO 8aFgKJedkut/9Vn4zqDov6Y5Ibw8W2XHVSfSOF/Ra2Qr2A0+4eFhhyIur/QPMiYA5PANsJSqBKFB zYUnsbSqrpyTY612FuUu/ReYUI0oj8WgNZjrm7s45gtFPrx3pZlMsQ/G5mjveH4lZ5c9LNgYs0y+ nq0BjRTyb3gAv/CyblEsr3cw2dpU3M3QMGJ0tYVT9msNQFJJFBXwj8SAl6II7Weppe6lR86Jguhw 6JDauRADdSpVxGp9rZesKqQL8CiOfnC/R8UhW88ab0gaqS1Ja2/8lkLmcYy3OR1y5Tw+d4mZ8tLu xcol09DBGUQt8fibHl1xO86pL+3c10ATJiX1B8VdGgEdX0qDRmagAQG2fEM7tFn108bJ/rNbLJvK 1qlwB8vNocR5rpjEjyKEVHdm1Kuy0OXJN0Kx0p54ADtm/SUg+eleoOerYOiqmS8bRfrRWTx7NSBN CJLS4KoNrzT/Ro/KrAS28r6fEmDRmYEd4icppJytiDZXdMsgebn4sdvJhzXEVn3Lcf18jREX8KvT 73uqHjYpOR/j6Ld3jzxbf+nRfKnjiH7JLWi4+xZGna2JPFH1osj+GKRgIRh/WBpcUzw6nuTeyHMs GlNETghzFBa3Ir55JgvzZ2Fezpn9AkVgtQxO+SnQowcG4Ze5m0m/awaQiVLQMYBulYQvZAmCz86c OJrgGIN7Mory125tgkp/srtEdmShZ71KiW39wO7+tAwlsbxTYgq005yN5dZaVumbGeh98Q7tjHnB HKVoWcjVtV637jwxpjoRf/QiYclL5wwYGG0oyrb0l9mxXzVJMQ3qHJ9YCOBzCgdstQKKSxNYt5T3 KnhpRQNmHfzsQaHBqaKzC1tfkJkEDTMMcVoYpWkkSTUgaEDxVS1A6hhHKN5rSjOB7PHvksLnmprs hZtdiLBomKzskb1NsdXafTZnOSiQLhUZLavcS36PUDQAXd5qLiajM5zUSF0JpXEpH3ZcE7OyOk+h Xhm1QRoaxiLh/G909swpHkroUeJMlPAajob37AblVc87sGZs11ZVZT1ksNuD8gyg45aWOcU1mOUN fIYx230vOnkJfPgVSTkK2vnIpJKfnioCK66/CojeP4V2skdff7qoSSTRVOJPtI2SoVw9Gb2VWjTP scZutPD25D9pSVA8VEmZ8KEpwA9Zq+5jym9kNuwi6RZ7Ky8NAMeXljOO88N4Gd96ZRUfDj+HozUb KjP908Zu/9aZFPgVjO1aC34HgP2mRQt8Qmxvhct417ixnzwRjTi99w8suD6WOqcL5CANBm2m88de a8/RtPSj2pSreX/P17YUjCT30piPsIjUySFvTtpKIY3xa6FpNxYVw1fK8CQkjyKZqbgUhjoLy4ci QmEi56fgunSx2SaM+ZljvbS2QlZ1APz3OPdMA4i/POobmHkSJNwxJW7//UcF08LBTeLouVLfHAvE cfMJYVZqjrxkmg3qjKAN5H6las5PWsHhfIej0Ezl/Ps+Sup0V4rZNXW2G0QOstjKzJuzHtmuxsWL Jy8CiPkMzd7fgQz+NoTDM1VKYeyosFeAKNjubRIbupPv5lu7QR21biLGJpbdVU0uT47n+A2yltWn 7ARyPcHK86JdOQDXRh+Wn/284FY/+0WkFkinzorKMvO74qc2E5h9CQzIOpRZ0XVR/watCJAOSvQn CkbtUSuv9obIRcQxdGJ85l773zrhJbPqtW1nV4bxpberq6f+fHL7nIar1kfkTnh029N8evUi5U1u hTlneouE8WzMbpQB7V9Bk8Zxh+B78veCgT0D9JqoEt/GYNOh7kaniYguZ89KV/VqzD67TgRdeedw n4JS3cf80n79fHFmZ4l0AyZRt8TxArx8a9oXWve7E/ZxUdfFBC6o8a8h8az1ruJ37hBVAvTGp2+S nfjrdv2XFUCTJehj5WVmNTFVvwyO/6F6pal/TOz+Ig9XeNhW0zxWQ43q+oDv0aSyaU7PpWFBPN3j 1n5VgqsghR9IAoACZOI88YKojINoRWAsibLIccoXOkUwKvGC8HSdGNIQ3ld680BoHGKQqsrUwno/ LK2upAK+OUEcjypfCthG4iQ22FRGHT1dNW1VsRybhgRfy5mRPe+3bLQ30toVlMuhiOq+r6NmBGFV kX6R3z+4U/BILCJiOdxwc/4N93Ulz7ypqx7LnzX2zkmDhQGAdIhyZGyUV5Gm7/yXZJmMtAaH4F7b R5dvxijKPjDO9Vd1hGMt5JqWT6CcczLCMm1WoJfl9pXMQLppxwsYM21Zh//XzP9KU0bi5pHyWg8P 20f5D/Ky9xcpTW15fMC1+yI1q3RRn/Co5mcqB9+rmg8lV9Yym8iGrddgqB1uQVnsfaOdnJk18E4o bnncz5mKhWI5whkpso9sr0BxOyk8P4rbbk6DLHLr8MK0bJ5H7XmLaVGLMNGjFLeaHKysODUVaWBy 4knNGwljBmM5YpGfR1+SuhMTso94OAepM9Qynbyfst08bdyS5++Zeg97ncPXgO9nWJImgJbBNzca 33vccWaey+CREMISaJZfPCVT+GURdq1CWrabxbYBi96yFl4N7kaIqwyRr2fU0MJB0vS2sVp2xTzw 6MAMnps/NWQBYpZG26r+ZgX/P0QjjhAQGgEpk1o+SJ++trjvk4DbCA1ORnre5zx8uF/UaRS17ctF yOXipkrIO3LqGHA+HExQPl4GhRjCoWi4V43aPiEOvdALKk0QuIODIgwVX9b+jY3seukBfHjdEH0S qD1nfN7EShn2KLBOIZq/RlmLjs83tYWhdh9jE08re/re5Xmpmb270H1a+UDCepCH+hRJqqEOFOIJ w4hSOFjuLyrXrt2tAdDtxb1yli+3mqmZEfd3TlvcaX05lDDkae0AN/YqWAxLMt3DN6Dd0NRivo1Z V631PhanaasuWYz0ssXWISs18WE/cEwujZAV5byzG47P80Dqa9ftkmZbpZvNM6+B/ZAnqHPjbsXC OSsKB6VKOyQ7hSPDM3TQcnWw+IW5wYD/ajshKez2fOSqEDfHubAjUrSRniXIM5KHgQvm6digukci ewKfDiRdv9ip5Rd3ZxknVHhFSX1Atk97UrYSTEU4ZdnaHOBF6GuxKFy2UsbKJoyw/Q15gfhwrMsk MVlhLFqXtwRITSWsWUBA0V7pJcpuA0vWO3YMWML8JECs2VSkwAfvEkp/580M7xvojHIRe2feljcU wyzvJg4htRDDSve6k5P6SX2usKHZ5TYkr95w9Zy07Ls5X312HSr4n9iTreQZ2HxnXWlutvMTxK83 3bZ0AehRVRONCfIIZJbBn6NwfkEkwslKpxWYynN6M/swAhIM1O7/22RJD9A/0TtTPlSZuka6otDZ GskW1G5P+SyitWjmQdPJCpkgs+qQLr6Gulsxnf5Hac9JwTH5/OAf8FRbawlpKZDNbit827TIMdM2 3VC0NljsYMkWaXRuCKNZ6P1inM0BDUXgUNiA4AQSa5cCSh6tlzusaKy/ijXpHxHzUKVCl9WL60/Z QF/dVdlEpUXaxrUxwFTBSDUXjCmfkJ1SxkP/qMx1yqbvnSvr6lLaxK6SeNyNUnL2+GcrlV9+BPCW A00AJwvOe/FVWFa6EbPn8GiNFJEXF89C1hUugJ8krztIhgRne0aCKzHKkvRUgMrcakwm0bAiyhys luTFQZX7Wge+TSK6yhioRWT2vCTehYk12CB482Vid/2ERBiU8VahRXbKTEAHkd3xV1Yd3v1mtiLw cawMWpWlILoX+kGxv/z73Ga5yGoafk7MGnKGdoxNg9XbuL+R0hH2p1hmofYW5Tt9g2O8oFw3/zie k2+eWvaA0xUjDANeF5Q5kpslRVymnB0FuTHuaJj5AIZRmI+BNHZjCV48pOg4hCbdryDoO6QU1Ddk f02jrBCeBxI655Ju7Qs8H7GDIUNW9rhLr5i3FdBae3yfaTTGTXN4igbNOE/CAWbBPI/cIhQZPOsh yCKGH35K4TjQ+2+falpYmYrOwZ5zwos/PwTVBEgLv5YX/KW5tQJARRU7aGE0+hjEV2bP2/V9jfGZ 267DMIfwibYkBL+pqx8wszCDNnElO2xYt3Gv515OZdKEKANoBsS1xINSG2HyKbo3iMFdb3/ZfWWL eR0bCEyHyoMnhulz9pFEDOOwqPzeM7VpnyZhcxezxxuErRqEel1N7/5pfF6awuVh1wK2Np+90GyQ hHlfcei+euETqiYWmi4rPmCgciWMzNQu7n19H8n0oWkq5N6eLfkE0k4jXEx5TJcI5wPfP6RP9Usy wcb2PPiCTGvJ9kMQHegklyRO9PmgnPgYYCHNn+hULYRKOrtq48lgmQGFRUn8YnqlpzzZSGqgXYdW QjnpNFaa8u34wcJbOzmse/tlgjw5Xrh2OnnXV++VkbiltxccAG0Vf+RFJLQlR06Od74Uv9X+kOco 0/aV2/BUqMWbohsS34rxgXiCmtG/rydSDIP3WeVfMu249vfJx4L3/Sefz9XHg0lYhI6YP9A6cDDC B+zE2ezXTy/u2TO66Yx5XpY4Yn5Dt5F8ARj5ZnWFw6cPk1ORC9cwHAKv1cspdACnbwwrEDSPJ6Wj TNpjrwrOzvilK0C6ZuBWYYDbo1AQdruZ/G8QcDT4YPFFKs0MhH0uny4gGuofkDKB65BHYgPd7FWj A1kocIcXTMx5eb+empzCxbtLw7qpEI9ppsxy0QwPYaAvhyyyUXql/G7uuw2bXNhCQQAcLbUhdb1I awaqkvafsTws1/2lSxuGMGzNMPjFfenj3i3pAQxQdMtcPkNjAC1sW8CaYs6tjMDjnS1WTAdcdK6I z00Aufj9iFoc3dgqkkZ9rstnaACsmKiMzGBzMvu4YqXYYvPLh9TWAcnIhwjlLLGFZonjLZsd6rAx A/07V8JIhxvZGGS85mhIWM2N+Urj5hHldF7utNDPibvPCFGbn4wNeTlqhkHwH1fxKrEmQz2XbR3u a/nMLuroN0TtBFTCbMTE58tPa7hT53eB464cqkFD6Qq94cgHSkqXW/FqsekLIe49RzNihPagBL26 5R3gqayO69K/NbYfTy1kOLLwiXeHP4ACoXaWzZTFWcWVTGsE7wa32kAMhEZ5Zd1rhtTFrWYC9jHd pKrMUuYL3ejuVPwVs4LaPP7qAzKYsVbuGic5OOUPkoNjwgK2jBzyakVA1HJdu+WyjYoskDJyZaSH OeGNJ/IQiygYIMVpVau5tegFcm+h7vmWodI4fvlaZL0OCl9j/9EZysXV8GJvvDDqc7jVN6LAY00P j48bhCLocjgPrbQsb4SfcCJit6wXNG+s6fFxiQHp1C9xYadhcn83HfZH7HAZANw6dH812chOAe3C wqYjQDgg0ATpZytXLG4Ft6ks51HH+LmpmyqrNFLPVHFiOjJyD+x7bGQLDeH6ESXwirsN6XcFlCeU LHooAk/jB6WBC2KbRCpjJzGC2ktZXOmLnBKt41PJ2ZW8zk8t9dYNOKAfho4QOKJrWBJBRQurqt3q +ZVHCjWsvTh5fK1ciQb9TIyYUPwXi9AlYlYfsG7P3dL25eHrHZ+YsNhSoBbxpSsRvkAYwyfh+7Uu r2Hc0I2mL04NBmk4f+M4qjkj9mxgeiT2bTMUAltv27A6X62D/yDze0zysNUJG2B4VBWtktIRUtPi VTIoeLkFxbs2HakxLbJn/8VDdhJRQySUCEEDu4xAthhmhLbPS6XpezDbipRkq1TrQ3PqGQKUeh/R ryXsLegYIE7S8pGsHCufstXT5fkvj/KjlYtEyrAJuCKj7ddsX6GB0J2KUgnCcKXnlTcJbmQGvsaV cAuZ3F+uADimR/4dPXz3aBah4h5fzX6KRbyEX/hJRIbodQ6h5SLpTSqROVugFiP+y/1HkTv4IyZX 8BZXKpLP9NhGxdm5AzD7sMd+1y2YNoQckKCbxTSAZUZR9Pdl31cCVmMgi+qzyL6Vics5BiZeMWNH S9L9aN8JRKHHiONnauLKsGloQHnfJPPVKHC4+dpRsvNhaFdPrZoCTUWap4k5IvAY0eS3a0FuKs+y CMVYJrvUDth+x/oUv3gwnqOpoldWzWaiMU5XuJ80uPjGgrzCiUvrLmbXsLqUHDgq7jAK7P8Xh+Wd wyZu76UOG2umPzLEJC6OugB13ISAOc16rGVt/5Wvv67ya+gmMX/wun9IsRA+jKfjqjkVhAo4+huf waVP3IBUXWeiO9MJ9asqNFXYVSvtScUywKszIvK6RUrROwKiS+86FxbM9fWN0qw3pQbcZSuXJ7u1 FtucLovsGLzF7yz8fY4itfw3/K1TarxBHthrnMAO4mPkJd48w9OGyi2+pSTPDYSmPMD7siIv+imc 1Vp1DlyDlaS7VSWx5XL+9Cd1Ud7/XOrNMEwyTlbvfRWolc1JlU5Wdars1nbyOeEVsN25YZx3+1gR igpRitad/MG8Zu4/t7hvUYe9EeAE+S+/d2Iv/kGjqj/jfTcQ2ETY1OldBEBiLVf6uhoPOpmkVGlt GwlxQcMHiDj+bSLrpr5EWFTeGlb//OrUXUNrCoiDJc4M76RVE32qkuJSc7qCe28Pa5inEooz9hnx 0itdizWvWmiBwAKhOe1hwS8SxYqMaR6H1Pay30Um9nYjw8qcnL8PJ51N78er4kacEoKQ7Qfjcqf5 AUmb9drBVFvLB5pnzGMjW0+OmyuzWinjKB5B3iW+P0Wum9zSteJzzOaQbBSM/iMBaMBtNAaEHevX TRxhEfo136BqJ5ATpWxgSz/PbUZbdeqmMj2Wy3TjNz/8/xKQHuh1kH5qHAR4i41V+/5nnZ4k04X2 rPx/gYlIvC9EZwNvl6GNRHJZ+aTk8c8eDgOhpr7a2lgFpGwJlqj55IOclXN/AgDOg7MLBrNASGlw hDJCRk7h4Rs3YtlQCFmv/N8Z3R9m9+yKzSkMYrMjb323c9rRus4Ngpn1tCNeAVtdjlR9CR5uUSjj W+IuGdddZRj4jf0J4l9mqHS1DYAUL67k9xR6kz6XLOIPgrUVdLMahTQhfZSAgG8+qhiu5BbMLtKF c+CQsefpwzHCjXlmOJd/mfOrsRKAlOG2kasw59qit8hCVnWdMTCu86yKEdISBEABKN0+dQ6/bWrR aY5xXD80M4S3u6lOvZuQQCfx/H1/FqBNuFS7Fl45x+EoyCCr87e+TSUBrumRUcQBnbp9GCmsZ/Wr QZ+dVuGUEs+5S0bQy5LCPhcGv2/pb8TaWsA9bRJULyodWZjtBR+Ui601efHcqwLFrMwJLH4hau1R zD6AoLMZWDevRPL5i/sK0Ymi4dRElF01my+3cYMOdrkz/DpvyXqwzKFa83JWjk0zUTZqoRhCOC0N LV4IDgKaKHXBmHSvp3EDLx4pBQ/AYbHY5cmD7lRtKozHRdoYK2giTjhUPuy1trByT1lsjU+XWgas 9/h8ymqQtobs6/RxAGnHQ0gQsK0YBB802BtSlwcZ9n7zmeWZb56dX2HEVjozuxPwbsBgbh8h49tm qzCEPKDTlswUoKwOIUF/OlSGtw+Ev35a4PGvIJreSriSJdCBVJw8AyTGEcTq/k5FV1lzbgHiuDwh XNf+Ok5a6xnrPCXMFH6meEiDjavjPG7IMQljON6XZhdWs5hccGuxWxCN+grtXBd8vftpj+Xu9WyT E5EzTN5+YOH+5VI1AxxDCBM5dAW2IJ4bCCRKCui810thz8vt34hFPLXfCjYBzXt8BjxsYxbGdnm4 MEsqV/THM7rspLmqKFkziC93ddc7dfeGb9FZD+Ur7WHmMNDqGT0+l9YX9oWnYxWJrZ6/Enf8N6X9 VZORhQeYefQNrsQiUSj4bgearWUujlt9N1qgUim/yq3s+Rx5657hTp9HUY+ZfgFn6io6IAWqFIZt 79WQALH3+RKrQMih8fUo9vcnxHhHa4q5cnRJPgNsHiAJrysp+vwExZKzPgySPlNbRswh3qlhrMxZ 34ZK0PkLD33kYbdaGFYb7ImcA91AICoNmw7f0K2Z+7Zda/Iq2f4M3BxydOzaLIwidTGyDYO1KvOG dQBRLCrDOlMg+ISFTcZV0UnNUw7gj1o6rBSYjlyc/MPjx0kqIchw8xmcgsEZvhLCEdOPhKC5VDAa chdHREbxwcziHFO3SW9tjpSUgMSeXRu1faP7u8naUbAQpI5uqkn3WV5iZbK76MSzLIhWPzChdIDv sWYctR3qlVre1AlxYa60A7VF0f5bzP6d2ahjWnNKbTddkGLHcqAYv9fVDZHEllqq40c5xp0Jf5LG WNfOYfVLXXgeVXK3AqxxLR5A3xW540DtBIhF6Mm/D0eCv71Evs1Y5cK6hHOb06cQXxcH4cOt/D5a HfT8HarsCRwvW0hOFRThMFhGcANx4uGnlTK6TIP6hedIUn5T+JjN1IyQwIAMGMU7dtOBBCAVUcdd iz4JwPLaNW2SMNh7K5rqaT/sKlyb7Oxeoi5AJE5R/7/drdzGpXDypCYsVLRpuBvxZZ8S2CsLoJPV hXywtOBcZItdymC6MmRhnDA9vLj7B75c5Daha44cXYGMz9Ks0302ssjJmyqcK9SAJwCRJEADTcHR pNM9q5nyPG4ExffdnaQShPjAOeMBnbtAaQaK6X6xFiLpwHJ+3lZQOanKgFHkI50e+CJUDI73uSwT L6gwhorOuESrlY7nfn4L0KMvXbQ9HEtUIyWH1fwbUM0hxZxReAJSNNJHHkrg3xT/9A2BhdrIeHvu SV4JWMDr1Q4gVlxBWGAkS5cIQRvqlU+ErFtiqw2OTMxlLC7YGBdRkpNH5IDUYoBMATZ17dNfiupd /pyEtD4Is0a+1jhmbcuGYMxiUi4NR7I07shYq9OyW0PuVw/m8ftAF0hzWctUSYPiq1OQI9qLayty XzoVNM/oZDPzgjKP7Q1MRh10Q4zJbs5m1nSlY3/dVLH8BvGIO5HgtLEhwqqzCHEhqnFakQ9FRuEy OLubCbgPINZrvyZIN3n1PpTfWDmT2K17QjF0gqW+POJqG0fhixTiVv7J9GfW1OOoCWyvrx06fCWc gJdwSCC0QFaKGPJ0AE3yZo7lhhn50paTkJdH1ORV7mtV1OQszc6QEZYgAhkoTq04kTei4bqfp4Jv E0EPGsn8YMG6m9guDFH1XPQ3rCGbYvUrWEt1LQVBxR9YV/ch3jzcKpKKvXddFBNxn8GD36IFPWYC /lVfmXWq+qRq5sjp4T5T2swMSfbpeEboadrMm8xR1DgN9vW31rke6PdEwkJnt17C+XX4WAUGamK4 ycINpJnm3lGRNTnEnNkIeNqltEAtnkomzwPea0v+5o3zyWI317OPSFjlxDK0KGx86a7PxL6/E+42 nhQwnic7sU8ZiHPFa9cDlUzRnizB2K/D0Ohcl5Au8myewMmfxRZx3kOXBKv+dBVR9cLqjayJCRmJ B5iaGkq2M2wS7Wta/g+YwbqQyasLo7lEQg5+1rIJjdLav8GpUQzMX3sU1h0OQm5MoZ/Tg5IkLe4s 0lNDbv5RHz0eFcn29nwH0q+/qeAoH/rrgAj+c77TU9BRuJAXQxW99BEGseA+cWrDltwqExrE7wxH fJsl/oMcsI53Y5VJm1Kt2O162ocPrHLDUUiYjSYr3l+ylaNjsCywGQvk+EgjYl0jrj/i+x9TJsnJ L8RY+DQ2ONysbx+aY/ZVvnQnABanZREznTirFFRkWiEk1HyP4zTSxlG0Xsfl8OeALYHmdygiuS46 xx1lOkSJDj7uW/rusIpi9r1404rCosIwSHqp0l46ZI+Kdt3Ue8RSAf1AGZyJI2ZlTas9YHIpai8Q 0m75FKEIr4pka+Si3sZIa/eotfbHP76NWnQSs7OxiQ03i3WFvvDmmRIh97E2TyzjAM0miCpl0imk DsEUsCrIC+/sGOSx8cxHUv1JemivPtjAVWeFZuuj450PC9qM3jpWTi5a5HZD7fAsMU3SD/um5Mg5 fJGSURo/7my9N+e2EmjYLGMJQIbAhVjfBxVMeEUQsAFM1cv+EViJlJnDbqv8dfV2jyfm7B6hsoX6 s6V/MUdRTxDuOfc9FyqQbFqM+KoBPCSIzxwlo932MvbK4Ayp3E14bbFyiTsIcpROGrCX3fx+wlpH mtyWgJ0bK6OyHoEZBkCgnJWc5QXZmg3TTSMxf2vGPSy8gtinU3c/sE3/rd+G6nAAHKIoeiDfDlyH /HbGpbOoZ5GmISAXLSWkwfSnUc6P35zX374MxAn/3yoV2H2MmrOrKPDY1DUqMUTgfyd6v9oTED17 wPDbHs/bByv6CfUQ+DWdK22DO/GxV5O4JV/IkXCEpqvn41tpUKDbcmBiQ2ZlRobIMqp64uJjfi8F dYFRD1Y4zzM241B79+0Jkl5f6Q7n1TUU3zALY611kmOttgC08I6gRsrhR3wIqy3URwWcyrrmy6cn D3TYxiDuZclWs+yYXQIOwhwd0+9jnUJJF3dlJcvy3taCK/2zDC3gifzbTrpQDUp7G59FbsLW0p7Y ka32TGyWguzqEJPlGIhfKeJbMSxLERlkddqqITVhHRQsB98aKSQaNGiz1+xdh86hePq3cxcV1UOa tzaVuL1l3XkghssT0HVpCuSd1L2YhttTViRbQew3qbce7w+dKr2z6v11f0ZDFr/AdrnRkWc3wMoW kivLXdXxZsTKLeqKlQpdel1ZgVOt7RJwAwtnzQ5ghcml73XNbdxyB/e2cJ7MxlxzpCkqRrCyE75D pEgqdmfKwEGrOhqKZ+YwCfTMOSs3N1BENskDncPbPHDlNrXCdLFIbuIwmc7gzC7eo+1IEqTwWVdr rop7GfGAVpLtlvySmNoOKzssxZKqk16NRHfSw3GZbKhQcpJ1wWPyyeWzXjgtD8HwAUP6n6O0ybeN cE/QlUUEtvbm+T41HWc84WHAmAdv25MY5o4m5Vt94sKddPWdeuN4Kj1/yY2XNcar2SQifTtnDO5+ DQvABvIbb+LInl6iTj49hGBVjJTvxlL+R5LBIo6MTXJSNlLbFkFOWXfb8zN0CQLYX8I9kYyWibXC J9ca5so12Ucgy/u4ymcdVk773eTX/vJkMBnsHSJPEWRdenSwvZU2Vmd8Pw0uri3dc0YGBms6uNko sgMFKa95R4AU7Tckz/fsHzEK8PlyB3EUqAwHXSiAaByUksZ5XPp/NTrEjkPYrop6Y8JJ7a+H8/u/ 85KznSIubiWTiZ3rTorJF43zIF9hCWauaa6QyZHOQAMdYvwRNg5BzYavA+V4Aqvhzk3j+wOOAgRK jt7cr7J4kvFm0kslXBtzoWjGLdn8jkMUt5mtD42bV3+YSWBDIO+H4mmM2RUXQp19c6CSkQQL1RJj EHb4TTOeoeG+pBLVymBPXQpPFbXt+hks8Ug116RtM+j9fuXndVTLWpZ9qxRMntu0yO96f9z8vOC+ 2v7XrBA2M2OiTp/61QvBXAb+FSDPOm6QZOS0V/nYAyMUCIhdbkTF7XUnC6dR3T6HMerv82JWenCv QvDtjmOZb0UuxnDVVrxfGT2GvZzjvtj66YwwdV3/tyECJSyir8ISUizXZmJPWTyYPqnlFh963KIP 6J2u7k9KubSUqiAdGti3cZCKH4sh+iTHSBgFTjFpa7SzVz7LNctssgFAwxC6qb7AX7jhz0KL059E C25qUMyW4EGOjA/tQDcbQeI8eF8Zw9CLuQEPOlzvQc2+VF9b3PCr7aazq/Atl4Y7ZndUWG0cgf0G ELBqb9DhlNfV6b7Oum6e7ZX59ko29dkhoi5w5GGE4znHugwys/GCAGzdgCVPi4MwOzI9npKrDa6c c0UWIFaJk9/X0QBZNd9jhwapgIryKYHCv8fsDebCSza8SaPWp49E7NQWZVBinp0LW780axwcGpWf 8X3e960THf5ipxsbUo764QyVX9OTJiORFc9pKjijfsXa6sQHt27/CX6smmyez+mgYT8deAe6pxRM sHPsdeg1v0MzdhD5AAw6fQ4Gg84kaCt0ocEcP3KaLMUde+VzMHG/oz7HA5b6tIIg9BAL+0LkSXLn UKMm7e2V6W0O3cUqUzQrs2EuiouLqPvObLj5MROVMwT0HUgxOSE1+OjH5SrOFF3r8k9H1GfxnxfV NakHflUcjxVENHSt3DP5fMIsVIrxFxqilSg4A7UglHhGPbSDrVdG3RmMPYsUSeh3ctBfGc2YAonl yOURC0l0uR18IdehijjMcTGjAxwcW/oWExeeOZfWmau+Qi/mo7qo3qK2SCpA6XH+rmdNOjVWV7Z2 knnJUgXO8a4bYGKrP2zEQ39EZJ3ygLMWVCe5zOT9IICQQtIk3k5bmPMmyRwZfo+v33xehPhb+F9+ XorJAWAiMgBeayWueQKxeLxALQ+xdlm63nlxOdS9iRCYPnag/lcvmMeFp98RNcLmQEWweGkZ9gbn f0eM06/entI1rlw8QZHJAkLvibrs0M6IWIQzLwiqGxFQgl7MgJruUMvKiY12hpXcMNdXEl5JVSg2 TTlOW+7Wf9ynH445Q9ACi/0hYfK+DjYQqawzrQRPKO6+TPcf7Vp+qolMsY8jVOFrQ7+kINaHivvR VK9FlWq7m6Dbtt1+eZFmuqYDbyKmik4yHe3ewS1GhPD+58P9EssEhrr0g0qIKCF0m8/rDzDKfIh5 VS1ntHXmCjKDJliBQFEJoPbqBqBw8a84KybFGtC4BcWiJ3vW9J02ITqX3looUsR3LzKMUHBzKH10 u7xNq8I/LPbXPnlxYz2y8J2SGE1ncsjsZHvwnOs/Jgv0H63qlrhcb6SmoZE+4dsmqZc2SCFVVVXX EadAdiZXwZLLV3zaBKnAP+3ZvrVdc+RoqlvvYjls+aZgSFMfoa277ZIXZMltlnBA0BofEdlCDdxK N0ALXnD4ZGV2K1NFKp9VrIOCR5hC0MkkwHLTulWEe895e1SM2kATX5//ziLsRMEWwU+hcNDFaB7D TSQMNv6kWfiJygdlbhpzyf0r0p9pm5k+Qe+tcy0B0XRqERYHAd183n8TREbhryMJq82/gacW+ctR 4qwq3o3WBUunWkvZAtuT63QGIPBZ17CXLvCs4uEJv9yDZkWtrSN5UzBvM2T4aYzLnUT5qXXlkY15 SQ0YFQTC0K05i3B/usVExcjoR1i02BFa+v8nRPKNxmMjAW7zp4RKAXWptqQ2bQq1Xu3Pj8VSI5ZA 2G5UvWqGoWDntIOERf/aUrkcaEw5ehGVTw9iYt4c4stLHicyLNns/gAPQCaOqsp2rCE0HYKsASZg E828593JXkvwsDAJONodsbNFXNks1OYRda8kMHNxxCuEQXQkxBTTzRQ7qJvaR2shG9Sf7k75LOE6 MXRZq+hG44Dv6nAYrsnpkN+wH40saXuevOUesrt6MydaUUxSWinsRYM9SAjjW48CSZKuIeDOfx+C P0X4XsbJWxYc9YZ57crDF9rU5x6NZWJF0EZ+SmIJ6Jwqmiwl3wiNJNK1+eOFRCJGY97IlyvitnV+ LE9XoDYNZkRPtz2RNKoDHbaj2VbnR8mzv4QRl1Ws5djkWZvkUNWC4O6toKuUo1R2k0J/p95oWCTK lTEVh8CJAih6l4vUqd85xGfL+nFSJ7ZYxodrwQyDQ7ZVen3hUSVZ5Dp5/q3USmw9JArXTnU3TTDs 7pKn/Fs23vds8FXIErfoiAeudRAJQ3f6YHEr6qi9SGXCIt+XcO3A8KPxcB1u3i/80WcgfhwDhuEm Ov+bK3QLp6IetgwCuuq23kqOZ+egBUmguP3DHtqWNZEkhh+Ke24pkBcqIF86nvmiLhyrkk/gaKRc aJlIo2EbutlTc18xDCC4IurQWTFH6shliTeTWSJCnsWT7n0nDYyVV7wsHCF8cwlV3w36r9mrZ5DT NhBrNMPbNzJtN2W1iVDgCfpC+30VTygNcHuvF6okFosJ0qwQv+iAiQUnsu5cc7V8phJg2EO8f0cX mfdoNIP+XQ3ejF5kT1tde3OLFwlDoC6oP/2BpNaF1B4a26i9GCNQez8j9nGam5sxGRlLzV1xcCkG 6xWEUwXZYWmBLZRzK415w/138rcdwv598Jyd2NwOmXbW5iBLGfkSrCLps9VfzHfJaYl4j0Dujs3t of7MfYh7fODDBi+LszesTqnoXCM9OYrLptLGV4jWiHsLiZ13iTVaACfi4vAswjdPS+2sqYi4GM9w CpOrdja4KgtbNd5uY/jhsNv9eUeMJPXOfUowUMCbPs19Ikm5Vy1M74Jsv2mW97731iFghldUD1zr KdhdHxgMH3Dd8LSWFrHpiOsCflTWmXlPbLUTYKYJ5C2i1IdE8SRO8WvAYhQpiyZ4vJtpZTqHsnXT KOX9HRQNr2+HwBgm5e/VkfGI4InIEq45CkFoW8JK+fQYLs8IkExtCcKT0TikzshocCLWiDpHh0yM nu43LFkezLOwiVzOYbnoeyl8tKeuc4krEVB07xGn/HOeEtkfPl1xuZOCjq1mkEUMwSVf4+n/av6E WE/uXd/XL+gbO9spnz+8Cr7Pk1w2BBU8mnD2aOD/PWybZyA0NEEyXT3J8s4P3Wg3smdHaerRwDCd ryelJmRRX9IS8zKDe6Z6qffoK4r8ROO+n9K26VCMZYzBKfnKLLA3w0sM88zPp7u2GPgBHqr3mlw8 UzMhajFZ1S5/WYmCgIM3gr9jV2z9G87C3QvZbl4BZEU6Tq4cZtqaapewd97ndLSI2SeNUx95/gbc dvInsrbs3l0tQ7mm6jyCPjojy+tOiV6hi/pbWKNt6wrYXg7x/iZZwFFEEWqhaS791f9gkoPrJ5Vx UoI0c9UOJ1az4MuHPIuxAUX7BRlYqhRkmFDR08h64Q5xBi00956HBc/nLQVRRLArcbnlRVuk4Uek V5eechce+aLmfVeHd9TQUakePPrqLe3BXTxskqmdKbygXVuqLlvxvKxSokUYSJUkGt0KVY2etLb5 FDIyql4xZGBYAIRZW5J4/E0HGcMq5M07c4Q8BYudhp/N6bJXszzL3mzM38Hf3TgJqFJRDwY8jVbV mHLNNv+kY4TqaIaInJckeqjWSUeV8IIIxziKEvvlCImmFxkbLlRsrYPl5q0jf99ODXgP5VBJUPjQ j+ytAwPcmgpedt6zTOMD1FkY5SQdUaDzPPPxoq5Ox+m0vqHl4snUDANEDHiXI+sNoHySTatQapmC NKC/H2e1UHFJeKb1KiZG7xbXCl6MHEqnmrqZfzo2I8BFupijGKM9kKRm2b73MflM1crqjezwNpXx 1O2THgg4ExjrUdDD2uFb3bIurTEdfCvZtMcMT+ibTrmw+EjJFuz79disyemWqAy0iDeRJmFaRGMI SswfpvHwGQ22cCbineyWsr6PIfXS6ZTVy+oYRzvRAyuXuD/TNPzpQYZ3IRbv8N6bE20PEzH3KNO8 ejQ7v9JCHSiu+IUxAVWEqDWImOOCIcSZvA2szcXdSRVP+/0ju/UE4ZRuxhO3r4sSyshEbod0EBGc RcI40bFmcCpR/3FghVOwKtOQU2Wc4T7S5Q8JMm4GScj37iyhRkVUsqYtQSm4B/0doJp4+zbnWjuV cdXCJnAfCrGdvYFsmOxrYQttszq9QdfCGTZLIcuEu9z+kBXrPxxh25x1/g3BJ90zQOTljkenre2v rVy17rik3353czpl95c/M0VB7HNn95JTl3TWOIYJMkr4LUSqtLXYogH0OGPD9sfs4HDB5rDHrp2V Khs64BgT/Rlfb6U/N4bS6/fanX9u5ecou5OuN6CwLcKmTXCtWBwsBOnZ0tKA9390AR1dR1KDN6lm ylBX4sUTAlTQPsK8SbbWRZO1y+jHsCF8j2skX2YiJp9f3+ERkYRjs7OnfPZuFa4QGJcqhLClMiEv H29sTE9yKEbdWqG9I+w3TbVQeYh1Y2T9HxEpYJ1tyh6yBCytMlpLnX5yaKEiMd53gSA0FZn9MwrF pVuB3+fABvDhgvFRmsuyDeFG/YbeRtI69S2gf3Z7h5th/YU+oGt3eJslTtIP1VoDYW4FJ2CfxmAs +eU2cwUyiujuyt6K2uF9ARmiHvZwFFn9Ueb5Q6uakdvQizEKqek2XXVpRNmo5nSptcE2XUkpL7K2 QmwfSLy912+XIHrH9pWeljNYOCP1U7lndTGx59lJETNohm+gGnQDtC0ayGc5r+wzkzFza45Cgd0D NuuHaXN0iQ/sMfBQP2C26ER/oxhCfWle9RA7C/i3FCid8bXatJwgAPPtVtk23lcYcojLosmmbjNl EfuFMJdXEcNFTWWTVTVvnzJEjicArnNi7rtjS2czeEx7VaaWvDfEn7ErNMHkWcgC9ige3G4fSAeo p/IfC3bo4/wCvtTplgoqj7b/XgiKWaSivkFcjUM+vERulNldP71PjjrD7esmUUy3GCST+U9j7i+t kDR8WDbCrhQbUzJCkRW76YmcibdOp47XYZir2b76frgXf/5B2ZtibxheA+79Cvxwq3+6PtfUsUIm mNVM351yPlaWRkkVvyZu9FZIx8M14E6cmNJgQftl2KQCvl92iwPOk+Py3gnK2xZwqQ/K28SLr6Rs gj7cWc5I/Oun290WcJT/VEjvSk5yE5MvXYdqOqQ/NHObCn+9uFf5ABzCa453P1GOfUYFgZ2E8OJX FrUMf/lsfzd58CSbw6r4IZNuG+5vqpCp0nosHeSEEolrfFEn0Er4dZGdFzz8Qo2sxfSvGtUVdPBe SkDO8Zjppi9gMfhu1wSOqRSuybVDcV2uUhcGlDhSzJgnXdMOwmcab7ywezg8XOBRzOf0aZyP5sC5 u3BAHwF6l/508d4dvEwyPmoJZzi8c8sYYmpzL2q2jfhI6TpHoWyNELZFP7yWyhPRORRX6PBami4S gxZvb6sqKjHMHzxst8tnURsRmnGviYktZ0rF2ggy5BorWJsK0EN6aAjp9dwh8+0/pWRenCuh5Gzi igvdbNE9om6DJ92klh24RmEdWbvJEz7UuELbHeFVKFbBVWdyc9I1dNWSHLE6mxxY5XmRsmNovz3+ qroT4Srj9pNccTqeqF54pKxRtXxIGpu/Ax4ZfDxOdvteqvPdlfj3qUgYtXjO5FCnInL6qKYHl2ML Nq8hi0vhopT64oa4jXPo18vrdEb1miNzfkubbAKgV7WgZRmtpF00+b4gUy6QGwscBisIlfVg9feN b9T0fh5TaAmcNNlGSklbWSLOuo7I8x+Z4O1RFwTrBX6loycztT/x3k/widEeqlUBcIwytAKYuwhN taEEbq8YgtEkPxKLsoHgIBPFdT866QNftQs/Sam/+38PxqX5P90O1HaheSgedrU4SeRHfS81etjl gRCmXjec0x+IV47/e+Bo/bJFI3AMNd1ckxVHlzZNxGxptBIhWcQLT5zTbZWW6i3t3Of77j8GjD2x 4DeWeemQNs7LrXif0uGXXVGj3nhKcigfz7XxMWhEVjp2q30G6Btj/8WR/qmHFFlrIZB6EUyiREyc DEeZCqYxmiD3TNnFXtD92Ugy83r3n6iihjnmUHIY69w2VDzJ0QKg08H01FXPT9b2u0Q2g0Yu9VgB e10iqujE3mu7kwMvPs/ex50NJNpzNpiMsaf6s0qrmBzO6bT/3mg8wfePNbIFEdL1FywM8MUgnUCa ddVJsddAqzsXfhZwV9HGTZ/iu7AF6HDyWu78dXmfnVF+Mg9g7S1gSnl4GVSOrsx1+4KqF+cK9VuQ upGw6D4OWSvyWPhIPNL60yPWRNw9VlHBje447tzZbLzOBkHy9wxvczbvA5adDvtMTcXDyz/vYAvV f8Yd4q6WSNCba7/kwc/jkEyJ82cTWE5neo+/kZi2+FGJTEdxzZZRjBZvhOSxpDRqQhFAGrbDsJ1f TL8l+zdXgFTPis/wT1guhy8eDu6AzDPL8RqEi1G1XIKEnmMJBt/nV4ssYFp7p4xFeqjOoCDnec6W vkJE/a7qqsTVAEzjJEkAQ7UOwM74psS3zsZKp0ND8jo4mKR4wh70f8FKOoCyck66tB8ADlnIZZRJ w7+sR5vR+HHKKhR/SQZkFqMH0oOC8ZXo0lxt6Fm4vSeCRM3+P+UVDNpzd9r57Gm2eHx8otQNzpqh 8tVnzPFNgENjm2KNc1B+WwcbiwO8vxz3kELQvLrKUnI88htnyBSUHUWJlx/POVRC9wcZjboP27To 1WFh09ZwkqmxOhFUARUGQvP+y2RWnEwPxjVqO5Re5x4k/coXZtFZgmM2N2YIjUt0BOSmuFu9It7h rhcD/a6Aik52YMMGWxqIkSeucFXMZfpkdkcBwsXZH5S+tuRsBNUmdnnpZw+mTfU6YJ0YC0oUutWF wlqCGsmpE17pZoEIb7bXDblyj3nDQNpEBpC22deoDS7PH7obz+HahU9t2cvx3wCOHGL9eEMXXkA/ iJezXCUOEuc/Wt522KCdMuxABsDaeRZe1+iulep1RAl0VIl2J51ULDcNFop8iK76wRLQ/QkEwn3d h7CY0MkLOj6KiuXtQMGdfG+HMXT5RMd/4VPobgrd5dyUeUUsoaihvGQK/WatdqPGJOpPNBzEt7Gu Dd3+VIMxyb5XjQLSAy77BWrl0yZ5/Vh/kPrLdGWyIt1fUgzRUBZpWlvsfWfHqQat92zGvUXQVuEY 8LNUUeCbkoF73qPUymAM2tbhICsPDByOKbPTe6Uacw20u4UBMXQHUKri9al3Of8LzzYIk1kNHeTt r79b1J5dgVEKOle6uka2AAAUQfoW5g1ywurS3MwaeK2SxYSDSPnKVqpoaGNFUP5GnvHophtdSqUK NNmeoP6ui5rmCsY6a7RJOtYcXCgmRxkRooeg6wd79Muvd2+YAQD1xuMYYLYQuMx10m6ioRGr6gRt fgmhcUp0ZpRfnXyntg9O6e20ZJ4lf2336k2QinmXA5QyJcauU7VB0epGOmXg7VyzebxWJ9ctaZfH +rMjoqX5eOJbLtqAZ8vv/UvinS1w9YLrsBwc1XZCPwxGzBGmwjREffVUTtE45pMeicHGupAW4k32 3Di7htcNoGGu8JRlVMF8LQ5g2z8yUPasZC8y2qEANDkJDK8AcSSSUAUsl+vE9n/YjOVEYxFVflLN Nh6Do4mlvD+21/Vbj1SkUdfSvQ1QrhzIcvkGEwXkCd2VcIJTEy4lruC70Z5/wDuOFL0X3r6shvda +XEsqtsxGarm1oOKQJAw240Ls/LqzxsT4D9IgvWSgk3CpHeAZ7bwMgzvpiI9YJNIxDeqc8l0/ADE MI/NJpjAMCzJUHBC9kIB9oXTgpYgUDCUJuwqtWwtL8Ozcc2GoVsjFECwFFohquqLqUNXG8GhiFZJ lgtLIx7H9xE6+0JOxjhezJ90fqT4LWpgGsxauayrE+qKRoAVXji+LxAfm8yxoJLzT0d8r4nkl1Vy LDM6DDxVVThUswGRwpaLtpwMuekYNNmsRXfhlMSaEicQK/771qKo5/FbXuRRE06busiII7G3Zin/ awJ2OrBJj/ib+f4RJcfKSmFOZSEXfBp1E2vILksTndKkcldCEpldjYBlaTMqBonziCQTon7VjddS Pp27dxiPDLkbU4Nze7JnZRJtwn54BeTAXkPlA8CHIKHDWXvvEr/NkZNpUrqFPGFsGt5fWvPmDtHQ 2H95A/XOWunIc/xfK8WxpKXtjwJFUhJI5WFUvCPH3Rrvcf1Zp3Fh2tgr+o1tST7E18YVNXsGHPoV FyU/B/mTwKghNwXED13kVYom0dVs1MRxfLurTEp0YS/ZF9FPhLB666VKSM83POW6ax7NnqqXSH19 LjPUgEwwHjvA7Kzik/Q4BNB6tZoxJLdZnq4Guy5JVtvq+AJsS7G78FMFaardgqntcx7KH2A2vEc9 s612lwxwuj74G5fD+2gsE6yQbhqJFBV9JHbKFFKQR1OtEti4kZuJ5UajqDK/1ORaJlNriHq0XTfn sbHr1F6AUWa+od3SzTxv1kV+6tLfJXFQQq/kzjfubYGM4y+oed0g8ehWBOhI92KRIOJlN74VHKpV TaPI9dWYBnoRI/oJlrw+2nE6hN7gn0ngQACeOLetpqvk39xHK1ZmHmpom3W1ZNCx9FBXcPdfuwah QASWCk7io4DpJBD57vr/HLeX5Indfoos4zhQgbinySi7JRf+SuymgGPhUNeK9ZrE0TIXxTf6bJt0 f2Oce2RMYnRKXLB5wgCg0zypdwvml+vRggPlYHWWOFZwa6X92c5Ql4SdM3tLbwRdq0NHG1+6a4Tn kEHi+HYH23rS7hCBVeeWS+M6bFoIB4rUE7A2my4vcFkVnIFJKI6Jnatj6kvy6DD3yNAIp2ID7e96 z/b/NeIsO5/6BSRoBKDOPfEEY70pYDTdMHg5u51VVNF7+CFKA1jEg0JEmfr3wGGojtYB9gWyEA2V uGPlfRymUCiDNjhw0u9cmGG0URFwohK0xxf3GVIC03kKI7TEcJOf6k43fEYrAwab5xpkotUo469+ WuriNWaMzfKzpucrw1qK+2dYqui9F8TibI8Mt0eTunTuBPfVcdTFTyuTk+GxV/O0rEYI2VSBe7jY nvbRpp2IX3kBsGz0kg0CeLz94VVoEJiQxgMREPLsrctAB8Fupj/QjopbrLY0m7wgwwLITwYcE4p/ YdfCgIfO5BYkZ6z2vVQ4i1menudh208EOIw+emb6vSjJoe3vcFrVLYN7f5/+lb8sHrqlKuIE57aV W6JW0ePuzBjVBiHQpAeDUsfOZTJ12s2+Ott5U+ifn3FzXGTtr5dW8Ro+dv65fcQFAq9b4La2LuTh gAC3OLAMCmk1I3By4KWjFuqEdFwBCwE2vFxmTbvX7dh4hY+ITsZWuhRGlLhkS0/ThKG0n3be106U tO3pN8Mpy2mMk2sz/ryjPToAxkI46mGbyMbNl7N65M9R71VOIwYE+RzxRr3Y0zKkPtyiqZdk08Vp e0hzRY5sdE/Cp8lzNyNN7Ot2emDi1mJSr0wyNF73lirDqjGUwD36/mKXOYEZ1wFdyPWw7Apz59qv 61uhgsYlakQpqwgeWORsDXTgqGONT+4p4XalMkzBaIU5P1NY00Ih9RqcIqgso5RPtTIqO0N0IyY4 UOncA51fpvQg5H8K2RQVYG/2JsC/oHiEPSxOQzAalgOSxtBbVOqiBMn2IeokGp8RPNHiDba0S5Tw isRdGUra0uvG8hIPoYCL81RLaOOKbuPBhfiD9dT16iynYa4yf9K4xTAmj9+SEbIiJkpC6O1sh8mG 5gDvytgHSWxNmiZOBZUhXo/Rl/E0GgYHQYGrqcHf/yB3dpUpYnzBbSkicCx4EJRXHX/PBjYzVBm9 P9yiFVSb1/XSx5fUC2Pso6Pw4vE+i6+GtvBXEkN/LDVGrQpAj0eJlAxhePRm2nm9VTVWMuKLLtSo x6X9k0bckDktb40G2kbCYRBhxo99GLAuPspLrgpkgt/iMPwyNVIBqT0a1xEyjtCkwhH2W4GMnzNU /Jp6UvR7xlNgvJEFE6CIaaBTyk62jD3iCQSZV231iWuA9ukHZmmMsneoKO6NapVfhWlMhaqp0Fbb 7nbZ+Kfat1F5w9MYonIcSwOo6fOZby77ajYlsyuFNlGWapDGbr1FSI8NWQbVJVNIBdh1oxGjMQSb bPbjYy1hzJVU0IC87yEkOk2WjYgmidoVuCVDP0hXLt02T4H/3+YV1hUhy268c4NuOnSaYDjWRtvw b0E10sgdnF7+GrMywxq4aSYJ+tGc4aw114Guj7B2mlJhxSPK8j6JDcJxX95z9XzlrTLl7CjhhnK1 sAxBwaAFKE1EIgJqUM5btuU6njsKRY3K5ZdFlTGO64fWGirWiJf4qlw+Jva8tNOKmnEOwrbM18hK fA5P6bO2U0GVahbEKhEHZ7DNitZf4Bh6HFOfU80sp6pE95GKTVWljGJLvBggdem6N3AWi1xcLueo Agt4kJd8aqjv5ElZq8K1LWX1cvO5l8khT2ZU9qN7tI+NaznUA9PW9yYYQXPC9CwI7IOnA29DO2gK 4Y5hJc0czC+Xu1A5xJ8QWkDXH6lArdiV4mZfzGBvQMCd5hS2vMmQrHtgdbFs08B5ZCQ1QkVGzl0K pCdAUdj4XaFwRlYMn1GtY8mYJF6NAkR7Y6obkpH3PtJUoACVe/8I8EHLaMGgcm78bDLMBTsHMg4w D9HZAbuKAgD2nVq0L4DkGLkwvCxAO1Z0H6gHK273uakPz+E3suZ36a4axAJEYCf7lMXSwH2aITIa 9BPj9eQN5RXtuYxbBIBnQEW+m5DYnksWj3j4g1vM6YzMUks3g9fS/gXGUGZtCoiHEGtVAXSoqfgv he8K2id80Vr1JRb7t5HzA/avEmiKL+vMRsZ3TIpujlAf4tHROu1jqIyws706gY2kFJ+99AOisD+D 5w2/WEEv1DD0ebgOlUQxBbjQ/Qxvg6jaWjAujdS6PT9EaxWLhh58AqrRC+JxPy8iK4eOAHKYEs8D xxE9RMPdsG+kBT55y8NbtlMkxLRugCbSZbw2kbf4HeZbo88m9rz9RLHgfdMNM1IscJtT5Ui072xu RSsnBwi1iPJZVdlFOtoVte/1QN4S7SZtZtB7ToBSi1dskce9vHQYO54w575BZ7WghKCLYY1DZlzs T/+H+3izqD3rmiDnLAgjhmDI2KGYaoPVoSxsdPORaWvne0AVldbfAGV8eY3WDjhqUp1aLx3f/Ahb QN5JR8y01JaUwJ8ZzpU5of+YeoygBp4IOVu7JhtRKUbpqyCxZmDDKXaAMqIEsnMidaqwuCJQixj/ BaQjFak2DKUvjKzCkpoRacl6JSHJAqe6umRiN6UKZrE8xoZlA1g9WDORpUYSPMxia4qIje/yL5Tk oY1OxOkMPRZDLNR1aJTTeateNgtgYygYmKTIO1Q9RZdN9BkVj6gkWQs8NuzvPE65S9ANpC3ThHbc OVvBJkSdeA204gGIjdgh7iRVtOhO/m3lVBhoNXECQtMub1PXdywb2jbZijwZhG5tHNDhD+8efYqV YCvzmS58epAzuGntHWX9j1v/+yZgVDetqS8A87Vwqrdw3pkj1rFE1vNoNFpP8TmzDe7Ci6XgtX2F kMdU/k8yZ8kKrlQRvJeNsedWIR4Y1mFwjxw7a27HmQUiDHU8luksRvFnYi69rnP8Txq7UlpCxAic K6QiYZYFJRjydnjtNUTlJ4wM1yzGQPaRqqyZVfy279PIaaEeeuialiAfxxFWD1pUnsmnaVu6UZCl 2bs5Xrq3VJHjtH+6KsyU2nIpxAZ1HHcKsTKjZC/34OmzT1JLvZQpyE0yZ23eVZ7D+XMySacmOP/F 7lkBQGKZeAUNLG1OEzbPK80IVJ2Zjk5eoYdKPhn1Ed188q7YwRD9iBIYQWswDOmokA84ghsIZjNY KxZ7rhRm7/qz7kW9YHiPCdzAZYy0kwWBrWdXIGf31P/g9E68uUM5ENARPrvfR4OnGwW4cbGSNpIT B42Gh9jNtbyj379SG4C4bpmMBVRkUvW9dLwyVhHdo1fNWJHBHc2O6YmccbaJtBNey8P4uRIqIaRo bk3Te7WJbl+bf3gqBMZQompCDNyJF3EL3orQD6SgbYx4c2KxGb6cSt/N2uIcrUQ7H8jMYdtVihmd 582emxQ0QRG0oU2Qcy64WzbUi1hDZiV+gAYVReqm05Zgwn+h1kAAdSk44bcOgmjyn+eKNgcVBcjS 1ECpzR87Tss7h/4Qbj5xNTCT4CQcLdwLAJAYhoVuI/F3BmbCi97sYZhLP2NDobaqZXEFq8FYZGaQ 5msrfS0WBSgFedRlt0u9NUD7iqqu2kLLta1aGdekn3hp2+6QBAT3il+jNMSCmTP1njtPpehnzlD8 2PduukKKCV/hyOwaqxsWoxDbaYAYLjVu2cA4ePveZZYU+vp6wNE1UZBNGmq6SB4qX5sFv7IfkDyf UL02OqR3Ch/i4WBHGeBvLHEXeJ3eNpDrawqDQsOOlIT/x2kX/EotbNfzqNW8Ip58drBDDl5moxkz pootaF7QfQV8t0ZPunTDrPBD7oVeWWC6lGosCSGrvRSsjbeDJ0T8Qt4fF1AH5b/EO8hTp+LBfxO3 pCKq1K3q3bOikZhTQd+NQbvFXTz/eQrh5KtZ3dnjOZMc8+DcD9RSFQMWMgUDyNqYGGxk1hLrtvhF gDKHHlD2B/QMQSY1eQpTo+OEptUUcfLWseSXHUBzDt4eyzVK5JeAwmRu8KACdWvQ9PTxQnLiNm/a MF4uTp4OGXRLgUovHu+5qNE0+XmQUaDvFLRzse1UBdzhDfLFm3qWyT4uMPhwdXkY/9NSqAX57C1H HkiMp06kawHNToghgXlDuwaGqyPZqUFJW6UoglmfcaLAIims3Td55EpLGCKpOxgt7No0EPldL2H8 4MjocksQbVCn2g+JPR18g3gOFyp2AMaOgzrlE9xMIK59BCXxzKMMIYa2c4lO/l+w3DAV63N09i2M bEaCUaFRAw7+KnWFRGhclBot/7Vuaf39wyNRwdjhAedda/2FOsQwL6O8G2Eew2Jfs9GKAkzlk3mw hHZbOWFAptJOksOyG0Y3WSOezr9TRyMxj+VNOulRkYRUGI0Iajw5F48cvqso6ISg0JC9meabCrlE E++xF32dIeZw5zVlQ9nSzMfrBg10ywUm8XlDhLO2FTyKQoqSxzoMZKw8npkD144TTP0QFXeXxodp os3DHcQv+sRhOgbDI4I4QP4Uo+BII43z4u30SxB7A0cAhREQocWaRUoj9bD+W0IeozGN7y19NMBm 9DuS935+oJjjBBGYoIlQa/F4Ct0hLZFaOH0QWKAllElIsjRECi1YcGaOVszUntN2hBZS/buViL1Q V6tYfrnjgMrBmNQCpftGnIMkEeDGfqLATXN4Fs0wd2B+Fp25YvkiMRZJ3TEAR4PwoVnfWvLZ/A7l SorPEXFApzNtWNoqSXD+vNtpXAbtBucJchoEW9vrm2i+Y+iq5TUgQYzLByPHx3MjaTpSAeQgJaG/ G/DN0pjdOUyN1hvZC4Fd6AMjKNjqAjmS9d81WOfkDKfYTLhhdlKIBMWbNAEx+iJc6cxm8CDsWOpo mPA8aqQFbern+1wZ+H24YXEbDXWZ1hX05ZsKqhgaRwHDRHqtxIKOP8hOyCQ9T0V4UMUnbAH0zNXl KqGGf1N7eNSkjYuhvHUUDvM3yc1WvpFaGQt9VQ9etRegc22OvJXrj4JwqPF5BmKRlM0lYuAkQD4X dL2Guuh6Oz0PFbOyVOF3BDoVmiyaq0W4V8l6sOXsMZRQ0M6vsFiSWx40z6fwW4VLH6MoyX03Iujx gExeacR4P8km96VjfQJen03oIzd/zqJTjA772+1OsJkfFpADx7eqT0kPnDwcuvRT/Jlq5CmUnpUO 5jmQ/PS7r7cNhBXsol/wdH0GprFaTqj4gmcPDtwFnKbdFc6MwWPUeQQp5w+26GX9K4s2vpaZeqou qij4Q7/ZlWfY2i3/hmXQ/Eg5GoTSNV2YfRhff6h+BfLGVYXoKaFkbd7YLwNDV59xiXsWOqKs7XCp qQgw5yD4gBYc1K8xO0NlhNmA/toi/nmreCDo0eUGTYkNVUGBX1LnlJLqzi41SAZy3QgLMMhb5oKT +4OQihOAZd+IdAcXmu90ueMBTp1v6KAVTRXFheuyEUUsa9sUmXln/gnzQFu+qPDX6dQSUA78kyPw /z1MoF1JlZezfTC94trtFBHBXRhWmf+PLqOrbTTtPilbhaMhnPzeWs7ortjGzIGgEZ6IVRIE6krv cVfhcQzYWNeqL0zOA4+cknmf6ToWmKl9v7tnzBvKwMkvErjRSeua8ud5myp3BXrHpbfvdT38IApw 0Qi2DnlRNXndu2B4WCXsUi26+cWWhAt+wpfnkRO92mejgR29xmOCheX3Nsy9yt9nxxi59I/DEblp jCAfCnJZ3fciHJqFJr/p0yMGWlIoOm/7DVQSlot+t4RSQpyPBhU+HOUEf0IBlfcU9dhDpBkXbvLk Wu4VYYkSua/Bux2wd9YP4lrvOAE1yAMVR0+yvZLDoOuiE474X063emtV2eED22GCQgCukPnxZf4d y8batkXOWaBp4VfUyF8NLTlcwOQKFs5QcCsKZLSaYeKrifyLHDUeNEmu1ZwGy3TIUnsV8ZYTgLY9 KVUFUAudKPpRFlIFNZL9P0VjjQ/x/Ks8qVgRHjt+gQiahKEsE/RjSOTWQfvXQb+8u9G9njNrkBRl DRy4SSzfoZuBgklO7gV4OsitBUOSE8LtuTZTpiSGjN9VTyxCY35FaMAV7MXwlILLoODytvvi+Vm4 87dTsW0E0YpXCLrMyBhzmQPk/PmEroa2qJBajgQ0H8H+IlJAXPBWN/b7sxfxRWeR4D48hGfd05xR yTUgSHHb2yslq0S4p9u0GmVQh09IwWZmeCmmqF2/ZvCfzvXWRf/DrHDbYqMSiJeX/8yFk14zdGM+ uGH9fdJS2FmqzaNShILeeh7pnSNaQSxaCOUYgKXQ111JKG3L7t+G9vcxqmp02W/OmRKn9Y23m37a VN4apLZwhMlpXVyY8DeVNwAg6Oqq4vaiePNCZeYpCuCemFb6nf4BnXNTj1uVohCoZiYjc6HNx046 XMdgWCWZzWcZEtPII/uscvz77+0wVP07oI8E9QkZX67HgnFMBn/fefwvaR5i01QqjY7QBThLh0iw m4JzOru3JtUxi+VnFtxveJRl11p6HfUmPlCq4W8f60WsywaxyUWm69K2/nzZIgW12qUOYltDRfep hLE6jPjBtCybWs6GYXAnr4L6yWC2aXsNE8YgP0ZpvHxxq3i6qXV85OWa1yJk/+M9WIR8QMZhWmcK 8pXta150S7nqIptbJAranuan5IIyNuwQ5x48ZGilgoEv3CLtSY4AljMMnJUe/LiQl2Dm+0t4je+z 7eP/IgEo0oll2wsv2dBBGavkESSFR0iCdAuAJYAHgeYkCeRkX94VstXWL48dUAfh0jpzFMsXuueN ffkyXW/AMs5Kdx98IMUOLCsCPOUZlQoaGNJREoMtPBB/VoQHzLenqRdfnsMpSk3K6d1jQbb/5s1D MydUmG8xKgX3Vgy1KjqPcYUPRF2j3rIQvCKIwKNT+f1lnlxcKSHmPdXb3Z7XHL7eDx4H0YHqljHK loZvgGEj4xwxzlCXIN14ZIIkUXEsvQO7ndPWtLeQfgrUOihmQVAioW9PMOGMQsuOhNnAX9FUkYx+ c4pUe1qRLpOZz/dTn5+89ugXmyBgB8ZbHUcOkGySeWDhZdswSeWIG7PqADJBPLzwKwChhZ16e9Cz ycmczkV3j4DL375dnB684BvMcYs4gZtrGgVyWyk+YQFmJs2Mj0v9hgaFrb+9txJHpZCNcixcWtPA PykAB/cw94HiXIjd/9IMB0PWQeLAGhp6TjkVKRBlQyQtYyAaZRp9z+9rIZrZPprCXsdBZI2psFsV hFWHE7vM8L3dspOzeyZohMLrxtkhZ1XtuMwcTui+WxZzyamId3lFBE86Nk7zFkT/XejBZRbyhZcd 2fTYi9rbjyVcmbPDnenhgEG100CwU5fwYrp+afuQvwzgEdqKtj1cfPGwmdXMwXIwdNhNcDnaFlt9 3Uy/5WXZolGpvIO48EG6ZG0PeLCkUIUTZ25BMR6RBjakDh0HjCMIJr7ajWL0903vgQYohpNA1nBx dgpuMgsz9ZCQVthGYSK+GuLMj3kIyN7r+7kViMYFuuuMURg6kJg/eFQQvjXUhVLFvcVfi1eAgOiU xg9t9Kf6nMFkL7IomHirbXKnsaPNqwUG0i+3KguSIE5aKf0iGmiYw7XLaafrqJt2Kvz4RNW4vSNl 51SJi4v7cf/zaLXrN2osw2uJ+IcBphcPd/XVWlD/ZglaTuFYeLL81qf1YwafWgMai3YEQUTMMhf4 JF3QVIqOSKY4SVp7YsscKsX7LsjDIHElXhKuk7yeuMIjX9Z/G+luxBzrLBYjpNPpCmTz9ZvsTwiP 9DLpOLnSSQBTtDIL10ZAaldFPK+KjP/y0z+s5wluUHq/uWzYjkzQINqoFau4kwIVSDvMHzXXrHV8 HN1KrKqBSC39al+Jftn3c5UjskftBe0VACF7JZ4Fgqj+GwBHdM/7Z9oATeqfm4CU0wRe0LcPPyPH iT0XgaAG7SJzvR78KOJoO/1MSGI2dtbJWE8TWFUe6rhOnjxiZdDOt6xvkduKy5jyk9UYyCPSWyl8 RXqsevv1BkLupuUFcYP7JgV97eNIDfErzSnRhSQk4EXtBl1onSOJzLv7yFPvbcIsovkbUCAsp5xM GVFyQbdnDN9fiIVLN7wy0+zgOmpve/TCVrnWhv22RuZkMvtIPf9jGYmH6VwTIE1qiyjoqyTWVey2 mT6wa9WVxBt1dmNFNK9ZpQbNaPzXYLcx1Vhl8bTzQnFZXvRiaL8eYDhc16+XGHDiQno3bj6trc2n YaQEU9u1kSto6J6wvI2sEvnMwQRsK4duv57Jx/MFt1wzJufdGBQmllU6XW94QIysKBKTYKFDUlzM bo9MfiwwDdfzByh7Qq3Khb2cs+sNa/Aq98VnD+Urb7UnWuEqEkSa4+zZHsY21ujuajgtgsaxidgf OOPgEZsd1rzx8Dy/eL5IU6gLLtO5eERBBH71aQgxkR/FSJlOYIsdBzo+2vm6cxIw6jb8lNLRCwGV WOBbHy9W1GyecywSKk7jw+ilQOULASSCmB0fvlZs2eXQmG2ne5O6HgFH72KJaJFeMienN24up/Vi o1Ol6D5dWViW0SVDYdCdhHTj2vmBLwT6Pr12UE2DpIAnhTvCDUNNCiX+Pd6PmV8GxRmd7+kNQH7y hZDmYSrZ0O2OCM2cfGH5Kx9eUbztX33RHR/xPbIAhTY1wRm081d1SXYKYmiruIoFPFdM+9hVjL7E eeERQkVaO7ZbrKBidvU+hYGTrpW/qA3tPFPaF+vTAb2Gfr7t66aSfY7RoQml8pgpQeJlEaTu6Puu ADwA2fOQ3FJtNvXFopEzKwU/wai+CeoWjJFTrJNI1Cbvyt9PUrPYBcgIguOLQ7nXGxPYlod8nj5x o7Hc0tkZ2kTpH9PGLgptp5nUvncrgHuZxetU2q+4LrmjsyIssxqN1UQ8ZImZnl8M6DHr56Jqp4fC PYRhyLx1of1Z8vRLZTP3qQlhoCuCuftYGYI4tZkZIt5ONKW6VZtc3rtIed8gbm8LP2tzRQCnI/UZ FltRmiVOmpJIfZ5peYWwBQxjgSNcL5Q4a+XZyWUfvBASsc7A/TjEujAWptjmcwCMgbddpHU3jprE G5d9JlwgN06gokn/2xbyxxiUR7GEvpVQS7thGFOcFXdmZPb8tglrZjQOCy/JtBJoVneR12L6DsRn j5HvJOY8rAcarlDqkf/3B9ZPGfHR71HY1+Yx8sbKsQOAUyFjo/fN6L4uRXM+dHpbR8m3tpa0zvVW eZbbJzzUOyJ8c0Nf6DNmtxxOFgEmX0ZI/eDNURKowYZjuWt5I8dbKkqlCDL0/sRo6B/VMJmyScbI TFmMrFBkF8lPQdIGTaBgYNMsGjLTZlD8sq701PpVa2Nz0oOgBE9aSzVpiaYu65X2r4syGaxAf0tm v2ARUscZxETFdHbn72Od4w82Q5oxtpSo2ScNxBpL+/aYvLNloUQX4yUcaVoxcSFIiNFVybtW3XYV 6jwE9cvA6o4j7bBhWD6/XuvTgG3GxbQp6Nu25224TnZxfOeqXf6/kRSIDDvo/EGpCirW9FHFeKjw QKc/Ic359Y8kABvI74qyZO1vS8A4UEyyT40DWY5R0Nwq0GVGQph/QqSxG14KYpfOiABHGohqjxCs 2Ddr4f6VqB7mBSdcf+0radL3TFwQnbdRnqjaTiA/ogiO7VI42rfDRoFWEMWyKI07ieOZyQSl5YbG UfQLkyvsJVApZJgqzTDNSeuNpNLDu02trm4fXQMAWhZVWFhNlVwniYvrX4PUIh2d79Ff1/cwjsEC 6PNQ4721+W83iE3SzKy29mnejvsKHiuEVsyFtHHEPai2XJjOl4ZsS3Am27C3Rk0f2N4t277NmGV5 MSoRQdTpfGjqCQGEhr+kVPiqXsuZlyRWPbw9gRBlPCaRoJ6SJjsIjL0RFfBusw8AQwOx7z54Ny1m UbuAmIq9FDzI47BfHaLipKm7dydUI5ixcNsZmCUzesvSYHkGVlERon0QOL+Xh9UkXbCiVKOcw4MK cQm+I0zHlO1QhFCRR0VFqxgNZTE47YeD2HfgVty1QYojUi+UNN1aSaAcxG8edQaays6QuejXIBAH OAmx4oucOOwkGW2z7q10hyVSU8Wp8F0AebYsBulKKTE1107HHGKCGjX8/64ZpFBvKjQzBCmCJtl5 R++XPB85mzj+mDgcYXKN2wAs8R+EVyD7pvZJOLguuD2ODLEj9kggCPsCnSEskRWz7GD6McY1fQw9 ZHy39s3K3JngFzxpMnr7InVuyvDPCc871IxAQGi1jMlllhtipk/u7epshxvODpgMAyyN7yoEWtIr H0PTwjfAnqGfbNORtkX9q2x+/SFIN9NKtA6z/Oji7cR98W97LLIHisiiUCEF65gMuQNWYBGn0Qx/ I9xDQNvXIeF0YQkqSgM+/tM1Yddo6OzIEjBhs3LJyJFbHgoWuQwSxGQ4d5wNiUoPkqZcHMMrWC7H hlPNxPGRi/Eb45nq3BQojjbIW7Sj3SzTv4X2aHmsPXuCuUPqlWEpEUoaLbOkK9SrQ4gNF+lc+Wf9 jX7mWyZfgHwuX2dQS45UkQupblEn8E5GRITFSq8mRlajmd/esM4uk/zdDUZ4hQBSwDH6Rt2XlZHH kMPrhlAPiNU0TMewMuOAbGadz1yC11ZeP/Bmn1o6qH8ZrBb7nLX+0/DyAA9dtXjHqMXvS3HPQdi7 vQg1IhZuwVUc8lQqhxeIjj0Xerg0wb9b6r/Rem1mIMxXIr0aHpNrM9OEGaRMG+Rs6oleguUju12f cyX3iyfI6mnud/LShKson0ccDi97U4Wa7lIZpGhYR0yqacSmJhlZVXdDS5e799qnSUdPyvrZsIaM FxwzvS7V3OEe17VnGdERm7gS8OwDv65oAXVnHBUgdv0/jla3+D7zWPmchDpz5l9Q5TAXLDwoePBv Apy2PV7JSXiiZrr6M4i+YEaEbX9sU8B5E5CGSqzO61+U42A3junb0Qs5B6K/gjKVQqHUlHAmfzqS 0W4MIaczAkN4nXSKpyb5tAto8TfxC3uKe9Ro8C2EmF93l7vfkhuOuPLTpVVSiCqv6sXdNxC8VDnr YIsbr2r40aKbubeBpXQ0z9R8i1Ld7zEHNIx5X+UzSbj4sYkd0iOqYKui4g+Z7why63Q5/RnbK2DB 4bt5T2AUJ2AC1E17nO+gJj8Ii6hLnEH7mNWhMO29L2hT06AkbTTE91A4FK9rwp0aqH0tzVvfiBVm 6uYSxK64CmX3YGwdOgyu4ubqov/xLwOOpFfsbuOrdF0ae/T9TO24YNsTM0YHQQGut9Nti9G0+d+u NJ+GgDMESt8e8wHimekX3wgZuEcMAwKl4fhmHt9DEgqLcTULZlYLfGA+oHhVX5DIB/HIT/wsuS1P TeDrkb1EINuYY4XAopORsTX+Ih7amcORmkUKmXQiAXHRBzHFHWK9FF1HpSMARk54+T3diiu2FdOt tAIxPA3zQNaaZnJZ8JSMXm+BQlgM6S32GdBuCtwAmSWE5rzXY7h1jKIgUBDIlQ7m9FrQbcNCfBie KoFhn4R/Lgw7pQEuRColgOBO94CV8f4LW4575aAZ+ZbERuL/3hOsg9he9MHxn7JTaG1IjVxxLsFM fpg9isb2HcC2zS0lwPj9tBRQUcH3tyNUNVUVxMYmXZt386K1f4sJycNBms+d7mYcAikReMHxVnYT 7JokBIh/R8fUC5GpExbkTvvyeEVlJ76BBvcrngPNHZMBCWChtSELqx6APn882cZbNmnlB2i7O3sa Wwch4wgpW0Yz4/lVeMTcSe/KylluGxRoPXeqtD8uA/1jCoBJP5gM3uyjI/nojc7ukYrTJbzRciEs ZcUsDdA2nhpSGup6uPfm/imvlEu7mG6pz2QvfCdSbTVh6neXSjFZqxANVYWBrNX+fClskrzRZwQ2 2VyjSNOL8jn6xE0B5/8YHS7scuBsWLD9WV35JoSBys37HAc2UH47q9IwKzR59RysXgQgvipE8lGv e08H2gxCbIHniZ6WLBFBKy+RyinwZHbXcQWagIZhttxauWKFWza3HE/JJfNCNR7R7Ejlk3kNOZTr dICc38CtIQioNgUDmHx1ejxh1C75bIaEz0kjBnQKJGu9w9LAiIWkuh+eDq9wfJ23eVtC9EuED5Q0 TEkYaRCVxly8Zan2rRq6D5y7W/hSnxejtT1w6A84VOCgppHYItH7DOYXHuk6/CKzrC4UdfLUiAEl kPPX8u4v3WAlvi+gRWl6unHf5CkzD7eWktj6x79+qZ1A+W84x4YOqqOFOfa98eHGO0F3bT1kgeGY 3ipGhDGOXshF5fA2xjLgeHgACIh/lt1vX2gKrrlJ8i/tD7fE3bOWH+U2EyxZGiC+PJFtG/tInPrr Mjkj8kBIsM65sIZth3WpAMkJJ5r9obWl4lfZffxOfwevER0lMvGzeo+zb8HALQluHywQlsgN/pG6 eRH9lKkqI922r6FcbJBWVw/naay7fMVGUflR55rFt7kItJ1JbQlaNYEecfneK4Lg7Nl//jlXrfk+ hpcD9pwx2FZTOCBW3rxGhdE4Darhb6BlG/no/yLNNQdPVyz0BpTs4EBFkuy+FmtbqTQCt5n4z4Pi E+QCVTftuI7SjgLglTf8Nf8MzqQH0y7GkGsU6xK4GrpN6o/7G/stUxPCwj8zRTo9Ji7Ns0XgfvR5 sYiDEDqvhAxxHAGOY0kZsG7Ayg7/M88hbI2QR/G3hxwS7/FP8FBC2vynLtYmkepkJtAGPxTREJma da4DDn64Z93ED7CdEon8BFPWUJXv/tQGugMz4t2F2O+oyvO+pluZ23FzYgrmaYRc4ZOwd9QH7FMY 66jhwInfVi2JLtjculuxAXACpP2Z1y0RLAq1gBkSQWwaz7e0fPDZQHAoHr0ACHkZsFLmqgCY/tT9 kemCEPwSpHYeE8gwiWfEv5aUPAQFcL60/SiLZnejED2m8ik2ryTOONgHoEeSZ3ZmPqvtXXgCaQLZ ldZphh7XQAZQcwBkAeqUBD7qK4BN8Uhob3zI1yIkjJMEPnguPggietIqYalMd9j5C3+fX2Kee9YX 6lVm5uan0c62qohcrJ6QGM4Y65m1NJFfcGt50OFBGg2UtqZnlzYEl2F4CpfbA2tJBvD+0MzqZvD1 mcl3NT4Esvff66SLVst3pnWIJ7JZjLA9sIYDgbMPNdp31zrCKI9UO3jDm0pztdYdb/5/pAXjSnzm /ScjAVJ7tDFx2rezWJQ3JX/pD5rdgCoSSJ1f5/PaxeG+GC4WhMz9mvn5kjQThqXvq/zrmHB6e3Le xxAHzOFXgnk4kwOmBPD+r2FGHAFKpcvhMo49SkkE89ZIMCnqs5jNTAhU7BRWLRlbIixn/fPOBiBb z/GK79dTJpbdAvMyy5oG0lFC8oIPTBWSBFLICaWAjy12MAC5D+yMD3T6XYFuRZ4gM5+JcpyJmwR2 J3bKrUqtThx2cYQ4YFJvZ8vhzJAkx7kCTRBbFQ6BXJcEqqDCAeDe6/5cGFipP9LqsLCpRi6cgolm qe2m4LWk6FEnpHZE8TflsoGBatns7soqB28DRD/i59ikqtsxaQOSyQVIxSCLjxbh4l3V7cYaKIcK qHYK7Ht175ZpkrOFIyi2l2I0qqdeWFfZuNkDzZKJSrOCC+WPOQ2IaFJB6pYsfhugLYJUCbIT68cj T6i3bUA3EoeJd8fL6wT3Gvb7KbkFIn1EmhDuf+S55ZcARnPClcFwO2Hh/SH+aMeMXE6d5FP1KPLi H8xT3LURG4BnHsVK73O7OhllZ7lL4kCjzNZNAkj96e8DdKrabSMnBI6YNtx44eSmbbANJuZQ5YGk olcihheUWPqKi2ihxNMRZ59JnoyB6Bb40iI9WBxuUjtPa9y2i6qWp1PDQZjh1N/VFVMDu+VGrOiA TRAVWu+r0vgSps6lBz3OPDAJIAwV+/Mj446vIqOrPYoN6797d5lEA+yvco634iIXfgke0+4RlRGN mQFuQMIkonRk7rci6jtdpcNNB4w4tBW8Qdp8/OMW3VPZJcOwyO2Ybs0unLLwIAgnyk6Ds6y21MqM pdPKmevDQCnGVyygjDQZfk7K0jzoo+IlQ/J7lVGdlW+fpxzpOK0xNSE2go7ceUJOrx2QWOUfE9Ts gTosj9h3m1svGxxWZA2/8kVGcBbufURSmX2ECrwjin474xTOZ/eXZPKZ8zOanqjTiGbe6gOyANhF jQKCbNGx6y4z9iroeZ4ayCa5dNQyW2fx2aWeMDUyX3ZpuglAb9jfg2M5AdKuMckxcKJsUrj+9waX Zc0Q5Da4DktMHXDCZXeRBxEKhIlMbPM/hUNVcabDTkyuK1okD+D7MZJ+NvpqMzgFnmgyUCuxzpp0 TjzcRyduuhZQuxIDCkFjR3YrZRlJtNptEPrFO/AfDC2ISWARj5ul1a4YrSHdLjGydt956VK2DSsi RWwPKG4J3d3mEWkS16JRp/6nDjpw5HRZYSogUMAeikID6IzO+d0rlFcRzAddinG2o2ZcibFhKoml ND0xlydtUl5/EgGifVQLbD90Dku9u5OjBnoXNJeXf0e/hL0ShIjbKF5ObCQqU0r9IFJZPWM0x4kK 03WseBfA5twXUchA2V8WqbZBXHHzY+gTkkNTgJBw7l4NLfqUvoYhpcOiXpF/0qB6BKF2t0ZfijkU fzj2tVP0K3g0xie7sZye/ztRbAfVIRRg4ugSDhZ6f1mleCDN8pkZ51m25PpkEdceQmBINqKNY1uf XCO6EU36Ng8MorNvVRwhIwsunJLm+nI/7y6+2COpIgvgoz8IbffUIddLZyvRtfPbmxf8oRGY/Omv f1+Yj65jVKONprdsCebcNliDSf+aSjwq3WwUB78UV38Y96vUPcGKPiz3wuZJRZDv2Z/364z63F/q 6Jf1Ey15x0LEWM5Qz0PORfPgYTLoroc2jd3s3QkNVZnljS8f7P7fYqJOOQ8ORY89SsLTzyAbY33t gODpoV2Pdon7ueabkALwxf+/ZbUxdfb972dnIReK1D2eJZSU9E6edB2/1RJ/tG9S80OvVbuvd4LZ FojDiyiJ8e3HRfrjhTQUXjg45qyw/YbxAmRPGHMNYVtxD/EbFDQinKv8c2vYT8Eu2GkH4RTY1/7R JQF3uaWOYWxsjCvtuXOSclwCO/NBIasaOEQoOllEPzUezO029ryNt9SM4YD/ODIoxQmr8rp5AHYx sV1cuRa0Y6L78IhPZ88LvOUsB/MuQjQW7yyVzG/OsGnzEUXLwpqafjGeBo5myfbkq0gCc+yu7eVb o88zHnVIc+tv5g4Jzm6PXVQ6x5rIYDjaNWLa9WohOTEkT0PldfLc514pOfSc9jvxLTvarU5U1zXA WRKPN40EquX+GdATD8FsuUjGNki6ENNv87N7rUlcqh3h6b/HdQW07lzgB9DnUE2Nf6hmIKpPnS1b HqCCOGcr77Wm+LGc1CG5cHxTDNDUxBeMNj6ftLuimlc2UOoyqAM8pgqcPh4KqZ8xW/HF0AvmO6p3 K7UbF3ykHah7d+4pQBedKzT4Ohn32IQ4+VkV43qRBYMmK29VRy+UVjXfWYLaCmmKCh4gXnt2pEZ7 j1UaAkC1U1dfKfWXbOUWM9SQR2y/hwz+rY3y/HFukbdV1zRwFwdKOpWsV++XADRCTA6ygKFsIwfu 1Iy0j5LKhqP/BjyLw4Kd0j/HsA5+hoO8fy/HcX2J5MEKL5xa9aDcMRCHsKuBFxq4YfnByqfw7nYd gMjByK5Ped+n/wuae1PpKPhtkjnlw2nxKXBNsM2oF1pE5jzeLFp7iBATkI/+40HawcwbmJ8/KeNQ UpokQj7n7ikyqlULq6/iVVy+Tohmc9Z/wIPPS14Cc9z7G7Q++S1T/0iYCsjNssj8+egJc0Unkj1a tRunA9DfLxic2kKjuzmQ05EdrbYrVqd63uxy5XHCK/ZwV0splj5jE9jP8oG4Zy2f1IVBGBwsophQ EqmVrzvG+dOO4eyPnFmnSp9J+P4R5h/m4pBHGz109qcSkKfINUM+wE9K9SASPfWVHk454fGKt1xk dyxZ/LGJl3SL7CFrJIsWTQVy/HknBo7YNHVXI04bpcb4vLZJk7+QVFC6f28tqpIiYHVNltvFQZ3+ Oxo8Ltg2R0TfygQZL0lnmVO+0FXlc40qTYoscE/gB7vcAIiSDyKK80gGML+uCrCGXkc2o6PQRCx4 FntNszQu2P0ha6cn8uNvq37fRNBuTPpRMRmA5L06F009liNO82bL03xDAemVCcUVIijfjC8Jav2n ZuoSA8f3YVZTZlSZuMo3TMson/etiUqhFZ3Dp5zL10T6nGKMUf7z4ANHd+JKMEKm626RgbgNe79O czeD8JFOZyKKNaFEUIPRHdwdiiy7KRWDtSh4tuHGL2n/W2zprP69qVXViwbpzHsJfdXGpLlv42FO pIIq0vatrvx/PZyLt+uTJSANR+BQYqkqeTv9x+keyU61ogL8nEa6FkML2AsWTqzm+P1VeO9evViS uxUF0BriKAa26ReHzrbxBQNG6YjfIywcYquZzKhD9lLYVtQdt6kcmzA/DWg5cqKyJTdrAkedTMKN 0mhdW0OGBvXZCrIYyF6wnRJg/cxnb/eX2LgRBguTNOK4ON/q97bu2P8dHAnf7y1Ccn96lSFymCIY +tuobn2nfIsbVbtXUjLbfOPiksGLGnnl1rYxchhCxinkKFZfgt4W523ykmpnqYZPAGiqKMuWMOAz dUmnBZcDEms+CkBbxc+1aBwHGJ7UgxGMEEJ3gs7vV0IF9lNmo83zISeK2PGZQ22arv2UJLmS5JGq CZn0FH+ebRF0Cdcjj/N76bOTBOwAy2WsyCvvRAX03E0mGIMIGNk0jZQfsM3zKJ/R8fNYWIoSN8UB 96E+QOFQaDEKEldZpiiOfWfq+j8CUMo7tnm+qZj/xhqTlsYFptUAmZ8mLzLES4spYq8zfq6GDF0b +js0vjJ0HrYEI7ncCDg5RyrV1ZmVnEUaP3N0b8dlCEPrWakVXFCfb6B1ljyhqf1/pHkr8Z2SKl3K FkS/c8vHfvuPsD4RVE9Alob5TkdqgR/BtZm5x1sRETG4mM+fOOAXq+gqySfI6pnjjWBIOSlQSeNQ P1R3dkvbbEmIdeyiDQTK3cywAjyF9CUHG3TVV5LLO2TaUgwzWzZh0BPMNyLGRVdlSSQJEAJ0PUat aY/tWQ2AtkWPae0tYr0kiznsFblvWW0JH2ekgInh+25m8yWJWa7smaVhAGiE0rtZGf/ktqxRv8ie 1ZBIKBuFjh/sCH4ipzJ9qTBXBGg2VaTQDXOXLDg4IWFyAU/yILST3OGRpEo0yJR0DsWBlxWUqqCR AmF6AdHEXXNIrKnrD8ddJYty7zGK0ELNx5GrGIRZadq4rQPYKvgR4w48SnyANcrlL4i/dSbb02p2 1jfgawT1g7U4sMOiuNxVfOC9qm62eNHsCzQmTulKEFYsPzD4Oo9Mtg/EL9pMEnjCUenR8KU3iG+h m+zWJ1ZGGNMflzv5GdMVp71ZQ4hUZT0ex8X2X+KuNi6pH+S6ya445MRlKy8IJoLrkfDzU7OEEKRc e0SAlXtAxXUoCwSC/rPm2iVyF5+zkHHKojXEDyI8x9duvZOWVn6jd7YI80vX3J7jxxelNMeHg7kX rlxF3s861wYOJLTFC6ln57p8xACsLCt1ibDeVSg4FsMOi2UeMIqVWQipt9a3owAvulZ+vBvYDJ1F RV3lvujbKD+hVuYgU8oMwpLkrh2UM4BAjPiux7UoMp2FWohMtZGyvKqJEnVF19mO5RN9ac8P48EH 9yPb3KRETalHo9pSG5zCw1hFTMK2OFeHiL/ewDwfKlamA+k8rDo5K9puTAE/goZX0tQ86b2lo5el aT13s8fVWIWKawEwVPLsNR9zF9b4oJv9shuF3y29VZuofXE4mUoyGVCoCquf6Iit1cmoQWo3N+yt jO68Hcv/Y/hLvsbHWwkYIxaaNuFZek3Sdkokjb6C/0XldASVo/ZtMEoUo1fndSrBdshbtgJ8n9vN 2C7XjPSSLJkkvVx95ep6HPJcMzbYWgsBfdTN5hbIwkpyKY2wXfzEqGjHTAA7TP3KfhBkGMZvAMLm Mi850XKgVSaCmCOPpOgiSlIcocLnNU4pZ6CdwRHiwRMEF2QZvbLVE9Xrp7TFfhZWgFV/Ym2vl414 XWGQtBQ1lGcweE34f0vcJ689mLfDBYZHAr9rI2SV/PZFtBR3ajVq9nbPrDeFYexQjzoKSlptJw9d nz+CkV8sYf2+AV/+OTaH6mx7DKIKfEwb8KwGycE1MpCNpkYK2j5oLVF+bTm9mZ59ZuLKcMMhJxbb j5VbWhCTUsto3hm+Y6GUGvsJ787zEqAnwobKrsH7hFBaQkp7yPm5lP9qAU4X+M/QE3QDLE4VGR1u PTLOgincCNrqcfjdz+fYboTvM8bl9OngT2zaLG2ee/Ku0WhHuHoNPMjDP0wc30VpIto5rNe/mJz4 LP0JkQ3l/Cw/hUErf+wdexip7cd0gGfUhvuK//pCGxb1rUN+eJ4gs1VszS9dLoaQc/aL2jki7PCz 5m+jPR7xZopSwljyeneg5R3ps2wLJ6dCSP08MR9/ZJijDPPmE7c5Siei+Ey7SbfZLtUbeMeb+COw vDuucjlYwjxNf/subvIUZMqCfk816fqIjZcrnHb/9kM4mwoCjAmuZzt4/Nfpv8K0UGK4BYPnqW3x KuTrKklQ4dCTzdcIFdCDWjXD8iqin11uaccWSiddcs4lUjCYJvY+ZDSZ94I3ogQXEGtYKP7USoNh KvnK7cd08Xz9fK6nTlqmpokT4OqwAZ1nPyf9hF7EtSfqF4TISBDHzDFcDJceOEWcYWskW3M+qlji kAentDpVb5YOObKXlKo2ExFXMHXfAnRrk8dQRuuQE/P4ljt1vYgxaUkf7nvqApj9DMVE/YXaE+mT 8zA0EF+0RP0wPkKz2Ph8ghlaqFsZosc8FanYh2LvIqFzRMvjIomg4RTtsDEbp9VO8eC8FtbNm8b/ XzzFnvc+c/+JwOfek8lCTBfR6TS4irxe1aL61d2hqsBIsxolVzsJy8YWsYcofvrKdomeJFJ0fhV2 vj5b9ylkfXiRIN13A8MQGcXhGE2FbBTZ7I8aiJvAW6K2t6iVH02qc/o3V0mCxkPbYeoKC2THNC/y vEaY07EoBztX6b68JT3cvhUYIHafCv35X6/yYSBdwsn9zRtcQNMusMYPWmKWi6XQRAXX6iLzZ5s4 yE28W5NPkBgUj1lVKlxVXILUpNb8/aRJkkYEbCZOLAMgDA3rMYq+PvsDmEkVmXGBEYH9s4haGQCt +E8GwUXT6AiAFuWyKjOgUInVx3xfK0e8r8XTbA0d5Cu7+rdnHUaMGMd27VifQn0AfUNNG8a2vmUM QsYBi1Jm30ScsQvkDthKtl9DktGPRkX49RuRPCq88j4U83z8UUngc2vfPD6tmWI9G4nB9A89ejYP YSawbgf7boKdg3cozt/XCGwzQEw9qJwiDmDvjoRKtuLbURF1l4JVovNqq644vNBvFKdXNRmrJ0bd nWNzzIvOK5jnQ0BB/D7jiQjMpN7Z9Ldfn8/XgQMl61q8yG0vLs2lBDM4hSMySO/Y+KBZORf8/mvp bwW2Z4Sc/HI3jlwaDe8h9XP/WsJ4GpN/sA1Kkgq4jrwtE4gHPipVrdmT0Y6WMVg2gOu1ujjFivaW gS+JvHEwJRqix+q7yCCazZ0E/l08wTwGaOWI/8Z2AjAYzkwkHhOYbitRpHJTIN4MPIe3MpUGt1LC 01wTZpDQ/Ll0q2+D0pS/QCVn8UDkrmtYkU2Rj5sC9fAjcP1WO1t1PF7Pk9PXSJI0amEU8SohNvbL 72gX819X70JjYs0YwCqvqlWGM1hgf/V+bjiOA1BNdxgHJKeTLEXUTMxUrgyLDoPNrjOlyahKV1XF 32x2Z931seml/NYG2R3PdgRVUxWWYM4qm14RMDdJ2/NW/FyA8nxnV3m45mdmyzSpgWRE/DWLLEI4 99ttfTjDrCrrzEarXQcJc+L+O1Pm3RFZRuDPyiurf39/oKyKw/Fr00QpPAMfOLimxftYjpIqC2VX 0jgfKaSd7QvDv3dHRejgzeYFaSCbFcvC6uamXY7zdmpvxgA4oq7PYOjoU//J15pcVrWEyaZYo06+ J1h5Zi+d/5VelltIuHxWhE+2/b4ADlbtAjc8xpTbSojFvDXtmBzxorw2/udX/0+oASGsb/SCSgvt 1rAF5pS8RSwUUoFQghhGaqMSBmfqoEqZaWoc/VjD4Deb7KJ4omwGhsCx0N04HcXsnUvX2JLGNIPw IqkpFTruAXPYFgXSUh+rUZZumydvT98XSnLp0qjnozDVCGbvp0n6B3ptQ7XaSPYiC5VHMoraDKwZ PzcNgWPwC5PF1HxBiUKmuWbgXIxaEGTNkSLQki1sJMDZTtvT6kyu+FLWzfq14mHxLEVZOfeJR9QQ uY0Cm82XCGU5o/ICDoavr/WNpPdgXqXfSsFWs42uVdiApowYfIKOOZDdEAPcz/Mg624wSe6WNZMP 92nZ0Hm6578oQj4431KMdtIoX34onhDdLWc+q5iBImVOq4ulSGlkbVagDMfMoZvuqmtsHVtbyLRc WIDWoxrcq8MrYq0WKCsNj4oTQ3xhvdVu4QxuTi8EeNXcpfn+b/TF0K31rT4XBLAg62QRZrLAMMWE C5xpPTAvKZ7QpUWnoh4KskApiK26xyEk0fZoSG9YBXRi583gRodP9KAhzzWcOkaFj59u5gQgA263 JzDmNlkThNFOZ3HQ7prursnxhFrFhqh35JZSCdId7qyXYjZEtPtKDcdufoVytisPGZfC7pbWCSft O3LGTUEpJ2UhLG3l6e4yJK9ajMt1UVbzAwUnoRQH9UevzepG8PjJCOF4Xt8OYV22Me0CYHRqGsNk DL/o9Vw9iTy/EjcPXwzQWDZhX+6umIa/TMFQhVoRgYvJoYXZW0O83BaJUNWYhZAlPMbIS57iuCFH QdcKzTg4I7bmzOreiuIECGR+/8rpL4EkYYGa1MHiD1O6sraGku491S05p2uyKMyJuvLdiPhz3Ahk VGz2lqm5CPPRhQ/pTU+ve4BZg8Y75XN6AiQPEPXIeV4UTi6rHdlWkxJ3PDKU3k3GwhhLAoABapD/ Q6f9e5pLRDKp5sg2bqlifDLfTLxqcdZFB9NFDzqjJy14HmnfG9WTGaTDP+f6SR4BJhmmall8FYBp F28WZ+IHq70FCAijkXE41kXmaBvZUg5Tw8dFwn135h7ZC1hv8tUHQuoKZwLBdUa7VehKj5FsQfAi jJPUoMqrIDhzGeNeY/grsOpdOAE7tZnlnQukl0LcaVy8ZB/055hQkiiAwlH1RclsRzHB+yMMccJe A84l/m71vetuWEahvdx0tDWGcqTC7wd9Ahr383fXxqoDHHcA/AtluAqvOmlm3BSHqJGvm6rQUDfb NVFypNtrVn8y7ihdrOo+1gieHFBI7qYFkL1iu4aADSGb+DfdCeRowhwOIz2r80L84p+ma/sJN+KI 7tQVaSVpdlQUlZmGaNuqUevAdR3LRSLVJ4Cw5i9L/0x85Svn5Sobn5FSmGWtU+pFhOboqcSqJqv1 z1lCp9spdpSOj7CWlM1sNufJO634PDi2JfZfCp9GnhNO5FdWhOMRsMjwdp4mDnGkmRNDw7bUN1VG HlqPNgw0tgQJrflbjxS1ixMDLHUfQoAQMOIHaZ0nKZrePGk1O4UbT5BAEI1jcE4rXJLxvRkyG0oL MIR0c68QkaboyCOCLM7r7W+Jl6mjJtJeuua7eHydOfwNCZgBZVQGq/v9wUdCFrnrSCcYN5Wkz1UI QNA6FSCnh8AczA3ilD+ZGpQxY3no0ID2NCYxjsGRSLjJrRI7EfowBeze1JZwXtRbc1VqVOjPo9C5 Y6ixgX6ifnCIdOYx9kG4GQVzan4uVu76s/l2KdFpaA0w2TzXcAAnthqDp5ZEa1is9Si//AkJQ48H kcCJy35zxlgQxEb8cyylmU32JdfS+CrsagD8BKywUgwOl3vlKx8vblAitQ24wra+4Sf8ZhowgY6u 2s8cOEMccMWuPishyMcD7/VIWnTBpWBVYa3uf3kZmeqeHoQdgMzJJ4rNG8193YKJrZPO+mYgtm2W I9V9bXtxGNmJI8d8btW/PNEo4AiStJdGMkevODF6Rgvnz2pvdZanfuA4KbKdDUYfykARlHWFDzKQ pPzdXfJtU/7a1dVHjlTn51WEmquUJWKq3wU7YFdMLAm/cJFXaZ3E8QRA3aH0M4qBw1zYznv5A8Ow m4LQ1Z3/BQKrU9rfqMr3CQdvHsb1J2ci5TnX4VrOcrDxmue1R63nOb4VW5+SpWj4NLXz5TYOcFZV 5/ydKY76wBS9Ix/bT1Lt9f9Zp0jaoEcd0s0FxKn2iFfjXOoSD3ZtNO/LHjK5EyDrvaYRXKZ+Mr9k ZcYoECaG0dN2WrzC8+9eGoCbWjcw8NjG3+wMSmGO0S52QBBtgsjGIHRau8v8D4Jnke9oMGylrk9v fAPy6bDHg4H68+b7thfIogqYaFYpOJCG7fCYcfLT0n2jaSQcxCyyDK09Ntyg3SE0RdrJ/IqLvf6n /+kXBvysErvtgfgYtkBBgF+/lkKX8m+N9HOYf5RfxkCLpdq8re21NUfNWYsZAkDSozMh2n1q3AuY 3L60fu2NmSnJPa3jcL7U9LJBHkxzzLdoF1cJ2wqTRu5pgl9P2Od6ezIe6RdAnN5llLEZUzXE5E50 8zRdpxFDYqwZm/Rvm5SyAkED8ehIdB70G11HKBL1U7k0r3lzVK19+0f0Q0n9gcVH1/MOrVp0M9HF Tc3hqDNqiPysdBZvck346AWWCXtT/su4m+HqNVMRNmkRtods0BjPGPSxcHqFo64Ydn0AeRe4njp7 vMaQhghE5qK7IOFRRjKlFqz5szegENeeMCsAMrqkAXI5f4KMOyaDuZYdMjUfClNJKObxFBUKliX6 fpB5P8igVziw987PRUf6aD12kBB20qc1hipIienVpGaC2D1NT0bHYQmAiGRn3nDzEUkDve5dieVD oXw5VSDdpNq2p/w8zCkXl2jyDMnwb3KuW1FT+JPbj2vGQPTiGVz+o68Y5BhHQM/Aelr8GQwbozDD cdTlCKLGBQ8WsZICAkKmwOggqnjUQlWFxFUNN7VTCP9uCEqeHX+8m7KHevL94i/lp34BJvXB3hv0 p/mq5Q3L7KZsjf5eJNeHk33ilCQ4Ee5vqCjggD/gIoR32QXpEQiGKsBCP1CGqb/eJ86SrDPw7Iyb lVRSP0xZLJin5SkERsV+gEmDtz+HlwIWHRniW08/fCzRMHLKNmxXmeMU8DL+6qS8KdqG2B+EQ3iu XsLlYPPHaZkCrML4gUFW4IzV78khPri6xYowQXmqbzFQ3FY4+F6fFYf6Id1u2HDkPI8S5IiYZaPr 0GjIb3fgoiCb/s6UvttGHn2GVUdWbSsGUfyHA+0+xuccZ3FNI0nmYUYIDW+41VY1DDmfBwcTKfBq a89kzVyDO8gkxSRf/xR8/UbfXcxRgB6Im9SKOYwu88absSxwyvAJi0PmnMKs+4b65HILhT1XZWSQ 3oFUHkTktAvnyf+HS15rT4nJkq+I1n2wLajBruWO9ELjqMHB2JK/SizFrjRYqkYcQgosWoy6matp kLTOwHrMNyrdcXxLv3/VdDs2kqWjq23IFz1K9iT8uLPSvQ7a8zc0L3NScjm5ptUYk0/2PZP2cwL6 XRqvZRsYnKvV1amz/ZYbGqXdwaqU27EMtpqE5XC49ZvTTn9xQwHO7piLeinhWxBJS9bPU2ML+GL9 lLziAS3BHpDDhZE9wsgwdKEi1sH6woElkWwSxV2nmhVk5Ymq+cxGUcobkO7Cgeva+1HrGMbiATZR wY8KzaNn5a411f2iQ/Iq74YqnXzPWb05RtZvUHNb9hEw86zKT9NxaJA3j3qqfCipfbHkQfNyOCxA o8TFym+gdnEAgn3ncIcQjmmn1oZlVjFzEsLn4SyzlOlbLrcuYxtOD5M0zQ0p+ob0BDXPRGUBFD4t azmgv+xSJkbO4y5rFzMWpLpkuraWXX4buyyjPfRUvi9aPgDGVlUyZoUUvxjhAOJalbHRynja2kyG brVInHHOJy/pTPTtTH9rOUeguN/rbdbrYVFdyvZY1DfGWPLueWxCQjmM2iQh9CAjA2ILPH+0Cb6M mQvn5l5fMeIFvwaMHV5d158dU+26uWdL1vhrKoLVfgvJo0HpMTIDD10nQ+LMSxo1yRLDJyFDViDp SgV8UTcYCa61NQClP5byJCFxvxfC4+6TdVl1rJqG5iHtdZ8XxPTkOKBXd6EnTDd3q+0oVvqzfuQq NWTKspIdGjYdDK2ZvVoIi2Vg+8OXXzLVobDFxTlwWb3tjmvuVeHBNRMUGtXpmBcKYE4QmxfrkE9i hejQ2KKZQH/gOjk6bG+We8V0mrWHuIUezD4oXDAPRX+jm7UY7l3N+fs9CoWl0zfEeXTcCQ9CSmjU UC7aZzUB5PtVJ9Y+AOQEXmkTH7iWcR7IkypWpohVntKvE4kAl1grLW+Ywm9ay2lxGPEFQvrvjIwJ tXeSlO7/j7gG48wZVJoVvCBVt8B+tEEVTaTP1SC9BhF17bvio7oVDicOjJ5M7z844jxivwfUvbJz G7VIoYaUk03aYH324NNYXpIvis/1ZZ5eTjnxgwybPAPYvMn9zbSWiL07tPnukvnhc1R5mYQgAToN Sc+4r7b3kec38HiaaUSUCJeYPQ68JNH1U6l+1M1jtOtYjOt7Myh7gjXKBuNm9Crg1QW6a3Q6FG7k TeHkaESBQysRvSaL4ewlUncGM/QrAGO3VwVt6/kMtNek1o488Lnj8n24oYa/UIlLsS3e911kC3oF lg87820R4vKcQJZNzUic+xoMX794DRUjudvjVH/iuCGT1Xol9OuEJ8e2dKxqnPraMnos5dBXZzZP yLidQLXuC5uVOzlIi1rzY1HGQyP3uUqqRnwrQkgkuPIfp4dtx1+5qGfY+Oh2oHhlsslG5vWba0nd gUKO/qz0QcQpjMRYVihjsX54l+CEZQTRgNhixECXk+rEIUKEqbhQzBr018gsVurE39j2uVE9C1wK 0jEsCSyFMLxSKTlxvVjVPvGuaU5ODf8jiDykEeKjeNtKuHczMu/M9rPZmcRUeKT4v+mC7hJcvnh3 5pctYLcmQACsj9iF6tBWm8qB72mEBLI+Hye6qRlF1o7pLH7cHCi3YvlciAVJhPqlzJObCerQzDg2 q3NG7AHjyAeQ8nJWKwZXlzPwGujjZ6Sou24jchFMIezEYSPISXoCqh1PCmCFP3jKxTTAlQUcR+/0 y54ms7muIxFTdHcaYs/6ilU0Zx0gJfW2iUPfTUPLaGHBXzkKU3Rrv2KtVfR2erBo+GxL9t+OYnyS RcZnCzlIm2cMYBVUaDbPHNnnBts+K2+x3s4l4tiHf19vApamfseMwWbAVxgF6G9nGyi+NKEp2Tdi zcjrphrSSuO+9gfyMn4soncKRUl5eFBEj8DkmjHl9seFbttzfATEzZHRA+Y7pRV3i2NNUEp53qmZ JfAF7O1yMUSfENRMTovaPFWgJdCY9RUWlsSAG4W/KB8o/MSz/OLdoO+dwxXLH2qXy1by8cHWlcVr dXKCBvRh9cKlvmF/ZwOrB/ljWpYnEBNSDMkbTY5gbaDILl2VfGkRO6FPjrT694R4ubQ1aLfXFKji 53ihgO+fDn7/npc33U5V8V6QVuDWEqUO52fEUk6D7gyuJWvKSD3OCiqIQAOB1ZlL2jgXt1Av8Vqb cUyH1snSTbYMmMl2vpFhY6iy2Bmbc1akunPSwBF++/0EIxkfaLRbhngDk7gTV1DYUhdOaSc51jht qQFZ2QvVUAjpfoX80U+vzBYCH6b2MiG+hr98DTV41F6qM6Y9j1O+4LgGNGMF8ijAjjMBKAKME4bK tgWb0qnUpH3sqaRrzuSo8obT4zuxG88WoW+qtZzr2Lctg8zhwLtVdymn8f/j++dxlFDW7X/bv6F5 QFSfD11+wp1Rjbi+kawZsVMkEJpVo4Zzwr9o9t2xRcagqWEPInCen+cDiR8yK5anAObsMAQI9YeH k7fhKMaZ2lF7Kl/tjeRFTC+Of3bgG/wKYgg3yrgQ089StCeGLs14Hkx0wa1x4FSk2PxP1mSl7hNx zKTDQG/uS5rvXSgHUM694DN0OHkMovvkXG8ambJIzr3K6I05Wc8Pf5s2BKrSQQMyBFvyFbeZrMV0 PwtHimsehuW5RPY+K4l9IcxzGiE7MW0OcD7JTP8k/K7FziDY9j/vLO+ZY/h/idvB4imI4yo40zZc /Z8TXRnqlRbPulpeuGCsVd3ioxKq1MPvjGl0JG2YG+fFWlRkCJJyItIjGgO4L+tCu8fT2QBAQpid oL8y5TFCMRYoDiL2YA1veWrW96CKjzqoOIKOqEUUFofyC/GXlICZcspSdJ/JZJGmABa1lRwtZf8S XHn7W4/Xh9v/9xgxXJcOIs81+ynTvfi0MgWpqMuN3iD7oxwtULGfIfSwmDyBxUD5wA94ezmMz2Fo XsofhVoFQ9BBtoMgDMhLxlUbZoxcqwW84WfiTIp3l+DV9sZL7ezOQFLn7obxZbU8HLaYOGyF/GAE uO+t4Hg1He9OprQneIvIJlWzPNSfSPXkg3XmbpqBJH6h8soTxSBK1SbfxV6QdIejMXJy0322HtOG J+7av/t19e+g51mTY0usUNW4dUmO1dSjLZTUVqAGKtJYxfQvw/+tO5npFwJfMlPcXMO+zyicM0R2 ihAdQbqUVp29ZPKK/CEJzaL/lSD05xkWvkeP8yt9CwFa8dN0Stv/h18Lft0vs7EErZUAKS6Mx4Cc jKjfUw6L1RoTBi6Qs/gsi15+JDUie/xov9TzQwzdK8bESkyyHzCERTwmK7XcCltQYh2Caw88WTlI /UOwDK6yDWotwxnkMqxfN/w3E4CgrBVC9LPNkNC5jtTHEK8uYVJ4vhlDglibdoEJuGNV1zE2LaAY zYFPdEQfEzaJ5Q8HV5XXo0TOemzLOi6ouAM8Tb+964NOQD2scqPsGg9wTgpgdzlq+qkGk66uj2Uj GDONCFkmrVWNXNVvF0b+oUkLhnrZEHSj2cPo6VOIS9jMl/1t4Ya/4+mpd8kNCwis43zrh+iSG4fa Ml2oPpARJDPKESerlmIRXrOCn/kWCTW5PVhsE4FqVHw4c1/998eo0R4wojrHp2N2j58PdAGwgbwW KXQMI3n6SZSO2EM0XzxysqIOQlXX3gQaYw50cDiKE/wtBWfFLjdXemv6VNXziI7P2vE0tuNZJwxK tTYms8PdnqRBFDdJX5mFNznhzbsDi+uvzHyiMoOcZPBfZQaRjxIJhPTm9p0FFtKr2Bh8WxtlUdPu 9d0zTObozVkIpJKOwQq/KPnvKUUO8yH8XdACRy790gt6TY0Nw8YQHEsmn7/BW/bUqLDGuKkqhoOs 5TS7z/5hIqjwAl7FVsRDVo+32w1DQN6w4J0h9vFNBbO2rEwIESVq71DnZwPeAhdcyUpweUK5DPnw grVL7kuSur5TmrlJUfFl797A4DJlPgkeS3jrth73vFuN9zH71hFua3tfG2VBw/2DHKjRzt7eODlC rtC9rB+I4QfP/bPFPuBHgRIJC96HhZztq8OHoP7MM3YPuv5Xg9ipKMfGspIoEjGjTGsq3hp5tZXd yP/TrCxVyqnC9p7+oF/8AVnIdZkzIQu5vc3jIOzD1p1XdL/uyQDFQcSAAt/mbuenJ/cuOJXADaXt IWcor3yB5OwcugEmkak28MRZHk2m+4l9CEOCmGno4TZwCCxM4OHhWDlW0yFoB6gcixdXHpFigxDa C+wWhXZf9PdRK0BXV/31sVHEHot5BfsDbjgVFA0FD1AXa7Ano2EQo9UNDYZZwiuXPezyCGhu/z1Q RPd98S430+cX893fkSn71Tgh7woY7QpMrQnSR2pc433VAb3SFi2pCT+SGHjAEbIOdTzRt+D+Ib+J CbiNvlNfebWLhmQYWIY0Pe6ucos8hStgB4ZMhx/jB8HldbyB8ER/icDnJtt2wIvIgxUFQo4xRDIW r1Ssew7ejWTkb+dmfydsHsHch8eKXmM3mN+yDLO37xh9ynqO0b4N+Ga9pXjqrrQyX2tG5vB211bA prXyYDQxlloV4dVP9mszNSxu+3+0VEW2pxxR5hwEpj2HPVppB8aDcZbTGUeCnCvz7oUQVZyQcQpu gKZ/AOZ4HoMVwgp5BDIKmtfhnhqjfHWOqW6RvSmiVdNXh9/Rvz46J46LF8YlqehraGUWmYver8Wu lPz5eCvBB4k8bc7Xc0y31/y5GQbMGFWx4KGzSlcPG/TapaafuJ8zEWZtvufMXG9FnAryd/kEr6SO su84W4iMOZv8xqTYwfpnwHsgykkfLXk6W0DoCgNRDHPDkRJr07sKZwWIBHP0OZGhTzby09mxSHZQ +q5QqkxVIahWsxKWSrMFxoXjSPuzn+oYwzn9Euh3BWCtSRmJ/Vc9jXybzkEC2ydA0BKkQD6f4xj+ rezpg91Z4ljD/uLUSJmaSWEw04zFAEHZ88CpNzqilg1wvPnsFZXiV7pLJ4Y9xZUmcbacguzD05zD UaDjHcLv4E8PgWNXSkXQGAonCVF/KLsPmTS+PU5rgv2t3YgVV9VYf2tYUR5Rim6bOiVWTaEWPTKQ pr6WHia4FgRA1uk/2b11QX1wvqczi70vF2W1WL7yrrvHDEpiaoPhC7bzwivG8pxB5T6Yc6DJobeS ft0kUtdBv4M4IkyXYmhJo+EpfA1a5FOzuRdsCnCa3VTCekHZksihYc+YDEmXkBcDGQ79nMqzP5Tv T4iS5zR0kksP727YdUiArFycTeGANi+BgGs0zSvwqhe90DaXfPGtn+1qMHFuNjVRKguvTJtQi34+ JjTtU1wFklmUsCkS8hCW2j+htbqR9fKW7WYVezpNwcqX6jP/HopbkuO/joyMiZltr/mp/3B93w7T YR/1WudF0YA4lSyMVu+uzQFNJSNkOlW4dnFOaEBYBPJ9WITRx6HrfHiFI/89DLfOkdFptYCLrkUh iQrvNMDqGHSA6UO2G/h0AYlfmtQ8xAmYdEXSIBAhuUmK2v0dNEGEBeEDPY8ibKRp5q8pt/htU7TO cLPL6VpjDNXKQiMtQsbIbGPDEx8pP2C1vgluD8EflDXgBS7YwGIbxTly+dea9rH+y5TOvSGur+hs uA5RFQkm/lXm3kwDc2npGvA3CUELDK9Ozlq+S7rkRE0btAgx82UwcREm1W8GYrWD/20GlxB8EvY0 01fVO1xmtSPdErS/f6tpiPCuem03lMZZgxxmV+dGKcIJXGC5XS1whhFkpsluBFeuGWnO5g2m1saN AoK2jl26yxCAZecK8zoShcMj+8DRNgJAt/q1fTdAKQprjuE7lTHk0PQ3oYd5wyeGOiNBNW8pTKSm 8BrqHTThdbZtpcXEfo7xotckIE5Fgbs1uAPsDWURzsu+K0RH1nNY5pZoy+N1V1+QofojJE81OsNO aUH+Xtlx6WhAOWsuVkWrWYFtVwVE5twWl3N8wtc/S4Yw2RZJTlu71SO/QD84aL1mD8Fynk/Wlgtx E9hIZiXbRGfF8qdnOylIN7YLU7S6MDsK8vdCv1GMoYUtX5XImEBO28Xt5xJxN4FlGveD5vFhqP8r zv8T2yPoWaSHXbe0p8Izlk6CrUqyOfSx9MytdPpqRN3UxalpGBO5GSOYcLL4Qrh3AISVNNVD+ayb lTCyspStgDbGDa7I82Bd3T+q08Ce9kjlZh3rk09ZeY4YZgthPPYO6yN14ftjdHdKvJwzykTW2BvJ jBLBC8lRgRRCyrIl/qNYZE6cyCH5QkrZaG1ZVv3VsUtF3WSdN20JcdOywBP+mrd13HGiCVpoK11N 6g7ezA8x7eD53rkYhPtqcI5u65t+OCahALjBAy4YJ1GHd9jb9hr4XR2Hrl4dpquHO+/6UmWARMpA o4sK0pB+X62hqxtXv02wbFk8NHHhbjR9PTVbsOyoMLYbgYWSOOfU/W1qcdYczwgdJ8SS+yf464I2 uFVAiZoZ8NtborZU1CRlfK8/abOZmR7eJOTty3K2NSNJ8+PcedPD2ht2q0HDbjtWe2yD+mB7dvgA qfIVj5xboairZize4iPkwlRPiZh3FlwGcEnU1Jn3v+CVNSxOowiOzKqQ6B08T+P0ODpbI0iXu1qS 4jsFEj9FCpO0/YwGpiWXgE1EItfDSMyklVm2MTd4s71nqhgu0ZMBf8CPQSmsjb/nuG6llUpvR2hD 3uafyZVxZj+fvwsYT3kumoyZilFm96oLeIAiEYwBC5buV/htDt5G173Ft2S3S7U3ZgSeTLAaps80 bFT5w2rtz1CDZWlbdeCHKl59a+YnyxjdvjqSFf8rjv9szlhA9Rvtk/isbgZ9Kb58J3Vp5Oi/zPhS n74iUFoMdB+fzPpj5FXBqCa1Y/QtUx+do0D4pYe9+VW7lyRr/8StUNF312I5GeaT7ocpVq5gWmqA W99q7TKuFUbVjhF9Lyyb3D9k0sY3sT6f1gdeP5Go4BUlaLgHC8LwEN0iVjyF6ilKqLK8hY2Z9V0m gaXebY+H2pUVU73mIDIARFb7SXdZPzmzITPDIc0gqUunLGU14Xi+Dz99Fq7fp7+iEVeeeUBcBvXK GDrqms3KJYPqMXmCJyrjNA9ByD82TAX2t0/A2nhWxFpOMhvUtyV3RshP+0mDk1yKUMX/fhrSLIcq H3QcTw9SVJKduME+YNnXdhQVNT/Z933MZH7f116me1+eP0BTMDP5UhsxaMti1R5rz+FgCx3KhX6K cLr5EOdt9AoJgjhtC3ulwlEwcTbf2JqWEGHfPkffEGeEgDxqwcezZV8GcF7E20eg/L3LQadZYJHa AhhL1XLmCcX2vRidUkI9HTOmXrAYAT5ULSgYHOUy5Y8q/S8cIVFmvi4HyV8ZYuoM8hHx41gSEC4I idmr2FCni0jVkRv7D9eDgPuXpS4vrYPrH9fN1BWu8/g+ErbdWGc5f1Jh+dFT526jDv/V8att/0A4 KZLTV4ZyLOGZwsj0ULZzOuEqyA1pQyT2O5Q3FQ8DMVMFJIZS6gpgaWGWO/LDd9/LrcLFXqzpuFB2 HqBHsLHIdZwWJ2m8hu5dR6B1Qv2IA0wUGuC5IwpRa6jD+PrplqxMCv2+fBogL5p99pB7keejz8Q4 N8tr/hpI8FoOEhKDAwHGNCA5q0IBdWWCOpL83dCebFdpoUG7/7OeETPC2+YKq/99G37A6H8CVOhe AC1Ap/yPcf/fq0vhG+JUschEu6Dpo5fPSpJJZL7wvkPJx8uM01SndwI8ofhmRgeWuvIVa8OuAJN+ xEuufB/Xi8P6deC77R4V8S2O0+AMmScrQkcu5APh6GsjIEX7oEbQRIGZFtq+1wTjYCfi1zMMXFyE 3EnYmbjN/W5de/xW3TGQHQLtW5D92hWJ4+77m0OHN4nt4mA1kA87zvBCMzKVOQTCZq8DJWRpa/qy ihzb5cgHXleR0TrWdBHywzmi1vePRkXqV4YqH0yTDvuuauZRKfieksJhTmFunRSFzAIJG08TYIUh 23v0euHYMKUyvmNAhvUaIyQPLz6pMx6B7BCkIkkED2G+J5SUjexG1REdVcZq/zQ944Ax7APbRnuH Sy5Qoq5F4iwZiruACU8C6X6LPSSSoQdHZolIjWlAC7PJhKk+VbGQ83OfKd+KWR70NIIb+/k5iuJe egzJVjy+MVHcuzFwLPfsKvnCVgzZMERteWL/Rv/sFzqFaFOC5oHjTtQSn40WQ434EjTMa+lP/PrQ nUlfeHxZ9/YTcMnm078urR0pGcBIvgoootAiJJnZh3N5bPrKGDQO6fbuaGufGZnXMROQmrXnWNDe l82MhgSnewTBvEUlVd/DAfIbhOjZLvjH2Gg2Vbl0cqMvWRAty6UoEw3FszJFIJphjlo8xJrlKJ6W eTAQS6MGwwHdKSsH4RwshiBuTxqdxRbXSr0sciAHy9HL0Tq5Ru+qwPA8vv5q4U2WvUUrwijNVYNA Op3+mnHOEuQq+ol4QYWliaRJKQdlAfQzV2yY22m1YfDZ0s2rqKJpkGlbwDO3rcxQGkB8O2psszN0 Qx4/4Vjh6y76uZH2OGXngd4ZxrTV2xrjVfJlLPzk+zf4LcBapG+hSjJK4w7+nMzfVr3Tyi4Kv93/ UXPFt0lTYqTszTsPi9xeqGk9dWRcevJI1bPvkJNEPoj+13sEeO1kxnDevLbFdD9wk7wFG7+5ZuSO BkKc0zK+8LgwCusFgZGsQ0kqABtSrnNH7elsUJ5SFa1j62Htku+zUmdCSsJYYAK0aQVIZ22uycbX 3cAyCgxS2fh+gUL0xd9dKnNbx/iWDfnyPNEUvvMqWgx2x2nZRNAtjDIhGmXLY7fAvJ/+26sn3Dgg TJipgokQ7Tmnu2SKYWvM8R2UyKz66VO/tkWFSDhbaOwEpkdEKQgrWsVVJFgIgghnqi1i1FO2fKrw N8YoK3NtupN1OwziEplz6B5gZMpg+SJrmFmZbDNXPkcNlDH2l5357UrRRG0ChrG7DhOZgcQmFkP0 qpfY2wJA/WRZ1LoJrTZH1AItMZFx6u95Y2CcKJWSrNqSp341Rn3PaTTypRPbCzyz7355n4ePqiv8 07mU6alD+8iQo8U+U4+8xhIZAVakJZr1Kt7EqsAl7WpfR+cT5+aLs2gPkZmK/lxuPcGLDRDOJeHq r5yX7UqFqGOBBKJab3l/wRFGtDYM9dThNEkn5qEa5HoV5l0Vf02eeyGsTeIlNKdpZMxeQxoRJMLk BlxzrVxZwMA0D+TdCURka3gAyRcfv5NfQf5xvVwrHLRyyqnCA3s/yNvejL8le93dbhDJVkJX7iXA JGntA5qslbffCtY3U8zBP1M+78XAIhQZicIMJMfL1EIqOHA6MmX/Q8gTstRZVXHvaAoblL0Mn+11 Wg5KAJSyVn2/Z/paIQ54tvWlgoSPbmYOMOxKN1lXf6MZXPAqQ716O7iVf2SzPVcILdSq/pFtfMOV mnPEyynVYEpyR/M8xnXgF/A1UJ0Kaah9/W9rw27z76o3+u/EqyeoAe67BJ0IPMsqzwnSddtZuOqr AjAhQLjLHTVwJCpDupn+K2LoW0TX5atVKiIpjwMl+A/ZTx3VYK+/yW5uT41Zt/9Vqj6SBt7cM9CL dz0BMRfiIevMLgbD1ctpi/qn7+122GFDvGWsFufG0jMe5FY942q3KEp1F6r6CwpC4iLOFlkg8Dse ++qK/9ixg05QIRlGtsL9oWg4mkmTMl/YQVIy4YFIHAJKcrL1XlHM5ewLqBt0+Xe7DM7LadAypRLW hHiIzpJT5wHnE7UYe0jIFVYem8dIhG0k2/wI/BBwq6MpVmddJJPEHOoRmZrXCqq1snWK2ZXZDMTF Dm03ggcRoU9b0h2erCSeBdSdtwc+kVk4M8mIzF/kRRMdmOe3SGTcYveDBqP/FDSlN0zXivmPxyie gjrVBrUsfwaL7IHTprxOGMZbZBt8j/B3iVep2UilroT//BLouYLg4qj2l7Znp2QPzLLJLjx2U4zI RQNAUJntywgCam3k0clmqOJVy/u3vqvwtRL1hFYUED4uAWJIDLJsqjcuxUh6mZ4mKvlMnggjr9uw JfU1qfUwdq/a00F0KLdROxyfX4+OfIYJ+tjSVfpcuw/6i/QTLZq/BG7cx/ZHOSOFDqYWQRfXSrFX PxFJTDShn86nS4JrHlX8q5BdmSL7P+R9TbchETtK1NJPD/RTdJjX3u6P663jxVtUWcU22FveKEet 9fRhB7xeDxbha5pGI7pkTbPRcfaG30SKf539nmcK4yM7rh7TsCKRDzmHork2i6fQ2eYFppkfKZSQ ZQb/Z8eIgju4L1YgBxeJ4aMFeqdv53ZtDg3+87rRgtw1eIwWlAg6HmKCEtbIfjpN20vYnz+SFiBv wB7/TacnouAGKxRSRGXi9IENfU93+53be98mQb8PWQ1wBt7reJLZGPvOdg++t4/xnTlJ6IXPmRKc AchjZMwyviUhTlpJvgXYAsaKw5CZ0Qd+lB2l18fPLrtKwGMT0+/bh+YABnpioMY8YoQDmUMqUGI8 fPG8QfyOKiVcoPVu1/qqjlStyoNE5zo6cqi9UmyGjn+UU8CPUNMGhz3ijg2VqekqFFKmzQ4bXKtP bLH4YOTdfBFsEmHtLmD0x9d9+0lb67ZnoRD4f/3l1f741KnURllPvXNLryXDAzt8XZrAQX0Dt1dT 5byDFDy9/un6XlSef+Rde+X04fFuTOrdLvBE/Eru1fxCq2lS6zfoHuKuZx4bRYru56Luvw22q4/K KEotfUN3mV5pW31Lcg8gJuJDBAMEgeE5SxKsK8Ixm6lpMyZbKn5VFj7n+yjeKnj/q3ZZ7p7WTTPd 0ZxK6HLqL4Fl+xn3W1O931li9NnNiI3hOlYpmHbWDD5jXkIJvaUcGXCkpWH8K7eWjxJK8mzZyPlX oyB61MzfRZH+Gb/6E74ANEv67TVOvniv9ULZ2VDIBqEPGpk8TnlUtYgTLSlIxifp409RKeDohpoO 6wcX/ZRgTmdOPU/7qUsJBnB/SsXullLCvEc9PW6Zp3GlRgcVdPWqpShZcibuUpWbcy79oP/JTxUa qfqBdJZLjtF+9neNkpPqRTTNLvd6+Q1IeiLaGZz3azv6cy28gVlj6xQmGcl0oCvASKW2tRRHo6I+ 8KTnTNb7DFCghtDAniesP/Pv5yByj6qHJWS+kyjyM/vc7ATTzMvBzXTCq4vDRg2bpraGHjS3yioI JRxOcX2aVymkMVtg+HPuJyrdZ3qjdZFuo5VptOyTizIYp1q0U18/EFvCr7MFEn+WULCOxJx4zdLo SzU/LDz/MmHeMPmAZuabmPmy2MoCgvseoOYMPLYr/Z0rgG6jx/K62eliiwuhuTeS4OP2roZ6/uv7 JFXr7zLr4d/QpS0VR629Rdg9eMbzkKE+/vlm86cjBnX9mwZec6cOe6viMNSx8U26ph5vLNOLIL23 GY14rVSM9UJUkA0G2oXvaluU0/DX7qRhni/z3f+/nZulSOBglKc1qox4HXAPF5++83+M92UrgUv5 OvpOw4doXSh3m/3OKzrqdpH5O6xtTFFBw/+3zaOKnqMoaHiaVY+NFGW1wkHoPhmA/cEg0m1zFESV M5xoEeNYmd3swN76GSh8DIqpd8zZ1be/pC5mg+q+ByhXZzw+AARZaJoGrpQ41A9t/nxDE+hWKNKS uh/mfy5BfqiIuHwGmNgsXLt0AVuqVv29v+r8AvjhnglI2Qotw9Suq1BcJ1PXUOiRzZ9iuNcwRdfk Rj6HW5DhQBpUwAFC95c3mK1H+JPNYiO8b4musMCoFbkM23JLWr5NVi5qUFEC5bOGbZlVX1fcC/js 14GFmI91lfXRZyM8StRJ5/IGzT6gEjCwuPaqNsi0URJHRjhSY6bo/2uj+0jLM0X3lw9gJSV1OR+E AY3ZRQOrUMgTYY05VJBueFgv/QaNdrvyrVk4vWlSPNJgBfSS8YmoOSk3woGtz39aJxCIR0HzrmVw dkA5NxZHkIy1hbjHxIVlJmKH8iZtnvflkhhBdJqIoXSbZf/iO0vuvwsfwHKRLMrajO+AfZC9h//a pRoePWEWLRJSWNwELRijSR1Ugt+lsNUPWZEB2yN/9AI8quuzyJDMs8jlST939tMS2RpWaJ3dGrAD lMwBbSpRNhT4GYgjSbIkMqWnwT68k5zOZl7E4PRk9+b2vnPQhEpKDdER2RT8ECovHYDC1dC8Yf25 eo4myc/88c+5AEH1l242/SIfE89S5C9VIJhONVLWN47m1tUvEeFOJO/mOwxJ2d+2wdt/U8Lgv+Lf uac8eWBIu2H/MLvuybeOwU11WNHpi4/K8Vrtxyc6LFtIGem4YVqIZFbZv8gc7gce/UTVKYXbSL54 u5Xpnu0P6eKUs/VQECGsVD+tnfIVNh8SdEPOBj9DoDJcGPEFLd7LJjjBme6PQ/gGeD83C42wF06D hwCEtWYBOYMAf8LVP/gfBMnKoqTaBCNTuMyZUKgooklr5OUuOxYB6lHDQUmIVr1gkrWPznabSf+n riAYYNpu2A01o+I3uv+4O0r9j84kIGBSVrKzmtZKeYoc41sM+oBl7UFANWmrIIKANVWSFo99bofz D788LiTCLkxmTOp9a679euXjpIflAP+lwIpfKHTx1HuZ66DCGeybd8fpVdc5DNhtZIOZ53eI5qC7 Y/r+tdfB5g7Ti38CxSf7bmtvqN2DyXddohh+VI/Ep+4CmZQ1W1GxrpeEt4sQvQtpeiHGBlbxcD5p zPSZsrBvD/lUgGNNoYkHPX6FbDR30tGnuvGLHZGO1ARQyID03zLTdKjkqIHorsz5gnqzQhLf6s/5 cgfTMFccWgiYv5vpgQvrEIBHp3C20AfjRSVUG4Rg8ZMMBr2or9+xHimyAc6JPQGG7aDkCPyMlzeG LtJ+u/K1aJ5R8th/6UCyGxNn1+LIInkKwPJv2t65GbU7QUd6b0WqBiWE+wYK/6Jggqwqul8SHyM8 I0Lbt9jl9lHUmWRJQDssQN8OdplTrjBySP22fBWK7KzMqDhZxNMSRoj91I+5UK+qzizxUp1ThUeD t3L06TYOM1I0OR8ied0Hzr/cdOSZfg5r8GgnN9YL/Fqs4Wxxw5OIxGbtR8yEgrPDvb4NXwGDDHo+ aAB5tumLzmVLdqeVVdzunbbdBwBONRG6asIoH2AyMlF39g1JmoR1rThIpgMRLVNaTBpZh6cqNjIY /TJFFJsAhwo7j4ghBtyzC+t5y6CQitdUodCaRAVOZooapfb/C+IDyqCdC4nzZaJj5VdoZhhnrtUn Bdw1+h03pFFxWxQmgAMrEHHx0DRZEyfQ4SrP61LPqTNh+btu+pE3ue9tazXe5ebh+LgjcUDmx76r Bh9zxcwtssN5B7jaZiJuF7vPUFGSKd0HWkNqwes9CDGYPVQ+0wYO4Nd7yuieLT5+YOSgyeU+eY2T pbPSPgwWL/ozdLK45UV/hLn9f/9hHvoqtZZ7IuMhn702RYLPQnqPKz4Ev24f8b4s7/cz2RTNn1Fg Cegd//eW9WI7Bo8oyN7glQIT1CogwVqIf9KBss23EBRLX7+kHSucILGA+alth3idW8W01vK3m3gk otlHFfILG2EfV5SSmYhsbyaXPYYxj5kM0Psqg6nILf9umDf8NMx46GmBtlx9WSYji5+ZqFAp6wNW WHXsLACAYonRuwT0CqfPrOM9I/W8HQBxUZf6yfpR6TPFPaB4S2iZctR4YGDDN43YdTsEnX0RiRzs diQzuer1eoOkez3KFT2Ogr0nxBdFLFqt07p0y1kr+ZLTYCfwo8Vy5aqUMZNqA77V5F0a2xTeFHxC L87Ed4DLj4KfUce9Yw0ZPKFf8Lc0JIgt2P0aNmgAKP7zMUt7Deys2kgcqxqThx31nsX7QZs4LoMT zr5IMqa/DJJx3p26K4bFuG9F5jFDJlueVUy2ILaHG7ImDoqbglUnKFMJRehmwaHh33McgisYdrt3 tLajZLil4p5HW9EtzTiXsT5zQs0jnVQz7k7UgtdmwJkxCoWt4byFG0drXLhv+NI3MhjIirJ+Kqxp RgNRDWM3PMd8xGjVPE3+FRb7enuEkXsvUsmFif04jR0GDyq6G8KhCCg5WyS84fqkUwQRqT4bGD8m j8t4J9zWfuwoyqcjnf079MjK57XeHTv/h4YN2R2ZLEy1fFc7udK6Ldo7tWIWik3PR74i046yopmi QkKCztaj/WOnsI7LkGLGKqnglBRHU/WQR04kWltbOzq1UMTMYOkm2SRJF3UUP7gwjq3YSp/nUu8u aDg7eXuVCdy7XyYy4KXFb/CYQhLGbL4zEpLkCLyUZjjC5dGsCJwE4+NHPx1/eIhrWvdU5mAGlSaU sznbwNckzvTm2ydpSH8qAZ26HR5tPLVjN7Cl5Y7QiS0qxTgWL2D8GfxGyzn9LFRyC9E2WCfS9ziE AapUk3Niz/nIiQMRzxEuKXqvw3xsuRacyndUBLTBhrgVabKYWogQtWKa+Wzqj2BDz7/e9aANTgC8 s3bpeVJSahAa0Tlg1bxvfw1NKtNx7cBplVxxXTs6DI1xCHBbqFBDicomrpD3gAIXEoAPoDfHJPDj 3euw8z/rj9bHD/lugkKDwsUgNM3SvBHLLyofOjcmRLyrqhaGpl6zoupHy0ed7MzAUhURwwlQU2e6 63DoXFw4fhm6sIKihm60bOUxDe0pyR1HxXkW5vGig7Zw/i9We2g4UndTgPvq+LDnd4pDkyg8iSm8 BCzqiyoAo8vWXoVmfDx6uah5aE9wZOMkLew8AlNhP2kMTKTOJGd5RYqh+gF85piC9/OGCYF98MLZ CFfr91NWe9S7EGPM6N5Xgb2uzsAiC/rNfmSNRnA7zbiEabrTB4iyINp0ZmDa9ed7yuWHwNO/niMe vaCPtduLJmlaHhB9AhpFEdZejXxIkQix4kAxlebcZ13f7vVmpt6e7BEFsKuIOwVzwJhKI9FfeTvE igcKbxavkceU7ZBwmcmKnNs2P5jUudg1pJ5/tJ27hKextAopl9LB4xH+dq1i+9IugB+fXMO+K9BR 0fjtHrkZzqZ4LaGC9OmedAE5X6bUWMmTs2V2MLy6NDIoHwCsfTwgNiswJO1agPPa2DFddns4Q9R5 IYJq0IyQ5gr+x0JP7SB8k0sTnHOMGA4BEmDsSx+/mv0aREJdoanQghOnKgb7bZ8GC8WoFhnunxgd 89E6QUUWnpDIMYKvnDmyCXwS6OFzFMB4rfRc8n9c2pIArxdH4BhpFQsIHbCE10UlKMq3Q4j/kZ1/ rCp7/jUZZ19AMjmAJY4G+MjKkz/ykN5WOubIgyF8Y5E3sMnwlP8kuIY8VqHGbxi/nyMQviTuGZ0y nWlp/YnnVouG2DtVWM6QBhS4gsz3d6S7oP4DwPlaIK7LmPjZnrfVStZtw9w2p168kzM9Wr7uPGSL TNV7nazvYQqWsFdrb7+596FY4yWqTVOqHVrvQK8s+guPwCQSwyZ4nKsYdWNBQP3uaXPrWGrXFgjM xy/vs6VqIk4vektTygp6PEcxyUyL8JJKuHEIKOVb6ddqoq0YkNToaYOUrmBSGKiMC1T8X/4cSC0R PAQSwa5y3l/G5Oz5wPyNFLpHiDK874x/c/YkGc/o3maXhrkOQJdVcCixfwAtcjjQTTPvIvy0HEDi 75SJ1DP+z/gy56rhHn3CKDBH7D8yLrLBt1oGd8d6w9Qr0Di/hQJUT+iUOlH0z21G7UYq/pKyWVcg Now14IJtBiGSEZXcVmIrzTB28bRwSH+ELloI0Oe691PdrJt5ITSkgv+WCe+IPkn6vt9ACqUTC5LZ US2nqzkamA9bRt0zmXSYtD0PblmYlLdhV44WBadMMIEkPYW9U/I5s3BhUyqcB6kHO3r943B5Taac DO+9ezIs8anL4yyhUn+ANFdwtYryDNiZ8scbW/B+pTgVHI8vwk8wI8696I+wMRE8qyWYtFQkikLj EZ+z3fw0mH+W7/ikbFRzVMng8nLZUez02eQwnR9fY70ulVsY2mVtVM4K9k0pRlfeDXKszW/Pm3aN JGviiZt2EgWoRg6oz8oPPnPAw+FiGGbMqHedd/i6MvcAyoc572httRIEJLUj9zShs/FOsHiWkWS7 ilVuFixwZCEUHS5v/GqItnckjDm2g1cDXuCCSzImpb01C4184ayge2py/BmoziLWqkkHEViVkpah kW+4T8R7bqCLFcft8ocNEuNHWuXAgvqpm1C1Vlp+BIWu/8koYGgndHRr6+cCqlFZORjxNNVJfjju uO5CMg4q3NqTlqyGm9cFKRWWxvowQdijmxGmIArR1JfXDVcc2G0Dp1g3ODBXJxuBNLN+PhWHMMrE iCq2EWXT2djc+JCyLfTcta7k1CMrA0Kr2LXpQbvSTjPMYDbmzIGJ2H2mp2ATW4q3Ny0ix6Y9mBjr h5tIT5jnCoHdMjlDul4JTQhPPRcTYEN+w3F4GASON7kkPMPbV7pw5tT5MY81aMBMxejvUIXkRNni KVpboRWanG5ouR6s86TdZMmxll/02aeufzY+G7tBhqnZbKsyo99RT22ljF8l9dycR+GSizogQBWa 9VkLhkpzsKZ9q0MnuIR/N6YGOhyaWlv8FQwEy8YTSsytEybiiizsFrpuARPBp2ZOe9ylhVnPtMxd 2xDIEj78ABehw19FYcPlIn+g2rlqluG3kqAhxSFieIIMFtEoWRC2hkmjukRsCjyWzlISt4Se5vF3 LFFrlI9pcEtezISQe1ANY88xATK8CzSPBjm9lmurgSSgSq198y/ooitN5LNkZ3ZFNN0++QGvKCDs TZoaWPOjJkOQURIj8ZKWo5Zwf2fX8ixACQT6TlGn0neS9qlDf/Pl44pk25qvUlaPXjThS4OXA7o1 l6xqmhtG1m/+RcZYI21HcTB1gyo2/Iud1bco5VxrmY5gLJIKCK0gbiLs6ge8nLpTEvSyXcRd2u86 +tt06J1A7ivF1VaHhgAaeRqMZtdYWpgTwALg6267rrCNoFinBojcHR1j4qZ7rJbFQl6k7hRX8JjP 5MRKD1TTztxFy3EI2zodSGLhBaXOimBWapwVKLmAmSCzmrrDwE5u/0G0DzLCewO5ZJiwzeGCHCgV YGFK89kL9sKjHVzylyjYsrRohTadz6yoTVrqWuTMLaJEWbooV2Cn91CNHyXBpy+XWWb87LE6FgRz Xcb4PPgMD75U+wp+wURYj+/jE2uLukP0OnzQkd94T5YSURcSICGefRIzOSAjUPcYjgUGlS5sOtMM e71ERKLUKoZC27CO+SgYkngbm+XF732iPGEtXjbaN/QKh+IzRdlsSlPanKVFZaVhh3lJiz4yiaEg QgRhDYfoDyJSzSFcxm/XLlnrDPW8+EwUQnRRER1GG3duw2QBgLPQQip/2OfTzEi+osFvvpivc21t cqaInMw+dSpxfLePy0G0oqnX9uOlahfdpDfwU7HZddJXRQqzH8doKXZjp9ygA6zOWR1a6G1MZ34c YaCq1veaiCoAwq9kmVzDQYAFb+JExvUhaQR6ynJVmany3AkFFgiXbKdF9d0XkH+3CwROImSt138S hkzP9AMEUvVidELdqrFT+oEQ8hnQnMZKI23dRVzjov7KIuHhR5im93qyQXvbjFNyUWUsJ1pXYdRh hnjyhyCYSe52Y1Qn8TEmPZ/pxyWgfiNP82YnMrAlluh9G/sLgBwDXpB2d3hpUrxhZSliom+Mbz/a hoMh2xH52cGuuKVCxKRsiuwQPaUoshEWsj6+DCpIoRA45o5b1srjGkQ9XPTma7R9/0+pXrt8zKNR 5XEfaAj6QgBXOQgieyjQFint1XN4OjmaqIAbuDiFh14fOgsawuYKtbS+V9t95fSK0w2yTLSaUag2 krwbKJQgVlmZgNtqX3z4dEhWmRGKCFIXW4/icJOVc4elbVVsGHTH4MtCkDDk2SYgxsrog11eKgq9 K0ooWj9RhwOC50zrcQ+PnRz+3fxtN7zgsdiYwHj8tfV7Iy0RGouTfF/8mW/vm0taElecOYYbTZbt mVjND2IXa2wej3yAHY/CGu0V+dWlIz64KmHExJqzoT1EaGYf0zWOvLxFYEWjwAbRMFiyMHlsyd5M zEBmLffObC7tbOwgmyLEvtnm4RHYlEiRznu9+zo/TQpp8j+h6CzQg34D0+6IFkQfzmqmyLXnjGw+ lmXMd89snSjWDC4BJh9En5u/5x6IZ9uAqcE5bRk8WsMWwVahKo7btbXomdCkVKNuwYuQKULAdpBt OsIQusFbjxEF6ipyXSRwv5ZwZ7+kJP04b6iiXZ27UKjKec+PIrbyBFkupWIiq6ekp56zfa4V/E26 Wh8+RlnQoUoF9HXXfZmcPgVoWHnArL/gn1xUxQUiYFWgj+iZzu6qf31EmgMpHVGmb51OZdaH/S6I dZSsWXlv6xX9xSnB0alOT7ajYITQAPQJM30qHk5ErL7OB74OXgfsbvlEUOV3EDhDaf03YkRTQ63I unsFdeddcGI9OEUMb2rPnFnQy8+77eM5/ChOhs4vpk9+Aer1Vo/vwlsVsZReiSTJOtwfgfAkJ90M vHknppI0w0gqiNTP2i47uB0Fs9w3r3QMQi91+IQhCMnqOQBn3hs9TRqpDZ5t4/JlbIykagQpHRYz lRAyXZZv/MsNxEAYEXsH5NfG8DVBN9o3nx37xIf3CKPEKjbtKsL3gmhu3ImAp1+QOtCG5wE0/JSK S9l7t6qdYxNM9+jhM0ToY/U6uVr8t7arfhQ5z6+pUpouY9bWusU2A3AxVKqQ+KmTbXgNzOoFMbIC dowd96rgZDHWwQ06r+f4FFov48UOVLwJgC9G/UiHTs24hWPBkrxVOpu2zIG5TrCNNxFFYVCG4aB1 WX6QZMc+eaMEEI53CyvzFT6/2fypK5gylvmdpi1oMA0brp1m3MdEd2n4yQJePDvIxmn3Uci3QF5Y igq+FXT7yEo7WbRTHjzRIRmHsmLfZ/lMeTIcRZYRBmSWhqynyf+8XKfXUWsxbJYhrLroTqos5lSq jOlEjkCgzJVvNPaaiijzyK3o7ebIcVvERd2UdvxHlSVf/CEajAv//7BzRtiuWhQkbeN7+AXIDGvp OyV5/SxEJ9kgTQ5F0tsKCB9YTkHAIWmMsEAZ+01WSt6m2WkSfGSZC7BNLZxnsv6mlX7VJvgjBK3q 5GyLlJYNmZAXn/C0O1yaeuxKjmQuWwcVKA/8WDrqMwujw6D0hPcuJkBol+CMfUnl6527tXcsI1Ll qVwcmDtwGQil1Zpq9nLup9HTk9naUoF/vt3Hu1bb5XLngaHNP5etlEdZlo9/jPEQV3dDxj+ZhJI9 0VKl7Pqk6IF8aKR+tSVZ5h4nBp8s0g3kyqRMN2EO9M2YX77AbHG8VY/xuenrnLpSyNdg15uuujlz q7IwmPl2vZctyD3VColMiFSZaphyLxpYY8w42oRwZx5pXBZifz5Im2qCbgl8Ao3yjAjvlBeRG7hp CLttObRvRw0WCJXbTtYY1ex06QlYAl4Crr9vuqdLd9/fXRW4vgpsbvB1dnW2TwL7MUBP1ZrAk7DH QUu72uMkftSiH4W6iPYjFGrT6oAC90zG83wyvMIMb6pX35SPqnvPTfNuUAeJkHBF2c7aglSazytb dgntlotpj25rrJ0EMD/6I0VJX2OnCbLInJQea8AiwfYAVC1NWDVXKBT5aBpVts9KE5HX6+1vjyTW 7QK1tMI6N20WTi1MKhrBmKUXCEMZipRH44Lgn35GnasAZM/Ou+iJGWzuc7SlQ244R8AzC/glflir ecUYAC8qwhE+XdzU0fqbLnxf77nHu8U+2Hl/REoxf8zCR0uRb11Vw4jVSrEXL2f9msf2tpUKwTWO 93D5WB1tRbG38F5eqRBBzmp5y+YlEl2CbFPcSfhsLBDiJaRElbG1Sr2P+koiKibjK/gZ/tG6nLNs 0BKyKERPl0RJJbpgWjlNMxzzBuqQvEG7OnwiVau9al7uIQ1nNFIDmcdujegBzY3MEBKhJyoWdvFk jSIbKDp9CXJSxab4G9qZQDOKEBf8q9x/2B85zuyR5SB/b9T2KRZl8ITdHXLB9F9xqhDqf3DBJ8U+ WE3tt+WdhyKRQCbHSZm+gJh38DG+wxTjTCayaLazu1oLV5cB93Lt7CPEDFEKozk0rFMoV9+ob+UF smjZmUiuMd2pQcwCCWvWoK4b+BqGQGkGywat0bjgixztUHNnT4PIBfSYKX7JU0Y28RcRbOSc6N5O si6d6ano6/Tp38smIazjbYw1diOuhhMUQrtUq7vU2LeDAhi5GTn46DkVwXEvowInknfGrly9OrUG qtuys3vkmRMy/DKx/5+SPA7y9L+OAGX6uakDnLoyNfc3oLvXttIaY73A+MJal1wOzqTB98ryi+O2 L7T3ORy4IZTKLk4UGUGtwcexSBbD0kiiMJxb/sQKp14DGwYLXCQDZN4Zm7fOGl7JD8tutrYxewIs 9/DndqP0F4X7iiKQYmT4W1zO0kXMiiNopNRD+2l0S3uIbUPzNDJ30fQj8PkWj2G9s890lNcEZFMD B8bhY/rCdLXY8ou6zMgscdKc4WMWn/GE2QvXOKl/4IQbRtTz5xLRch/pJRKFGfc1ZdLGLPme6Rra HGdFwprd7l+778dkg3TQijh67OEiZ1nCd5N2OVktKer0QZPo/hv7UhU6SMv4oPGrrQRIuRq5UsP9 t/G6M3YRAhPGbPz1Abb298nx65fvcQ6xOi0zaVOAUmcXnRTmi86n2XgfImy93nqyp1O14gGvSHUt GP5CcGQFtaySB0FMf12G/TxixQ81nFVFzj8UdJi4pBxFcbYXqdjxTNDX4auiIARoiPcs+plP5+t5 wZsVb+pR6c6hUwamqxtQa7HX5LZlEkjj7VXPLndpepV3R2tkTthecbgdsmK7Z2p5d4ocFeE9OENU TUB/N0EPY9JfLBClXv2qgMqIX48/4c/VNeEKdIBZgwqRNP3hZgc6R3UXDnQRHaqYawmeb5JuJdtk GiMYJDdefe5e+7K0uTia3vd8+SVadVmtYkdsCR1p7WoUyiK0J5cUQ5AGAHN0XGeHPFxi6VDr7Fhv J7A7vaFkULbfPTEg2LpwEt87wE4MeBmIW+kiaO8ON0rQ4LN6I61Ixr9yqLzCpRT3r+pA1liNPFmp LAObIsrbISX5cwAcrFflCF06XnBr0rjwmc2LAMuZQ1DGlxx72lrPJRTNW2+MYvaAqsncDyy+3zn7 wqaKGPMFEpIth2aqa/3onIy4Iat6HIzpoUs1uSLzVOEnYch5oGzdF9ZJAs+jWRVG0VjmaZtAQra5 ushxT/WMY8ivRS9JQQb0F3jEtWBbKiW1tJnDRCIJ7a5u3heRfk1hp6Xatj4wMHkwNefALFfXJmcQ Vr/3IR7Mn/+RCndM6FZBQ5+r9Wna9iNbDkW43ATiG8vQfEWX8wmYWVGhCBE8LgU7s8wWT/prpDkV 9aGPl0205kJT3QOVmVhvbD7VcRDtondzN1UQ6hm71uChsyQrbArCaAwRfjr4SX8xYaH22NdRoSKc nBS972YapqoKh3CtNljFOVWiTpTueUXc72tsLE0t+d+jWOJN+zq5GwG66UKyVATuYY3lxw/sjX36 vPaXCbHSjA/KxaYjjnvvBu4BrEuGsE1f4XefUE7xNOnpq9E9GWmsg7Gwui25RhdMNEQ2S/zsRqaF NhP3JUuyvlhX+RNRJOYzSgyDa0SCDfJfqMVN5a2AXobHwSH9SpHs5niuo6HIpAw6TSRHj8KK0PIb 4aVipv/klobpn2dbEbmPPWz1Tjvs+OQKzdUXe9zUrHAMqOzojBP4zV+4PZmUGSvAje4ZA48pXltF lvHRjM7tvbNH2XXhW1klfh/lOgvpqafnWBB05vEgnMM1xhyE55AHk2tgBQUyB3Q1cwubyD9jgR+W eWdg+iEAV05yUps7xtYHBciv2+hnGl7c6shdnXIL8Fyq4xkHYAh9StCzZ1Ie7F5VeNC0Im1sGEZn KO7Af1Ns1I+OJ3EhQAnCQLUD8vfQ1lHYjWCxLy4LHIio5ZGL/lUjIyYUZ87KjjvvKnCs24LaUnzj xOM9bmIbNm9NwJBYAvqknh+JGporqDbTs1vjjIdOCOCwCeZVudJLDRHNhKRJFB7w4USrypkAZXgM s+BMaJILUB56kQ6moBC4Jr7Ia6fuvJXI5SrQOZr5uwjv+a3gFiSheztsRulrvMno9VezIhm7ZpVl iLrhCUYWshtKVQaYzMTl+jQssijfx0uuqUaP/vUEWk8TtymDW5OBaiLNDMCwGWyA1dl7MHEEYTHY B8FsVNXZV4wrVo6KcwmWpZr+HYjkaonazLj39h+pBo2bJAqMdPt8+c3UPISDoxgJvI7+PS/K/LWz QRgtx8HC6oG/F09yVkf1GSqVl/+q4QFC1zxh6js8cwWHPnscXNSunBv8Z1wkTVWe+i8ozY/0bcQI 8lbt2Mzoj2VBtomINgQzXv0eac2be/Pqd3//BB8D2V/vJydqPp3Yf8OISrZSZj0vbrbPmnE1uS1L uSPxMTf6GszrkKmAUdJ9F0JpOMit+ruh8IV1OHG/HWGHBkCKTCrbvfwarBEK0tSv1ncKLji6jp8N o2MxDyDr6Rz3hTRHtfUYbt74csYYpikaEAABOLaaT95ejEGCXasK5lA8rVilWPKtHVEniwIfwY+v TMGcNKCvj4M5xE8te41JYJ3lFye17HzaTIUkLv4krF8lrpYIHQvBCFNXweJv8KCxgrw0iBF3Cacg 3kqGPGuoy9J/51dOpiPh7Krfxx4xTyBGjkeLimpv2HUv12gKfTw7jtxsHBZCs296cqTnxmJC7q00 +sBwdgcIZ6ea11pblUXG+o1rNcoPVDKKtbJ34BmHPGqeqEzl55AmsoqXaGn+CEGhoPEF7jP85Pon nECzaPR9hRJc032dzxvdV5s1KxoUIYcFGDXGKK6SeJATdYqoFouNyWMzW9qbCo2Oskn4FtPK/ohO E44Ukj5/QnnPNbUSbVLTywFo6SFulx6aBgO1ptZl2ezy0A0zNaVcwbpbohrC9UjhG1ojmxuGq9rn /4FT49iuO0EOSt5x2IATUkyOXtCGmqud792VtaPxU3ySg5gOPc2/PnpVRzGG8kbxEmtP7iBEeDhG rP4CxMvRoiWJ80pvqSy9JehpOQPLSkQOpDTeQRdv9KEKFXdG6h8tozqvaTY708duFqHa3Pio4RJj +yRUO9nHuMWT+OsiS7x0Lay7hj+0Y1PBFEWv8+YbJUV0edTHSRqjyA5Ap7Mjr+Jt7GGQEmSL+0xx 8iY1FA2h2+6/BrCpuTLE2wIoRdO+wUvTF4AV8hivhMw7pj1asAwZ/lvx2V0xdb1LnNfTRhDm+yb9 JrAphnPataqlji2xibgxuZL/3fkHO+c4u6bcaGn7qMTRTFT0e9hMrmRfbvZflwaWO3BIcc3TG/S5 ECJm4EyERTo1w8bDUHQ4i+LzXTVEQncpyGTcriylPXm+XFPDArK+Ysf7E5VWsgzmR80WYzMNCKGc Moj9S5XppmO6ihpKbWinzbEby29bHSDEWuuqkue9OT0WoyigGLI1vB4rswvkIzbvdVXN5fr/XrH6 7xtKmOE2e/VkROIwSGehDYyBYN4qjEdu1ODyviznMnd2wCBQYuqYJBh13rj22QJNwA5QYont6ejb QAqEPOKsypbgtyqo5UHXD3xBUNNG9jsXsldpcu7CHHHsy53O0PZP8OaE1Ip4xosctEyNPjGVLaP+ 2dkT9yfK+cFB1M07AAmJZL7ZzOYA+W1PmQTu0e5aEuALSH4HLwUzLfGAKPKDVGDTJOqm80GD2wBn lLW7IqbMIVXaaYgHe20LiqsDrgfOBwHPi7kdxwDTf5Holrd288C/4m1NEKoFIMxQerpeJp4MCOKH jcrCMvFu6NlNpxBGWks2IxG7jk9E6vpVPwXrFRachKPier1ucXpIRHr+E+GjuwoV7KN9CxD98Mve 72PIExl42WS0kv9PbfEorg7WHZq5ZNSYFAFp16DuZg16DfSac6AzxZ7Pvtvm9CrGXjiHAYaBWu2j P0bdogNFLJQ1eBKLa70lvtioOvflNdoH3PY88zXbrfEsbrtAmOui2tthEHza6eZHFQeKi7JslZb9 sIEJMcUgjyy9oj9gGfb+Q+FO5ziPQdS8HbEbWytrnyZnuMkJNrfwo8G9J23mi4efcpfmiRYYY7Sc bHZ4w8e9j5VnIeoBwi8y9EJfkvf0SmrJIyhit+f1cUeFR53rBvOTvyH7S++vOKuUZMUZG+YbsEVg yeRfsY0dmU23bf2iogh4tUvwPsxMlC4c2vhkVYAZQ38WwNXAsgookZHu3mAgMNXhsKeM4JVi9txa TjCHUcqxxgLkuzNrwS31sfwSRnCNii78qtpP5LPEW1yT7ceOYUxPyB69UilZiFS9O+EZ7ysMkwk0 YxkaqnP0SBvzpS64Lzk+HHD8XAW34uotfrcknR0SYdzlTwQF5NQWtYV1wsfquwf52Ng6fDi5mB6U iRkybJ0AZlaCSZ3vgVimXjocj+zLRYcMCPa6kU7DXZT8oBz8f9/T6ShXDvaApDR0LUBC9GPwBZ7C dGCu/10wHpV/OVQbFgt3F0Dd1crl54awUdoPkd9xXJx2H57rHD1sepRSE5CT1BpgjKXmHjIo7F7t Ki+107pLt/xbkaqt7Dpg545qvaTI3ndZ4JdA68L2xcVw7X1vf0nheidDRDiRkqSB1W+L7DP4UQ3k InXOlk/Is3D32S9CWaxn+UyOEHJ0/zV7tzchyUmIb0ZqdN4BfcIJt/x/3AnfVwrZvECQmKxSclWG UPlXClCvRlncxxYUnErfeRigTsl8nALzhMOjDnZ57tkIeoemqOcm7oN8pcMdMWN7djbbwIM54amx K8KYiGeOZ+kwUBEL+sNzFI+J1Huun3wEQ0/MDvQfifulw9tdojtp2SuWY28FHDfwymhbzM82LvYY 1YZrt413078sJO7o5gOrMyBQwbCJ4KxTzeurgyRDv1YaEGJxMxDYRZnw2BYfTbuegYti+jy3HqC1 G21RVxxRfuEVitAWNPNXJ6Ok8CaNL/jdnGWFOAlG3QoeQSIWvXLX8WWxhUtgwdHlNJFcqSMlKPYK f+a6qgzDVRWpjd8APKGaPzTNkz50v1RHXdCmARQ4SCJR6b7LnUreGUGfqqbGo0EfjkAUy1XmCDEm lIlZh75xNxhee2rTxDPqfNziYH4JJryRa+vYBgveDj9UHfN+NcIk7RtRKKXOffbo5sKfh4AuahMK b3trESAlKCStGDXjG36PrUieMUbAQuQfRb0x8LRp/STDYTMyB61rLVBO/5lnSM+z4cITL8D/Qx8t Ove+uzMneOkL7pPjowqicOFHRS67aze2ACgjIBuI/SAGkzHh3fpDabroqu8uk/LTqocMw/OGSKJo AGIIzC0JwBBXyzyeF9GOqyReOxFSru8zJud/sTnc46UCoUMycmQuTfCqjQEvhn95uz1ZXa2Dm0xK GidCK08vDDqJB2zClIvPC4pObhMrvZfdLUO9vsPmjbbz//ThHG8512mI+1rjzpUKEa5DQ1PAlmwH 72HMD3EGEl2DJE7uDaRJC8uU8J4xIIyTqgFRdQNm8YQH27X3N76toLGeVGSBKJ4GexhkTPEJL4p3 yEyBO7juunPlJbIOyDhAKSCF4MsGg4svI8enU5YdTLZlbKIxYG9DiIZ9RXkxvK+f5LBsSR2Z5cqE 6UMCPiL/99hmGql/X3JqwxbDywQVUgdImHOz9QMqpg8dp+CtsouHSq4bCWIw0bVEF94cET9ns+KN 7lPqfPTkSJy74srtmZXt25sRBMe8pzJ/Dc5rOHJ7kIEfAbC7AkLpeuIYaqwmX72pSytePTpIWRkn SQP3WZSbUgEL10u3txT+aw7qURs8dwNob6KtcoVu3itwKffg8rEeCghSCWoWx2SvwzG+LXayEANn b3Rq7pTWjPJbCSQAhHLls6flVPh9DJ8HpQ9Jcdg0WL2H2YqpI9mqEhwncIjjgI1MKEAHZct634Tq CqqHCWDMhoNvLal8u4Z3acmWdEVHexLnBGbCBNNOZ2tIHdE6UqsqDcGHIbbPIQ69n+ucSoDeqSEA lPLzZjYr4FQp5J9MuF6x7yA9eciAlErIKNSsiB/L5K9cRK700hxyATMobZDSdleM6DP475JzXUtC rHzIB7GwGHaFneEUglDapCZI4hF/FpOEw7osJ+3H2oHKeHew6WoN/1c22qh9wIv4t/vRZG2aVk4Y LcgM0nzjmKplmgM95f69Zyfr8MozfuN4wayY2rIllBsd1Na52P9G6LcTi20HhiiAWJPPqZxwF41i Ozkt2MzsfleDuDLpJiOExdhcTt0qTx+RZjTpv1eCFszDwkgXIcWTJTM2hAfXfE3nNjr5A38fJsUQ e2M9+07MTGF3HB+B8i42AeC1jVYPPJYbJdSAWIoYs8RtxALqjoaMSa1eKpl+8axhzqE1y8zrh3hA Dl8JtdZc3xIhIv6NcrD1e4Oqf4hUe57zQurxFYrxQUD3Lp26WiWhg0+yb/pYigFyckOfhiX0gisE VKhR3ioQsqP/XchuABp921gy3MuJPOd4vekbTWDZWTL1ouOZaT3CdpO1zkjLOhFrZ2pYdAdUJm75 6Y/5PTGf70H+r7rYZ8QVayflNZm+Kh5sj9gW+p3PW7y0Nil1+4gAQp0d3PRPELeYojLxEJT4pA22 QaxbElqOGN+WfMaugVKoNMLr25NchQ6sNeZYQHnVbwWZ7k1N9pMQ7G24cII20RGcdoIui7j3yCa3 0P1kgkhqd95yQFr7ugmO6LakPymi7ZtLSiyBAHD9q2mcytY9QnyxDz/P7C4tetmoG6iHumXUwW61 ruHKJHbksnD5ZrtSYOK8BQrEyxQWrhHS9g20W/bXz/WGIz9b6iBbdJ8D8dL1qAnKdIkcznSviVvG gWIGvQMfhM/zN9FoVDAKDVk3Svv+mIQMN9zMXyoEWkQSWwwzAeyESVDeqf02/ebdWc1crvXeBcff /vF1HNe56SkjRzHHBQFsJlUaZTo9yhVZGVLy8Utxg2Nhuu/gu/Rc26Xwxwil9e2WusFpNnr6fVM+ sqmrI1OTXgDEBLvCg0rO9LYZzLEYr6wu7ZemFhJhBQftUacZQlhVjS/f8msloR2zTyVL/BaMzFEH 3nIB0iLSrBFR3DeOs8FbOkzPKkIGrlHb5eHgut4g7Ri2BT9DqHtfw9sURPF2eNpn6HIh6q4K/WvM 6SZPfe8+gfzX/rjErtwL70rIeYIZAo/3kVNhdGzdxhFyAJiQHTYHtmfz5FELF57p2o/unti9h9zj 1JKhqNVRlM8yF4HsuWLHQf1/yTSdZ329BhHE+91tnkyRfDOkD0A+Hmho3W/2NEjsvcX+FXchzXzt uQF20ho2hQ4CIYDKMCH072AtnKPX6Qqe9eH0YiSytppzJi1gB1oFmVkuTE53uw+01KntvlE1t/+6 sBiLX0hWkfoPo/l/MXCE2B2iUQwjfAyNAST13k1x3v1hnlf1hovVzJ+lagO9b7sBnlTYR9wIjs2+ iALwe3zJMtDsxdQalFV+UqJfd5/qPYBuK9DeRAk7McknT4/cy+nxVQRZaN53EmOzxmlRX2eYVqVZ D80qQh3yyUc4G77NL5V8oUl3cleg4gUfeIcqTBlu7Yf9zlmE1ze55a398z/rmb0COW+zONCr7j6N Pq9IIJBCzwVueVNTi85vkTqugrxxm3pD6UloLlMVNmvYxmdt5vVdtPyN9cC6m9rq/DAcU1s5Bndg 95p4MHsHFzUGo/gsYaL5wp0gGx17VwDcRehKjihY1hwZbKyRitKDAZYItwGnzwgDx/eqyci5wYcK JDYf/x3OlP3S5LAlP9yFW4/W+FZy1b+Lbw/Zdmks5+53ioPA1pyclyGts4YAqrxqdn4aHOUP36Du jcbiOYnuicP0nSmqfHWl3aZ9Kp8ro8Q+RWJNKZtbakn7osQShYpwSw+R/6hymOkaAJzvE52TMUUw IL+DwEWgmF3cvwHNIoiG1EFXu/pw0m8tcZjZHo3pxD68vOrIn3lmYxhR7q0vOYjPOUIxlbnrdeoF woeWuXjot/FnCOh/C11aytv5V++1+cD42f1XuRYFYRRNfI24m92SNYsP2bppBHmVL04DtGF095iz Scv9SyKglGkv2HnvFsfHIMyKgm8Wvaqk0yD2I9iTdQNCm7fHjTjAf/zuVbMpPCIZz+JSFL/NuTpb VHZTASbBWNp2zjvXuQe8JAKI7/lEf5aIGylAc9tsjkvoSJ1QwXbu0uqF581M4SLpi5IPt7XIHBEQ c0CFCUs7En5i+NDzk2VVYpfpqq6n9yC1XePKMY/Mzg0iDoPH8W3YV1KNso+ZsuNmvl9VEO+C2eOk Uc3YPrPLGot8hcLspC8SlEafEGo89OqMp5hQsKfh29LmhrQmeuJiVRNvQEoG4bLnsetAAm/2SeU+ kOc+7+q1DrX0U4WB+XcRYKNLZhcjGb1JfetKvngd3RwPMl7IsdaRg3t9UDb+1Dv41uSi74lLR9X4 OUn0HiIfBSM0ZLoGLHxPqpxN+ErOOMqOxmvV3vi0q+nWLmRM63/iJ2Z2KkOoZuMHYBtnU8V9ojzK vz/0LOBsdfvLnRcwGmmLLkQg1NOqVPSdZGKjUBimkTZUvK4yiYkRmsb+7AwpOC8h6F3A2hQnPAdq S2EAWiT8K9HkNtDbc8sNtQVdK2GeiIfwKHKhHvKeVh/RnrJ9XeDT62MWLLYI++u9lfXqS+B2Zad4 DCeX3Y4WoTGwjWz25u1uQ9FRzt3Sh/iZztOCkNYIHiPZXVYyr+dzb1uH73enIWZqNV6eRoea2/wF AodZHqotLVA2FwTl6QXLVLRpsRiMDeLhfgRMzJT3fdlwBqAqpS5BSB2Xhb+o1gRrUx6yEvzC9JVR 0Nlww/uUGKgy+5JStOQo0daDlPYJXhpkU4nXm9/MbywsHecYWuLTe/SoPhAUQyXo7CS4CGp+N3YP XOlWruIzmA4Oj+Bsr8QNcTNsZVEEPE7LbTHW8QTBQAa9whSLPSuZOFoFTR0cY96ABnt0AAKjPABH Pr+D24ozZhdnEFBsmjq517DYbsa+Qsuu25ORPwcYXTfoNADxKT8t0zqPf1QH4MKc23Ez1qnOGNQN Bgrtq5C7peVO7lYZcFXbrJKvgKsAVLwbiHsiYVcrh91hmL5JoOvitBWcq27CRXBehHFAp43U03St 9FxMD6yygQdVodEuXmcbgMCryJDS+dPVnrWrMMayQycv6B1gCzkiiKdQBBqp7qH/4zQQWXNnRmDC 5sxqPtta0WxzENqfGtYJAsgABtfhnUiMfYkmhWWYbogOzUzkzoxniIlnhYJAIEr3OkUWRgTyjU7u JtOWZG4nqqcs+w0VjKCG5BWq7z0Wwlro+gBNY62VF48wHdFaNswlWi2oWdZWhpF6+mL9S1jMWhOw v4HGnzAU5zmgIqJKZzgTFpA98G09B9i0opAqpkrRVSkqAPmfslkR83uLusM5oShMXYgKCfQF1QuA GgBvzJm0Z/seFQjDVH31EkYoeLFOzWza4YtMu7DGM04ojvcXNKlAktHDh7X8OB/lopIDc7LVzpFH mIuiDK18F7cMXuswxxq4w431I0os9IAbPECqu+fwH7mJM8aPgbESG/h2dRTY3hHcvV+qL7PzANFB T3nAfQ7/EkcfWSZgC/VPHt8DgY27LZcgwXAG1dxjCNgficuhMdPSOkBQelFgr9RXtkPgP3srXAav xMY0po+1Utuu85zCehQ0bUgDj+lSeDOgFWyMRr5+sXjjeHjrk3G36Aq9cSHnpB+Lg0WLTgkBhtqR s8FLBOHFXwRSoDtIMNybrYsfSXxNoMRCqEFDIB8G+R+cVxge9QEkXQ7pu3qv9dlD2J8dYu1ra8Sx YWWjtZXhlyV8R105QkNjDCe2yHAlEs/sItaPsbJnlEv6f1PYw0Co86IJNwE2sciuWOJqR9lTi2db wHpW/CHx5pdiK9QBo1OTfjkgl9SgWrhoUr2y/znKQbl6qDndiNV1Hu4g9PtU2vJxOQz9th5l/QhE Ych01LqG3Q5QK8JacBN3qYc4xvS0ZbUBtfZYkwIhpRBY/nd6JDGuBKOJApu8PwF3wKiZhd3x9YOY YTgsuapPJ7FrTClRxK5JV4U2KO6EntO1AkRk/L6bA2IQzHyq6mgKO4J+2J8lytGYIexqqI2EjYF/ 5ZUNOK98Qm21TWnI8t1LUGY6BoUKBsC69y66WttoeIUHe/OGewHXwXou8CaeMeepFq+jdhPkv1+W Pg3k5k3QgOH0vZ2BYpTZdRVOZ+VfANVX7dQoUvZQnm0DCnHpBhkQ9wYDCKrtL+8JJNmfJqVkjB+S zr00PetT/0buZWgEWOKXilmOtOzaXrB4gtdFXfnru4hYOzX2WLU3BIKpvjAWsiameR4kkIncogyl hkFpu9BXxDe28PRf0d+QSWqddONn7uJpRmKPQsDPNm86JBxm7TtvAR0b/rjqOcn3UUo7KzRR5YnY zJc3wLQO8WwoRKupLFVttN5+ERJED+dw7aAxYGSISLj6BOOluvjJOtxz9B/QnCOACnBEMKO80yhv 54xXuPaBTk8CuwPLI2iJ0YpmOcVpswgyVUomyWQV3W8IAdbzd+/v9s5dIvrblTL49GlLYAHjqoM0 jJep64KhCEDkIO9PTLH+Be8zX1fKf4FtvEse9FMnVmEFb6egO0XBLDt6fgpMeuzyveE5WMh0BXBx Euq3eCskACcG0OMXyGzOB0pSlTtUfhI/wkCrJy9faSqNCSctz1g1fB+7aFL7dUreQ2o7qSik7G8l AmpmUdZHs3LTHzAOqnwFIHdZMZzbT0YD3qgcOQ06oiYNyspxxEyjpcJ1a40xTkhuqYFAIuJvELyD B/NtS43Orb/frDO/OPSrfzPbNqZEwNMuE4kPQcQqQJx+caCDPILJ+RdIFGNu1u4FZOrRUG+4AEiI Y9+qh9e48swwu9d3HSdQrle0NF7cJ1NTtEHvP1wy8djnnJY1Ed0wPdpr44bMcAEW/zI4k6Gf5qZi IVdvki8q8t/LtWjZ45QDqDdisLoTilrXpD6yXstVOa9lyJLD/K2/n/lTqOhKT/hPoOG7OYKCFjWL 0rlIh2Rz1lWOdd7HnGuOsxbuPjVjwSA9HPbdAbr7JohgKOAXXiyQFkpHjQIdw10XsZu8lRnem9rc e/BEhgXwSETUe18YOXdzL/837kW6Y+YAMjRg+4Nv03KzhI4J1c+SPDuwm3Kek1lFG0GXOnQMV+t9 pOZSs71C5/uJ2zSzz/VjDCd1brloHbJm2D6qdTxhp/1qPP4hEdJRhpzxgm8TjjSVDKxYBqd0t4XD VVMJq9i09hr0P/RE+7ITl8p8AGLkQvqwliMUM4PJqKvebTOTVxIMovRGVsvBVGZyyiSN4MPLWtJJ 63j7R9r6+KiPM7Wr6rXDKH4ktA5MvgDmtTAmq4pY9lkCp2+w42pR3IxJGRWmcYg/6rDfov8dLlpV /+gUuD4meM237gybTo9kBr6zJkVM7T3lE0quFl9k2NFbqJ8AR7uhEGxFgZJ1+vJHLKPd1P51ylFA suguWoKg413NLbrnDIwwAQ7UC9AmyqoXRGOpschjA/m7OgE/Qi8tann/jGY5suIp2+dbTDA5UJHi cbeqPHCn0aDl1RTqOdK8PS4mpej1VFUN4+TShKm/9kVllaH0gzm+wfSG/spA5rscs1ypTtqwL1qF XHrsQv+1nlGuHHyWyOrY6u2neiuOHkWEH/HOxvLrgLYm8b8SrywQkLlTHh4dSOCah1EqNysjL10K zDkM91XCHNEIgsfpnspchT+UO3wQKBABrlRDs2vjmoCR+gZFA3WWqrRDWkC3sYxIP2+U+bnVIBVU 8J0D5mz3McelGYPSlWPfm1771PJTy2F++E4KAIYwf43YaJfuA3WjanC5APa0dysyMqfQi23AUM/x A3qiROiGfQF3dlofxMh+RG1jZvTnmTyB0jA+sYmzPpFf9sCSNZNhTuuXjW7wWAg7R34i3tkwIRS1 6Tjg+CN91kNHZ0/C5IOeW6LGTLGZMPgedKB9Pu7WhCrkxOpU6bU2EPhMmsdPK9Y92wTuhaIFbwq/ qlUoLMWmuCPsCbYfdvTgiFczvCrxvGeL+h5hQuO+ia+QlwXlsbvohqRFko7zhHu1VNF6ryrBxxUu llDuuBoeW82x+um1kbyLgDbybe5KO0yC0pFIFjDj/14G63DUPHT2XVkuQffLp6bKKJoGSJAzcEv0 3RkE9VbKBH4fRz2QfjVZbQ3qnoTR8nBOiZ0wHbS2B44WazFdW7zDHay75Uk9k6x2DkJWeUxAFdMt wmkPr7+4F7HFIwDo+LkEh7nIIRz3cAZEkyRRC96LxLaguGxm6fmEP0f6yX1rS6dI0OMd1bgGk3Ft KbHxv5eFtC3kUliGi1hA+2FWW+Zh7ba6fUe5DYZtdapy4vpzeSJSXFpHGs1epAhP0xuXBhWzV/Fc 8Z05qsGcohen/vjQe1GjdIFVR4JtKL4onZv1lG1l9FpKSwcqHTYylk1TPCBQccxGL2pPw0xaKKXr 8IFSkWoioQF81oOCTs6DoNCEEWXvRNYqhs9ivqQk7sTPdtef0lxXkg4Jt+KVKEp7Ee6SmUXVam7E kAk1TD3UfhBAHmORM+4t3e9OCU5UqR4KjwdLZooRtDHYvImVowq768wdXiLU7Psd2UltyrA2qQtS w3ng7MIq5X3wSZs7fR0yo0NOjLUbt3acTgC56nneunSWc4PLG3q/osEgzr7+9vlRhrzfrZh2LG2S 4i//dwpeEYcQ5O/7ogqQMvqhDvaKO+O4xI1G57+aaxo6w/8K+KjKFQQRMya3jfVvyt2UWqsu/BnN /VBo7tzK9j9wEszwOVn6Sr6mFQJLz0LQUPC9BVfdQ8L4xTuw6Cwnx8sH7QlQw4KrI8Pla/fJLVE1 GaimunxubPFWDHsAsDn7lC6IXMnzy4EHWtYgKforx6Zq+Og6ElwYD6JmxQjiMTJvYTTq75EjDAyp 669j+JsrM+SaA0CFA77S/0UmNIklxrnDrvp7axQ/C3DfKWDOtZH2G6yCDFtMlVeEtV/J2f5wakOw quTWfvqJVfu2hoHybKfv7EJ6PUg6d3I6kSGfzGpI+Pw5QEQUFjB1x6cvIM2WhD5IFKIDzrWjxrjT w01LfQt0oaVAi34+2IhsN9TZu4eUSxtJB41MokvzYGOVsI23HT3U24g3q+CSh56HnY0YmzOgM+2t 5HWmLp949UQ+9bSdNwnXfqGa59y4Cfzr0bfMpx0rU2jnrQDFGEDAEoADc4ZbPldw33/NhKqFWDPT eBaEmmFKRZFQiwOJc+gX0eXWJdSZfulsTFAv9xqfkY+XgrIOkb1SX/UNDwnTPR7fiAuK7U2brWJx fekAd/BYxuQuRxfYgvfsPC9YAhL6+PN2XOWMaiL2P6p8/uA1cQoB7XzbmpCmNMhQm2r3CTxviFIM JRKT63FwsfpgaiT/VgDM0cKXrtwZKdsEnCa7JNQL5rn15u5n5wA85El5JAqhuqSOk6E0rFQ/Y2Zy D5/otrqTACgCFQzMMiXOjzdN9V7geD5aSbQJhs/WJKxsa4YP0eqpZUfisDkaJGcY9YIs1xBqRJJz YdqLcETQzGy5bwfqDTpoM0Zy41Xq8NZ0jSw0tNnF5owqkHdLhshCqxsqXSHEOp0glsKOFhs8oHvx CgOW/ciyhN5Hb4LBlXSA6wQERUUHaEGdwIPlqojmb9wKRP2xE5Qz0V0uOnWI1Sq/N9Qzm26mYHU/ 4Pyi+pMVirJAUMofwNHARSa0Q7xz585gdZuoTOK5PA0YzLg2I/jjJPOoJFCiJ0xrSYzGy1IslGPX sUAKeENXe3HMTu3PzuLQPlcsLumuysnrChxZkR8KiLbroAfBTtgrmOq2SRtKxAeRYcb8XQnmixwx W38ewBsGQd0aA+z/ssyxZsQumLzGC0np2xrLzW+vpegryCG62xFgXDsIWHDdTayXxcJpc0M0s3mk wVym1PjcdhRCu0rBnVn69Q4np2YcfTimkusbb4fqExZ7w1Vzvj3pQqjyiUJI3IwyGa8lpnqLmQPO QE/baB93FH97QRQXDf+jCuy+eYsWBb6ldwpzeB38/xueZtrCJcnKOjvLzWBjFGzOeJ5fYX+IJQNk cI43KMGUHXRR5rfFYo9GDmUMTydwhST+4+q0tEKpY8FJbO8r2EPpDlv58caspWfDBZjGzc0zisjw 3YaEDxvxt46qlRAIlFevPDN9B94DlWq1+NmrzzPt4RsZKObX1iyw7EzzvfBfaHvjCjebLRumLKo5 WYJ5wuczAov1QUKeMz4NczteBBYHCnpMmovlGeMl4m9n7lpL4NYzxuQXfFR3rmIrEG3GgVeVcCui dcFxt5Y1ZDQCX8GoCjya0LSZgI496+ou3rOIoLtNw+CsEoexrOr/Cj2RF0s4zan62sdc98G3bK6k aEYkh4BwvjyY/j3UmKAoMP96DRxpVIHcd+Dl+jfjPmkf6G6KSLJuuF+ss999kD82P192mRSMLNoq O9wSDB5AC/EIDmJH9/1/unn7ARFakpc1eMbSZIFqBdWIHUO+qMN4Mw/44EbCf0SYg1gT5JAKXC07 JTEqsYYMXrObUm+bGrwFO/QaKdqr+p3h/x3X2+4Ojr9hbbXCvpqp2bjGJQDhu1zQ7fu/DWxfGZOs w/ASflRkmrhS7gI0d5IIwGIy+Nch0ZKC1q0cAGj3CHs2bOYcxfNTQWkavE284bh/G7ZMsfnFVaDF 676B3GvBjqYONDPoB4mfF8YGPxC4Mg6NGTEmJJ9zGHAYsxWMxCwTJ4Gh/Lukwd/29jyQbWhMJvMp zzM7w8cin7uBdV9dZawkQyoveH+zJi/OjPK0nE4newbolhMxE2Sg0OVLsNiajjrs/5LL8yKbO/jP X/ffDiyUGS5HZ8xmgKBceBdZGL7ssFin2yOrWVTKsuoNitFs/2B0Y3AlA5IgwKd+golmwZZjVFrw 7Jzv8CImhZAocvtC1L8iKFijw+4GiVCW8uFBrzh9m78VZ/3NBNiXzepdeC5WMQ52mGleGWd8WF0W mK0LVnQcm4Uehrfgpy1zBgzfGFeN8XD/sw2NStQmihDN3J2VZBYPKsGGfQY8y6C+09pq8wluEGJo 7D/Zp3rep+mj0nDwEA4fWRTrUXjr4nRGgTgx3YcjdVbYr787+mMfqOuIh/wNVF+wBuFdwVv6tda9 ZPUS9sPG2TBskh+51FtiHAkq/P+lZvLhXT0sV/JBZTTHulsU9EArgBPfMOe9+GhrS9dQdD/07roR dfwrD+SJABGF9ss+z+QvMtCWqDxKFow5Oiz7xBXcinQKzTkbXdio448R4O56KVXHiRiZP72ff1bn 21P3taz/xLR+Q3dpITd2MMqAxd1VA9njpm+or0vak1K+DI+GAgw5G5EvQIAo+AK3PCg9GgPsb+0L EEA4FOcOiJII0rQzQV2o32i3FOJZoff/pYMMa4u81UwqJD9H4Y/RrqYC/XUHRQNxl+GtHNk56d3e ygDOtItq2LLUPC0GDFx++S/4+jHJj3D4RjmnRlC6VXddWsmng0XZAQxoRNWRM6sI/PKnv4seU0T0 ZoFSsu6Ca3W+Blt0e3htBkHVEnCQ9ZDj5isUY8P+TZ8Yq+e+fvHxz+h79UtfdqaMtyRJZrM+ff5q nATvZadVnChyH9MhZPu/AfjohNGYnZ8nZQwdbNgCzZ0ODu9DHFuj5hMGr06DxxHcwOVvJ/esYwlL bX8ExbfL0P8J5+QzT46+Lk+vjEsZ6DvVn/h6NqzM9v+pG1rjwPPIJpcx5c69cc7k/JAWBjZ0jkcO eT4wkGrI1pRRvSBRsKuRqtN2zCzcQ9/9tOBya/+ZxCE+jTrtNviQjJyEvTfk9N68YKH7Dz1XciHA rm9H876EdejifKPZdvrFVim5MaMqkzmgHIOt6B6eqgXfn8k+OMrr2mvom1tMWaEMj1vQ5G9CP0at tNAKPeJ0bPcjxV45Oqya0usxvkKqrVFCENyp7BPJvhKBuxjY4rYC/YeXpk3ls9alAWoJS/5XOtBt O97ukGQafPRgiahs1JOFnKAESfDaV1Ni7IebaqoQo18AJSHDrqK6m0HVVnIobadaYvuHpjBo+tYr OJ7a3JiJWzhtTBj3EPgyvX1buLJIdu4sUVSoifmpIHLoCtlZSKjKhRKzv8yQLJMZB/QLIJ5iXMoY Q0oc5yIuhwfZN9H/TOjHXmShCzsdD2c6ZwMihVoLTCHPgUrYlGaG9zUGHil+WID6Yn6QIl2RzWj+ U9gRoHfzWnos/Il8NgS6vlsdfvxSZJVg2BXGYp3c0EsR85Q9F65sq50gJ+OWCfDX0T0MhFg8OLhg LfB8QtzTn3iaPLszR/R1gpDUAjJxt9kWVWE/759P0lhACdCsm+CBUygIBkjyTYsU7mA6eG7mzrF7 nQCEd8ZX99TuRiIsOzkWtvH5UVriYXqIxaJd46dpg1J9dxFf69YWRqeWult/PTNWwHil8KrbZQPb n31Lc9E60rH9S82vt4CzDVpdIL9OpdE76+a0K1R8S6sOHUkDSt63vPDfCtn6sAmXFA1SR/5rHiqX tZ4mPVk/atzR8JGF4+Y+dVScSOfRMX6OxCKjy0HhXyK7zjylNASiP6NdPdSeSAMe/VAb472mfL+I S2y0YsmnspcgNk+VuZqDrfDsUcrHviDHg8bSMNoIJ8499NurWasD1rcCwPln3iNEGDvBTjirgj04 nXkyoKDQFyvWx8vvP5PlcTW1gZtlHzCWjaIfcvgwWB1CYThtW45K0XUAnXm3wre+crWR1NMoERln baT/2QZ3DwYZm9SZkXwq5jLsIZGqU/ErJDRlboc5An+B3ZnuSvQpApYGaZaY47ZaqaKRxfqa1CQL /Jm0xby5a3Uw5HhwqzaQ2MUYg3x6HLQI+e+r0J6jvQXI/KwqcuyilEsgrLH5D+oOIheVFpJ7Bf1/ n+/h2ZzCxVqo2s2N3oAYG0tBKzxVHH6s9bwV9NzvcBFSysVUBOpbmF+0majxTr42LJMueIPPd2MO Tmad1Zqv5GTQF016yCgpTn9wQx2sV3plK1iJSbWKKhHkVbssR1JWeBZE5vmJGziTrWtaKPXgcCNr hHxLx9xpzIs6XF1ScT7YWNAKhySPQtcmXy/JS+892FQqXkTJXofd4AmLE1RzY8ihESSCuCNKf0Xv 2DnEx5kcS+nrnaazjwXUmQ0PuEgzl+kP5wHn443MKZYeNTxcmxkgdV0MQ/lvnEPss9EBmEPRqfGP nq918nPF7K5b54xPDEh51ykSYuwdfv2ZUkxOub9OZ2sGMR6b8RU2781K1kqxFYjIjYy9DaZrRt8I pQiH40AIbrJuV9LV8f61zSDhv/VV8Bmb3C5a072znfowgpsQ/fP56A3EdoAcFMbSNKHOi6Ruw4fp /HP011z/z+Q3PfbBSjRXOR7JRHrhR1Y+FkMxOCT+XfaT5tG9ms79N/7bNqs50rAodLYnR07/aUvs xmpakBp6HqdtE11jWfTomMFKQc1erO7n7qE4kyH7qXGDTGy7Bk4lww/b6oPKmDmxLQBzEGmK+aHB CJN086IAriYw10VoUb/5P4uXNyVZVk6ir48zFhEqQbudHFkEqihu9Eny1LVMmwfVeo5Uo9f7JGlV BQyh/YgvieaagLVIUYurS6zbMLlV8//+Gi409S1l63y4VVv3BtlYuOxclTtpFr8CQ1pKTWi9Z1WE oMez0f2VoJIjT3i9J1RnzHYQ3qPU7ffdbzX3oE61xeZ1e1R/yjxCXJ7sOztoIFuFR37xlVT+ndr/ X4u8aAl8UPDY+pBzsZOK6RpF/dLSiS9XqyUAW1F4SEO5ugAntqll//sdzsS9Uhm6inVd9jV67tcL 58e4s7scrQHSkp/bUTNk0gmO+lqsnhWAa+dIIVg3MD/22OuMTLG163Rpo9D+M7ORpPWnuR7jv68q +CTkA+f6tqCPGGmWpJDUU9mMNmPXsPmfHIqP1QXTBVoUM+PQN4uTNs+O6VW6yngvUU058J4/EHXi svq5RZXxLudnaQ9D3msTfyFKqAkGM+tNw08vRAq0QCGxYkye2G6TrDLQUI6QsiCELaO54ky+oOyE xZrKbpUKaX0H2dKyQd//uX3NzZJq6c0K84xFo66BFCFvfqJHt0YSjlGhZSmxr0PUdjR6I0Fc9mR6 s0KevVuPZK6I5CjupaDAbXf7KW5rfVefvynjSnoO3cTSqVAc0/igLmq8S1OJfoiV0PK7IZUjMYNa F7Wk6J+ecx5uQiaUYXdlOd1CZOFCRBouqje7eK6f6vXdHlh1eQOk+3nuu3gGtWUqVgBOjDPa9eK/ i9xhzfdYrxjZGV7cf553eZIC2apHOqVHwpSO6K8Bk8PbkeCkTe+lLENbggsbHPqPUap3D8Fc/NIX WJY4veSWqpHuVu95gr5YXEJRpZIfZn4XnY1oFZCwmp5ZSbR7ox0SdswVB03HWu3haXpjY7S3j/Vt kSfVpqk3oN3LC86WzP3LMzgPYblu2GK/QcQR0I3Le9kSCay1psKHZjc1mT/76rtd3frJIO1HkVm4 BxDkM+x4PSz5SAr+W0HkPfOKStGF+0oJ/z+WCZJ4X1LewtNOCCoGWs2fkkUbQg9+FcxYkjYTIMiT Bn2VAUxO045vpgXT/8A/NTofNB2/OEB7H3HxmcbQP1aKLvao04L1QBHIsLsmHntC3ITVwUtmDkyk KbLrA30N2njVcWZ4WFQLt26cMNqsTpCvt+y+8YxmTl9LRgwAVD3rB6RoLUm/EKc5FhgB0QUGKAQT I4ru1Jvjv+qGuFJb6LO9HudNCvP2XjRosKHXq4DzwTB691BUvNlpPhK0CBQfQ6yi8/olluyk7JYn 0c82PGCaIGrSFlESa0w0hqa74dU3sBLR/GLQ/+h5C8fRWwLL0vYWm+b9tS3uwY2Y1fSt2gH4M+uq gstlLHkL+JIxPDvlp1lxod/nK402UE0G4LMlFoisnzzAizjRVN/w8scPH9gVCjZl0QM4VRqHjPBy mNOQlJuoIv9yycFd51nSYAVSgnIc/inHz8WWJ/Y6icb+xbLKrnJiZtVopRelNEO94eQo6J0tg66I tCCrNJbH0mxWIbsqDCYF9UNWj7F4LP/QU7OBKfpBsDmaRPEjp3gBvWD5JaVlm2pS2y+HT9K/5OTP V0sa3cdg/IWNUjQ63AinbiBYqA3c2tGEzawKpTPph8s8g+XRz7LtdLN0nRQZz25Mo3drbGq+xkBV ISU8nyV3NsgvLUNCfLh7770w/Z46yTXIdHaPmKdP7s4rDLdiU6cfnyckovw/YOvYErOwlTX3MiRk sq/k1m6RZBnlSzrwEAiPoVTOe3+qpyT3g3LNAKB9+Y1+nrHUuFPyRNhTJkRkB/5PbQjsGDAWW9dM BaO21tfDbd49zwin5cQ3wPTn+9MfT1zAqFdFepBSkuADu6dZgSqnz98vys8GZxR2KB/30VaA9lZk hfWxiCyyd0ihWvtfaqY8IIEh4O9rgTNAf4qdWKr97WpCpXzIjJExsYaovHsKKTFONGKkOnt/ok/+ 6fMUF3Lr99ptnEHU+fCzIrY53NMf3XNLHHpcwedQNrN1CKZUMY02skshK4P6wuVdNL4WQAMvFjEF LrugGyK402ezSfDlh8GSmCfec/1Q3LV1x6ICefSHRO/bouZrGEXe621Y+dEe8W9HFS4GCuaG4hI2 0njV12VxBvp407NhEvh1Y6lJnAeA9mhdQEuK4bQhngNjVqaDI01tUZp/2U196DwcykNW9QQd6ORv yCD+6KmoZo1iAZStDEHfgkMNeTYC8vZWj3bcDMcf/l+JvsXUeu/NtIhZHpEynHOlZagCA7bCEw5p MqVJF7ruK5Aoj/cZC7AbJZ2VKXxzIJQkuIpxx97xHvE25biAUSiaK08V6XU2Xsvl8ZcMV5Iv5bKC dKVCUi6fTC0dqjgbhgKT5P+0q8MTRTtffBALENPHn5m3Xt9lht6u3AVk1dhSt4HyNe1VYG+cKXee qMbE0UHsaL3dFgYWNqs625EriAro69202VarPowIrn5qtmwXZrGTvPr/kb74yjBV72UHpdhw9uC4 9WMiUb44bFOWFMuPQ5PwmYfRh8EG9dToGzwaq4DM1UZObj1TGXm6cz7BWQO5iTF4qDkBBqxWTPKC jnXeYLpHG9jfmlXH29c5tYrYiXHCjZAl6tG2BUgq/H3bqjqso/rtfc2a4C+i2y1td4KPQCRbSBPR eC2Bg7dei4gxl3bcj+FWm0DFq8iAkx9PWRbglSngfMWddJLjJSi4/tiKq6RyLouvV1mIycjFOfHy tERdWyN4OLKWp7lLXsYMwbMhwWspi0gw+6Q9LjrlChAQeW1FIjPeC10K0p9+sYTatpd3Q/Ef/mqf lYxcujMa/dGIFdCK1GP3OioN/OPJ0zUW6dGUSLGG3zsXzzreFF1XTtgEhtCreR4qbDEKrBq82xZe LSFXV/RWqlcn7/PBWslMLzWtfGY/EZ0lU+N3gmhQWX7CyTASGAUrjtNi2WPay1drNN2C2PiboFwH AGtL0uEKcj+m0SkpVyO7WW2xzu9zDtew6ARZOQV5gnYQps2yyDHT9chf/WdqaAIEV5xXayk+gTqs f4xhUBEQfqvaHrvPva6GMRup/Yueuu3X9FRWCGXt6ONqa1NsUUhW+QTvBjfuwCk/S9a85nBbkvkV Ch/LrctXclXdypP7CWB7i5d0vSie8mfhGAAobBB4X24UEmZ1vaXibkxxOtE6DNb6bbXA+S6FGUl3 NUUrILDmjH1EYrXMWxjtflPpVfHsAx7za4v+PalujefyG9w3Am8M3/sy8UdwR70sLl3qblwxpkMM VMLlaTKoJA4kpjFfKxyqvt9EH5Nst82eSf4htHFyNmUjxpCABhJ1H1Lf9ilpZmvB/EOR3KSCsHMQ uk6cHy5bH9Py2jGILIh2q9cA8pPO017BVyz6hHpeLqMi/gRr704qkqh2bKHuYrVB7lD5WVv1SRTL dLRcyQjvA6odoCfbKF4Z6nGxdIblJarAD0iHei2tNGHZZtc1shu1O9pIYf7SIBiojXjWrOEIO8iG wLmP9Wz1uC9tHKWQEE3AvLRLVARFKUknyiSYosCNxN0cjNFWKD3Za5N0PWAhNoZjAu4vNpfCTYfh YogBTLoqo/9cUHbaWq66rboOhbTwoiHi+0C4+C/Zbb5T9xQ0cRSJuHJDXyeokHsy9EOPYm94UAsE ChlPvrM3jjQQ8c0qonBVzj9nt0iRl+lKGdinpc0OngfAJ9kK/vWkGdYUtotDKW+0XWgBpDjEngZs dwH1sqQRp/MCfY1xU5Kyg7TOqVWtGiPNdAnjr5F5vwuV4+WV4s3Uq/vg+nPJcxcYwcrg33/lcI1s 953rC1Mz++Wpas0LRHKC4Ih6pHShWpJNPXlFKAfn87OJTeHIX7GW2EaoonhuYcSLjPs5T6Qowt9b h5I+ujIQK1J+ws5Y2HPBMkB0DFVwI0S5594MlERnU9pZk1v0EdoinOjQOjfAWIL8DR9anicw6lxV dIW+lQHQY/dLpKqS+I8Q2AtMNkcRgDvDJYPKN/C3aHaUoGxucvxBCk6lTMRQUpMJN55eyZ41nUQS KpFgpMUBCBbvf9HMOivM/UxZU/fZQr4sGlJKDzubhTuysqjRQyt4uYSzlYJ9tZXpvy40UC59tkwN 0l9v7eqwtNY8M9StW9pi9yJCLXvriym1XOpoK8UUvfFXxT+kruTRNeRyaEy4y9jDR4xW8movEQFb JF6G50rZ86IjTxqP9cT+oi6F42cNLh2u3rsdBOpsXK1RdbPS6uzbXeBcVhUMMU3sRP8U3v7JYBEB PFPCICpBbnsfn1ZQZCq1ZMVXNS+NZHmEKk0Fml3zCJj25ql9sgaRmPRCt0AG5uW3zTdGfTp5aX2a YOXt/+j17W7x8p2H8txnXoGn+NZBgW/lcnT5OG6+7OspN3gmsVzmEuto8XF3sTQBNIQoX7+F2sGq 228Q7/I0oRgD7TLJLDLA0G5oW28hsUuG9XZLYnp4FrpyQKIs3Xg8MeVnJ8LDJI6E51PBL8GOsfLz w7P9Ayr2Ea9nuzRx83UVhIt3skKYZ1PBFKGKIRjK4fLzoqkRNQbGwiCBA6udwciZxTsWxtJPqjFf b027o9Zvm94cHtO2Q38mL2Yqb1pAjswEExwRPz3LKTPtCy1ZopaeKweX5L0pAQo9y4HBFrYcdC99 3nEe360ZH3he2fhcBYMBZM4zjj9gpmas1AAEL1wI1vgiIJOt+ziuxLhv+5KIrrqQwgaJlUH+k2V8 2kSGzJQcEYG9+Fuhlu5GoFEMTKR/rwpUeas9Y6zwhIt3/P0W0LL+3d81qqmQW2fPkMyfxcUdHgXM rsn5Q/QIMtLffN5ESGO0BGOG3U5/ZoGdRwqzDUf9GiN4snMDA+2YP7HbkIkLmM6afZKEWPUGmgzN 8DwO85Xng/Nui8UpYTqmTysBy8yaWcSlWGYnF4/OYVXSpu6sjm5CdibW83Fxuc2ijxBjLBQtQSuQ 1eZy0CXyx8mQXQuZUtGPRFRUVGjdWte/dSs9JzrpT2RbXQds3PS6aYWHz5rc6jflflbiN7XABhAM 6Ba8ASxivqnRKY9cYRADNctZj7yFbWVkiiDyknY4OzibEOE46k5BXd540JXQ2a/PqL1Q9n4qJYJ2 3S6KTFWbFU7KgVcQhgXmyDSOCgw34AQDJtwEVfVcaqPlzOqBP/VS6CcCdyE7VLH/0hEL0fAK+PHb CdAmwUWg+UZWXMlk+Lw0n+l+6TmiHBEXnxj6+ESY3JRH5tUvUTugLqqOKNr6fczg+sxX/f2JK0v8 SYSGOpq9Sfp3nN/gs/e/QqIwsx3EC6CvG2Fvn2ahWJyHIDgZ5KuVKWZm+uQj1tdn9Q3JRGUwXgBq jGiJaLzHV1PqNY4KM44MPha5lKoEAJN7PxPqat8oAzZ09ieeR4tz7NOZAl61Kfqr+fhsXRya8Trx adxln6gMYmBHwMUR+MQpaGYMHt3/yViiYoZczn+AleCXPTDceBJQKxJ3qCFn/EfkWhmdFAWHQObq D7sPTpLlkZeiDDkQ9HRyOIv94uox9ucs7UOzZYxoBmGqAJRHgl6WJV0nB8IB/ZXYvvsUjFpQoq8c fkS2SFChyjGlDCu6rRTGB1scTDfyNBsADePzVdcWqoqNxBt74KZQOW2FEje1ztt3GvQVGb5d4NnE MPMnYpLyHHWyOM1kECW/xgxCEgB3en77uOzkQIeJd0bfmGGOdLMv/KE04ZRP14S0HWHxl8BhmBxO /VXlvVhPj2M9Bfh2RaXBLT6dTr037RGUpl+ecLXdqW4PlUzVjo5s/+s7BUUI7n7+bN5d3hRQgf5e /CCNvTQkfFJvGnCXHq+eASJzdlIZoZVvJDVyNi1iXeb1ngVSrDe0FM73gdlIY8+mKtbjSDLaZypu MSXiL2Vjwcbtdn4xfJ49K5yNP5Tw6c1VwQlMMjCN7aeJ7jBkcOBo8d5Pz0tdhKUOTbwzdSStJ148 Ui+Qgb+s8fwDYiVI5iZCT20FZzipyXHWRcavPACBV14Jo/W69KBT6e9uIlyPbnnKXXfnNBwiRZeC kc59Fj1BH/Qyt2aVRvq/FzekOg2Ib2XR2SGcmat+C2g0QmM7gWIUa0/5jRpb+GnHVqrmQax2phEN r9rP5tz3NIbWjQtJgkh6AUfLxPH9Kzlw9VXHz4npaZm/OBPGsccAFec+mLovrsofoeyn2GRGg/wJ PGsTyNDTBJapNmgKBsYnruJRaOvkLFhIb9ISDFrudY+eikEahnUaluNAUBuPI+UkcgH1u6Ekl3tA qFn52zhQBWlBPivcmVPoFMv/hUtjMzgSVShB5P9ayKvV4GvNqijo7RH8ZcddSIaToot0AxlDwaT1 iDz6WBTdt1DsPFTGQ/3qZDZotoJOUwgyk7soNIRY85Rv0pViaV5m6g5dU0Z/Jhb7MhZyUtYOTq3L ELJlqzlMkJmlUfzeh/u95/8XuGc5R//o65k0iaYYtUNjBOmhBERnnem+EO9rRI8LJG7cqyPiCJ2/ VTPb8O/pAfxuVfEMx65VVRpNK40oe85kRO1zOFX7ZLij4GzbYI5aW5b54lhLS76PVtTP5HC6dBX/ xaKJGPswGUr6TAqPejiNedmp43+3DHVmn4Wx4KRdSv0TdnRO3ddfUMDoRoeVWFCP6pXvX8VLaCZH UWAxdEOOIo6to6WMrNK4RBVR/HqGgCDf1r4abd3Az3QejCxGMTPrDabXf1x2bw/qKLS5ZkvHKtvJ qcRcwkT5tmgKi/L0mtJS6vF6+rnMog4Y4hac086jmDs4fQumnrLZgRE6/EhcJtMf0RtGDzv1sJ3P 2n3L4Tvbi/HbKoIHpi/04YNc0Ba9rWhzjM++VE8U1Z0a7MJaiuKygWU0Hc5wozSxfY24LMKv1q4G F/+BfwODCvja9MvMCMmSFHewg//Pt86LtU28GPf9hUJ8RmUrA3pSsiJnKmggmt8MkMzPwUpd+FMf ZLDYAN0RcD5P0qm5LFwnZI0BGjNSEJJceArWXuAfvHMZZy95azgKhV2tUhTven1UJ6t4fCq9iP66 5stoxqr/xr20TdrXmi+BNN3SbwICpDAikzCuL39B5UQl1lIBXKy3IVChIYIuYv8ZtH3tPkmCBle2 O1kHxe5oYvaBsnBVaVrXbAUodAJzPhPeuUxHSw1zJU4lWq6IppaocMNAxmkGstWMpIBs/Lx9vVrO P9hU+2wOgly3LH1XF9IpvNBQQEbmSscq0WHQmWyeMFd18ua64c/sRRs8c+zsY5RGI7qrAtEdT0kM 87ocnF8PPTEl3S72FA0V++23OXUmh6csqWqFJhWq2whi8jNnaROjQE7ZTeUbgFWYSU8IDzkxgJlR Wev/3Xm8WYmKc4Ixd5BU8VPnAO6DkdKLrArlQvbJSxm9/Q6y1E356E/4Wn9veO9GnYn7iChivqZV ITr1vQOO4crDyEqcz9Xpd+IGSxwuBXer9SkTHBKChsaFVE1/sgSPQte8K87sv5J5t4dR+7Fk0uig Ew0loH376xGD6VdzzhBLxH6iTGVL+LBEXoUlpMkEKaixZTUJB3fW5/vmnCyWR5ezbo00P1rmIypY JkYyTio9BHn+Gnsk02aQDtTnsQhzn7GXJoXIN9+73phP+Wstsu2CvPms5oKm6jcJSnbtB3QIuVG+ TRoMe+QYv+FhMmkTQN0Q3MOeThfTC3sVCTrsiGspeohgG/IBhILJHTROcDnddmf9No3sGpiwdoat ei+153egZIbFm+bJBD2aAVlUe8Yun8MNx53AbcdwCrShy8hk1vHrUKhE3OOwsNCY5LGBv6WfVZ8D 5arQVR0qW6R1AW8BS6yTA7feKaSaI4WgP2ZHjksj23MDfKYsHF3r0Am4ghWqwyKqts6oYNdiFcIe ghjvCTrwH5THBhyuFgTaeM4rlH4pUNyw/NCm5UVHAwV8agiuFK/K6awwygdDK87nzyWrj/lNCZNC up99YkXZ9dBbmm2THKTM565lR2LYN7qfnrl48e5XZ4p5pY/BlkGqUixTgq44JgKcB/KW9oWy/4hY HyYlhdugZhljKAspOwmS0SylvWS+3JVHZcshD4sh6nbV9FMrYr+T77zzvgWLbdMvOWNfpqLx5ri/ n/rmOQLmxSXoVfjSJANYusCSt2HKJd2ZonYJfcDx3+1jugKs9V7yxR2VkeVnf2AABbphoxpKlKNX l6qWhULuO+RLL0bC5zdEWXFGv7xVSme5y5zA+e/AcS9YCcykDpd+w2zHJz/KMjh+PEVL16h5Rl/B jjXEtayTZq6+nV3BGnfCzy2yK9s3Ijph6+wEkHmPeV5NQMWzcSzMWdrnebvmlQZyc5QAHD8PTziN +EWjPTciypMoee1p36hi7rEvsLccUY3Z1Vspzgs+wxgsTkzf5I8NgMGwNQO+OP4AA3c7l/5863Im BttZn3Dl9ux1TwMZxqYXH/jjjudTVUq07v9Lwk48MxJ3eSdJLBp+IHjVNqT07W7w87gInPUdJLLE EE7WVEmm/ynUWP128EfT/ivjYRziE5xtZ6QSJFBet+3Pr7vfNtmhZo7ok9/jBZmtb38aWZauVTQz 5ndyvhMiU1nIN+QUMx8KquzLf9Vutxkw5yv5UON3tb80meiYRA9HO8EIYaZ6o68YYZ8hNE5jotKy cduMIwecIiJoTSE3SVdnAtR+gSU56HSZIATIhKCR0vRYQi8I8Zq26VvFNCTJ1kZN6mw4g59mMs1c nfH6hIjlqBWED8S759qqqa6CyVVQotovdAVOGXXA3CMV2el/Alt0qMHrrKW9XKTO12rvuyjI/UD4 8whRpQeoj0gkO7EkgbLbCwoRc4L/mgovo+2SuY3kPme7128yR7nzkBAY72MBHqD1o+f3u+kUYV0f d8NpRvqoDlHPdNYxTlOSZoAwTk2XDXF9U0dwUxxyCUg577FKA5ZnGTu5Bp58JpYiaK4f11Z3JUCJ Ld+BWtbq7MNHFh2UJmJhxNeIvxvpuXDBJ/udK3LtUDfwjPAI3GJpIAmNq2eFlXScI9OHXT3WwfI+ CJTFDR5B0u9qlGxZDGKXU13eZPNRO3Qczfi1ZntT7/CgQT9maLiTdBnPRJ5Jt3urcUl6BC1G6MD4 +XHMtRTZa+UU9JsoymV9U62/5r1uWpj2VA+gtB0TCRlRDq/QcX1oh7e6cXgwl4g0Hn3vSPrymQjN Vv44CYx5eB0bX30y2YOpPGi98CK8fFuzcevtwey7BK2oMTIC7airkDcAu/Piv2EtQ51hNZGTw85e 1fKJSxSbRbsYdTKnZIsaWL07tu1EmP618rXwaRv+10yxCjrS7zaCfSynCWBM8HGmitfUEHZRc5af gPWAlgQVp2Y9XQcL212Y9PzoSax2WUdhYR2DjxCi7RYwKYwNayTMinnEbR27+Q7L3nl07qd9SUVw XLpDeDJBA5bLTEhyfekxqURiLZpXsUmY4LKnubD3oDwbAKUzGJLFuh7QystgCa5D1fCbmxqUSS72 nyTKxwr9N7q4B2m/xuY7tgMGuXPkgSaAU2BPIlKHQEyC8h8NT6JlbSFR20+PXFhJV2nguH5zDPdJ hP3yvuxXPH61NYmIMo3IWu2BtKeTAX0yv6+IQZK50S/VKdJQarlT3glQwHfO5DgUzx3j+Hr03rz3 ONrLc7w2vlCvdmI8n3pOW61BQ447GAFG/ZQTHEyPTsDVtv6m5cguhWBiCBN1VY4yphApxKkdhUu0 lp/ouXCX9a/WsPPVgsn73aYsYVDA8h4QZ8MkqVYZFMzqvqlXrzgzR8QH6C17k3KgrAKzBXi8diyc +QwIBvtvgp5a/AERI5hJ21Pue5vL9eHw3SbGLuxavAc7/Ks4eulgixU3YuzjiEQ4ztza4Bstcg7W DHuyRQUNsHGBCszRL04vmDARHaH4XFWUjwEq/wLB5naNpVmIqvNhNz9cpHNWHqfQwxfvFMfvRMch CH5v09ffCc9koVlugDjirgiWZ4MTo3ecDqv0nU7ejYmlNWERk82Xeoy7yvm8Lb8hYGCrSV6KP7GQ pokQF1Ep8a86W0cENhVL2Bwg1C84DR+pqpB7vxVufO0BqvYXmSAeUSjSLcpW2eB8X5fkk1ruEqrA OVnKCHzKtCBnIoVG1lDrgsa1de15PMWy+8x5GoWjvNbuzMHiiRXv2H9LH/gSo0IXKXz7QcUpYuaf +7Zm7jG3eASWQsODqo0ZPrBHcWh6FRwu2RSOoqXYJ2MrC2Mtiy1zSxx2g6eJVVPp+UmHQVI7VV7S E45eEq+lEw3K6gh84NmeHC4NImXlD1JIkZ12f46fYbtTp7hxfgo6tN/aHNsAhqIJz3KHj5m9exkp 5CgqEyo9t4Tmvi/CaHkc4EsbxR8jL+fH0x9GxzyojfBdaEI5laZoRq4QU9FiVfKgKMlGMUGwQZyU teVnZbTTCtGVJlsK7pcKbdgP4W5wNYyZNF29JXDx4u0YRdxhsTBthoq7Oqv/B15S3a6gckX1i9zC qXjzwVknuKyUfK4+WTHnadjKuM1reTHV3ZLiFDL+X3LeXhpYyaAqTJszBFEcOEyaFCffAsV1oamz Kf0NcbKzzQvshV2K+xlqOVnYMODObpFdIW0wSn4Nfath7/BqpPvJyq0lhIaFIWv+R9A8rFyHDjsz aT0hKweuHRr3VJ+t0IEszTw6YMvJLBJ/OxNEG754R9Ugw75wDN0lFFUIrCpymbSjhFEj0lyA+jRw e0++7/C35YB9bNSIM1Uvi2y7iR10IkKa0BV4we+mkUu5uVAa/GS/oJGrpY2tPNBASe+z4Kz+Jlhn zuzZK0B5/t+sjZZiCeheDdPlWrun5Tk7HCgYzO4zbKRIROG+recB4YWEjy6UYiw6tqyWu9jD1Yto L6+FTx1K7g6AOvbUtNbnpvJEyDNwMi7TCaRDCqMkjPEhKirlItL6mbYw1o22VrDwe0OROejTZVbR amYgDsKZPDS1+WFH4vFXhZDGGAyn1/uuk5vBfSRafnRlo67NtT8CY6wIFUTK4mRppGBgZ3SHh+J7 c7akmSHBovI3D93GJp6Oxs/d3jTuzwsz82e88OKiepqIA2NGRSrBYdtP+vjboseKdd8VRaMPUo7t apD6ozgUfxW1aW6D48Wv1Lx3RoymD+pIjbeQvkXPgyzTMMmK0XCmshBmbm+I5sRhV24pmMg9SUqf H6Irp9/hS+lSsv581S+pceHbWxTFtFtyGPg4NwVk4gVf1P0XmgfSqK2QQQDhnQL4slwovbQH33rS jz8zRjW1QHHnbgR5WV6gaCcB6Nx5xTdZgPdpRUMHtWP2ICXxxgieVKYsEr3zPTm4cirQiOdOp/J+ H2WHVjZ5Q8mVeoDRltb3gIJ+7cDRIbRxE/oRbR4YF3bmiiGjLRykfm5VkRFQNWguJvs+a5XgTGqk Fusb4gIvH/r4rqUL9a45qB5pGyqSiBs+T0oP8/SvkCxUoTsXAFbTAL7+D3SXnYFmM/hHwL+MtYsl gD4WUa4c5N1u1BDLg5TItFKPqFbiXfsZPB8j/0Nam8b3xWf1u4IAwubQfu/QzX5VquxnZi1BMSzV gXK/Dq3yLTzcfhGko71/nGwKzhLyQOynKLYofthuPMq25ZdS2hLAwMNrNwMYiHf+4oqWeAFwRH+L S5LXfKs3yFJtLPqu3qHk+EMS+XHGE0SIWmWMfkljBNqD+M+xY9mLW1Irw/T0c/LwB/X8DrWcs4Fn 3E2YaTbCiPYmBqGAoRLnqYlN3ljR1VQmQoDd8d1h9U5y8mEjlAYuiyncwkOYYoWfj7D7FXRl30IZ +4nuIiJcqj4fuZMvcQBjbTfEgj2Uxy5DUqxQYuKG6t6y90+W4FHl28wJ3nMViLiZstk1KmbsVsFv LfQYS+c4rGSJAf4dN+9usM73aZWy/fUsNBjVBAT+Q0Ryh5xd/ILOb0PUQy+Yc8pDQS8wLiYOqOTx yETfQ+w+FvmGNvAV3GEqfFeArMHjRh72YwnZShTGaSFyO3lBuuYwA2LrAMPxdDERArthl9mAyZlb yCaTGpUdM0HX7pTfzguKL/i/q99A6QZ+HaYzVarxMyZJo25P5lBJq1E90y3hsXo+ezus3VOqKbzt Ama60IXG05quFCWEAGKw0X7yNkmcRNaqqaoiQ5yC9Q7UT6CP1b0GPBdA9H7rcVaq5n8irbmP13hn gGHNnSYAycRa4rmEWzl6vzwcB4LM4NFCkyS7rBd6CzJpANc2yPk9191qRO/inyIfY76RrB3PT2/T P1Y+C6iqsU1UO3fyIhY1sgue/pIbfNY2bMMJSqeBlimwm5R9iEpuD3Fh6xVvZfi2cIotZtp2PGZ5 ofbyvbIi/TMcFecCwPEC0ntNqHhsFbtVF3mAdICtosn1rwbTVmU5uhVZC+PK3Ho3gPOgu/xYKdTu CsFr2wsFvH6uG1PTte5EV01MXaE6gKhGqnj9SrNk5BW4pYI+5O1iov1wcENEXMxgiWJxeTbQ2p2z QRVyi7nFy8I3FNDKMr2lHdBy4p5G6xAiorbEvQi/G1SOChTKF1JpdNbZm0xmLehuwClja4zyDa+p CDEdIMm9PbFycUVyly2HEUiquFwugd+lORxl2Rjadgj7blc+tNP/FJr/TQ0Mso4a6FrJxOtKAT0a f9YeEBMT//h/9m19SMtVQIXX8dJt6Rqnd9noQTAvX24tgvW2N8cTylF7ISw+Low0x3VOsUDNOzu4 c6Zt3JqQzVdUmTt5hvjwj+FDYUhZDb6XqKvIw6Df6dSUeIqab26iYyweYLQs2DBRoqmBAKU8vRwx tfsGvdl8gVGMbhZrj1WfpwVTkytmWfcdfpiEe9w+sdc5jtLwbq0BwMlQrylmwWuOGZQwEoGUhHeL 7Lc74AR3HsxjtHhgHOpIyZz+Yf0E2CJjF7FYoSAMS4pvcttF7EvYofcULFzddGR7bK6aCxCg1t1c tHrnooi16a+FFcVFjP84HbFI4QxcnkYBsAmRX/3faTjMnbJgiLJYhAKN/2Zrx/xy3Q+BcUAWCLHx aos4aEDXtQjcW6NWWXgoRyrExHAQ+T+xXBw/4pq/YfnpzJ7OBNxLPwN0jEsKrbsvp6N49QfcJORs a0wkbG98iVlwGS/b53oRcOL9WLasDmXZplTiXSwdbUMSxeFjtEqEWFAOvJqKbF2jjxsUQM7heqOT oyQH03r5NKCGF4imD3ZRbDFioAos1Ocb/ZYQfeaEB/F9PBou118dKgqqx2wPXhM9tAvihBCiODIR aquIwX93LfJJ0NjfzAeBmu5M+xaQ2kkHR0PGIRAezc9qUBhHvHZVyAodDkFsayss7N1HMzuMQ16M YOooumlxgEh6CHmF07Py/YSA3gwhPFF+FzPrHsuNzWpkQQzUkWLdvhUOIKMmw47uzemolz2U/vJ4 b0KEN8g/pVLrN8FFKadt5Swxs1Roahf741VvviVS4haIV28jfBRHGeLxjbU9R+nVhGgsiO0AJ1se /G3FvGdbq9PIQpwOZXNL2Bzwj/MWqLrZlBGzWxqBoHI/eYyZ9HgGuLccLq75Hf09e611KROiwhQI mY2kv1zrAmECXxPMeNV2QYbWmiy/olek1KGKJIz3lsbda3IGnKyDTtLMZyO5I48/ggKbWXKsSXHo VU6B1a0xvb7J3hQzSHGFGwfunxmYncIC9MGovkoBEp9F5PmVlYTBEl9dqemNRpZUx4Jv6zEGOcSC sF0gtF2gB1A4wG3u4rgHMKG9IuklyZ6ujPBeL2tKqO+IeHV4uAGZ9VEbtWNyQJDjf/uKyw12jOg3 3TvfqXJrFdDzqYX/J318P8rNKVD+Crkt/leN8Xvp82P81i8Mtm7NvLIjKyfgrN+IuuGHt7oyevZs cXZv9M79L2S4uLb8uCO+1fy2th/xoPRbGvSswhDYHj3DLKyS0mSHQS0PQ8JJ/hLyVdpReTh8prZ8 2G2c+zR3Y1kJMi5Un5yOR/BpUSsg8waGf40WFu4Ln9+rfQQPwhN4GJ5/iSXbtOuE7a1TY/oWEqwt LL9FiTx0h4uSRBZHEnbxLMwR/dHNxes73ZCMU7sA6PcjdgM+jsitFsPqwF7PWD7PzRNEJxDiCwMZ 84WrFrVo36vQHq7i23b0sK9p8pZ+x/DLeTMyRREpu344Pwqdj5ztHHlhakkKtGWdk1IN7VOzV0nr mQ4aDJx/78+5tcO2duZIBUivN4eJcQsUF45dgVjpkuhbTnD1CsAHIlf+GDI6D/ZgpwrVka6r5CPU YhN2Kc+r28SFgYhD8mam1VtvPdDyvfFpDvUR3uyS+9QOC/kwO8NOZC/RxIUaAp/Gp4SrV9/FF8Wo jhQgVdVLPIYTmRQNdgz0bF7uPdI7TydcxmOyIQjQvOKndmqatDC+rmeiBhUCdeHEE6eS/lBD/lXn Xree/J25lgTRB/vp10PyoOrvSxb8tLUgv4TwX8L+B/gXmWAwYBPht41e+Z4u8I5xqv4LdlGDGHUd w2ltAUIayPyR6/Z7Gs5+Jj1AXtOO93nRZTEDvYxJ4n3zZilbYCjy5i4jwEbHdugSOga+NswcVn6h 46RJ5fdHmcNyhQiHt5FCuX/fIW+Sd/WIEDLntXd6ZrpYBofKVvJDdHfY+oBu2GGapsYmXZA25Ckt wZN3PycWJv8oCz3+srtHRArTgoAoLMt/AZ+RGlJsY9riHyQA+qHBGS4QLDFOt2iL/u6JRr8gJChv k0864MI+O3YTIqlE1HifRnO97pDb5GPsZaSsW0zK8QrT0BxXKI3yykll03be1LAqdnthbXgeeDBF pcCEh6ozqvPnKeWwXqZSydFzoGtWLnrxaAJ8Dc+6Rtzghwc26wkOTXU7mq48AuksWZ2cqc7OvQcu 7QdETV+RI8Ybj5ChfsyhixmihvzTZPdC7aS4tY6ds+eGQR3EASkVKhGfUN0Zdh560kjpmsKZigpW ijsrDbAPGzhkv6tcS2sBC4OfykwCLy35w3D7z2nBDC9yYP6voa0z3Cw9AJxD30uY9MQM/WvhnZXj 48ze4hab+8g9Eakj9e08wwGouB9RwSPZ9LI0He44s5m6WqlL1AkEX5fGGUkI8R4IBxJU/2kU5n4G BlzuBjnXHW+nvvV5pmrOC0r29G0e9WcLunzW1FvbnJx18ZOl8mQ7nLqAUFXoRAXBAHFGYzbJ2ZGA X/60v9EkdPveFmApJ6KgQGt15pBiE9oonoI1ASBbhUmWaMWwtywe9JcrvdN7epU5C/DqRlKDz/N1 WOFyAYtzBVZqKIYjZf9DD3K882DUCg2JONo0DwQpYbsTda5BblRzBKqnrlNjmaSlLRQuK3DkvGwZ Ty/PaDjVyXtu/e+vnNcrANiUa3UpVP4kzDRBD2/RvKBRK8zU/NJmu2cgxXje1KmzvhUixjsiLuHB yRAGd5J6IpGnQGQirod4WrPlkOKxuGf57io3WvGHR8vrIiUrBC5/Eji1CAghJMSd8x17FYv31h7I C3axZJGSS0VuNZHWoaChXvL/8AeRNlYLX1VSmU2vNJrA6py7EuLkitbZV6GluRY8l/qjC7zsAM6o aTbviuekAjV/WloxMziFTvh5Sc3G/bIu2ft3Q7go9QPPkGTpMat/G2CJL8qz8v5FhFXMuTh6o3HR PFCRMiP4ZfUGEbU8O8RttpWw2rptsblchXUgMMrt9qH/19HLHWLon5HyK5Rt6qCHYi7GWXsNt5EW fQIC+RZ+Xx1keRAgflFU5qKvGpBGVnVaf0+ct25YgENzZgKDkePSc2k7aZ8EPhZJdl3Bafjt907X 0ZCXG7G/BKW49BI7iSgMlAS8qVFUi18Rh8IOBcRl1IQUgfn2Bb2IcVFz/A0FTfMRr6Q1ijn4qETh N8ClA9v20MdmEG0BcDoDhVln6zI8livhDoX2/iGRg9BuqRtgKPTE/O/Co9iFhbo5QOEEH7RvBc7v VKmfxEUVXHYZmmRCX0di98IZmVJPsvUaWr5vlW0XIyijyd4AjfgKLy4xWRGpM9Z3C7ptkKyhFQ2r a3ptvf2djIEULww2Nd4+ke8v3JSvjE6j5kcYPjAVzPW8SuhSxNzvGRskGlkPhTpIHxHu8qJSk73+ fvdi7wOyYOUdfAfKrfJe/cda1XrADeBA+IQ5GtrYEM/NG/Hz6t7xMTarOg5eVCE2T6mxehJtZZd2 cb71H7V26lk1pUFTR9Ao2eayUVQqtK+SsVg93nwTXZmv8y+T6WgbdueND+tm/EYewMYv1swLr8RO QoqA1tYqHluIAQMdzyFoXIsFu8XyNe3GaAy5c2NkzI9rnxDCiNklmWKbWuLOsGeUMrC97iNEcEU6 fKv2h5Iie7AwKlYeT/Q2rt8sX2IueUZnQSEzyVgY7IciFX2QK8u1U8FWIiLNWon4kNaglRObgjis 1mpBFPRJaNGcdfW+Qpsc79MpuDqiCIvUl7qneF8F1DDGj1pFkUPmvK3QhKjUNs8tRhayQYLjG7LQ yvIhZvR7nxv0lZmkhpVeeYEw4ibPrRJsSUx6fWbt1T9ZkKLB8702D5l5O+zFyBZyLVCuzuw5tgQr K7uPgr+4J1PnrvJTDyLmBgemtUNc0qK+oxPspYQ9YaTgIjLTbenPXigcOlut4B8pfGlgOtenow3q bKRrBLybhgUejnxETpqM+0txTZXYdGZ5KvYJMg2jkOnSw96DDLbysMM0oLu/A2iAxnRDQj2AGE4Q tJ6aYV6EQva4G8Q7geW3maRHfgicNFmHOPb6lwGRxMTghnCkvybx/ur8hmm2HVlw3zI73JXFJQnU HNjwJNWtYVn6aVggqq3KOfO3XFwom7YXc/U3cM4FFMhzg8/bSWTqHDK17DVyydqFOHYUqqcKEXZ1 cp5L7zQ1BdCLrDj6nVXgIVtiJXLO3p0qPe3xZHJgORh5oA15u97D8zFomW0Jle4khp4LEyRWyM2N lxPDIz6CooiEWtcoN+0n/XewtNVEXanx5rvWY/41g18FY0xaFsoisRfeXpyrvSJCShsKKVw682aw vYJcRvGTfcP/Cc69GYT/M6yVFVeep5ml9WNMTC5G2a7qTPpoxkKL+t+7LvxlIFLTES5NSpKnqwmZ 9aDuKwUaZoEkn21ysL8Glhn6wA+78NCU72SUdZopetEFlZdOwrTdqssa7E0FanpOliwo/aBtF/bI oO5c7n5x5MfKPti8053R/jJ0r9sFKzb6ZlBxwjsj0o83Nhw953WJ7qq95K5CCTAlQiAvc4kWmqeK jBo+SXKO/QuXadWWnb9RWJWQnzDcKINbDUVBhKYCRQ4p/tEW6evnxe4eilJbz4n5NtGsHrDBRhar pZME5swk8RZQrNZ+YSyVgMljBIjqZScwZZrDhRUw3AWewg3m9qAhJgMmGu6/4QlHZiH5Gghtv1iY 1aihlftSsBBfkVMuCv4Jb+m4Z5aJVc8lYZLj7A8LuX0iIlytqaT/dAKH6c8PaX13QZY4UfftGCmW pdkd8OvFLzQIn+bhpW6GM6Y/+/posIzqrSLkSCEteOOobkXC/xkF89hzyuwYHsGunjoC4eSe3HAG 4v02qSQ2aC5ZjZpTNiSRyvMoWco5cPTBEHcy7L/Q4yEHh+7u75nQHU0sznDqAWJo9QQOxKxoD2H2 oXtnkcMskbLv6X5jpNN4EdJ808hV3j6R3kzA4OtXhDuTfrdZKzva+CvaNv6VZkp1C8oLjNzefMNm sNXk6O9DWbpR3DK3eZAs5q6JHTHBX/rc7USG9gmOwo4yty+hsQW0kIvlSTnHFqAmm6mC4aY1U18/ eT0Ce+7l4lzy8jkzLLQNPK/kuvoCUggscmdN/ldjmggTLvAG+4ljU3JSibVm74hCYPIy57kYFcqR v9C2EuHHtQU49Jx2RN66ICmDwdRmwC5BlidvsGuW4quWW+/yQNqvWqkDdOOa0/ykh9JgWmnw3/+B nhIeNyt0gCEGQmhw8+cqOi3638xwUDpWSTTtgmPy1hicfCUreDpcaSL92xTTXQ1TmXniAb00zkFj lTksOJTCOzf6opxtDoifb2ranJ5+bRtQtUw2rj/T3Jt6lcab6R8tTYDzuYqskg1SS1fU/keP0SsS GB1x57uAyj4ozGJzso70sQddT1AjD5GUc83MgVCoY2Tqbfl1syn4yh1jpJuUeyJw6Tu7acrD+QAp jf4aFBghOSOg4D5nOMaTv01zkZDF6qelKm9HPttPIfixUcAkZ5aB7ddRXbduDpwCRJLqZGYh+GXE bSXAC3OJsIPQJEHmsSx0A06oOxDhOJfzkDrUAfhfpjqfxJRMxGpy2prYVsZ1sm8SpZSh3ElHOH4d IS3MJrcF1NEzRq98lByXdB9QmXL7CMylFknWS8jjFm+5Z0Eu8tZLOlU2t1g4Pi/iy3tbB7gmVdXu +VPfmKEcRdd1UuR1VQB1NpzG1jN3BW2LuiK58f+40qcv79DZ6ADfIJByVY6djnqHSM6JFzZ3h7V5 cD0Sb59Zsw+UzEfE55g9Ln/a2xjJ3MU8cQyzmVYDRL7QBgHrtvYXhcGxbPHK44aD5URcfjoq8i0C GuWvD6ogsfqTPcfPHWnRcH6ChpC81uk0vMzYnJw9M9Iu3B/PADvGK+YyShpWwtgSWkIQJLeCKAwY K7SiRM00OfWL07lz1wLWcM2QLDLaiEt5Cqvbr/8B5GSuRA5LQRrTswJr8mwJVK78M4CqM4LAPIuK aBvOFqm0kk15lQ3wteBq3256rio/LaLSN46ZtdjKn9/UwYkssadw5Ca11LCiLumYUdBIXa/3P6K0 uzvibmuSLNPuoPJxxB4d3ibMMBAkF8ja2zKww69AoPsOmVfNI9jdvrld/Q5wwIKMUfdzPu3vQGyw dnrjx1Fy3pqsnPVvS22NTujr5Yp0AuphwIa3jIr3feGFn+BXUYt5Hrx59+DW2E8NfnyAfGGEN4Ph eTURURmnKYKN7U/MkrgqSRnpHLFCEOh2IoOQq/jeQ/653vjcJgxgtxHqlfamXqNTIx82LYJf2HZS nhzgsdmpfffaIIxRbhdVabGZrartJ52MRAkUhKuTfW/zfqMn0cVg6o+wWT2ENB1DU+q6aYuV321h tYqnHP2CuUl5CIEw60macLxHlKOVv+O4C6xApduT4XdKJ7CWfCWiaZIxvEGGoB1VmB1LgZwVexCV IJDa7AHeez5mr4+sMukZ6KWUia201+zG8WCBcPTHoeeRiGxM//IAFFIEdUZvHSjMiKtU6d039OBM DNmJKyURTHuAy4vdCYLAkzfoej7ujI16y4EBvvQfaTa9HcQ4iHBFMFYCExEgRzTJApRr4LJ122pr g4ZZ9GslBT7yj7GbhQ9ZVJ3YbaBe4Lq4fGS0+aWOrKXMNYiv7mywNewZp4YrEY99+nJu626TLYFe E/JYy+ap5pFl2Z4Vuhu9cWHLs5HmXlzzQ2mxyJ8qVelD8jZVUuRn3Bt+uY6CJ9H62TNgQHEkAYJ7 qN5gQzHwdaYFlMlwlTFnuoxXJaaTN4BlSbH+lRhuhr2h2r0L68AQqhl+3yeNZyiY4L2YxM00zURZ OHIbaQhWNuei6areCoM/ijpPuuSIOmZuXlzPVRKi1Rwfh3XKSWldIsX/SABVcH4g86wjtpxJQd+1 UfUV9em831Y2Be3crroe4HBX2rZ3OeYuhWIumwQwJN+tVJaKhXRg/IuvFNK0dwenZ+7gZRrTSHCn x4GwpLdDTSwF+GtHhWmYq9ZLPPmlyK1hh8lw7GoxQvi0KVsRDpeHI4ekwmHT+di37X6LjRpK3xxD V+pv8cal9c4UmXr1fWm9e16xsIJu9dYg/3kGN65FD6/TnqVtP8FAckxPAv6o02M8gkYKHKR97fgh bkqNT8T6v/FwX0yrhAxiDqAzWHqQsWSPw3TYMEOinFCWS7WH2Mo1l+vGLcc9esDvNDoerOq4xM6N VUAAxJbVt5LdIRc50iNpndyjwahyZoMrbIpyX5AkBSqY4KNPGm4dyir57eaqo7B4ypybhPibc/vx jrlDQdyakkvzZjtbCjPOg/POgN+Ep670toT3907IbDTXKtN2q7juQsOsjOtkHwnON4PtoGUyAwIe pWBwkhfE9Bjp/rooLzw5mL2rI5SVj/KRlaiqSoBFJI9ozVuJKbdK18Lg8MLeIeIQLVrQtpPcNYHw LeXej68XbGIvB86tm9YF2Hbl2mF0puCCoU67SkPMnSCAFn8oN7VGtIin8+ecnWBXcPhKlhUCq1LC MEBJSy/hmKf7XMM8hbjiBTCnaVXAA0RxLTLsW2qRNQhwhE5Zo3Zz4ff+We4koy7VKjDqUeLeZOmm Ygf856tbLCzDrz1jWaelCLNY8gMjklzk02Z8X25OZOsOoPekx0A0s6ZMGG/ryoo4eoV5FNK9/XsH l+Png7czRw4XXLgoLlaB3dtZkiqt3dxQ3lnrBNWFjIa4ZUJjHejGUklGPEdBNn9y+c+hTUDqZ9aI 5H8javoP5SQt7OTeu3On30Xb72V3bMPFKA1jO3Rspia4xFujQf72ml/g9ZKq+Illj/vjBIQZnd6q CfdRUj76JyHgnVQ3JvUeMSu8zMAyav+qJW0kf/hFHxJCYTDF5ToajmhII7K9sRrTEYFZTKTGVTsm Vyuu+/MQs9qafmKo5QiRkf4qZH1qi6NfeXm+f1/y8UZdFKL1LAifwvdakA/JdprYRKua9KC962ni DOnl0guOlKlQqm7EGk1zdcams00cj2GtVVypXKw9YQ4kg+ar8ObmCI/8WYQqfjhBHbLYb9s08+TP /ghUbRPB9zokcjgqNM3ZY2fxauyB/p0qyogiLabRiwPi6NHeXukJktCtIfwLh8XTQEGIwev+HJlK BlCQUql3LTikLNdwpMATy7QX2Hpo2/jDymHSXynW1Ke75DIbHtVQ+QLyPu8bSngPsMGXoZMs2kLx kUP5rxlLaAalhunjZOPZ8AUxsM7J78upeMWr2zdRM4b/Dail2D+oYhPIipaXQyK5nyvwjcD9TNRn hYs/uQMueTSTE/dAv+DnUJtx1HQIPiQenzOX1ohYuUEMJLnoOj/cGjme7NLes0QuWWkwaBPSeuzR 5AF0od4/yLwTUwU4qUbwD446wyHydZ0UH1c4WZp0TqzjDoIQJOyhh7/qXOjYGnxxjB6F8VpWuIBF eXXUygcBO5025apAHUHiUNemh5+M9p7m8VoceZUHMDz56zrfHKHH25Mz5+SEaKK60vyebXWszlco blpaCU7wJ9nOoHZfdfpq70V3yRLq1Jp7BvpzbFK+MuCT+gEroKw1MmqfhkklCO/pF1B/Z/Df1bAH 7Ijxwb2MineFDvc6WEOoSM+V1qr9r/m7q7zWUdL+shIXnE+KgqTO5LsJk7LDaqvS9Hz+d6ZmtXqy ehNA2P+3kYgX/ON/63kPzrfVBV03jbySMRySApMbiUdNv18a+PZ/2SXGnNLS//WlmheimgskT64M 5P42uXC0f7zuY5HH/6B3rVDNk9E3cT6f3B8BlClfPAEAMx64gPCpEXgAAHc3m+SdXaUUk9TMopTT XRwhkWxn55ZcZmeO00awUlcJaJXtlyfaYvGkTsB4aYVJ4zLZ2urQk1Ru1yeZ+atPZmGF/QrGQglo EcQxBe5mJjMnQT/ufP1oWQOybF/nVWXv+Csqo4SDtwFV09IFlz3ag6Dm6qKReDKZGWPP+1SYn3ax Hsx/Z24ewKmgOjg5w0LI/3c5+Dj61ym5XNMcmvsJnM6lDnETBWx5UxnW/Wvoh79QEiOreu4nptUS bSQ8cGeUzZeF7q1oUstLNgxNc88Cr56b7ykQ+J5jULgx+s84bcVd6zd5CkcBTD9IMOUZj5MkWXg+ V4OWmdfYTqFPGUprbCdzu8yCXPprYHxCbH8A9DxP/OQ0z45OEgRygZ8a5l1q9naFLM1D4/VoUhoZ JuXvA/BO/fhvKiUknrmmq7q+GkuNKsUdJtCNAr0uwXRiZ85zdgUlYmZlACgCPGzcnhJBwvkj1bvX oybA9rD36igQh4OZga4ATjbHYr0oFeadyN0xpCzMFAwmWo2cSwXbFgLw77U6Y1G/XavBHBfApqaR 2hhk9meYqUl/X8+fqtQe7e/GWWduR3fPpBu2RNMW4I97u0+TmYOzpvGLZ7InRrf+tDTA3v8aPz8M EUD9FWcahTEcCAptgclPP9hEp1MK87BiUYHk235DIJ5aj0HU174WYaOHvzM7DpsqINLDTgbwjxzL 2HN97g78uuA++gWon9PQoi0HIX+zc2IYstSUVG5UWIOkc3ydy1i86yyhj22pSTSWybTnbW/B1uh6 p4laMdOq6bxJrepm6xGbc0YGcM7WHb2dPEV/3TUZsoYHQMniwIabirhTAhgpL1i+TiCdvfzEWqxW Q82iwhU0JcVGwaymUjY84e9wfcdp6jhxYadVi7X+8Fk3PIPqSHtqVMN50T13RUvSIQ7kbnJ3ipSt 7flpaJxSgxRJLQYoLfaAmLN5gUQgpbFK+ivb+iNxtdbuTVFOyXslKpABEXmmfUhUhNWPou9oO7xm NuBobgUVZfm0pNi4Vj8NHIdiF9AZDCsXtz5oCT9/ZCzvOsKwwEEkP+yrsG2a+H2/lx1x5gUirw3d 901ki3S5PE8o3l9fGD4D/Q5doVr4rakDJ/4PYj1Cbyuqlozhz4Tn+XHRsztnY/9kiCGYUC0lGRig J9CogGYTSZN/hM4PaMGrDMzquERR01bM2jt3vgqEi6VuEw4MhB/XUwnpT0SGHwRgyx4HtHE5/XLL /u27ytnI9eFS3Q2SPiA/rH96m18qCuiyfwfquE2ubIn3P+CJWYDtaAowNcziRzJPh19p98WZLDHp xpZM3udazky5gh6Sj79cQkls61GG+rDEz/hGf656mX7VGUG8LNQX2iQJdzgtTgV+Z/4aUTEXRd5P xLvUE+Ij4xPBloDe9o4FCx1kWEoMeU9tk0DZ3doRUtefjpUQLwr02S2e1igU0jtBRIysExZga+pb aipr6zY0QZmzeCkll+bYHzmZ0qlBaKApl761aCNVQI1lJHhAiTb/pkfoMPqsa2dYhp/1th3dvevI BaiTTmEbSRfnVSW1mpzVUp3/K+beIRFL7loU1N7hkGHAuLsPkwNWlLCjeYUZr3es2bZbYptvfCn7 N5q/AJa2t6nQ9Ncyv0UZEZtgK0dnKab7mIZaasMblEFmiRCS7SeL99vpjKI4K1vvZU4mMZVt2SmP 2wl4Y5AiWFPluOMcSybW1vFNaKvccYTddkQ7Qh1kXOES4oteIsDQysvfPbwvEJKcMnP45PXUlElQ Of/pccqFSceXeXEE0OcDRMkIoafop4Igwsng4/GNlG1gjjswSFAg2c7yaFQnyJ5Ox2G1bKjaEZ/W po+3SOxlb3V2eKhl1b2ojI4mG3L1mbzEzIL2hDBEeYN2Ay0UQNQwGkNQFvDKYDB0tgmnCva1A/Pd 2CM3UihaR0s1Rn1pHRPv3A7hVkUY9EMBff3KMJOiLDRo6eqQ8gwosavBahiz5SMUwHecpaiyx+v8 /6bHcCaGul6x+fhaqDgZtDBKco/7m/mRoV7P5dVKY6k48hFenxUsHZuPa4I9dLIRZxhuC33LWaVC p02FwxcolPJLj/pYGlxpi92qKVfU7OCuUjIVyFbNqRqipv//s+n1FEWw77eEEyMb9NizS+rOckTw Hb//NMBWMRcvB5bDG8vGX9Lvfw+J68iwfEa5NEieL3W9qY8K1KLzmQrTJfy27orEBvxZRi5valEk CP9UR/MCIM4p2HfTQxEDEdKzog3YjREo+HrVuzFF3Zm/YhMquCbjTmuf96H1ic+DLi3staJ7rzQD D663TThYprrUa4eqfBzIDDx10Qnw5Eb36VI4KJKDIVIDUbG8wwU/zn7d+J8vQ3e+w85WX8AZNn7O F54d6ky/LSKrriFobZSShZLLms29X95NpcLqm5WYmLcPc1G2qh2R2PkFBZP2ID256VlQcE+Dh7rc 4ZszAqDUb7H1YgoKiHJ+H8NZXQHm8OGQcakIimjBAuynBZ+FhbXqQ9UA2SdemZUnYdqix3A4tZdG uvxPaGhddtOuu+br/5KJs11J4TcK+9RWGNkgK9jCbMquqogzhg5Q9jWdjpVjBRbhlbNqtEZ+AV2K 1p1jaCYUSwBhKfiXDZw4F5mGT+F3DX+EQvFtwZU5D5z7k9hYvbulnGT/Em4Yal0o1SgmOO85dl3d EPLcdBRLPVl1eEH8AZMGu708cJn90+274AWyROKpC6zbdRCliXbfpelccCmf8SoW8Zet4tF3S5Fx T3uTWDtglTGLF4Y7IYAKPt5uCVi6FtnGdH7/p1n4T7SvbWmcFZ5783nkMgDXbdVDM+eKzoAuyhr/ gI9Ez4XGg4N3mr74IXonVPwHx7vfKMpx/lzpAFZPtBYoRsNy3m7Iu1Jx87RG12DyCD9Mqx+FIBCV j4KTk0a1vzOLrOx7uJGCRvJLu/5HHxQK6h7eVDB2/j0BGkt2TPBhERNsaUx1dNeUAhgO/BvPNfK3 uf5rHaYzJuVD7C1bEjSAjIPsFWk9vxKviUximZm2DREoOCw25BLJF7MdbWTWmYKsFGLwWFIQeiDt d+q7/QTjINadF24FsV/1gOd8jWAIV6Uyk6gJQk3E72zr8GKI68fmCRkx7cwMvWg8O7mt5Gbese9a X8JD0KtN5APi5T+b2MVuq/5495TCRYqusRqHdbVVYt/xUc+vvZCtmS+CXrdxSwnTOJlZpG830Xt6 eKvUWsPdMyFfK/hHharucoeyDhUGuiShoJtueqp8bZZrpLiVuVxIzrQrOoYKsVM4akBak8ZMcLv9 hIL2B1n9xJ8+4unG2sVZZsh+YhVrA0DX7PGnm6kfIDGe0ePSe9CRms/M8/+LNJJo6Fn2R/zSO8Hl QIZxXdmWTr84El5aoIgt2Uc0RNFAajDNXNwXmqr2+c0gAMEm3hQvm3PIX2lUSHY4s0faj0wMpqlz /UggNkXU3X32tWn8pnhpeUI5OEjgzwvFSMzSE/cngLzsUzlOj3CttFvRmgjGsOBfNDw1hfMrTr1A Qif1leS0AmXNknY2SX4XX/3RIX3KVH1HUDPIQ4pNAFvDIpn6+oLOPiJtJ9ENbjKaVWORtsbPxE6l LSEt4SoS8A47EXx8ad8RsGIhuGwHko4ghziDFeyOAtUXnh2hvpLZZljRHsPW79mN3zxB1WjOq2Nz SpPxSkgi1H7dk6sTDNhZE2aMEDIASEOUMdpncmNgMBYm3vS96vqcIF7oX3wcRCFKLdvN/qPOydzu VpqwlJeM/s/gSMjmQhFjhUe8stUmmeWXPFfKXqtfkZ3uXSrptakmzpL7N+aevGp/Tx+5Qfs9h/g6 DryICgTo5YZ/3HQdU8OiS6+Ho48lShIKY8b8SiZLK+Bpo7pAQEsYjCOnS8PUZV693F8AMGuajoDj KvPzej5jW77g+eF/milb48MnUNo+tH+Qo2IflWZG3lDi1HuCCUIV7kc1BbQ0H2ltWOhxIg+8olVM baUAFvfxinBqycIQI7doTtY4Aq0/CG5Yy6JcjmedN0heYf0zVQPTlKAkfnlVwSLKRCrr2p1Fj5Le 5MRlVxz6+7xsr3GhkUby+5zUywYXabjdmPFm5hclSP1dARE1w3uzBsIxNZriki6OqwmnbHHn+LBP FUE9fu2bXzIFBesvcFt9aJeNZol8cSBTVdc2ObxCZzZa7/8F7AU+ucF6cWg6ZCBfY3N+V0LTDgZX s7P5d/5G4YpSkozSbIpJAfn3XxeODoigDNO0PITUHaFrX/22XHr0h9yoBjl820puXO9sk91Wwhc3 hctkKoKJYapKWhy5OVup/r94LAljfYK6khpV25S0JWvdjrk0nGCQDPKg0QER9zcYaSQw+tiaj2Fl OpvbE148WoWcCt9D+9+RWh1rCArbJoPjf9wwaxjXCNA5o2rr/VTMfXflYXngLuicnYm6vAAWkt5x 8dVC+Vsy97jHAcEjtXV1f4BbjnFKKpVTi0PdkOjmnl+34gMkpIb+5NTUNAOl3H5w+ZWt8seHmYHr Gi0g26hd0acVexBcKXHJ5irYpvKgg1O+3qY7VvCFxevJI54qWQ0ovebwdJiGT4H01u0gBMsArZg/ GbWYkGVhiReroOANgZcWRV9CFCOgExCj2LM4+pmGWq+rCiylSleJWlL9H2vzSWxZCL1dSEEJocxQ umYCbseC8gGrjO2GC5/WDqT+CaXwP/dzuzxf5WuV/hxLVa6tKjc3Rr3UoGMPjb1tF1t+nyHR6+Fl nJ7yofiSqZfB/xomF25IO8tPlNlxdQUxr+DgYp25fLfTNnyF8c/a1rF3MG6XSCEtKevWXF9MPKY6 DfBlZyIUd+rbljEB6YHhDDAiIATH/Fy+ipWpv1oFoMDExWWmZql80iRaZP57Z/q7fr30cb0xveeR vKDSM3aif9uI39Hr3U5ysSQntHdwQY2aPHZnjd6Mmd8BayeMCS0VtiPUltKrnW6W0SsjKrEez+vi glRvwPIgk5j2GiLN4oF0DW/jPT6bjYwkqtdvy/TnuDGWc7Uo+k25XL2KJbzBfkaT1HmffVwsfQx2 C9ycODUu2+g9CNqaW9kiJHPsbU1tx+bwIPo0gkMdw5XlHJESMybLtMHvv2XmCupSczWbjmThPiS/ QFGQ/hHG1CTTlY0BdJKkuGeJFvn1v9fG0W8c9piRADw/N0bzlQ+e77o3XQXd+cSQcEEXZ67/GhBa cIbCjCMCHdOR3UFaJSoAxZagKoBpxLVQg0QkW2K2wLqWGozjEBdJvQr588sHU1Ms4WjEOzkQvS/C Vg9Ew9OOMIlRoxNGH7YytposU5Wg7FqoynvIoKlOVa3BfyqSHViZndo8kiue9fope2aN7lQGjr17 29KEmD3dqhW/7eAQbY/2axQ85RC1AXSvhKvdvpKnQCj4uIpDb9hSHNC0ICSq4GF1r0nD2wOVSMxo gx3DaatWlQgmax77gG+SUKyFlw98RH2C0EDA/MWF31MrmkEXZoJy+tCn1IOdkUtJw11+JEVgyGlQ +pNnJ3vIkymVBjkp/2UZPWBOWjcQpClmEMpP21Y1VoQE+Obx36m3nExSJbY4YmvTASacL/WnOxDl bMEAWglxuE9osrj0JnmctM/Ev96A9zRNlbqLGAiADLVllaD/xajY+98TbN/goeN5jUOknLT2PuNU 3BCqiSuRZ4g3wh01C7QspLK10QUNl6F1psVNB19cqsd01LapZoclErRzPawwf5Lw8pKtp7Bi7RWY 4jPPpy86QB/pXMJRqjk66TA9p+knQyC9WT9grTycBR4tQivpbpI50gQqWvnUyvurLXJii8sZVowY KtMXc1Xh+ve9bzryrbLVyTS17CXXt5NM89ABaRIKlJwcAKr/uLanBrZYDZIvig8wx67g6Q6WCs59 qMUo2sG5Sh6E5vyAaXASlmI6Q/HDeCzAqnizFcWoqjhdbh6HzkMOo6/M5I+/LrCchpLDyt1m5Ncy g0pSGATFZlPhoqwtcx8IE6C096csYfbe46+xlxk8PQXUyYwsqELJ6n8nUnfrSld56PaeFNdK/Mo9 qg6FuSi1ICZbG80wWQwNxuMv8OMBvOxTgdh0n2JpGxReopUrNCzJdy9QXYqES6D4R82eJ/KAn/uc YXWbuaaNaCxlY3QE5VOSaHazuSXqPZ1lLB7lRGk5oqFE69no11+AdgzuAuKtLLSBWkxHhX1M4YJW su6vu6fuQIbRKJR/Kba2WTDjv+vE4qYufIniK4sdKY6Dwdyl4G6VJ/Bx/Ue0iNPKtb8dgXnA6KJn ARW0wkg8FF48gPmcRSR081suJNmCkK1kTTh2eeDN5oXJr1+bO0P02n64Ae8/qPk9jlZZpT/YeU5h SHYhITx4LKYaPxctHCxHJCC06MDyVJKLj5w8rYc4PAPENkBuyjnAtTd4GWwduVT3TZVIaQyOwUMw OOqYQLSF5fXwgvT+Soi7fl87HXHTm8Bkp252g6JfY9x3PIhE1NDt8/+3S4tnLLveJ1HuIcPksEZi crsI9OQasnirHC24jTJvRQiVacyvNd3xxfhSVpKKi7mODwNJ6lKLIHDZM5UphyRpmDNOX9Yf2lg+ TDvzzfwgeTj1WAcbILTNJA5OFDjIlgONBK4ufpUkNCE6GbNglqXD70XzpCco0xfi638E3jFHc1x8 tOzwjtPGkNtfRSkTcosSun1Z1OAGEDvD1Wav2yy9KDFRqnoRJkKN6dtG8kS2Hzk0Rwq5dADthgqe ExOekd1R2UL8Mfk+tt+QhfAM91UuaYDIi/Jyzz+/tEeYxQmxPHw6/uIn4A/iaPtTrxcHrBBUP0br 8vCADlJmzQWLyvsi3xZuhxYtQvU6Mf7kF6NzPOF0w4O+5o2qBp0wEaBLBLengEyKLHSPA7aaur/u YHHC+RdRA4aEhVYZi2ZHWuSUekO5VOTqR2jQbTQLHzjWIRodBHTfLiAVW1Z5WH9ubqKkGZrtnhvu skLr4f8OMQZcFZTxMOCEf+rlASbFVpBO2mckJj2Lcn+LP3NHAjB2LHXIv9DvyxR9/K+0aO8ptXcr olN5cdEXfNQUQVZozVCaeLjlH7jHaK0flSYgZ2OMA9W6GJ5UmcfJyo2yzbhMizl6Y8bZxpwsJOnn R4mp2Co8LGG+Pf9ncZ4fGBRll7Qy0Vbys0cGTo4u9BhCJEqOHmURM/ATVkpg+v0AKJ7n7DnVNVcX BrEcOEmH8h/bpbeDcv0C01V9YgSlQeroS6+SjbZC7tq688HyGhdlgHXpGTLSSAM7q8K7C4yy8mZ2 yiNA4d9tqidaW1tuvzJtHQbkkQwQ+ZENBYu5hLc1iNxVIjgNz0tMg/M95lY2lJaKeKu2ebp9906K lBXNDSejaqwtDAxYSiq/uPWSAlgp83UDsqOeF+gIRkj9VuL8AKT9EP7+JldZl/oxrwIm1AlD5qBO zWVJWmVi4GGEecYGSHQZAVvcK58aexvKyFCF+6Tfn8qrjxm334Y+MpUHb7/kj9lqsWBUDkpqEGJx kd9zgfbARIrEzdDdOi3uC9py61P/k8owC3ynf6gP+6IZubgZ7TLvkwIivO7d5Aww+Av3+SKkS0jg fn/5fKCxVs+4RHWQYKvBh8c5WyVIMwT74QOtIiF7azjtSlwXfHQv2SvEKxBcb2TMNsJk27t/PvUG k+P7h2wdUqUvJ3t0FBc82WKsbqcvE1gwbCo88G4YrX1E0lREMlHe2NhltM9rIRScjgyX0ctM3fy3 SrjAAUzm8h09K6m1YNtWW0/b7UMvqBYxl8oNPWpiPmuPLwIF7OX2o28NgLbbCMCkbUUgNBkex27k KEh9xPYVhUK/8H8kplxTKgNe3/51COMFmlAMGSYAVKlYEx16mY30LJGFdhcbP3RHk3Xs4rFWmOy8 eqfrCnkcwZxsqIlNHLHOdVGPFDPnjo2DDuApKsp/Bj/Xqh71pK5QDecLXhrc+b/66Bdb5bN/eZuw DLgh+6L85brLjvr0CvLMYLltbVON4Gc3Bc8DdoCyQsh31pmv6NvHumpf6VG6uKfF1ye6fhPQPBoS gA1CqJAgFQl1P1E2QoOJb/E0nYGTWTN1QvzgdDjsg+tRT+7J5wiRh2EJl3uFfMTc/T2U5bRF/RpV E6wfC5VbTanmR9G1KnRl77k8KP3eylehAOgDQfPQ7rBKIFz89ir9nvXNplCfhroUTbkbbiIIlCS0 aZ26JuJzDoIOMPYo1s61MCHrzTVpPbMb5ucYk4RXrEwuAeYY34IjNMDIDIl6VD80g+TGCHzMhAth cnRYjj5/Sd6zvD9pIVW5zOt3Yd/7zfZGJaadh9yz7v/6gSCm9EFbd8KFsxuMzq3BQajNzp3mR5rl 0uEK1FUuhokm0+sHLmuY7Zz+pM/ymmZra89LddJ2VtR6OM034ngFrpob6h73Bcp5wY05GFPtfklo 11qH6d0Oe2uLh1stRd1crd+Qh+eDmMms3I11itFuQzaMtg6J+D+/baMmP0x/ES1IUmIaMphDn5H+ gY7l5arj28Bx+bupwGTnjfTOSePHKPBL6SWz4ZPMjqxNLfRItUlp/kYoAOmZ6Cl5oJ4suPDXxkA5 16HE+mC+ahUlTPaxOyYuDywsRnUvzMFnJUhxjbdisku1P8i+xfJ6hHxMkohJS9LLEkGEQdzx5R4u vGzmFCKrOlC8IPmctqxlg9W2SDkYgd5mi0OP68rtAxXWDSAPD995cAinasgy8bJjawzKQvA61OBj +nDMcP9vt6cOAEvir7iC4qDcuWiBt1qUq4g1E/yJYPQvMFDPIaxcrdY7K34la+B0vo/0IPCrjqDe /CBfdAtALw0TZC5Cj5P2maCuweJ33+gFWFQ8+jFkakrLZXeYxPJDqlbcXF7h8+7E47vkCIqYz9lF VQQ8oo3xpp/2LMBBPapFTlihO/OJQp/JPcq/AcnwphGv2CkaiFGS93tsPEtbMr3RZtccOXHAlex7 7PHf5h9bGlX2J8ZgJjcYc3+3j7hYq+qXkzsO29PICcVnDyJtHL0XVTHnzukJ/6aarddHxYBkHMrm Udjvw61tonNhtQcKgbwSfRDIfduAR3MuCleDO66korYJ/8gA8PTeM7bczkNGSraduZijY1bMLIEO C5mzrOH/Bs8Skez2N1fGslhfeL/JAs2yu/KXo6XfSxzjSmk5+pNf1tOWQ3rS9pvpBXqdiYCEPqD6 aN2ZOMV+cbMjzu+JUwEbRhoVVhErmGT3m3OoLnj/lEk2lQ+2kdi0wk6zTxPAOk1aNareaOQdob7Z 493jwtOsiCX92qQx94pIRwdp+JiaKri/Lgxik4bYRNAJkiqBnXjGsW5gVK1fMm11RYKFG+y6UJvn WfCxe6m1U6pIQ3sEU3hdFus33X5FRsEwu0qMAxt7T5Z0dDXB9VEpkCrR+lhTIwLfNCyWXkMFZqeE SdTQ90Mk2Vf1emLfWTdpCV6wCivx38Rnr+Mr7Pxqj3QVdtfzSJZrMiLefVgPmHweNpwLYxuMdXa/ BR1Hy81V1N1Vc2inXtIZzOC0jjavRS67QvZD62D6YY2rjSnR5e217lAv8nlnt232eeXTzdPfnVXi Wb5FXUhYrYBF9phrO1lM9VpaxUJAosmH67FmqGTpTzvFHFOiqwFpIDE5NrZjtAGKobU/Q2ez2Pu6 l+7ZMq1357Ev9FUkBZflgJai/wo+vt8FN+dCXxRmVjDz6gJxcz6KpxWKT++7j34c0mv05g2FDBcn WiUUIckTXpiZnEoGoAtfhSC2eQ0fbn7OE7mZhME7yfEgC8FpdSmy5LnapGouSozP+NJ1TPYg8Qey OlKluVDS5y+rw+aTTqFsbJNsNEYnuy7dlZ1EYoGuLriXM+CSwhA8Xc8bPl+eEBs9Thp/HMFYcdlv 0DWQjbueYrcgEPBhqgFNYWsOj0s2m7ulrTszy8smIXsLBsQtRbQL0B1KjpFuFC4jVg1CDpc3+xRB N6FlQO2XneY/gaVHn8VOtsyqo6ypR1FU684LvHTLF2eSitxVX3y/y8HLfMp0nZeV/br9YO7U4Re4 x8IcEjJFZ4REaudwcJdo0W7dacG0owjdRbbDhmV2iK+wCyVzMJ5JrLvDxfz1iVQltpHH9YYKYS9r ar47nw0TXVpxq88XGJNgPnTEo9JGGxf/DN6QyGAo/XN4BWlwTFxdLSMC8JSXV+4Cm0C+UqHQR7Y4 Bv1Y/ctB6AsuVWlh71pYo/2W/C7GxOTqo5JU8TJkBMZWPGTuJ63yHe/Y8xHTc4EaNsfdehr/xGNq yQgVVtwNRVhkgVh/NFG7zQgy1L6RISPq0hMv77AdCzx4VwZqHJXnyL7PFHzEqkvUWFukwoUnAoSk WvwuOkHqgT7Hyn/3nqPN9bVlDE0cNkCcDAWlFsYbuAL2g/ltKtGEG3RKaXoU1nYGFSx5ZCX6uV5k XrnQ/5fkOR7RUkynmqx+7WPZ3I4ejpXatT16/pARal9iOlGefEqalJ8M87T87tLoPUrDEj8Hi+9z KrL8zU4u9vZqSwHL5G7AEbKfFFiWVjmW4+8/mBkaEz4xN9FvgLr6KL1Y1bOJLvGo9x/wUW3vSZPP nTbvf3vQ3uybOp9p9364W95Teo5PzELv30jBUn5pQxec6uT5VbHu0Yqodq8IaSP8849JwiFcGtFv oz8GcbpfdzWPsmgFRRK7hszI+HN0QwjJyQAlOoYUXPsYneJ90AScMN0/DE+GE4cymIJJTJp28vq1 HPuFy+aQ2AJLXDEwmJOGI7wNBLM08bYFW6cALbn6rzSlW1UGRz1LQpGe8YwVM7aiLxUhLjSYeLZ2 L3dfzr0YtaZIgiEb657d9Xsj+pz6BL6GQvv0Yjo10W8JZ939DYVaNm+jwTGkf+AgUglASRODySMd ik8ZkB1zDiZKKwh+04pl3/w6tBxe/q0uaHFobK7MicOyZAMzSxMWVNuR6/ayvLNx8/FesrcYzBcw N32mEQ9Mp6kTQCucvApOTgxOzr675N4+HL1xy8rp7oNIEfVBeyMpKCFCg2Idb6MspylOd2Ikv240 Cojnmwknhur2Wi8GDffyI0XV1X3ayUJS/zSvU8Zk6OOr+B+KAOrlnzuQ8Dd+paL1nnLfySuPeC5b prkukjTioaFvxCYZEzUMvHRp7rWo/rq4el8JvGk+aXz/lqEomz972sv1gyFq0FYrwgCJAyaN6YtZ fnhfduDT6u68rA5QKi0Occz2DMbPj4vN0yH+TJHkYKrLTsoO5+AvmzyXaco4vEPbJ3rHim1n7qvc AwqWa4v/Y6HVVtNf2h5hPUEvT3EQ8KUV7S/VFYNQA4hxK7bRqZ+dL6E3Qh9pBcRn7qKSkgk6BbuW yJgdD1vnV6DiWTxRpnjAbslOVrj9CcGSysMK1ZKyKEZ/JwEA9dWbGS/OEStKR1An4EGaJEtBy6i4 1wndwJ8M19t/AzuKADJ1aXFZOHbsJ/zz2PkMfEKK6XcSBi7batyRGo6pSclis1dZvXJDG4aYsbAK sugIeEyNxfdWpV6VrbF7EovPbqLVETufahV8p8W4HQzPCHYcddCaGoDX6n/p8z7tmoGvjLayGh2v c1lEHzih+C8chzxL/2BH9MOkAkm+zwdAhEVb/mYRk6zFOxViNc+9RJkNypLdr1n7eCGJm9Vgpp9R 7k58waP5etrNwnBfU5uoDJMw0ik+cs2usBDeLTNI56ERsHyghitJtwZAE6Eib5ZnIY9bkm190Gq0 K+NlxYEywkC4Hm1kigmBUtciJW+W/TMYMicbICWCDHWyoowFXr7uy7y4CpK9RWK7Ckdgk7YraI3I OJIz4Dfh5mHImrPQ9KjVgWvMV6mGxHueo2JAA4hADqNCCJDvD6M4N9yj61yyEZx5pXlndd/beYLz vZJ6EHYD9C/Zq51G7VrSBK0c6slev5cvXluWCe+xesb0xel+msPEbgWkHTFLke3Uduh0JA2Pi/F2 FavYOSmK1Oih+tUzT31u/fSULERu+C3ex4BUKWBtnqskX/AhtyXuSS9lChAfblGTBUBD4NpS6M4g 8CKGKpbha0iIEO7XK8E4bRo7PNqMvLUt76We95SqU6H9j6woPdB9N5HvP7gk1zbPsMxxkD/XYqER B4Z6z1ve7XOY87us92uIGCmDasiC5Cb0WvkvqADyjC7MnEFX022HoMdqDgzgdDhrySKadBOSLhS/ 20C56NrE0vaPynNOyXm/JLo1ygDphLCR/skzZsFQuXdg1VZYqMJcjOYv82fIqFmZjQTcILHbqXnN JgvUWd5A9znTOtPv1170ovuzjHC4LExYkALct1pfP8zUBx/HZRdpEuK8ZWyea2SjQZPjbacwUc5e Cl+J3NluV2xJmfCy7hMKYJlExJpVBPPkw2TqhecjNHsO4aLVyvUNXptyJkcNxqBGm8tA60p0ckWX Ddv8pDm/GTkvBpvCMybXNW+qj4pQXB2itTIN3YWHHbD8doM3x+lx8FddhkZYw1Cly4RssHkpAKsR mQ1RmIbhX15nAH71gE2z6sBDLJ6vzyxc6jV7UriVQiKCF18OFnabf6MyMkL+imtPU6SnvtITdWkG beeNakBgwzcNGioMesk7XYqHoaO73qgbYpOSoUyOxaldjW9XqzGNTY9W2D1U9c6aTfbG+0S8z67r umNqdf7M4BGrIZnAD6C6pGvpsuqLFFblikyxrB3/70JUJ9ifxm9y5+D353+99WV6ZP2Vfednf2sW zn6Qgy0uR8In+KJisui3c19apGfs8SgiScn4eWM1xswDi7Xo8VQ6952uJEXLDFL6Mg8p1K0JZZJa Vt5CrKroFSGSSjJTGIStougpARPlYdHjNHF2eyEG1vhLO5fM8d8zQJKaTqtFyTBo4OPL0DktgLiz w8Tu8ieJDdJVutEZEp6hz7oGdCYBh1rlGucW2Z1lU4LKyiuptruVdyG40NbB9nLCsOvjiNz/tfpN SKPWbbCQLg+8iPFyHqjTO4RoMxgTSlhkNMnR25WwjRlSrlo9SzL/i4Dm8WUfcKVMWMqCe3FhiHXI 6bt2e+mJ61YDAIJnM4p/V6SUjB05nOY4vVaslhnqTvPfwiD2uPXZRoHMY+tG0qcQZoP+uQ5T7R85 6BeRuMWa8tBM/evUiavMtZvhRlE8KQAPbG+izV57nupsQyIx9IOi25AD95yVNsCsqJywrUu7Uva2 2PrKT16EA7gM+R2DbN81b6IlzXZixGQJtRhvwAuRl09GUBls/lqRCheXC/GFCnayU9gVEtHGuutz ZiqSrXLgEKqvnVhN5g65bdOZBVX8qfi5TzbolTYPWYaVqVNHFJuxYtGV6w+SVf9eXblA+Cdvi0Op 2IwrtbqcKk+oFvEXS9Pxn8sRIAbvJUpREV2bl9VrcyZjeOdchTPxLR3n4BboB6GuQ5TgtOPj6sUz CDSdPLzLPVEAWplI8Q4S2IUBtLdUBOeueliBdHzbPwV8DlZJ/UMg4S0Vy27WDdi20+fRzxMcbwLP lw5OhxuvJxz29alxAKIydTwI/j7Us00lOzlLp8N0CQRLr0qb4D3IvH7PygOVqZ+Ai70Li7HrK19t mQ3r/aMj+oOLtec6avj7QcQEaPbZaXYOfK8iDd8l5+/GwsZTzl2aWC1VuCW2k/0js6yqzT3ZuaS+ RndWOSPwxcIAXRb03l3REsMxRHdsMpEtDU9U4kEND6U4FyEQJpCsD8I89229zwx1+pSXlMPfbgre aRN49NOX3uIOFn44EEQTzi8Yu/feZWZipXjnysIJlYSGL5MZt++h2bfdFqkHf7zxZucsaBdwQDJ/ 9VyTpVa3dPaq9Rr09uOZUDKxCTOTMTk+zBI0IP3AsI5ypv7oXwRitSAproZjtEs2mlvwN1DZynAK s0LUAFvbGPnQsD1FdeYzdaAgZBe2GvpRL3yKOdzrvs0BwdEPAf4vAcbPoKnguXjkWOfmLQJ6mORA zFmHrewbvuR8y46lCyZgGEJ1vKsBgDZI9Ix2FGUOkO5cVR+8t6WUyDuCX2t210+L9M9mMSZOq0Rb HuqUgJFccKo6mq27+AtNIll3756XEXS65SZ59nXZL9ezsP0uujsn1c1qFtdrEEql3mfh92ZhNlw+ 3JmRHkrW+fIKTTD/brR9Bh/5UtYhy+tObmP/6mHmMBSA2J//6nQFzUdqBXXSrilRT7ifE3lMzPTn OLVqd4iWa1Vie2lpnuuPgn4aPQfYRiY1hxxJ/FeTVexYuIFgDpyGtYQoOIG/P7DBtUamxBTxFah/ xXQitT37mTAPWDgQRdd3tY1CxMOkiKb+HTbH8d3UXSXKulJAK1GsX6eZH2MvcDMDtmBhS1groa8t GhwBSyFwKsvexwV8L8avNpKZcNTyooaBgxZUC4rDKWa+L15HK3Qn91WkFPhU8SfnQxwByAGg5bBK REoqYYE+v7r3QQ8TV8LgDfLCB0IJpOu1zcOoYRVPaH+6qG48oIxG0O/lwnP+43VyK0/qREg+prgn ugVMkmjF5kjmHkll7UAWDRR1FMueUS8lZE7vkqzYY6Cr+ZChxJiri8pW/DoUQK64Odo+20V70gp3 AVddOsxkwusmlQDLAp1dgYA+PHNco++F+2tpXHU5mX+KWo4qm2Sr3NgH8fhTExW0kMxL/ZegSXUH vVtMtjoVF3dNw+BidnTyGsorhNcF75sYaCaLVArgdgHtF0fxf/K5T6ZF5ES3ogQOjCAgmB7qGJDg gWgUrkVhrg3iuoAx94KxPgN6BhxNnzvMWl3v5mUd0jTpez5RpohVuEKSA9RhyMNbD3vBVsZ6grT0 ID0FyP4sSNewwg09fcq3eCXS/dMXt069RZL+MTgT8LVKq+/5VNm4fvfkqpCnxreUgj3Z7yCTciyQ 5xvGQdMQuXahfijTuabrzZVRsgm6FU3z/QvLW4ZzYYfkjDHjXdiCdNfvVJvAHgtYRAWIXBMD40J9 noCG9RK2h93xPanUiEe5yb1VkzGBmhjf4t3bo9FNyanUoO76xkj9fHdiK/cV4UmFsy1hjLJvy4mA qPq+cjb/nMo8LFPoNdPmL5MZ2zXKUs5EXVqlZlsIbDXXTsGgDTCuB56FyQj4yHI1VwuDA+1aoIDA a64vKahIQN9y3UC64rJPjjYcc0+qth4lbgtfsys3idz4BfMSoWOPF6gfzZQ9pjudGDlyD5+e4LAM wMFN6m0xncPx1gwYKhxZV483rLWxzU2CJBM9lZZ1j8hkG7TnYKHrvaqdGt5KBg5GC/MbrehbwJx6 o6b5fQp+QL+IpXloSYQGhR+GDccGP471BUNGa5SzvdulJS/tWyE0BwzvDiVZhC/cw5gMUYv3GEX4 CWCXwsFrB9usGeJHXIY1PsOfkXgJHqX4arag+ovJpbWTDjPUNS6TJi8MSQGxEZyk5t2hk+bms33J i6d2BFRDvU6zEWHEYuEv/4okWI2XyLmuvQwW2ew11fwypdmm6ljnL3gSvDOFe8RWWbWW3+Lx5tUa MjxlQBVmEGROT05moAY5q6Psb3h5Fi0+t+Qt3hK58cq74sDFvtvnVi0OU3sLATKG7noapoKn6rrS 7uZOemfJO6afHPu5nnd0DN+lSdOjCnM0ceoO7DC0SLLqlCRVYP2E/NMPVDcsFQl1Fi97H2KallFU oJY4R5bw4/+KjwhMRhnVAYVdvBZzhATJjlQJnJfGgmRGwq9HnvA1p9gjjP10eCYPDOB0WY8Iovvb c2plcby1hUY+1jDBTdMAD4b63OwYQqMOwRIC28YPp2NEd/QjLCQarqOHBeBBX81B4HtNvo6rEvFm 4rQst32kvltFWtYX5B6Z4kSXWTWgjKP9c32ximR/Gg5MvroAEQYBDS6pak4v8kGYOpyB4rdbM1Ph TVagyQX43vGqMq8NHcDP63oI5+UOCu0M4l6E5n6P9AoiWzpLwIPBpDEgKOnFRmwYJMqHnt1hYHd8 Qgvw40+/Ycsyqt8THXe+UJHV+7NEWwTErIld24hzvk/SdNpXC1f/gI8DPM4VjndfAm/aH3fJyOTu jzSASLhHoMyswmets/zIcO+QpJCooXWF0zuEGjdThycMM95JNV1Nx0XmX2UCHOzHO9tu9htHlpk1 AlyvtEm3+6ifB4KGuSxFU8Tp+kmcYzOns+jQAavNgjQNDDPkPAQPfsyz6MRxx/mrNLSWHSguQuZt BWF5WpfEEGbKADjOw9wkrESbNI9sy8X8QXziPekAie6OHk5+4aoCLslqcc6IKsHCTdRZjaZmrJkB pb+ZvxRyY2tUZThNJshd+gibT5fcNOXhTCxPz5bGSRF3xbpNrSct5dUHXZmx+9r86zDV4PdkufXh GglrFwNAElcQYFgS78s4XmMzRuavqRDb2I/lvIaThu9BxYgvteP+xJWN0SF+thYzz2B2mbHdmpsQ /6UlmjtwAddJJaZU8OvJd2X/b4vg/Hxv+SDmfcacAcFB0QclRSr2V5ww9MxH3WNOoroVsO5zVFIx ddj1EO2fUxTY736ADMoZdUjSzJz1c6PAKZbtkSny7iJvrraPM/B06gYPLMST5My1l7gQc1/Eu3HP AxTOx6brCYd4DukgJDIzodlrJEWSRIV70FkzQGNfTPaDbIasQXbtQpLR66lA0lOqQbAq4zRJ0Aa1 3r0O2+BuwomrLAbnnYoGzfQEOxudgeyX5HdCXDY7OUFau/UyYeFe2goNaebat1HRgNQ1p+FJoTZo 27v5WGbv/DORcLQT8fBEhHo7p0G+1ij3o5h4hBLdyC2q4O8GGh0recaKNMVi6r23ojsnsyB0uSw/ Z/e/lfsgN4xapF5258NcGGSCS0YoVi5PVtnz6ll0gSr3UWB8Rauj9RxX8cCcyCvD8znKNwCVqpVl CcKiVKRbTiO7uPkjBnHwX+Dgfrp1fNyvimLIIQak+25vcPgcM/+WQP2Drtw0ZmMxnBZib+dZH2XY euaFinmqm7BG+nk9b36O/xD/y3H7IRgcGI36S5mdgabHWE38PytVWMyNwqepoCPZx8Fa/JbMSscK Bdq+iUpdIdm5/cpVWvmR/ZcAtgu+N4KLIxsZmwtZOYfGm5Iv03vLDxRIlzjPoRBGWPBO6VatrLxS UpESO6W4TJgPGsd2jZQYEoe+V4k3YbTIVi21C0oZcQEmVFo1vC0oHI1jzuRImmlG2h2a/yxuwdEH be+yy9d0yh9DHD4a3KPJ++8TMA3fZ/2H+acCpGw2LKhkJBRlw6x/sD8pXSN+3hv3Xtcm5Qj4GqVy P5A1fOtox8gFBjdgPwTdMwoeA4HDEFeoA+mNAGjksDA+1KuFguD9TMM/gBX0rvlAH/HitHslCoJt Uo1w3+8se7awVhnjxXSpFXRs1jEvXoE+nIYJvrMHi+0/KyzLCL4Ck5wbeKbXUftdxCTuBg62w/yC nnaenEz8ZoaeEgVIgFlZIcKUzJY3s1FXn1zbK3C8quRHEsElaamcFF1EZY/Ex84FI8or55SS7SpJ pUBoaUSayNJTum9yBuxe0CYk4pO4iCeyAc0j7dAi5jCdjxNHrLu2L2EHkLfzHxDZ70M282AengEc 0eClH2LLpB1f3BkCNie+n81+X9fBv7d76tYf38jWCgWp4qAwtdKgBguCQfe4QpZiIx8ru0c3U3JM hTs6jG3g+uHd/F4xazwl0WqGJyclbKI9pjDcN1CkgsOZngxSZbiunlVhSzwh59XQXDlvUGTeCUri fLcvQu6GgEE9gjPzMp6OruiOCVpImiYw1t9GHtQOF6gj21HKNRSDbXMDjry/gTHX7ulibSTfGanF UU/Ej3hcMDBNo39xSDyUbup9DR8FKWZ2O/NI3fqPiOaOqo+wMAHTpQtcbMUl7uY4ZWZ3hiDSCMME SS+VXIwY44TRIdH9H8c26HG2fpOXFLW7ftzt7BEG5G8+YEwwsa8CZ/H/6aesyDXtjCIELW3kWgtv JoztNX4JViWbT0ry/tgbv/XJnb9G5JijLwAz12JGY/g4UA5rPfy0aZprQitNrd4bZ5LbwRBZOXMU H+k9Dr7Cel7aJhKRtchvSm0qD5612kaXJV183adcScTZPDGeDYvS0XPWbIvIWzjH+4RZQkCeBuYW Cq1CE8C34BlLYxJ1DalB5Zbup/azNvAbM/0ySLee5va72geqdI0b6pfEKznrs3VPWAVhUDjOhqYb +gCHUy4VVJcQh7maMOiLHPri93ZWZeh1/kRP2pbIwJkzsewooAX8vfCU5g9Q3zvUTQaqoTQeNmj2 JZAlAqNNwSXgLhtvKBd2s6+cL5/t6Gh7aIUdZbiseGAB6+Ng6IF22ORwoVUIaB5A51WEySn9v9+G ajYp7POy8aZH/1jgv8OqXL730pD2iyJd33bvXNLXG/+sJuHa1E71hkb9FBDvm7HVe1PJ/jwpsfne IC1ScPVsdhf+TAm5CtNtQoKdLai1sB7ISeAm53tJEozuoFnAU28LTP9qsco4lF2+gAElCBP/bR4p f8/0P8sussjyYFlD9J4sBPeZYo9SiTONSyQDjxMDKfLdRJ0xzw88ev3/PpNWXoSHXh9k76E1aBe4 R4KsJIgBZg1N/0KDDOuAGYf9Z4ciJmYMcCqAN3kcLrzvCY6Ku0Y+qvJ2/Oxs0JhO7Adqan79Eoqs mZloOzu689JdJrZspABmXWFSTwLatHHAnAKabRdzUUHqggLJnwjetwuetmduNO0hbjZiMcVNH9nd DhfOF9Jst5DAd2rh/y8dJDUYvnn73sEZ5oCCQ+6onKPx7syxtFUtvjdw3EBCLzc0pitPFyOOr4wo yYtAFWxh7sw0sw9h9WfTk3aSCiG3nCMiIlcpGXXWdN02B6kZDjGbos9Kf7bApSoKkBv5ccgt8VOe brJTQACkClx5G29u10OQmXltJTBYAgMZuvuRK/8MlwDPwUJehrJSvxZ01vPgMO2Vy0DvR5bvj3aI f+oIxM/k07WfLEgxTPNzW/XDOXz0LQjNid5VGrmQ/QFWVm5Nq28kt/R1U1pumEMvTwgBu8oFqPdW 4cu3AD5ciS9mSlkWBzd5WK+jEraDgxPeuLdmHHs9uUCi6lePY11Sp4ofWk2ClH6i3M83xrtXk8sP MvEPcXBwFRwlq/jz3kgk7XZ7w4OJZxBU1fDgtWEBo9i4bn8i4m5ZTd2sAKJOmqzbDAf41jq8qrJe Y0lLKtgkKtEUsz0XAU8f7Y82Aa8OpMfI5bi02uT28H8Rtx3CiLLk5BtA0w+dxDgISznH+X9lgB0X e1aFu0HCNKHYDLQjhHTp/DJNXgt5gtjrtEbYDa9FjyNIMGtlTWoy3jopcyq0+N82MwG/Y2AJ/0hb Hzxh17qvWH/G5kxfmlBlYMAsfEcfubjojz3QAH5Un8+A0pTsAJ58oLLxuu+VKnygfa7p+bzFxjQF a724l5NKJMtJ/Mt7LjjUIe49bvRm9yG+q/815MpLDRt8zx8IJiUeJ60IBO5GiRUMi1TJnYoeZKR1 hjE6M4oDlGSlmvrvb2kBCS7GkVlkybBwcSngI02tSZlsCOL7j5ZR57McEbzkoCCkMbofMuz7KEa5 jfbG0lIvuT9OuLqHgNjif7g+KRTRVM+BRHkLT6crD1eClyGZEsVdM37AX2AGPa7cngHscYgDcA8C n8t/W84e6KHtXTGDaYap9dDj/TPTmsxmA13ibtH4l7rcETQltn+tYw9jK5JFFV//3Ltf0dIZvv0Q lL5f6f56vf0qCzfeu/OriMlipKrf1t4/dy18xWF6nJtO0a19bSdqe6OT3MH5s+sCgSBgyKuV36Bx TGZRCNizZBIr/gODV82FzBh5vsQXI1SySTvCxBxQjDUVJ4QXQoYcyjrSPVtYf7NSP+bH7b9/4s5o wHpVM+S6388BJNqAIWKV0RNR4yY1kiyFqxQXR6bCR029hrRiX82D8KT/Rb1zLtv7uyPlW2InQRNz 26SJlwCu/wI0XIJ7UXH8zFw/ev3vLiM0yKAzwsi2IGDLRB/hxPXy0z3Xsj4xKlp5uV0Pz0MGC6ID ekKkF3ZKLOBzjzMqlrEBWarErp37MXJzLuT7GEEuStBFwIaiNH15CKFaphrzuC2N+PK6TocRHbiT yqe/rSuydVxet1jgz1kMy/CCSrefP6iQNQInVcsUTzeW7xMx7mb6e7YBAbVWqMUgFZyvSNRHO0vJ ZbfHsFGBGUQ4rFRSyABwN7boFrGCxdigTlVH37qatfbCU5OnRP+FPk8fg0LZluNjLq+W4tZR8PQz dfBK2r8IkfxCUATYT0QZI9jKRpO7zXfKpz2fi9aLtDTvPF8tG+/2razEn2HrFlux0CYzp0u2pBxy SvUQoB5ix8nbIRoyCcAxZtl4WEnlXOYM2Es+E94uEJxZuiz7GH14xl+etOpXP/WOvOHYVsH1Hh1Y 9TVx7SAsiirqf4BTBUUNkSAQkHlf3wHai29fqKd2oNSXsCSpJo/WN3r69bZq+4t3tFybIOmy3Nb8 C3LvyjKuZ/ixoMFiymKrbU4+cRngE6j03zbkyA6ivDReI8wZ7xPCVdhNk3A9m8GNr7tCc1LbHWWw /W+udIBiAZcoexVVcpy7y2IjoN0iAOYUbbZhxOIaQPvNMrvi8f9tG6TCd3Bj4HHmGvS8tHriJd4A jPO7zgqX+uYPvyDJrdFgn3AxVNxO8/vlgLp73qc2qZhkfyTzGefryu2tysI3RdMayh5tFpHuDFUz IK8jZnvO4/bSmyJag+vnhmhba5WHHDD7wVJk85oZqtcbZoOYnbWbFjtqWcqgaYiiAfdc4hHmhonl sKTdt1g0Vz/wzUCqHHxFeOSid2v6lL5PJYO8/kE/Cr6EKbz3eH0bIpG1fZ835nCoFZZq0yCV3NPQ XSZUrlPK3E6dnZPJ1SBMh6rbwB1Dnjkytr0zbpafTBE4igQ2O3G4z+4b9lej1FjUk+qytVmbsgG+ e87iA08dSMA+bAGeZfNWXgAT4kE+pPs/0x3NEBmB+XdsfWun4rZf8G6sQZcF4/2ZYMRKCLrkdMYh UAR1ZdeoSGCqxSTsbRRXAsQ6eoaZIeKWlFR8eHWoNZnITb8b0LigPGSGsN9zSCwJ1zeD/oB0naUH pQcnGfvWqgo/luXs9xGtJc6FjxqHv+D9WEbPdPFnojLRYx7Zajdhz/M6XnnmXSb+ExCDMPR7laMy KQymIADji3TRO3yUcj7O/gwLbmnBc6YOeebOrdIHCKDkr4Zos9n13YtFWDJL6mh7JnV9G0mR2f/s r1ePshLJ2N4AvNvb9McSuwY8He/PygzAS66/TUKhvFVduSsAN9+9TZ3YZla7jAjSBVFpjFbl9J1z i1fnjEovx5iiTiMuufQa3+rL4aqLCbrK7ycmOzczh0C9L4VePcmjZGS7BApjmHcWATRSV/iBCL35 fPMLSEpIy2ZzNsoQbaA8IMLL7fwT+a175B2OUDtGPjLfnwdMjkvatqDjdtFKFRMIBPJJqLOg83km ztDSfs0faDxXQk2MkXokF/Bedr28SxFvOjlMy0zpxTw58fZjA32CMsKel9rA+/WP5LE48fBu5UBW xeqrGU72NfmCJ1T/03KMzoZQ2hGcwXodJy82ep313U0zTcGsoIjAuUBX8yNWF1spxyA8sLg+w/Ax q0W38xVBHA2/uGjl/15Qd3elXHC6q/bMKzulGH9ZTxHNONjpgHxFd9LzUHkQuul0IFcWrwJ8Td6M R44tuH/rp3+SMFxzJsxHiZAMDDTdMPD0yGSVgBEvgDkgJ4tIXu7DGz5Yy5cOLQS4+1b2xVM7kD7u ex4jOhKCInj/7aBvW9bFaCFS5D65L0vd2r/9JZp1kwyCfx8hnqnp3EwOyiQNI+bw83DHPs9iHTOU Bj0MIcew0UXs8iuUS3ZpFKu8Vqy+ezZE//HQqipzfq8Z9Q//m/SPZ7GxPBidKe4U1Zuzoh3vWxVr F8c8zedTl2PZJWsnuoB7A6JzsWLWW4mc/+dGMe95euRgDgnxITPtIDknXynY7ghzDUNEyckK3IYm wVLbqpvLe91VO6aR6JOKWU4E1u11sFbDDp7f63NtLs7hW0JMWTv2Lnnh4qcBxJkAn1aRF66Du1OZ cqh7uoJDxib1CooPGKSm8/eYKbe0Xhk9RBCYZA3/zbnNQ8h9cMt3MPGDSj/TdSkJeyyNeSXQ9k2+ 5bwRGhUXv3s2dy19o0X3cWQ6b1ytsem5fg7H9Ecg6AfUxzfwW4MCbdXOKlGr4OvfDPMc3KF7nYA1 E32GjYwM+Fm9EVyySiXTqsjk5NaC49lI3V4MR/3EkGorIdI+4RP9JZh6PBDkasUhnjVHVJh05owQ 47/NB+hL700ayH71hC5NXJAxbc0MNNG4AYBMoAi+amYtQFsKgpcEubzxN/2dP05uYldALEO52RlT X39fewdMuOIaZsaAT1VXXkB1JfnyuU5tDp691auLFmT9Ug0DzoQtNWM8A8sxJbqCcdnk5Do9LJEZ 96ExOx5xPvbABTBOCz6paU58Js1AopxXmaAvcAOpPbZO1VODbcziaRYN9dAUaeVFxKRFx4/+z/UY AoIB/bmeWybK3psUWBCHe9Skvts9aUx/aYuDg6bSDyPhFLsP1h1xWbjfH0yKzGhzmgoqsnKcBpHI BCkC6mJ/LLYzhmjxapephZtPxXbJ1uCHa1FCbwaacWI/WKPp7L4H2bRBsS4Pwae+HP9pukZSZ0b7 WXsjoPclYYfRMxKXzlXETKCBOQjmAoYI7Mo6g16XOosRIz9nJiKTx065XIWsv0eILMOZzRL251u2 etTF7KtqRiGZEeENJ0OEMatZi2VpJ+qdRHJqLO8Y4GgiCHYy9X/l0tyRxk61LMvTFKlsBnnie29x 5rOKNb4z1h3GyulxvjwZzmLZGFRfG49FoxQXEFmIbfibsld5IDsUc7boM1juUsameTeKPOgjQzTr UJGqOTqMCu/JDpoRlF0D4msEilR/j9s/0Fg+YsZHLob5dGZjvkviMPONx/pdsjmV9mwgT3R20GTX 8V1clIWu/uRS+l+wJbYPYA8aqO7YphprJz2q1rLQCCKLy4c/xWF3mTPDOMzTBJdd4Ee/4/Ed4X4v mlCkMN/M4cCIWzy6DUlmBF60sl0yT4Ymidyh+K8YEEZGTC1YJG59uUSrgMCHUuDmklxQqO5v+3qK hTuqowpjTIUcJ5VKB74rZEVU8wYr2Bck6ISvz9KRCwOb+iy+d2+pWmraJJwFjANxfXC8ljehz3yN +vaISPyHZ9BgeGUCCL7i5FOIu2jN1rKU6dZI9Pw5blFxlSpEA56m3E7uNTzd805nWX5dFUH7SinY ArRxdb+LiQUFN4vU8kgbcOWKbKYJr5Wf3bPyJdtI1ay0TtuXrJqlRNfp7WasG04BFkm9/G76sWH5 w3hb/OTkvNlCOzTPxa11PcQEdpvOT8u6QRfidX9/PLIPeVVD3z0W4ITn3Dhq8NxrAexOz/trcno2 ybqpCBgE1Le//484Kki0brK6+fZ4RkIlZ17zeaXKNeG11qz5m6nmJQOjHcsJKaSd2NDZINo3eWsM tEVtdtkrwSZqc+G0i5buBsN2kS6FlIb5R2z39k9caAazZPLUkM9N52t+twDaCkJrgroR62fuknvM mHowl+E+iGSEpXRQ9F3fw54RCo0OrVrnnCYYJGN3neD9fhiGQMkpa+4Ze0Sz3XWJgJov8TpXciy1 W+xcuA6nq1lG/Th//7DMx2Q0hvlfVc+MXl9BWlNhu4EJlVqODR3jUKvyDRIAlNbf96F2ooPPaXJW P/v6ZLgzvAJLqWmVeDzV0oemwhAgHc4RfrbZXnANNWXfWP4BQX9nZm+uSD7dP/2YFARgXH3bA3mO kjjj7BIyj/8D9c9HNPq/+m0LKUuQZHWNVUJzDPzVY2crslLWOeymwna5f3nYrSOxEfF6PcVJCtJ9 Ecun3Z3bxxVC4h0UdjjeE3JicuGeFXs/i3YpoVmSJnyE+D/s3kCbk7XNcCawhKfgjVZ8yWNz/Son Y4e81Mtstw8F3E3ycvvrkdwoQwF13toSCrpDxAIVMjLg1l9Ms/WZuEso5/UeBbN1WDYtRiAXXh5F sm7RwL1kDCYHYLqTUghhxlhtClMsxFPtObDak9njG0kOdpYcpspfM6vXakEBaby2vAqO9epsyoQF ST2dOII9B+CdzzAPnG4nf+WNX/xWQHfcFqQYfFTcNUsKYRF9gsnNcsXvRuLbhqvVzpHuNjjHUIhl pKTSmj92bcx2ZrfbEbDLWgCrFgfvUfSxs8Skiz4bQfGKRE8dABQ/73Om2Dvi/5nImu2FdI0YhuI/ zzxJ+tAxSnHkSd5qyjvqtXDpcs3HV6yuwcNzwlZnm+Xv7VC0eY+XMlZjGWb9Mv1amy5fxEE/Fud/ /8Dy7Qbpqp/XmT7RekXxEY5qEYkZth4THH0jRuXDh3p8EQ6TRjhBXwDwR1yA8crGDoJJuQKhf3qY Ovz3QRCOxYSBPefp8/iO4R86LDGpPBCHBkmn6XiBAIq8ZRkbDLEJYod2q/LQ2NlsZZqyH0t3Is+k hihb4BXNLgULgAc5G+e8qvSkb4vJASa8XPJunL8HdlJJLutxstYawMbNyX+5ZiGTVevk0J8PZuP/ ibkyQIA25r0hEo1F07PC9juylnrDygPs6tOwygTXK+qX5x6DwYJB72WNuk5fwVjMdG6nHSo0zA2W EpH9cUqI54O873do4xmiDzjyynQuzOHL1NkNK4HYKx0vJ7HlfTL65nFz09+dBO5KFLV7T6YLY5v9 lDkKPYbcqNokw81TRrrWr0VxQ69OjeAW9d8RvvRao5BPjt0Jid9TNh9aeSMwlKH85UacphPLvEtd M13vM9LjFUllY884aDoqYA/DN+hMtyYlBDCHwEqYhuq9NcIL2Ipek6hnjzOg7RgGMg94SqucWBaW fc6jxatRhmdNUksXpJfiamAhJZtRYf99yxKJ13jo/b9bba/pt2Zb1HGzai/kI6+no5GFq5okY586 d7w/YAYT/DL62EdZcJrWKjcXtBIWaFcFupq4/Kq/Wb1AbqDNusyqaKK9+6hWua2FQd96QG+D2n3u TNduiTAPgW4WLZRnucioukuMAwYt/Q3+jrt31ZH6m6H36qFb/m8NY4jKZ6pMb/19lDRg8miOsghl vhRMfKSbMlzmx1wd293q9pcCo7fERtxruziUoscnK0e1OBvyOqid6VgvV8Ggtp7gAvg0GuHxNXiT UDZ58ecrH9/t7kOfAFYF8MNaFoGCgzvF6J2qmtQWRKRiM9I6hHes/VwWEC8GSLLCFj+cDekEezBl dAWjd+eMgNKxlrJRsjh9BcocagzkVZsARHgK4sx1TbCvRkzd5gxBFVLs/gcTMBu0QAWyObGO4slJ oUEoB8n3bJpSkkg2QgS/DVTQc4W/r0YG2AjOtLSy9pjf6EyTKoHAT6+WnJpw6G1a0yitEOD7DEK4 vys9XN2rCuvFLLrjOWITfjeSwyTVZdh7PqoiELHDp+YsLdb0stksPIwlVJvMuSim7pHszvec5wmb 0AYriTjcn5z9ZbxUKx5s6I02h25uQWnRgDcOiXpvDwXA3J28n4rl5hjWG3YhdGLFUgNSGqscXQ6S hewuABFBHs8L0YSzcx5yfMTZs04YNazWPqgJzxsHveU981TuoGsmeTik+gEXBRuMkNUl3Qfi92RY Trqj8UbdHq0KFL54Yf/p2IPwjHjm1FPIZA0u7o8JtmaLJurctZZxHA/EPIKBhxLf1NU3rGn87ls3 Ajbg8TBY6dLRRlDCxCjkUUheqrEvqC8n2y7gnVxich/Ga20V4DLI+RStdU1H2xFNZtAkqbQBV2JB OUHUeQgYP52cgW8P2zGrSkbvc0Vk3xBM14JbXOZILn2BZPSeerWjf+yhC/EXJ15m/vkPeCOVsuIo lObrfqZOvhGDnFCQmiADFtNHnY5Fh3fz034ShlQImeX8xsV2qf3bWPtdF24AfScivX5g44AtvE2G yxI7WHvOMWq4bxA1ydCp64L/yO1exW4qq/BvH01XinRTKWngZfwE4zS+Q7dtyhOmNp1h0lVUUkh8 TlAA+UoTuo7OEPIo57ch4GAtZyPLvqKzRBdaLxXmg23rLarH3g7U777F9cBE6+M0qcZVEt27xoCP 4T/TIRvizhwgRvGzaTQKl/xShkrc8mqjvpuviOjOVqdh3s3oEoSDdAD890uhorbDIug5PM7aWswo MctZHwHGMEBCvJt7Il9egczrVy4EFGSkPwqYKXN5VDc+pVP8xvkhcKzdwSxLlBH0SjONlUzc5HHS xkgwSEw38qPRfWOy7dR0Omo/yw21UMlckDXnimrUI6fg/zzyqqgZt6hBVbj5h/JYjE+kXU95GlOL UCnqv1ywfPsU/bsSM2zA+k7MB4Q2pPp+QtFK2a6rs0IZMzWPCCT9Tt5gu2RTUCuxy087RQUELlZi sbp+LU5pU5ULdTNKnbNkVJVsqpOyPJmRh0h5IArjnCRGMJ6cA19sT1cFDH8C+6OCn2K9uDnEJH4f ffR6iMkLmZUHOULITghMma+wk4QKbcCqagjpdjMOaPLl+7+agMXnHe+YkZcBGXgJnR6IuvcNYjVi VarMA4CMuXuP693vCeX8D6BNoTLm/neTJRM725nsdKl180BKw38mXWWn4svmYmJNfFLvI8wJN0Wr D/0FEZQKlHO0m3nM9rfroM1uEDIjvZllUcSnav9Z98+MCltovYmQA5qA1m5nCgyeMsUHop1DMCEr JIhOrCuD+oJ4lNUN/aDdnuREBynN56sLv0q63iFNPNz9MByxSI7b1Tbt6ZYxNKALqto5RzAnZQpJ fWDXGilsxrYPC9K+g+qePyPFVcAYpBKqMJw8uws/KPI0aztrScZJopd0NuWqlGHliTI9+6M1GqIA fKMjnvwjM1F1Vpei8K/1yf8clUos54GdN6uvetgLRcXHNy8Rsijfked0RHmQc8Q1JiWXarCcTgN/ w4NpdNl9nAypnF8NdnxQNrH7X9JWA4KJohsh0GCpkxx/cpj3QZ+rmp6ElQ6gplwIDH4BSH2pfvWr g3l0gZr3kDKRT5lPE4ZCkAw5hZy9pB3plRJFybgDl7fYKZqVUgS8F8sB2TFADzgDrooiXi7UdQf4 NwBD7UMwpVFq1bTGZr0K7v9SIoUrM38D6RW/oA/NSAFgOOVxIQj3i+9TtGHUYV6YbjyXasY1DAPz AaEoEKIbVx6CHqnj3Ai13aJJ397VYyA+WqUovQBtek6IVmzne26vFeX+FVEyXspC9IjzRafw4ecK 9vvVAeDhhS4WgA+wC6MiRYAkR2DcPMTS9cm9ScxS0XHPDdUr1Wm21Z75AlG+L0Ce8PG9f/hnuOk9 Sao8ukLGRYxXFGVOd2Z3fA131OXCmtK+qXG15ZiCe3hFx2NJES6WubCAfCbA9y2Hu5XqyFOK2/JB zhJSUdGle05iLYtIvLObSBbu1UbIr2E0v/sTbjSMtfHB+MAfuULzbs6G+ys2rFdvcQRdY69+NITs AAxN4E0gJwLARr7853RcS7fhAYPt5d/R5nFNJeg7NUl7wGYaxoKTFBn7aVOHdBGcRSs8+tEG5PNF p3Z/3UD+H2H144EjToudAzZvtXznmL4ilIb1FE+SId74z4U1Lq3iRyGzHzAzSfb4FmnCld5hbDFl eBozrHGiP1QAnAdaDHrLay+rQipYFE/Tc0wX3FNDzZAkoeGbPvifL/dTt+AkG2JKwbOvfE17VB+Y liGdcCIt9za7+5dwdaWbMyi8NhronHvfPMjOawT9cM4CdpXcKSpGb7RNgHkMaN7Vl0kqH8kC2Tcl gdCW4D7q35HdjwThvhz+mA2eOMhPXsf1BIMiYcclhGfZJ1FGIeOGfqvofD1DoeZ720LekTLZHPUI JFj8LQqkR5uJhzz/WrOFgTCwfxk+FYJmKuhTCyhbY9SyRmdUAaTxSdeytWPQiDQCoC1JCbSdbhRX lyjwDL28xikLRXU5zuej+hmbBLhVOBKcEXcWfvsm9an10co/UR66SpVaeiiuvpoArD7jfLaUlpoK Xtf9eJK9mxkoHwFrd25onRmQOYxamY569CnIlGkCmaVj8gKCfEYKq2K1NmFYUe2gJdH7xsfZJRtV lvbdRbP/r5FapW+hhVuFMsjvEAliEvM4x3nmpxvbdpHmgrvvv8K2zaf/QJPqre9ewwqKeNq+YYfV dgVc92b7OvByhCFj6i8Kf4GeGZ97mjmypBo6PxJa1OZtFypY77q0QNTAukMYPFNzAkeFQYWWNKbF utG4UcgikXvV0GzOnGIOwbN9d8gmcMXOpx6LYLRC+lDTUGhz2UgiZQgyD4Uihyq5W1CvWAv5S/91 1UnN2v0oRXOy5m5dHKzW9dtHgempDjJPY2gzWoWyz9RW9knGsRaggHqXpeJnRsfrhR3inTkPBw8A p62etkWVkRBKUugxy/NufB5hdqr1qsRe6GYdMBFEw9swl0TkEsTMaj+py47EfSOvL56u6z7F4J+Q p4sBklmYkEJVto4qoAmCmjfcUiyvSNOfbEgkPZDluchlQ37DUd/D8qVFEsxmrvV5d4wsekdKBCJQ aMrLYtBLnkbLwDeuh0v283Cj+Jnej6YzH/06UWiSHgPQzMFUEbnj8aVsLg2ngcu4hRpiX30uKoxs 1NjVJV5k5SPRi3zY/Rxh7EgaN4hpI7ZqfUnZjre0pe3OLyym+JETLCJrFnRGy2g6PmkJKGTWxsHh 0sCZyvOWMHT5ydQmcLJTrSVeHZvsk91rPbDZxhRPk4X1Rq/Vxo6G3g6m5jtTPM/9ZJoho4uO16l/ nb06I6wIfFbS6lY/64YApkByLJURj5TqZZI+wRJBLYIesIXO19moGSDQi+3IxezxDEQZmurcqF75 6s8ZVhIClBCN9xurxOk/WBbcfsk9NQPJoODFyP3ZuV1oDNXY9Rwu44coKGz9W7hQU0MAh9Ln1ucT ZMI+t7t6jexRs7ZojziEusmYsaXeT96CCMmm8IOmiVHdzeofayPWnnrZj+YQIb5EVpH/ILymPz5t j0307U/dtaYZ9PvwM0ZClLgEvDIo4Jm+W7B7+2Fcb21FOABKkQWEhjCoX9a7qe/M0riRHGnJbw88 00oW/23uKrgfzk3fpcarvoutIJO/ws+ZsZhlAF7ze/Sjs0AveMW/PgmkJwoQ0l8HJ0lAEaGKw4tG cJgzacIIgPJlv29iSEAn0PuG6FnPrblY6uHf+2fBL8bfrF5JENjuW9/oGQJJU0c26ocZWj4VPZrA tupWV9EDkVqgommwaYKYIht2JEXNAzOq0/rzSI1ZWLYNJVMwDTMfbeUVCbspuFaekgipgEQJcnNT ltVKDlx2Dyc8mbyLpEHkVcNrycKsxUWufgmWCJqHUshvClK550JW/XuB/1fKJ+ZDifd0TmPtSfa6 JQcigo6+JTi4vnuxSHpIC1UwIgKneUqZP0QhQmNYLIlLsJnj8NsDQAbjgxHOOVaL+jIEE2JZs7tr w+4AA+T4lDI6kfItyRS5C2z1YPuyBQOkc882zQnVCYdcQIuooSXaIVkcX6IIbLU2kfID//YuWZqN g6iHg1ujIxsshGtp0mPQ8yNb8DnOoaYdBoRgb1nF4wj0u6XEoQ37+FDuReePVhlUz4hs3tgWIpOk 7SY/ST66yI3X29rPcXnRkiTCL/Ae5vkIp2EAGdYr5k9MjN6wgDL84uHhSas/HWg2MRK4nnvZUQQs 9vHUPHYKG9UxtYQm4mQak2NKfiHAsECFX9KUaqFO6ieSlBr8eq1XJwSfGcFh5klwYbMglogtCXyz JK2Zd3tEkMrGLxpLD+X41WdgTzvewuWGRhQz/71gO744c5yE3fiMOqEN8gFJICooVkrv2oYY5yMJ jJg/sYjg5TYMKPOlUsxJsO3B4NUw1CAO8TOegQbXY27rGXqEoHWFG3b5g12ijetNT9OC5ddrU/AN jv4kTs5stxAiJC04+55m7aYXZVV9z79V0kKKlacOQ1hkjndbJ1wozP28yYsx0DZ4g+06rp45diwX PaHSnAgQeaeFQPtD7ifitcaV96OV+PmVzsfXosVHFZOtzYoPb5aj35wo2HllN7FihbRJx4O4Uj0w IUXnnZYR1EbgAEMbWMYZ1Ekp94oNHoIaenAZjILc9zBJanGg607b7HQ1ZPPTyZN2bF2h5SIxkBm+ u2akj/nvGI3eKCltko4H4rmFFTJHN1bIbXJ8I3KSU2YjfrJNXdHw5xZ2VW4E7c+JiiNU3VyimUO8 9AMDJVX8WuTcOND9cKlNFfXrUe3JWtqA8nbd+TceDE1GKF1GFfxqcrDFMvxwCCf9WMH5ldFH4x4H A2WcpQDuvd1v8be1l6kipmBsCJWjX+LtXhYhCjZ1QHGBgFssSNBIDfwjaddHJghp/ndGwqTtK9dG 9eUKpRS9fYR2pBtcehjrKUvp0W0ysVLowI9ncw+rQSDyQYusi1e6XrFvwXHXlmaK5tUUBFKKoUjj c6/0b69wKnnGaM5flhr9TxKZVyuBxlST0xe80HyreEBeBXt6SAbnH2fq4rQ/XJZy7BGa0MVRQWpb q9eHloQQ2NJ/Ppb4iqbO1n7Pe1g5ocD3ztilzRJhinqJMgs1bs4egdpKIRDeJklQ2L6nNlW6M4iZ bmriTOZms0Ptt4ERJDdEJkoC9Wf8QvW9K3wmJ5DHNm+AaBd9KIvKSeVALFmUjqLkFqOK1AcJ+e3j HEFjSkaPh3yAj6+myQnk8OJEA6OPDbksaWIFqJImsdJnJEAjaV9y09t4v33++9voOAESLfWEbito eue5ERnQ/koOmrU6Fm66Cf8h551ExmcNdjPCrm88otACG9drbp1UbjWn+zOWVHgXahTudlSxNvBr DkUkdpMNhgItZ9spAUSvrFft7C6hBhasJiwrxa5P7jIAFEOASCP3+nU89RIhupIaq8ShpYmlxOa7 7nAdZNZ4LGt7SH4a0sEHrFRSt6iAiR7h/hXUm0FCo+Vv8F8AB38Qk1Iu1XRHyHms9YDh5jFucbwq wo56QmuwB9BKBNAHGvE304TiQGDxrP2AOtLt+iNaIID3UUd8RESyXt6IDAlD9RHVBxYeqK2I+YWX e9NYzth/Jq1HoibAIdOJFxRc0K/OYvuZ3HBUfbbBqtHCWMrmzD0Gmo8zwK793NWqJ3i4tScS5p7J QVhTWG4TfPkvrU5zEuY3+y/qxnbtjRwPk9mz3i7qvvosPJG09dHYVjQzOk08MFFcqUuvKwNR24NU QnhsT5YmOPGR8hQ82FsJJYmIt6CVZOhy2gih6xRVFMXvWZoGY/RPuG92Nzg8IiqDEKij6rz2gTr5 lOCZ0lWhN7ezYgm/bWgGKmn5u8+SVZt8kvNHqJ6DGa7Kl3ieOkkAskmtr2TCYk0n9j2kiBrdTkAK CKJG9rCMRAnT5cIQL7fZaBJ8CI6qIRSmJJLpcer7NG52vd0D3FVnb4Y0yPSVZAnRNXRJL/FHb1fv A4LixENTBg4GWNqb1aKqkL5oKJhjUqEmsTo0OZPGHTP6BGFMwtbVSZOUPCPyOHNknac2eWsw+UE1 bN4ZErIVX92eQUdYTpsbY+WcaS337/UjcCvIHZ2Do0soSnxlERI/qVbI3rWfWoNpLOvusEd5rswE dBtNlx2C/FJktI7y9Nd0nyPzknHuRPGv8gDkncOKc6pSp9xufE2GOd9po/MyIn9vkC4bCg1qRl1R kP7mf6U97n0HyapaiE49vV0nPEe6dHJHIr7SC8xeEZ8GGWBUX1GAjBUBENKXJ8Vn7ZN2kwYn+VcX 26MbSn2qj+SjTAATHUOTDWUOOThEBMfpRndadWVe6vcnCwhLMOPfqSAneTO6uJj/tAqpQTQt21W3 blsnryajMvvBv8YPk3pgnuq6pTvfdmtcBUwLw32VZQmbWm70lZjFCD3nYFZyNiXofv09xU6rOOod ATBncwhf5ReVb4zqs9nnAWfk3hX6u536GvVxn3y5sykv4BLtLn309ESG+ZM1GIiw0eucPJ3K6Dnf sFJTjNn3T+OQnlnnPeFCFJURwqWpFKgm43XtwEkdQsi5VcfBs6aobznQu69XTfoL8ZgWbQdlo1ro OtPkdCEbDcpk/oBYqhscXuu+7IzYXtS0U/HqEFwiUFynX0VwRm3ckP2thgbdwP8rcHSbRnTQqm3J LKV0Ka0j1CA+aCTggHUYE277dq9ceuAhuQ80oefkVotkC9Jyl2hroIQKpLOAnQKXQEM0G0Wminqq uPOsd988pOhFl60WqsR9V7lyec4fMo+XIxtzjbmjvcfQq9WT5J25I52eTe6YTkR8+mlJXF5Qenm5 KtemzB66k5Q/Y6ypXgL7bfN+3PTGEVMx9yfUS/ZoFGY1ywe9SS+eit1njbblyCbWHnkj/2TsNVXO A0S3GTriEcGZRCFZ8yIawmb7TGbLfk9vys+by1fOhyAzhcrpYvXYG8IvZ3ffw54IgBB9/gkn//rF g7kJegnxZ0b2k7B+MbAoSczlnJU0pMjNw7yO8gHUwX2ba6f8nH11JEqbcNsdWgkbcF4sJwEZBrZ5 +QG1Fg3TxUidHMKM+8eqMTgGzwnuXRd4uLtKNKy8DisnGtpfsgUuMLXXFQFwSuAQSHrahvX1Reek mmIC9hcldZRSU7WB2tF28FbBPTWmZ/KMKawgy0uhMGGYkevg2hp4X67Ov72t+TklvxPsMwxsw15s 232V3J+86XRQpiSTeqoLPeeuGvq7IfM6wxB6Oes6zj2GxrTMjS0Lb3xm8je4Ym4QeHi9Z2dTKP+o js/Q8yqpeHHl4jpyOLdFZHWZcEXvgUpSVsOcSYF9+pfnbeitbflAzp1COeVOmk7LvZJckYnmce82 s+5ziLi6p7H2KyWDCtwNCRMjzXkL3wtJCSirnFKwu6ewFpbipUpkDirKJ/SY38EIksuDVTQprbsr 6nrMHVqe5epHkxbKeVqlDY6g7yVDWlHGycutf5rOFox/S84FG26tjuiwT93rpl3r2jF4GeI0RDw/ w7r/pxAMcSc5oKa/UKTY9+HUQz8aiZYtDgHpsVGHwBpwcDYAIfVfEqDrwuarKXXqYfNaWxohzNvc zPieBb6Dn4x+pnBAXJb7hm5fo2VQMPQq3c4DWChOd0xUzbbofej5dpttDEa2ucobj8O1nDOiLDXY fX6CNLZRxYKCmT0I4N15CC3/e64TeiG1KdCQqSUNhf3ftP25xvyQIGo1ia+E15BenQ2WfTK2/Wcs 0gJWPaP9gvUaOdS+wMduQuWseu+W1XaRbP3POWIaCOZRz2uhLdeAAJKeXFQIX+l4rV8iaDpNepuj j1jdBIIDCAajXoeekyX8HJjuFAGzjgycGF8RDLfah3MIpEe8sq0z6K0dCntArdoZYmq3Y2eZAFQC kOjGJ9um1NrL30wReWM6nMmY7elpi0uN8SJiv+7SHHcXExkT5xmD4fngNBpr/7cmcQKvbnsQi5TC wEIe02OAJ7joFMMG1qbITeqeTO1d3Il+Mz5mTGwjeLsShh1g7MnzmUKy+/arpQhO4++GONjuzLZV Wvtk12EINyOuTNVGGPJxYFZwcJawHQzAM2gjQy0p59T/IXKRfCzGFh4WNWLwaZQK0M5jeTpL3ih+ ms4JHCBlCbxJt3pI5RhgXsEhTBdHMhNyalyK5RjNFt29A1LhRJ9cfD7hE3OkkNRmbRw+JDobOEWN LeCIfgnUI37Ts6CZ2jvmoZtPR3XOZMnJ62mOXKF8KoF39xxoPcJELh1pJGZnGsIoN8ygTiNaAQVX LZvMgDz1HMo41Jr0u1f09IHzk+8l+EWPI9qheJ4TpX8O/vbPw8UmSan96Ta0ASVQ4R8filOdiaD3 gz0vNAtKq4NLbMPmcS0dqwnhrXTPhfo77CF8BBW6r6tbRqTle4OWFmbapa9agWScrIJlxtw12v94 yBYDlI0I9kbR54GPQwwOgnAGlMxY0DBvPCkYIpDyi9C5gukCrsu0aW7wlGSA7KcIy3fRa18PlkYS PX4JEFt8rdReFJ3QhIXPjAPPATBvxCo1kPcJXVK/Cwn/ERAhV+wCz1TRYcL6IC+4t8thP1ZPG55y 1UWI0Bg36GfN3YXR3W9wG5ehych23dUz32QR9iLGKHbBXWMaliOaXURidHbrVVnABEIW8/kGsdIh CWeGknmqsk7TAV2opHexiPpteleSc5TcxBR3d1GNJFYdaD/X9/awIw064hJkhS3uJCJBiLOEdbqj Xa8id88PY0akUUxzeu31XOMZP5t0Ql7DMeAhY/hPJ1kI1FYX350KqH21E204t/34UJQ9Wal6ZG1e AVB/KXWcnsLYnEnj70AaI0U7fPCLpXKesAx/O2VbgUwxKsXVTCi+ylVZWIGdxhnxqeGUYOxi4E8d sXwsq7ipcMnnYWVis/SF+SqunxtRRqdEevJCuOlM5KQAOFvA21vPbOB/B3B423ZN5EqPls7qUb5E wlYQZgIeKgfpj4627eibb4ZHPm+s14VA5DlOL0KAFsvLbARPnD0GxzZSYaDqVWE55htXwyaZTcRL +3DP8Cv6OdlOZueqHzLQUZiEpxYzKXS0WmGH+4MkIec/7CccnGeA8ELe2YQpGntnpPK8/YOR33jn PGFmRuEFKyFttYDwMgbMZ4hJDW71pMi9U2R8kedEEb88F23o/zRlZ+++pxz87B54KnA2PvSxALtQ mWBSXsKDWGqwNGxNn1LcCTHLBh3/O5kV0r5QyUuMS4sY9NCy6Ep/1+OQh5118MY8yeAR4MOfv0Zt d/62BJdoSzvfvtUvrfflOV9GfuthAea9pqzKGAxHdnc3uWsLli517+Ngyc/ltZEoK28LXVRBmC1F yGWVHdeMo6xnYFWDu00LKb8etiy4mdjiEnjE75hh/rCG+0NHiBLoJTZcm4J+5x/e2+AtAtMcP2J7 MNDYG8+voDqs+WyW5M3eXXb1v7i3pdMdolfLg+gLMh1mdOEnssV1/FdjNwfGM7bcAXZIIGWNEoGL AKvHzELhFCKMuNDmcibU7ImBkzuEr3v0sfc20+1RJsUzYhW8vZVKuLmB81NzJf89Pt654XxGuIw5 QVLO6+boj3evnm2ZS9Kj/ha+pvNV+luJgD7GX/Nb/xoZk1mIlDnTfrZCnvqFA25tFKYZbWzfdMnQ KH+KwOSVJEvB6w0JGv+bAyZFPLJ+rc7XLy3Tz0nKrD6ihbwIDW8JRPsj7xY0CGhe9wCvJAWWLmPj +FOfq7jYKCBULJKfnmjr1IzUXFAXT7nBjuYcKvfPr+OeQn8hxZ+2fzEwsQui5HJ07EeXyf7WdKin HcjMZvRPvjzXDiqv0dAcrHeJqAv4Pn73mlLEn+LHiMA79K9E5JYCynqbayj0T5VC4X33pM6ljDPk HY91BIJbzExu6xjR+vUaUtF5h233n3GVcaUwhRzaOY6kgYM88gFyJFv3MKO8iasuZqWSqK8KZfut H12858BBUqSyyQ1I6Q9D9PMrdoLh+jcdxhuLmWgSuvMHV8xeEgh9ARhj4l1C3LaJ0ib+NJMlDrLt vJSKayI4GqC9+oH84VC3BoYWZfQWPisncbw2u8/rQRIENm8A4z2NfwKpTou9Z4JgnV0ikyS2F85h PQoBBKLa/yTZAHf858G3ANBq0Fz5SCRT9plCvqQswuwB0uZHKXtJQJ1ntjOLygr5mJ34uXRi4LNb nqe4C6zDnkaodfl3W08vjYDHFUlBtEIsx9wT6EuzSRdaOUxB5INzDifT3F+TnEt3ebN3SYVeV+PN qcmDvRL3eT02BmbVO9KFdyE4uECASlff3EAquLjo+eCtooaY+aeX9FsmIE/u2qElMHbUX5NCmZws 41aB0xVpaReD7xGWbre+tjHxlCdcU9AHANSus23WhUj5O+UOwVa27K2/6kSaK1452Q5P3gX/IU5N 6ZVSwTBm0s26XjLhL8PSemI3flHJ2fctGxMIdkrYJkDKI0VK/rhKVy3F/wn60oU8zY0IodMva+mp Qxw6FaAJgo9m/63/iPYNvD96LrL7spAkt82DJmWaDAaI0CYgY0hlWSNLWl97ZHFnkTOAbFbweVWt 0b/ZV5DW/OD3xan1X1NcnwSiQhPwdsv3lo9mywRf8R9NVUgRrFPx5isBpuLRNMDiMMb0wfWmkWYn Os+zngonTFt4WNzSMeKxCQ8VWa3aU1nwUG2aqAlxgjoKWbSnc12ESW9b091yUgNmSsmAWVp19WO5 7K0cGP5b5BoKfNn2BiHeWL104hIxj/pgUVAALtJD7qUmC/yPWV2+Yyh490YsH7UyZjXsn6GfRIJC Mvo0vo7OOz5pkBDt0muxxs+6ftRkcrhbeAEMMI/8Iu1GlAvzKkmncaxlnYr+Xa5US0A0vzwUm9QS PzwXk8013pi73zs+gFkMVZY7oR1Icne+nbi8/yTtNIly/UEqG0VzDLzA/QMxoG/BrYMrMigSvMRG yFIsAc0w0tIow6mym7K5CnvuHywBQjb1L3sGsZwJdk7xiUodbtldDSyFFin4vGUCyKWDMY2+gjs0 bbwcbMe8fGbuIGLWt/jid+JkNs8CDOxvAu6hxAPMf2oM7VTzSaZmW/NaTB+4CrC8OzMDB1mUnPCN FdV4aGMZWMshfsN8BmMKdfOrRdh3Ie2LpW8cYsy4aHDfTwtkUGKQSDD/UAGGT/BLyLzZ+z1xk7kP q5n0HdtCQoY4C7wq/CeWhpHxAZcPpD5QPvqAZctNkT8a1+bFQFKtfHhhmwKRU9J9HN9Vsc4dNj1/ 2nO4P/vq7b2OL8Ii+UnbPrCevXhCYnAg+Y7UzCFBvk7ACGgtyDr7vD2+pMd4PdDP5TKN3glJmGpV kkBDfuGvkL+AMP9GU40HgYiSXECa3ia9ki+3aaMxSfa/1UxZGd7DunC4mnsx7H9bICYMkwS2zbRM j5+E/hq4ofA9EIYpG5e5hMmwoOK/+AIY4XjeltYkbD+hN+VXy8I1PTAA51zrgMMnOUxvrB5XL1ol KgyKCVinj2qRmdrHo5E058q3UOsPOSP9aMtXnOyvAP8SHpvLbbxRadKgRIW8h6dBwNIlriMzmUcL c0AmTp8Gis4twcGFmg64H4zZhRn+SehKBs7YgCPzI43hhcwir/7j2IgGBnaSnrG6pxELLLOrlHaH nTakQkH3nUQULC3txIVE1YM4Lfjf52jH5Nlkrq0jswxcrIN05xn9FvnNg/g7CgLU/AUYc2qLzWsd 6iYpEoIxXN/KR5F2zaNz9Pu6RQX2SXYn8sh5JrTlY7OOyQR6oN1+5hfHjb2TqGmjSjiYfIelvqZ5 BOECnz7bKT8pfzU5PB2Xh6ZcxufsnAgyEVPVaEcjLknYp48l/YzKR/l55klPyt5w5iWtGxbgP+ei Ud8uz4SCY9uwgQrMEbxqSvL8IvJkkr7oVGqsGev90gIMGiovYa5ZjZU05oY+u4hoBvhoNOOlit5W AcElCDwr4H2gTsyiQgoGX7AayNc4gVk1wBvwN1JteG9xa43E3layWXvqtGXimR+OyT1c3xEpArJ2 XCYg2lfbuYsbEV09VXPU4vAeAVts2JNJqQhOHcvlnjTiWiIYGIU8rCaSMKOO4D4wusahSsGg/sEb SHvNsk1uUGL+iuL/nF16nydeW4meZv6PbdBbo1dvfu+8Z3vRB//wAuVkH25Ibt6gqsDcf8dC4BLk 7melgZfRDJRsRElFc7br7MGUi6t/pNswCmzuYDpyZ28SL33UVq8EQsNk6WNVXZ+NlZbVfj3VDhls YzRGk0rkfYlD29i5lCfBImNu0pmxuftQJNQ7scEAZz46XN4dnwIRIiCtk3bKGuRoUwXWGNLYJi7X KUxc+C08U4yrjA6wmZzcKDJpZKBISAGN7+L0uMV5fTzKjgYOsoI6W2T0fdxWPo2iwEE2t18ldSNk JzabQbkTSWbBLAqrFOpuaKo9BP08iaKxIzNSlYmevhLcucwi8pv5ADGrLqnvZMtzmlMeTb80cvKT 8kkGTBirsp+24sIesNZ7n3Mo1UKSn15QNbHWEQM8E72eAMBS/aOM0XL/tCpgrh5yvlaD/gywc2OT SDVcMs3MOANhgul74nKmRalnKILKWTJBVx9TFUGGQr0+u9YV5osZMcQjf7uMGJPiiCoUuiAL3Bm/ Q5xaGiW0sWvRdsrd0G+nOUSu1pnvbbcL0GHtuNjSD0J8ucgePNjN/LdWXsX1NXQ5AYv4dR246JTR NIvxSu/vHc2s1Tm0Kte4K2v9EQwh0XZX4QcMjaJ0fXBUaHYX/iTW9DvOo9gFwAEx4QbpVxiIq/N+ x5aRb0hB1e3RWQvI6ebE0m+BcxdC3txhu1aVNRINbZwdiHcipTd9EbsV3vDQpNUReBaCtpWkhbUv SBKC5GCPecLmWNuCj3rl5tmr3HRh99cw6LCmm9c7Oi2eSpgLgB3LPp4mbpGjqZjwBNEPECefqSxC Qr2AokIUIwWJwDTSiR2rhn/6hWNe6X6/TKEMxZ266h5NRvoS0GOV0uF82OB4aqapSOBUONnGiTLY 4McuDy1xoqKTBQWe9rgjVDyxgpNTTJ74/oPcW72xYPvtTLXXB5fGnVt68d9CjJt7mxe4qC+9dB49 TQEeIoBNdPSnfFridPe87+Zl6tGsQEDdnD9fZyTv9/vCL9nHuxuFi6EYO9Nssn+SVuFJNuN1gzWt kP9nDTOMO3H57jk62Jpv4r8RcItVNd70jDqxZdOC1E0vptwni1HeAZpvJNQySh+8AsUOTHIfrq0Y gtg3GFEUJMdnzDPgWlrMbZs6O1rE4wm5zmfj0WZL4OZJYtw0ZOsLaZQdWPhlBnumlKQ0O8K3bpkE zXqpSDy2xKfgaAGiHN4oOe3nJaSt9EDJycdgfz385UCy/kp3URSjfuBiSaZ+spadkcyydexNw/Df US8FVBqnGrJggPgZrE75JTOAXi+jqPjlMoauxN8gctGMCMgnjEg27N543zTqtHKII0fqdJ9oKZJ8 CJHamT+zUs0I8RyiznntE7KUNcgxmPIhE2AiZ6oUh5s5sRPMcwds6rDor1m241AhRKkWAW2lTjiR qPMJWwI/96ga85Cloh3Ylg+QXvr9We7Qw5utVAi5prGVRS+RW3bis0iVsFYjXmxWQeCzoEGYvQpm ldU/4V2IIAs2TUrWGzS25VU3VlNTIuJ8cmvJ6yFJzLjYnSJJbBhExD0j2XSqZ6Jak0jN7vrBWVwd 404aOMZplphtYg+c8vHRK+AK5pToAD1NqNHfZLaIevp1dOPDLNcBqAgSWOu8Xh2j+E+D5xnEMd0k lzakoj/Hs1KJvP1udU2GMcAByZDmSrMnDnBfqFGjloNhon8pQs8xxFqH9mLyz8L9cLCuHI1ZcaRj I53qfQqhSzoPYoOeZmR3RccvlKAhGXe/EvxfJREqVNjx+5qiv7G41TPdygEa9ohyRKDqPPyf9GHF EZvWzIqOYCslkNDGlOAxeyJ625HFH/AN0UK6VTb6yR21CJAGkkzAAPLhIabakYcQdLQKA1JEHD/G 9+HsZxWNpZDxPjpTajtnWR5YuctFEuzBf3sWZaClxL4jcTdmECulDNqoUXtcOVK93id+YLFC3/Lz GXH5MkAdY3CEMZWwePpUJ4LolNYKgs8+w3whz535LGXuDUP60mviz5wuZVEMK+DjWLwpAlJPcP1h Vi2FtEwopUKTDAGdgJRMZoimdThodSkxQcsdhH5PrBUQg8hrDBcbGAdaBJZqPB0AdTXo2JY7Y6yY 1XiPJ4mgKaazY6S4HdAjhcQp3EfLA4SoQihzs6FvdiwOYEwihQ+ZG7kQC6cLPBOpI+kWEXRkHCKd 0YMxcmais4DVUHSRgP3nlXVIbIoSo277OcCdaaAeuFuTE6esyi1yLaHG8btctxujDgvmKcFGs7oL LByAnyxC6bTal8Fn+fvxGCd7zlxthWX9E+aEZ8sKt1Ef+Rihb9NuRRSsiRfUULZ0VqgDBGje70+t nDzwphxWyd+XQBMh7NNqFmk5RYpLTe+uaXYk0sxm/wvC26r55qtZelen8lsTLNS8FOaYk097tU4I k6TrifhVAVlIAOP2v6yImr9uMKx+4vI1v8ExxcG23VEePmMvknWew9xuLdmHX04VAIOTkFnx3fqj HAodNyxD3awckXZaXIpKdXCAHTj46IPmX4pEwzAjOJ7Q4rTfNfNXJz9q1vLTaOeE4kgzMe74aBTA nqAK7a4PTSPOkoOYxK2x2dwN2z0oqAnvkqbMINkCNNRBqOR6YColotHrFBLxsYhAqwc/a6rkxZ5d 85WW4Eh9EB/dRZgIhxsZT9+keelttrIX4ZkZkGz+BCfQHLmcbidL3fDjDmbAVWqq0Vo0fNXq0gfa lRNo+gf9gGoUqfzGlo8l/N7xzmDBUzzm6s3ylIA/TkuuhYKLEDDrvdmheWlDnskZemszku22wgle 7aqKwTL3crioMywLVKBtEEnrM++186Blm8xB+1zB4w9s9r1Dj0mzpCtefo74V/RVgVKwZbG64COW JKgN/LvmqX5bwvfqLR4+e42eUwVzrLU1jBpr0i9DtEbfLtKc537Wzgh6xqu05WVpl/bFI7GssDhj 3GXtALnipWxD3Pt8FVEOHgS6COzZCzH3Km8xDqRdaBw3IekG/r9Y58DtLdzEMUmI0iIAMe6YWX9m oTWRzSynhBbLJr5H4Bwt+vHVcQtIay3NXalSwA/BPSPx+KYoi47K1JjagNyCO1HU0Roc1dcaHk+I bXtm0G+oUfgSG9DvT1qmki97mMc8fI+M1JXYUZFrkSLJXeoGyx+DVrvaxiIgsTC2BQwvgKLkougw yfRBMlXWV3UEMaG8+2cQUpCijL0tVLJfaI1EZ4iNEjNLhvr7DdHZObh32D6Ez8UoIND/xqofVFjl mBp01gFvUSGFvAv7WD9F3qRS+kdasxq5ae25papepEHhH5CX7d46mCOFfEo3o+zqikz7ej619pWk Nm1CkMBflvVnR+0Om1L4XukxOrSpUmg+qyPMpA20FcmQCihyip7rKaXAICdP2BbOFiO9Pbjy9N/h 0nldqvGoK8b+LxzHjsjHTAzGoqp0i5yMwas66tfw0aegpYyYLJyoq6vbu8YiOYruKqPDlsrBHPzJ r4qmuN7CpYWzo+41b0kuMgznWN3/KMWe2Lr3LUciJ5FHw56n9cNygcb9fz7AelupfHsY3WSVXHyS wBEdNGNBkKbEtGh9wvkQz4yyFASZYstwbpp771KNDdP/KC9Oc0ffIdGExThilmrGxcUS14O78TUg GgH2ar4cSVkDfD5RFmDuxWGcfUVKno4TndmKKr3CSc7Ju6uxNAWZkqWfCQwPl+uh5gLDS2At2NeP pj2qNXBbrMTGTrBz+Yv6QAn5i0HKuNCDXrYEAtmi3TZQwC/hI4B4v1u/cXAwUeob0cQ3nx3i/PeM i/c464iysnLWNEx2RM7vzaVNS+srmqtnRm2BFqHWyZRdLTnELq/1Mq6DvmSf6FIe+ANZkw29opIh YXJZznozHLixmqaqP5mcShfVoplF+iAyiRP30EKCW6Dcc60DrulLQFPf+p9ETQr/jhOkElHgknVn V4gzqW60bJ4NsrJ+agUdYAYt117l5tbOnhtE7fApYZUIR4a4EvW/kwOhr3SSss9/1qr1taK7h7Bv eYesRhOenTzUZfrzoOALhBuUweomTPkJGFPQkVukqDsbtlFSB0cv6K5yIsrugg4dLw5ljOPcxgbs O7560vKJa82fgWSeBTQFXQ1IIidx8isU7IVaH403uKPHxDnegsxYyo3UBdtBfbWFqwaI5u7Jw/i5 +6PXwA9uZoG8Y0qlXwXZSFZNr9v5qyRLYgmRslKSTTDRSgRNVZVWjgEtKOljAtEhmKlkA9UyiuYf zuxv4SzdcODsksWlwZGR98B26aaHfik2BE3dgzp8/1xrPZqEj02795drP0x4DLyaht4eHvNZKxhl g+eUnlmwSjzCaeazTYapJ7vLuuNhQ5quE5HjFp6GwKdFs+sRsirFUj7piCoJpPBeU5/2hXCr795/ avTj7QyVlGjmA8+loerM0Jh93SWd0HIhtiVQ+/6jYSeVkaBtX/zReVWTSJCOBCdK7uo7ynIfDVO4 +jt0g6XoDb7lvwBl2ztrke45zpjt2MUOGAbmNjWYsX7sr+Nwl/s0Y/A1EE64KkbvrYQxliFAP1lo VzvCix5MYiohRe4zVIgAvVWFK4gqdIIvk7Gc7oQXzYBaCcO7+3oXxg8cOEAhQt1tNmmtV9aGslJ4 tlQnulfrKFlniOCjYBIka/MM8fzg6N6PBi0IfAv8e0k2xYMzZzCCer+4hYwRlhtq9RAUB/SxyK/G thTBtnaAH9sXyIGxHwRhAR1tjb7LIDR3tGbR2EdeRBVpzaX5o9R6owdyy3+bhPCsLCN9DA5TN98N cEgM6aHNEjOC68ZrYtJhn/VL4SDZST0j2f6bOV5MRqmH61oRlInaycWAx4NWNdSs7EMS/GgyzS7O MLs0RkZn7G+WBZCgc4ccpDW4s2bwLo9oAW/cCX1mnkfiCF7+IONPA2t9y9/JNzU00O43u3MLIVCr QzuIoPEP5QkmtgoXAP+E57MtMxHqSTfGfZW6qOchFArAmVCxNfUD4W3okd9CXHBIGuGrDmhoQxlS oqGEvApXqAnTelorpHSHDOhtoYvrooaOUHGqkRftM/bqCzzyZDomSXDCrjzXlq3BEWiIesXybk7P LxswJs8dc7M8uxGofugCubTw0edekbHrkKiUOBnZGkoixD3a+joEs7hrzdgxBcPruaHGlFPeW40F +tHIMePzEeB+LjyP1d6I/ByjUE3dcvhtP8e4Q0sk+UQcZmKrX6/8G+7UffsEDKCsCDWaayhSe74S 9TSZDsAUafDJ9sYcu7z3SmqJNfDR15BEFJWBWKmvvB31nNBAatlE8WPHE66jUS82ZZSJyVjb5fCA K5sRDhMy1AVQDJGY+2UI7EadgNCHf11SZIPpG2NDAzGQp71x02xu8dEwtAV0H6Wcsk7kiGQy8MT6 zfcSd5Y8EhKzM4xLJAEgiQMIZZyX7SFMAH0WwQKm/hRkT9semC1TiA1tPeuS8y0w3ektQDkyZBg1 SjYgcnkUqYRNPHbiUwNKuyaAbW+9LC+b+4iEAaMh7LFI9jAYYFFgvKJrubmSy8uapCTDLc1WUFiY lVlO2y+yvHii1/uAlxMo4N2q7rYF3Ps2YHkTfAt0kvburNCslAD73PpvjtxVxkSIZsz5bsDfbpUw zvEpTYpIIUmN4qomQ4J58hImzoNvJ/I0YWG66rZk2l3+GItV3D6rImKUpt0fuP503UZf6HoLSuQe teXN/9SRim676bnky9k8jBE9j8tKt86c+49NvPSilyBDSG+xFyMVd8r2Dz0rG3uW645uEeaAI1Wx w+1Pk6SVWLM5eNYq386N1PPH5cxMcuM0dyr8gILTvf64GkcUTYl7GY0zRzuI/ibycbBnwVbZyALy Aes8f1wjZKismA56H2YGnEw2qvaZIIINNWxoaa8I+2kQSJs12oXqC68LHK3ibtG1+QwMw7W9U1br hSaF3cf6UpnPkurGdCgaHoNWrTz5E0F8l3sJsk8SMX5RveQSZZ+m/A70sI1Y4XOOubEP5XQ3rYry Y/pZ1ekrRmU5pHDKqkjq0sXpbKDsgcsmbVe03FdJd7nKY/acq8UPc+ACA8GpZY6CqC8x/zEM67TB nGmesSfcWFvHyQv3RKbPKbP21mKzPxUhxRFWuE+Vsn7/mnu5q7JXWDzr6ZYcm3jyLoA3Rwr1hcSK Lgp7S0Sc5BrLQrY/7zZQpKHpijLpn2nVgSUyaee9Xt9B7MQqtuN8/mAI+7lkorEh9Mh8woSRFRpE tFMIRwTKGw1//7VBSgtbvRZSPLcP4YfXw8dNvEhLm0m2rc0sZL+C9bDIDDK/A9mZDcJTa+A+gPsO nbv73b5/u38VB0rJOIEz1Syw1nLcnRef2jiwvDLiUHkuZsh/a0vJSpA/qxPyHd14II9F24Q3BeLT rIJPT34smegZbETElwyoAGrNC2+yiPrK/CKhjyaY1IylwBP6EFH8DjuPF/uuzR8/0o8/kpC0fQ1d EY/tByaoJPRooQPMpZv2iDz5ooWg/VG+LEymu1V1dgvHu13ePGXLkceY2pYXLeEt9ne+Z4SLv9se WnQqPZFypGQb8mXmfg6p+UTcaDqK2V+m9n/ZoiQRKna1Hvszy3yGDRF88y6LMi7++Oz2c/mt6ds0 SAMS7mbyq9YhLO8Ji5DyWBPKqUxEYzjslZpONc98DE0QSE45Fx+pWpgPe+6iateubUt+rYfo/o5/ dssOJtZomNwoWwlGZxgRMRnRa1kUXdBOly++cPNqMCL3yO5+YKYOC3P5qRwhLp7kNvywFkKAClc4 ad/ox3LU+h7+nH19fH/c4I9J1uiy/0OO8vwkuhCv88PfSbyI5xCEtOv3HTlchv8Gl3Iy1irMcqcX mMxmZ+xNtxVeUy7/Z5RqiVHoV66f14AXW2953MHTA4yeowlP7wS0nvpDwVpuTRjnYIZsNQouJi1U OLXbVIwJ1FNwZejP9doD0IkvsAWIZ42tnrDQGUumOPRAUp5SPkSTYX8oWVvXm8KzrMaF8dweZm4c HYzAwTA66gEV74CwVzlmXhCL3/wLU4gUivnQDqehTQ2I38OMXl53/fUIHNBthAdzlLD9lb4JE0rW kNbUcMHRDB443wnYsfKVzTo/GOuyo8+C+o9lAwKpXwgFOks4pgP5spcVRhu9ImLEPz8ZH8Fh85me LWJmNdUr1RpG+A7iv09OQWElc9SSRZ34FPMdtJFCzGichaYsAHTBIfpKLWnuTWzN57k7K5xBXZWL fyVn8AWiBQyiOFoFAtEumm5PTtGx0huSy57xJmR2NBNIl439TbFz+7FclumwcAHrd4k/fbTsGSaG /FfkZZ6mmsSTEoBxCH9TvBRvlkGzIDmqlEhPCl5jL6hbff+SnX+aknptGNF9mQBAA2a5RZ++QR/q uoq2ZwWWBeGOWP8r/+ZgnxcWHoFbictV0MzdeVVd0Dgf8/+xmPIZO0klkObthN+juiNKcgJ5q7kP PYkPaEwcBzwJqU/HhLCH2FGGASZ71IoqnOV0h+9OuytSqEce/ceaPg/KqfGfW9FOQRY3yvy/nZVe MpOK4kGNAe5RzUN0mLdAcUYgkJZz7rXZJKIctk76vlTIjaKdtG2DhNCW9wY1ULHiZTnph58/9Z0J FYFN+67UDnidDIcR4xRVm1RBEkpDIHyouIrjYqJld6spO20bIFhd+5lvVbz5ZgUUvwy7MZF4v4Y5 5umQdcjwvz2zNtwytNOwtmtxv7uGOiThPzKlFwoTvr4OaGzWZvU4pcIvs4kMJsyCeNI4n/rDR3aX PcPHGjndOomMXWaYy5RrhfVTLfcXloecJLsRDUy/miLr11ONuoP4NJUZwooew42iIO81A6ztt/dg 0kbXR79Ul+yvCDGDcGpjKQaRpBQgQHQhJ4VbjZw5tOCGPtZRqSMOBnIFwpEdyzAMsFXhgacZw/+l XJUCXtSSzPtr5O5MVsA6MhpShnL5Wz/vIGGFklgD0WlHM7NtbtFWXckdFni9zWEPB/Ph+NR83G/N eJTRaBpyYif+lGnrl/ABBbHzNPzJvVoWgxEatwXqEG1+HZaGUwBR+GZ8XsVVb5lEtHmeIX0KhDzy g3XddwKmcmibiueYCOYq8aPw2gSvSdVdYQD8+Y5PCfRs58zKcqN9zP4zgmiF57TYPQf8nED2EOVY jBuviOzzteBSoqW+3tH8RV02Bx2LJgKF9iLWLJ/zVlz6wHGI21q2RvGj90e/YSHASqJW88oO4Dp7 Z615ot28HARejUznUXkLfALC8idUUgxCwoLluuZRwaqXVij3c8KfO2lXNAx4hYfPr3tzOtuHihgi vbfTXH1nZvecyK11GtSoRi09AP10gGL2Ve7F5ioOG/iq6m4oNZEYfiHREmW1jdNc7/f2ShVd/k7/ UxWgiXn/QrKvpIIhWm+qI9zvi24/lQ29aK9erAXxIuzq2khQD+Co8r1R2W35KK0uQ+VCp0Vwm+4B MOXcXKkfJ/yD1bLlBi0aAYCxQuqCNnpxDffD6Sq4BeV8pUqB1QjXU26eNQZflfdtkbDc2ZHqzv/K MiP8nXOLWTUDmhGNDGXWtiLb9etlpOsyllFW7om82V9ax4TXx90dj26tY1JcD+huLFVoH7cH5/0g d6pda5J2Md+NjH0wF4vrGrQt0oJ/MsQgymLNv7vERoGye4pfMieRfsfcJr7sw+FR0yxgKNFFsJ3/ /1S+IX/MfUoARqOz8KL4+Ec11gutXP7ZU0mjmcvQyBwkjYshPdXcKfTDZDzMu1pySQxgoEF1oSpm /Gv2jtSLrdzm0BlB8ogMcZLPtAgMR0ObsOvNhk0/9sx68F76Kqb5F+wjNc9lzHKGsGvnzolj+LO7 53b3Lkk3AQx4Gs77XFfwDhPsLGkQLc4feAd6Yp1OcMoyhlJpqzsJqTsax6Lvgc+ofu2MyJ1lQM+H 6eEIWSg2dzrNVFlVzvjwBZx2VqjNYoXRNEKLXaR028b5q3g/nnmfX0HpS/pLGmKv4WkiLrvGu9HY TStix+TA/uc2qEvRuBv2WasGGr/1tyCbSHnCtgJhTClLrZbWNyL3Zpactr48OWrPiIVB5khvFB/2 XK/xYFBEm1J/BzyGNy1c0A8v/O6lpNMMF977ef3vceb8RkuR6AFGavpBimLQ80dDWf+T7n0PWrO2 eIY8e9/JAMjSiqx/0E07ugoq0dtzGtF90c0hJewW+5+PZKkXgUmedLB+lIjteMVJozH1oYbRXFhq RjASyTgvPBdGCiA3+TOSdLFpjc4kbZPQMu0ej9eLIIPQdmwlv6ewcZLl344FqRHtECABSLrTneFS apSM59LcfBm0O2ZOhigEeCRyb6DFqnhQ5mmztybJh3FNuZq3OyXY+UE1oW9TzGK8OeGr0+vYxGTX Br2sJGdzaV3v2x+wLt7E5Q7/RN6H4WzCbhfmWOaVqvnnkf8K6DNlRc9F/AQvO5m83D4Pc+SRLkIW yVSadkCKUx6ZExjfCb/U5oUFz+Ovs+v59ZHi57zdhxZOu6RpLAGFRVGm6C4O4mi4YUYXNC3uDHLN u6L1kZ0exPeAEiNNl5hwNObwXnl1CiPaa7PPQTBihleE5b/CmNt6xxrkhSRd952ekc2V4BCEVXuH VMge6EKkLWbzCgTpRv5Y+FkaoMK1/Kqpxevmr1I75waAgb6VFe7jkGVTj4qU/Si5nY/qqLPBSn+i 7G7SJV4gZQhfP0VKsfdiCyCXlWBqMWxnFPXbO7tV7kZ8G3E/pRiIEWKGxy/H2ALqsrppaYxVq/za BCguXgNKUUBJfoslAiZDpQbDytUvy4N9CwJtJbT2ozufBsf6MISSGo0yL3imaDHq0X/Qr8uboZow 2B2NXUN5EDDRvdTbAOU+edPN+rsrvwBiX1z9XTzoAj/xyVRkERMMaLimA7bsLgg5+66AHiHGk3TN ZLk1tS66w4XjOHCx6/hJ/LjfOg7fn6ZbxVvcnN+kEqZb5A9nrZz7Jq0UooasdcXbfZg/H1UQl7nz AmpBDdk3GY/LMxT1Y4whfP7uoBM0MZ5tZx1Erz3GuyJU4K4GvD/jT5kkoSeydCaIjzlEb100Yv8z 1CUVj3iulOJZt6h2Wu4VMjo5mhAZSQyX38C7p9G3RT/51SrLcqHYsW6TBRsrF8nIVwxq4JBx1QUZ AkI0vTZrs5eedBsBVPDBgefLLHpUddyDDj2bytbvC6vuJoehLOaNWR753Z+kvHiIP63vPcIpuBy4 YTYBo/BWlDoSY8fxmpFukT3bmGqu1i6qYHLssoAvfry10gGSp4khSgOfz/LGQWOfa9XKrX1zj16O Q+ttpHMrOvSw7+0lBrPQ4QOoX49Ew6+kT/Q7T2a1k8yw9mil7/6AO2402zmqUlbdb3+i5BWGH/Vv O6c3piOQN+Pn1W8bDcMquKAEwkUPp7dafOuGipXmT07vwoIKLM82vjs+fS7GPVXZfyWQGTxPqVAs yhIgO+OtCf20dIa1d5haDjn49bXTgfAjGsxaK8tTdZk1sm2Zff/BQy0mf7Ik463usSDv9EVejnos 4xWDPllDhBDao6pwO2LtlEn7JXBz01e6s+rnc7l44Nhgge2izze9nkbQrL55rYHKHnkbluzy0TJ5 0J2QOr01sBqZ6gibOR6dM9tL9fFrwx/J5YjQD0g2ufWGhx/u76q8r4q75SXu89nUaPkHbg8YJKfS FRnozTq62nJ3NLCXbESOeXwvQSyEJyknoP3J3hR6BIoi09VNgwbeWI+adzI3WyOMNk69lSyBCHnv 7tbEj4+2vyx/cQ/iQpdR0vtckCKTmt54z4rb/7+BfugmhnUXVxf8Q9PJoPQ7+tZ04PUmhhoLRqPE 9+QxjQFDY3g2oaStNJ9WDr7iwwBXJP24ahXZivk0nOA8+tpy5vtDmRK95Zzdqc3XZpDwU47rh9B7 r23NRCnoKxk3UrTW49sf4SPzCpx+F6ai+wcH7RJu+fZhE7praFvJ1OIb7Eys2BVpiW5pp5rADUYf gjrBNYrP3K0FN7c1YAJS71P2K6DSnUeAMdDIOl1xE0rsSWytjueYki2cMbE0FRaHwREVpv02leiL hg2ORf6BhEWzsbReraL2oxhUAYYi6iEzsfYEcTEew8X41ArWoUxP0jqMcEQ6/OgIWqx3WpCzx0yK 3GG+AMgmZ374mWLDagoS2jBi6d8sVicWuLuFy4blV7bm6vrYJzf/rrBJ/5+S3MvNM1BCe8lswdd0 RAC3CV8jUOOh+53mNJGWGizJuELINTSaasS8lZ8NtCig6ruOZ8szcT5gin96kkTK3D1/FAmLF3Sr gSpIisJ9nMRNnH216yy25H+OUrzMv0atAMRJucDupqTk5l1WdlxB9OCJY5fU0ZDkNWGWX670lhI2 3uBgd+L/eZORhQO2EOULyzUGvWHEeFgj457OQDcI+sCe/NcActCE1zcF87154njcFnthib5TfQx8 FEO1KSqo/KpJueZz2RhFV6nau/979+P3JLBUQhAoEGwbn9mdoz4MM01UzT/M92wJcFjH2q14dtrz qPyhnrit2zgBcUwE6kNN1vBa5fxdW0oBuXqWqbgCjfDXlvsNXBbJfgrq8sYr6ucjMUPL+iau0Ecn +PbcxI0ZM7lQ3T2Xmycf32RaRVVCqSu2i5A7FYZj71gaelAM5cYEfAtfPdgmMFhLRQFLt77lNH2K Rb1YjDH0URWBG8KKSkE+jRpIE5t5YFUHwx8qFFfpQUH8jp0wuqrLZHhBPzskp3zTm+GYpEAgl3eF KQcYTpr6FzRG5oUvYqdHPz7EAJ/qpf7g+7MvZTMvSgPWxMu+Q50lG2p+W3LDIZJSc/lOodyLprVn 2R0DtnuNvJNukXGnKY8WlCXfx8+llAqkDcngy6iWXSWJGG3cWSVuqeickDo++xYxSuRldDGK/0eH OWmI1Qn3gXJVuJSwc/AB5IE6YhKhN5MIPjVA0Fg3xgQ7J12D7mD7B4aHmSBlB7A3xK2OHWXlSfvy ixb7gAQVSEbTlZi4hXHFkgWtM2uAWwnNZE8OKd3ok5wq0th6rNxxBfQ59BXajwrdnHPKlTrvCtud DvlbBTKuUhI4VpaI5I3RqzNnfsvruWErHpH94qMkdgKB6vpVlPf3I94yioAZDI+Yt/RUJAoKcmyX wEyAP0JHY3FFgquN4uIEdcrkoQjjBvRNP4050JOpxJ5bqdTFwlqB7OBmd/ZbZl3ifumkmU8ydIrw 3bSDHF1bMdAx6nDEG/BQCDCQOEsRffv3R8nRZ8q/J1aXXzQG0XpmfqprQ0o/t3O/I/cQvPkNyo/1 2HIKKpfNeWba/bgzoc0NAbLb8xq5loquQvOevgmjg4cOikWILokeNs3PsEZLT5v+/Coe1FPsars7 VGZDuRtJQNVO8hHObqVwUk9X9Cqho0GabG6/OniW40jkUeQ2CBWoP1JnWJHSTWRC++3xkRgUBKUu EZ+F7vxzv8D4Xg+DehvOBq1hDvVbjKbFGT0fxRWJ2zddLWsWaY0BKNe2vibVSCxaqisARTtIcc0d dbXsrpvUUSiAAk/E9J4ZBXD/xiNcvSCx4/46tMBzRERdCD1Y7v3MlCkoEaXJTF2UPCV6TuFvLiKF htGtn9nUcO4tCXPWRWZLjmjZqZghrTNiN/bz8XePRuKoIpP1X4I3CVxxnEINWHOGwz5uQP8wv/IS gMWXS+MxdXyX0KY5VFrbXCy5qnwNkcg+9wRZP2srDYPfZlp9dg7CAfPCdHoa01Bgqfq2a4On+R+w wjNLSXI0n+HVJ3ilnUfamkPTFhj5UqIjEWNcnpt0vfL4kfIWWJUYTtRdcNM42+i+jijmw6/pFtp+ wB0/XOo0GNAlCSmkUnCNsDjExGH0VSGe+JR5A24d3VSsqw4GtnZQTwOQy8+uLj5wqyWvUB8rgG0m C71qATiHDLKfxNLxmGry5yaBqFTL2P/9dZyV65OCQe38bK5ot/UfprS9ocZVZuqv07PBchO4xssH QB9qERxWsr5sNlYLsGtBVLl3H1sRtNnrNeTCQzjr4Ad6IRcTn/Z62MKT906AZW0QhXSG9nxd7fR7 NsBaSAzjGKwWCljWLseVa/osntJS23GcHhYnQUtdq9s3AwG+xIeyZTHryrtISq2WlX81avm0PTFf YegD/Z7Dy+qsS8eeo6isqLBlvzx5z4kW2jxQHvWtyzRosNa+xBGREvUt2l2vnqlWLm4vxprTTGq0 zrmEgKBYFOajM+dvGrL4pNI0CMHzmrkKUr3BMp6JVLMOPyhg3KOF3+PC8hKlqiQmuj/K6M7UUlQ0 qPEFyXv6rzzyJyM80akmdtM2Qe7BsNyH4b1wUzP/9/VT1qgvEiWqmmPdMnxHoovWIc3B38c02YMS SDLDaDD/fmLsPgsCPjKh9fmr5DcWcymPIzv+biADem99vgnINH3QAvJxPihwk91EY/LjRrUOSj6/ N7WQwBeT3Rc0zUbx2bfs7r+030NsFuCNBRSUMbm8lp0fNF5kGEi8IaPdoRbf0bSfE8Voy5cxJ3dE xiD0+pDCdEOVsYVkgM7ct8fZFaSSTOcwEFOB2xvAg2Q1okt5s1p7cOfhuu3asuJ5YTIpZhfy6ZJw bBSQQ5uPkESlLZS4w8A4vQCzAVIaha30QgLOGWNyUfkUfH0fxcTa9O4OJ4NCIP10CIx7dkN0Gc8k 898hJGAinaCCuZ+11XWO8Zm5behjs0c8GJcgN+KUWx8rq+AiwNP8wWderF2rcT1AFEhz8j6Y9it/ V/hjjE7/aprl3ZseFbHxZsoLWtaiSerl0fR9faC8A0xQt+9vHklSBjVuEGH3D2ED5Ub7/YfMUUug hzmJEE/EmId8VCMRRCVDOo9zAX76FKwp4Gj3NA/biGSdNUe+XGNUoZSJq3CNRP7Dt0NEpOPjSUyp uQHoWp00AWhLVWkQJpVca4NeGFAIvzkU4puVdjdHXEobI0VSAkVMD4U7bxruKvUChwBmhav0xSdu LW1BGS6PcjtKhnDhV7Ac2iV0K8h7s255IlZ+aKjTtzOPl1a2IEuwrp9OnePT5z/chnSrHE1EFgfv ahSjsQHvelhBHaFLDe9Of/4eUzW4wcI9DhyKQbGW+iem8Yl1BuT5zBWQApKiZPbdiR25U8tbvxBy tq9892q2CeRo9H3zZ0Uj+YtQeZuAvQdlqSGu73oc0RqaX+nmbcJMBDY+uhFjZpxpZQdJD5LO2kG0 w81ToJgq0jM83hNUe8remuFc/ZBJppnNRvQ07klBkepIWvRHJIubf7nVVX8xJifZH/2Eu8w3+bEh tCGoB15eDxzidTCIQCoIgolaAsTQpG7MUknqzUR6F+F9YuY5xHygnnGUG/tJ72GtQPAmTzxaMYum hJ1vXlB/eUsP/xVwLRAAAiVBy92seTAk4vN+Fo2vFFN7yjqs+EhBn4B1s7zPoLeLVkV0gRC09iih BhxCzanQorDlEFKF0Xw9AACrleVpMmRzNf7/ALeilTe4EVWmgP1Pj4eAnwP7S6Iz3iioWOYAwIZR 5T4AcH5fe2k2Qx2K51IOY5hKpg0z8n9082DyvFoj8ijcX/MGuACIJIR/jC8V1NP9LiSH5jaQV2Rf Ed5FfyO7Eb44o524VEYJtikwAl+dshFkkVmxv1Pvasr7EsCQRngYdJTzWxhcxSGC/MCroP6qdkPC 4RLAU8Dnlo/LHkFtZNNntAprO3jQ1QFGil4Lq3ctKs7zWIpJ1/ZJXBAQTkyV+KL8LGS3hqCromIr D/rvVsdX2FPQaBaNEjHJ9BjNC1vw9RXjPwXhQT7OlBwg9Ptbv1+LLb8Fu9S+A5amzcgrXCw03BYk ZX3em+m4rzS0DQoQuBalEOQZXIvBfit7/lgcgAu5kGx91PzxNVdzl2ojzPiETPaJC+KkkhU8j1xk doB92r97PywmzMI3Sg6hleO+M4HNhOtca0Hsnk7S2+ZlUivEfISKZ+ouEDSjjoeaItgJnWseEK7u f0KxmI5Nw76OWgVzWPXZ278ga0rPxuPa2xF07K1etHvbxylI6p1c+VbOgfPEPb8D492t9SzrVJiv ufQDrzUFzWj2HfmIbEuzbRCVAz6NE1lT0kiHDxh2wtnuyzDVMR67zhLN7TCDAbIrWuiWxIkvvk6L nAr6MLYIXRsw89Kr0gjPc2LBlBMLFzvSYuQXPGK1IEVTxhIq/DWYF4lazjO0X/DpHcXcIYPMaKQJ GwHnR6eB4w7dc8zSFL5wmrg67Sk8b7reVqR0qGQwQyuKoVOMUu/WflT4yhvhdAHefmIPH+NBxLGi oXDnU2O7IbUDH62tj8CsgMS24zuyjNMg18LvB0OpIxQG6Fa0Eu4QosNK5QCUs5IoRd1WDo0TfrIV qOPNoSZ99RoIVSfvfyTT5LOuUdsMF3w9Cq2vvvG+DISvK2JcBWFv7jeCtsl/Q6B4TPp8RRuAqU2k O/yZTiSnsRZO29wJncdo4LDjCDOhRrZHg3L0oyO8dJZJx6tWDzcQlKnZwgkSyKIOtcsEHs+18nkS rJHnTI9kkwQZkWQRqK1MgB5DwJSD+ZU7ybR/VVPEF5NLCjeh1wCiRxweSut+6lTmIwN2OrFOA7Ix q1sPSt2cwEBCSHiR5I3kEC4kIvZecka19U7rBpVE4KZLIMpXw9DVWrrh6Dg4uzWcyYjxnD8/hOv2 KonMNiVuD2IUJcgp98dbdT9icaxa1VzpyFMUEhag8FdeK4ifAN1RTiabjNhXDAGXrS1OM4ZeA2M2 mY3+eLJoXALUZDjie+mVQ1fv2sSfiRIOygVGwSlp4Jyez22Wk0zri1F9oXorF3gfDT6zwmiBv6hg Ac5IhwtxuE1bcPq7gnz0XZF2b9ov/bG1EGMkgIKpiiw4zIUWRq7TU1aRdYOnM+rAeK3DDsXTE9cG Mf7bQn24v4VX5eR8wbWlu+iROQx8AuoJId1o+kdL4XOtws/Rxl87+I3vvwPctVp/a3XWwkD0PfqZ JuXWu5C1ZIg30Bi18MGJCqGsMOVhpcUkRAxdZ3d531JxktLuBFoFwmHdX5fYOtdIGjNU4UCPKTFK popopVwiDn3X8T/wo5fUBgLuvrs+qdqbQ8x18Yiy7I/9keAtXTGjvDhsXGeybtdO/fPTir9lunGN 3Ry9i1V/ZRnaDQgy7Yi85rr1Xw91ckuG46s/ak8+RGc4TtwUQ+y5ubnIa0i7egJuC+cEA/03O/B9 ASj/JZovJNN1ihBjCPqhSt0p8MajB+jw37N87ARMrjFvH6P98uqoTx9L0uyWGPRo4VSglP3HuOlX hEyBbiSdbcxSvjERpug6btDV0YtVKJTh9COkxtmLOS+Pfyhr47hqewLzEJq6r8O3yDss883aMPqP mkJ0z6Khf2To6zQjQtlebrdhdmqo4HzUh8kJo4f8P0i8ucLNMHBwg4IEGSxWngD2DIz2o+nSMDwc vZdIg+M2zqNFOqDi0rORBVf9a13Hiok99xJ/6Pqb5/1MXvBUE5q4CCTNtQiSEhE8m8+Qq2eL7XQN Ds1tIRgv1sqyGkZrcQOlI9vE14TPGMFcfQfXT86RcnwugzgJOofS8LvmOTEDKWoD/5otG35IpvNA bD0stkWsFyjuuDkewvXIUPtAnhrI92BMeswZUkoMx71m55qocYL7cG9FH0Whba6Igdrtocvn3ZN7 ZYT9TBHMhIntESoQmQPV3JJTfzM/603F9YvfNqlzwZZcAqEJIEvaxOacbY6lHY2dipKZQRa6JKaG tK5HRe6IAhCDnmKCRzzrypyg7FzCHxJmlPINUjw0jPvgqtUxlwgdHj1EtNwojNVGPqEdo9XfGErH N9ngA5akKDjeInjjsKQ0zoDkAXohvdYd2Y7ehRS4hJP+mSgL4Vx1bBnaehQyvoIP3F1x9z5Zvrqu AeRF3/Bo1FHky9cON9YmO5kdmP2f2foj0NnfSSatRKYNUaqAI8+kmI1krXbskHZf+KpHQlhNUUT7 pCW5brrHnV+kNG6t86cybsHg2dPjalvdGmrboUp90VT8KLFm3j7Ni8JyEhs+CyMN9EwJvCtyVzgY rWeRYiX20id3Y3dJBKbirBW1GnupYawvrwp/mBYlz8lihGIFiXfxcT/7ZHbUNUTEbs/D2YsMrkVq mBkBkKmPYkituTIyRE6CvCGfyzN7RKWZpUeXnAu1iDp9sxlikpPUHJ3XbQWUiT4gflvcCkNv03WH ghCkeEV3qCupvVlcq1UnR1ise37rasELInM/ZPxMZMCho+ycXwvMmUyaAHS4Raf0BW6zmtpj7uq/ iNXYdxG/FaReIYI3nQwSZ/Zbuwjrvj7mpcsXZ5hCyVtLcFyF1jCeRDYwtOsLgHi8EsXvquSpni1N 2Oc4uHYuLBSPqB1OKOk69eduMb7dY5k/kcMjFcUTKVIW7B+1oGWDLlr6cafjzsGWbDDA+FQVyS1W T1S0TNHOrSwUk/tnk7jh7v27hRyjGHoeznzykXg89upxcuT0Dq2CTZovSLbwL5sjXBW7sZTAMVt/ 6UO9JQp3Ld9tgdGJCuUOngh1QxkzG7UPLXT4Wl9iIQUnIi0XnRVJPJPycVrEKmIpgU0QcLRwqrCz ILgDmVOicBm+/MpDOpqJlAe4MSI2m4BnWrgQNN+6pAKZYp+d1wHVfyP5ooTstfr6x1Erg17+L1Jq TZjKoZH5dyTQ2yWQXM7s+gIPCl6llsuSZwtr2/yw6ownhy08+BlHf3T9PAFpJ91PUBqxyrsAMxTg vAUnS/oM5j+P5idQLMsiBMCPI3ZJThQldXu5iaFQ7X24xjh5e7gLi4OnGgmf3OHJsBq5v5/oqvHz FTTtMaEFkJYdBbMDi6nL+jSSdgRwJ2nS2rWg4eGfXZ91aeVl5QkKyRYJJWe/iHtubzRmPIAuIeqf BftUA7+7vt2+CFA0tgIws0hJ7IbzzJ4ohPs0koXFSu41QSBlEjpXXYUuXCUOVGAmweTv6bwMSxsh u2X+r8kHKoDa5vLkZdXnjTeK3c0AqWoMBg2NLPMN1/JLa6WdisbFK/Hygl48CUQ8FtiSvibAzoMJ 3UzyW3t1Mwf1jodO9k0E0l+z8n7UIOejM4zjaGSXtNAxInCk7BYmrx0jWrjWD1cFxq9sxHq9wWzN YZNHNCTuYaSY6+MuuehUaLySDSn7GNIHSXXQj7jn4XqE3xqtUexYykAzKBVLpZLXc15jmbjaOpRo NQkT/NSe3yROXIauidyoQlLmH+a9j5bbKQ0ezVRcVqpI1es2CT44h9kLyMBcVfavQSmyjvwNPTpu vBvdPrQObEVBk4/NXOq99EH8mcRmGdEcrpxQob6Kb22D/FPQJFNQe8lXUHhdvI0lRUrT/pphGu1p jXU633RhTPiXLqeK6D07K2JUxI7amLnNKrpYe4cqWVAqDsmXN0e+X1ZuK8MPIXZIObmOak1uLXix cHst0CWFlrOmxADtuFYOjfRgzk+xEHfb5W9EGDoB/8Y+XErEK6kCBWMRP+8pu4sRjAbm2O4fcP9p RZgnj1pdnXF/JEyxeq25Lgs2Bm7Y5utGqNHlwqJMD4wrMj50MYjtJmG9IltNYg44cgZ+cZl3l4CO Zjl6kZdYahzYr5kmqI0YQwCopUskZAoWZnuH5f1Q2q4E/uSjscJH2gkIx7MNnpZ0kM3M4bBgzM9Z zKkUO3FrFKK6Xqwjt0z9SeUP4LHXBKbtYd/ag4BubMiL72dmZjaulbTcAARvCKmpdyS13bxm1kz+ cXOMTK1RdPOOHI1VKWcMC8rIUcmuK5ERjSz+t5JH6WRL98XtyFeWatfaG7TKytO9kcqErLaI+Mbo oKt00hgaPqby0gMDRyiJCVeAwNk4lNw3fVXb1/M/9v5fa5zabLVjexsZN+/YkV4rKAdDTXKYc9UA uV9Z1xrGjrj2Drx3UQu/B8NBRSUZkS4bpiI5g5eNrN/tP3cdgidWwsyy6ZEgRDs/K40Yo6/k2CXp xdc6mY869Huwnzg9vSTx0eYBucBCOJnPoEw1pInRXYqnZwT0BSlox0l5gzkINDtzmryl8h7+oIzX 8oPgiFYSCuq+ohI3GrbKoklWfVrpROudVBrDLdK4G9L8L4r8wRYqM5ddzPzLIgCVblMO36OSKSlk zl7LQKq5yEHUuA3ylkx6PN3g1A+pwu2oBMo9sIsIgbXQc2D7cznHfKHESN7SjZS3gG7UiVwWGGnE nOJI8oIp79NmD2GhETp5QqGYGX9t8xC97v7vRznnvvXeA2WCdpIpXt3M7mPbRb8Vr8JFy6Xpp11o Lk+DnmW3GHMduqG2oIET9jic+IKPrD5y/VJNsULiTvBIWJW2LJu2/N8mEn62Ol/xqTGmM0w3yJJr w6t8Gmh+AvXj9a9ngeAo7aXh6wasoJ3570uPS4eIzU1KMggCwW0CL0lk7qAv/W54dVuywrmPUUya LqGbcZOPT8euVLXFbSsqCQe/LAq/5rZhBulGvF9yE9079vIGvtSrF3gixDZNHT961Wx5a7uoHUXS bfbroysIDMaTMNdBqc2se0Oki8RHrAHGkuZIU7TDXldCEfnZKupsyaUUf3hbUKddaRNuTwwRHK5i x0fMPMjALLhWLLz6oMyaRpYFpgBs5FoQxw4NipSdbFjBX1u+4WgadqUlPsua3fC3NzLVkudZ5O1Y 3zTZFx/OuJHssIWWTF0lZOijklnpYXjKuTHJWB/TdKfV0McBiulYROCe2EkwmXFFzYHcFP/5FMpw 8WEUIHOp5MOAOI+NMiY8lticbegRI92poO/ZEAeC26u/Vgld3wB2MKnNk9l4KOZyYFaE3vFbwrX1 J3yNQnnWHM1vnwBzA9dlhqvZ1ctsU4yT1lg/aPP+Ei+W7HraPMMPEJOsOmqGHiPnJh01zavDRxQP eOaYeWTYIg/IdnsPOIe1qO2m2SfM3OkrPRwLAA2vucFKYAEyPwry1T/2HrsiA/Ohm+MwqQsV8+Zb 9zxhF3ioGSLFOb+U6xLf6sJCexpUaZJeY/AHCjXFvXWtaqzr1nOfFsCMIyE/CE81GLZ5gSB/cSHR 33qGHrSg2i3ncMVBZxRxPZUQ9qb06yVwgDkDCq2baqvin++a2NwKgLLSEX74qd7WE6qNZhKOK7Pt kiP1P6wuKzW6A5ekEFL64sJLaFBYKWHZCOmT1hCdJIzk5kj078Gf/EilrwUFom4gU0eU4uKkdj3B Nem52YbCIll21uEOSn8XLk8nmvk13dzbSoi45pD5GVmKIXmILDjH+mi416qhMzCo/P+I6fOagj2U 2qlN6p15aTLYKFHpD6kIUOhxguC5Go54idzUaK+cZ7/NHdNJESHwUPHv3nOKUtsdFm/RzfFvu1mR jF0YtEfcQEr7urlGknIvxEL5CBPXcdo+swhOdZG2lc3IgtNjLmLqtCBoWOQa4LBI36RI3ExbDUW2 Ldub7oVK5W+zVDGS7aILQq+a5x/s+Wobs5f31vdLgnivRmtJanW78yTdY9eZoCZOUUu6aeDEU9E3 dUQf/w5bzok8o6+SLmgiRqx+AkXBauMLgTpIFCJb+HUzHTu85KlNdK16gAWn//mbnxjDUY6r7jlc vlGQUkMgDei/HBcMlS7zSBV/hsSOG3rIerEDDYiqDEHhQJwjZ+e7DONuAT5649Miibcp4IgxFCmB 2opTGQXYIs7ddi/PYMpUOs4QNSks3+MUPJK0+QV6cTY4PTrxJ0I+zWuqy7MvmcjRy96S9WHOIfKD 5LNdEsG4WK9yKVcFgwAMtAwraxFzmMCqdGf6jwN51ruy7QCRmKWzeMErcsMT13XUT5RDd+1TmwVo 6RErVEvXx30m+zhpNHtIffAc82qWoy7RquINK2k5SrLEhwmOQl6P2UX1yWXjnUvqFhmegp1BzcJ5 F49vki9g3ipe2SzZPRo3z0WwzjhSOOrVPXVbP6p84BPATDHwkZTuapHY4wFmae4nEyUQrG2DGKnc B5alISLF17sEWgQ0/2CtPFxj0Q5bEsTI1Cr3UqbfnNpm5elmAv/smwF9xpJaF1gq04pG3lG5X6CU 8+s4BoIWaqJ2VxGnHVBjyHEQ7gFvJdjlcxAF8z4qFM01e1ZVgwrIh+kmfRb4I+mkUA2Y/Sd+EcHi dZ3zk58qXoDiCOJXI4Pppm0KCvLz3etNe66AaZB95JrXm3Cvs9YElR8pCCm2QXhu61oEF/PEYNR2 T1oshI1VIgU4QaCMGoOqHg2qXAx1dTj6AAkToBv1LgLB3663AYTERJ/Vq75zX3bMhZbzFB4pfkBG Q789iMTXKJosihobTa5bzCN/QIiDYW/UrhcbVzrt8OgL7yYP85clT1CbXCRcZoUBrjGUGw8pNFEE ZmqlteunVShIk+Xssc7Eupj8zmpB0AvvhcjEjr1F4DIJLyy++h4b8QAl7Qfmbu0R6JuGRyhW3GoP mZKp56nHZLHfCEn5n6aBkH17iGZ354xsmnozC0FI91MJRPbY5fRWv+eP0ydtQg0HHEcwoOJITndD d+cD6/krkkKAhPpnovGnJOgICWJJB1UvzdCVwl1qAiabBoRfvdABmjOLORvzESTBN5OlOLJyKzvA 5Sr4+4oojrVS/FNgr8bFsJFLyL2LkwqqP+ysPAZkF4NoPApRNfgaD8WzjdvwzSmttpiZGkZS4e43 7iBAsP1pHUvTnReNxwsvQM642KhHXZiZxRt8rirnsZ9w77EQvU9ZAEAKGmfkWqjgkUrEww8cMiUC xjyAoB7YtQjcgdon7pF65XpGg2M8mht2Tin4ssf/yuZ0QqqhXpxAiolVJ8UFuokON2TkNz759iMx FIkC2Nn8kvSsHyxhgKHMUxfUV4Nj/pUjXf4IkxJZQGFdlQNJEmHx4XbYw0wnepENgPoQsY7E5Eeu q4ZafSGa2Yu7m/OHtfSyS2GTMajnKhnpok3781dyMGX521ot20qcklqvv1/hn4obYDZB/riBVcpa NnkqSM2dyJ4G1Fv7hE55an7xZN+HGWKSLpVjC3/KmCv16DBG5Kej3p95wW4HNu0SV9X31QSaSRqp 6IEalpvlId78KuSEX4U7/91+shhyGbGv2dRZ+hTS/qlxcdfictERdQz6skbchqubxtLJlL3OVBK2 hZw1wcPxRSRRJ7t73VU30m7vIca5JQNdfp+kuT38zanOJPH7RXgUgd+2lKvf7QRFZtZM8PoayjIw wm5LD4VQD6IWcZd1SMPrN1A7e19OaKCCAY0T0WHnVf/eIT116Is78UnSfnJ8RowwoRxjaPhac41+ xySoM3ZDLsOpeF2mbO1gYZvk2MIVv/3zgyb/pqS4G4gDd5XEvsi/z33ZtR/GuVmCjY14wpp1tRkI neyGiWaaXT57nt9X6IWW6VSYYnoymYDBkrOAHFMi5/qCPOjT/hvnMjrtBF+WbDKgp4+kYCnuDQ1Y QC9q2iRc5jmS4lU5/thgtLqhSlB2KmAr0xc18lksPOKEDTZACAxuEFgGyDQ6Tl8IA63YhnVCBSnq eWnDS33aWy1Jd5hYYBhQ2LBQjuwAiSJy8QJbSMWg8e9GLiWic+Amjuv8xEoaySlpsosav4ctJHmG putt+DXRV5qDH7DdyP94BBMSXsalEpA94dIDhKJYbM/9YZ6cQ+QyPGYnQqAclcEuvOirIc5m4F5l VYcBhpyLHAdQzZp1G8m4ir2LdxPuQx+RRgzfMYjNxwJKr1bBTBPMK5wuGlUe7p5aQEiI6Ccn4k+P EYoH9d6WKIGmfsjRTljKeyCNXDVgMdf37PHa1EYblYd3ywuLNSsm0KTHTTr95VE6YQYFhotSIgjg PJlbuK8kCS60azDsOfSQZI4pVVnU3UVjDX0eqlRhzAsbJIdx9k1YyBx5oAsc+SBu9RgGT8P9k87/ BW/ZdkemUM7pUTqYzuMe+mcGnIxlyfDLHSmvWtgq36YIujbJSMcSmu2OlmjjeN9HbKNa2T+3PAhC Sxh0j8Tgrc4PsM1n25ZfzqnNZ9JbKsOvFW4fl8E8IPXQmkGpJx3QRVePx3HK7GM3zaiFH4RQBByL coLuWvSz43VPksDWnJ469mr/Po2A3w9gvsd0+ugdPfPS7FVl0SCQm/WJKGdPQa+A74Kgn0JoiGgk giEV9aDToSE3mpU1CuPFT6Ly8KD8yfhz1bgucsdiLkqtn2q68/VB8XSfjOQMYipdZtU5nDr3FDsZ JxPFYEnKLAPfn227bVtMy7HktQ9b3HKxmo+fnIPg42+P54hi3fcTNvYbu8D0MmNTPGSRbmLiEKQY vcM9CsmUwxvWAsfDtr93reB2YMVgECqo4ucipIIRbo7PrL2gIve9RgBu+Qy9ZYcKTASRsMPuo+Bo PlcmQ9rfyeFj2K4Bm9QLfC9K7AmNntaqDomEO9VTQzNcmQ9hYLOIY7DeOju9bypAn3PPIzmardTs gWYjbwXJhu128VcUe9btip1x1XsnNk8lHinpruEXnkl1aUOGVXmxM01nErk5SJRhYz8UU4pkfaz6 MDYcrfLIpNYonIA+glMxFBZ16JWy9T8klNoy5aWOCi65wqCnMBgXOW9FqcJuTC540T8QqNb6xoAE uiHi0miPdJbDj+x7y8izci37mcxjsXloE6Ie0oHE/xXF0lyx/rX8sryEqnSD9/82Pvpya8hCUcvI J2Ka4noJZcDgwuWl+Fertt6l6dC52QkfnEFL+MqY7hq49fptjqBl4LLPmFqh43titGOg2rMfAdSd 9QXM3bX8nKFDfMCr7wyDj1FpNzwFh/ZDuqZDZyTBvpSjCimasHy4pdIIL4eR/AVRHvrU7Mt9cvm8 uGxE967FkJ5CfCDHhin7iEoFONoZR196tsni35o4NuD1ZQbFW+3SkHoDXrhR+S/suAmvbi3zLUFd bXT9zUIN3tkqICw3I9j/OhRPGC9UQKpaduXZ4gPUso5qPunzvVqXtlf6m6M2bTIOXtrMsQHhiLbd b0LU13XID1y8m/+62ugqhC7BqvAE8KE+X62KnA76noCCSim7YVOZaJozD6IMNpcVm09qMPr0kuY3 7C9ba+Bx33QXr9vydcJBzPzLe7aXheQn8O8F4KychEfbrGeIltVeW9afcKQdh01DXQGcFH0b9sAr JC0lqhs/Jy5uYMQzQIZ90PL7nJmV/fX1h3ohoua7lvIacTDCGcza6s8SGBfEqGBSsRN06uoeqNdP ixbfyDIS8P8wOnwWS5ZytJkgBMQwm/mf39W/PG1vFDhruRtfFgzk3BZGTurrvKjWXqtksww8TTUX nJzJgpYxjDm03n1S0yQ26rnlbopEunyvuK5bksnj3n6KiHXHTJhbG225qEkjwWNROJlEN7swQSVV /8iSPe/shw9Y8/OkH8zsOTiYimcPtgQilyIAI0yqjnWIGV7K75buOH7J0CdqGRe/vBl7FtJbvuZs kFHX4TKIFJl7PUc7xFjvZvLw/y/NnkE6aAhTPyKvIJk0QwKdTZ9AZpbiG4WxvYV+Mp5URbK3S1wV dYC+Q3IOdw5zzfvAw276vxtCYDHvLlb3lpfrkssQ4npMn9eRYeZr7sCkLpxhFd9hJbJzDY/t+wdG DtFE0P0SuhSQ38wl3JWOD8F7xGrlmnA5kNkgbZl0o7MR7IxT/y/S8v9RJWeTekxEF+e8WlUAS0Pa OZF0F6v+fTQq0aFqPiTlO4WXWMwuogzFoa8x/ESuOiZLrf0gKKrUQZjZyoVLl5XFQPZJtRdPjVsK UgWjoebwpHF+b7p4Va5DVSB/uOLns13lPOedcycOLtGP3/UfZcGbvVC6bc1n/sTMRWr+UdhVpRv+ l+vIJE/6gJhXc1RgycYwPYESlWVcN1Fxe2IpqpHdtl7WHxSjTuTzcEI+JGclXFtT/krXH7N1yigt czHXMZxfsc8Np2uKyMS8NdR7BRwenaU61/0BNobzge9ibj9v+SB+EcDl7sDwKJAIK8V2iyUX5j20 bnIe+z+N2C42NGDX6yMytIC+H2GAm3G5uNEhLb8Ld5n/I6dA7f5pDWGnK4KjyQ5YeKXDRAgfKz0q Ulq4JpRGCv0D9VYSOq0uu6lMeKIO/d23YIXByeqKZECAJPBdxrWkOon30WKdoyYUB37lfYJvm4F9 +hIagqoi9TkzTr4aqknrKxklUB+Rp1wXKlHCNj6rNCPqttB2aJZcGL/fSDUkU+IbGYmtVzlghL2C pUE7O3E5RubUUplftr94kMCSeBWv4QTeDUcYE6FSC564mFvNmVa7NxwjwY66Zc6tsvFndcJ7XeGP rNRJZrM7RAu8r3IFmd3+nAlxPUS0AkK8ssikCt0rtXs1ifFyiK168zoX35gwHtKu3Xk60qranmin nqazaTY311qmgjZWXoYzg3Rayo56tDURHEiCW4jWZskFFrk7vpZ/L8r0iPJJG9CfIoQm1PL8NCqC QRgHTPNdXyxvReXakNi4PKX6dbKx8ypDMcgJyzvUfy5/QGhpqPJHqo6cpCBEuD8dgWcMQ5yNSRtH jAn/XB168a08sPoRWj3BnQENmTRwuF1KNrjQ2MpSF9veYGXo0H9wR01oGouUbU5QDP4ArqjmpwMj KF2eQxn9gAP36dqkR3M6Eh8zqjOaOWlROEIAYowyBNMnGQ6WEmhdW2Is65aNxHRanAgobVmacJvE uXY+I+Ri/Hya6FhHQvKNMHVD/sgG2dOQz/9aXRN82A8kfZr3ogqMLj7y3bQ9Aik6sNbRkEPVCQVw 4UOa039cUNF4OcfRB+9oXjBaT+ilEBwjHmuoXq01wG1U3XubXnYA/jX7ayyWe82xB8DC1zU2aqCa kfw0HF5fOYv60xWD73gJCqeHIwYl7NotCAfwP3kSqM0e+gMU7bnPHcUKEYCR1GZM7oP7I4KxChuE /+Wj84xRPQmmEKBrqH8r9dPqyxKk7BdgSOI/AmtSVLevOjsR2/8ld5VUUF4u+TtiXC0r12BjfWbq pRTTJXfocxlS14V86h8dGrldnqigP7yMS9GysbZAno4fa7AgCO81bHkwUSqq8Rak+cSX89uKr4ru WhyHVqL45qMPyExIN+ksnOS0jraIF8VckPhs0iYLnNFohVrvYeutCMc1Ex78F+bMn9eZ7/Vagr/y IUUSY3oONFHqkx5eXG5k811XmszAQ9yipa4QFiyTKYQMChk1NZfG3HfFSeGCu8V3g64pA9CAtzQJ VCqdtrHhHdli8dKLYNMntkXXwsQYVAejdPdyljYchjAtSurK6YbPPS/Jcmut4VI8p1PPgCoOn9LE s3JXNCa7PHba+Ui+yEYoBc7lwgu/CLsQY3Pq7VW7F3vtmvSpefra6HAJg4XSOrP4+/+/5v2YM7a5 ex0Qm1L03mvq4cPFjjfzxnDlAWJ2xyNXFQkejpC4eQCnHxef05/qS7p44NFUbC/quUINEdBdIHTL 8Nper3wrdm9xdtHkVYaREGygyyqBvFEp5w01CwzX3rYY/0k44SIz6T0tWBudW1CH5TfGGDpVYZYT DvUPN6RBF1XHxjCVbzj0BNm5E/Ha9fg0ceewTE2zYhsy74mbH8zoEbdTUiz9fWGIK3HnF25HDKKn EeNgcs7wV4JaZfmYKf310FGHTOSO6sUA6w3b4YmnRynbRa/5mk6cQeGQZhcc02yINRIwfJLI+nkB WlnbfNBE+e/cPnG3z0Pwt2zCEunBpmQXdtXp1rIWj8MtuEJl/cUIJ6XO/sqYBFSCGjBkuNwPFfN1 P7ES3gysrXcp6AeOAW4d4RULDR9/pyKulAec4KcVxcdMwE2wvkPEorXMbyBwfO9q7e+z7qotAjb6 zabnyuQ0IMZeCMTIrT574ucScCtcdWCM7cWKfxULolFek9uiCQEmGe1/QTZjzYSrWLkDSV0gmUWH 7icEhBeF+JepG1M1YjNjX7esNpoDNYmhAnE/Dny62TUcYPLBvbBJcw6rnLXnvZogvN0pgFabcNKj u9uFWgi5CNhDPCViO9NMBZYL7qrdLlTl9QisfA++UmSsyB3d7AADPyo6ubAbG2/O4G/juhe4MQuw TbAZlZq4pBru/ru+V5EjTFWL5eGRyk4HgzWw/YJl3GX1zWq/+07+1z64suHO5HCeQ8rLrWbtcKNQ 51fhVUCQjWid9g0NEp7hhMkYWPMPuu6qDzRxxal4IkVSQeF+alNMAhtHDHcTlkK390fIHk80nXny RU/hIR/wge23iVkCivv1lZvqjdvAy3Vr/EUxm5HiX37snnKf5faOU1KO0F2BybPqOvQuQjFjsPkZ m5woOiromivNwMdhsWW9/zdNMKlO5hMnAVQ70/STmiHPi28glPd9fRgNcMPvVaIGkWQZLAwZX3Qq cGxmQ+RsKlo49oxvKk/G0BRlmKoeOGPg1yBPhOOtye0eOp0+3uImDgdfbeiUvC9MJfUOyyR+1W01 HUCQppCYtR7ICohprH7tqq0c6ulyt1zVpFg9mjDfRReUtIJl4EMSyfHYbHJqU22LT6x772TBzKzh sxHsPVPP0mjnRAA+Cpbh71BwkMvvgLQKgv1BVScFbAQ4A39OBu1YjRUTgkIgtjqGWoECxTLtHbpS CcVhcdBrcVEBD4EAlpfJPdyoonuhy5hj8IU1a+WxXwTEnUFPl96WlWNqWGY1bCBhydQRKuKn+bn6 tHDJsuILuAa01KP7US1X7as5aqXg3h37+dnw23dIqhRCAyEoWDxWUBl+GDAhEKH3tSk8Ib7/5NhN JcqBOF/s9X44rTQEbx6zpjf//ltXAx1N7iE6C/c6cEiO6P/HkJKZQathEOI3GdCvFmc1guJ24VER QXv/8Z+oAyAtTiE9h26hId1PGSk2YbyegK0r4t/dJv56LSfvszkvnOeU12avkVvmvN6uGTe6CbAN aGscHlGm8Uch0ke5jqEo427FLXfFAXOTXD81DjIEZOrJy++NIx+nPdQNW3IBzj7jztELORTk8feC 9ejgdWfqRPDgnD808eRV5loLgACEx8dGFTtL9FEd3vMbNM/gyQxMd98Q2bdw5BP944j178DL39fh k6JB4rzJEYaGp96zXY0sNtqNkLGLNxXN94Y0miqb6wbcTEGbkNB6yyIlicq8tYB75XHMIu7TMsch 0XRqfaz0ZarpzV8E4MjMyB9cQRoBVhXqllA+oz593CIDPyDg+NV/ZiqLHBBq/5yNKdt5Vur7TohV nrCmZsTWFXwPGOa53Ffqcwwsep5VbGikYDNuTbk6q4agwxi4gIX8WNa9D/8wn2HFz4gApLFAAV2I HsAbuacQM0ULU85qntSNRuo80q/xrO3+A1oaoua1nOhAbPy5c+aB+Ot44KaxMWlFL9feFN+Dkj6O av5DkBG7YaqYUYOLxtR6FRxBuEVizHkN8sa+GUvp/lZKDjNmqirmf+w77PrqH7hga81MNtFQq782 LLzcsglCguJ46Z9r5yKmq92wIwf6N9V4sKW6TOFm19T5qoN8mKZzpwA3Oq44rki6VC0U97nsuxNl Lh3syl2DQXx2m3s+bSe2nO1OlqJdub+0RxbJ6YeCpT/YWmfSDS527urGckQvPs9q7ErYPbXP3dyR IeukDkeRYt/bkr+khGM+MrhcuIjeXdRpZGntbAWdjrgWpS8d/hHw3uGZwZ+P8StcExkJ6KZ6Y5ic bwiP2d8WTp8JU7dRbSPhBUGk6ZYS5GgzLaYF/JbmeCi558Uk4bGJMLo9Rq4GxppahGpsv7BOwK0C oEk+TxbCB+LI7yIAm8iDg6Tr51H8JFstVHDAFDekiAlXGpfI2H39r5aqS0m3ZNcgABgTCNjROess U/Wf065vq0LQhflIiC51/4rqC/o1gcEBmRGSa47yaoOFDz9YNvjPnvPkE1trmi3Eh0SkXKmznSup q742imvbGwnTkTjX+cdxg1O8N9ks8lz+ey70mKj1RvVCxwDnHn96jqSJm31PnnNWgvoyhH3Ft7ha iGkPInHra1XfzqRnWeU2j/3Bt33VNGfIql5SZ/b0iAL7o2sUkkCBx4kn9LRS7jgIBlfDcxKDWuUG TI9By5414ZFNMj+f3x+/oZdqvX8l0eo7MuWN9EVyX8mc+87ccxv3Nj4+qpg4LahmVuRo+e1WpreE 5YrpVc6tNhxOo5ub5/KkRsGkmwGBtiCDXy+oLuVYMtFtuuK+sDz9En+HjLtLFwTBCmtf/fwDoNG5 RT4yGCFVAxJN8QQp4VIix0CMSXenFgiSf7UAylpBOcldg+RC3roo+TNDwYk3jU+Kvxr/Tjaa+r9y uLz/IZb56dZGdVfUHmP/WurpJMehMSyIIS9CnV1sjLoI6n4sox2hC4O020qyZklRlsK8ymSx1YQV LgDRonuQ42JfGgnb3aduUkxelki7S8RqJN11LofGtcDNMHlJ9XmtrGty74ocUfc4CKhsgJQQH/M1 UHrUJV3bkZuSaQgwf7pkHBjRC2HDX9MQIk9U+FYTO4yO24eY3F2piywvq7RV8DkEAVkPxKomz5hv J+hJ2NL9125VdPG0avQt/X5kCcaPnTPey5yWjR8smq8rk5/M1RG/swk0YCtlOJFe7aD25ibvYTkN cjmaN3Br8U7bHKjSz1fCK6WICZfsgvuFz18m4uqPRsCysDHGOXQeAs2BR8MiQDKugKiA4ICuae9v srP2Gf3/N9K7/E3iia9inmM1S+FGajmfvPR0n16TKkgrYNLEk7SnNiz7x6o6Fjq2oQjq8Ra5lxu5 a+Dz0x+6m+wAvH05Izr8cr0xNHC0DJaTv34P0NRVlpIDZP+X6/2hav2dXnGJo9EAQuOoT3iPPRW/ beIoR5I8BUz8jPGN77uLvVqOik7K/qKK0+Ozn5KscGfmci8qd+OzRCKn3KiZ3SYNTAlJ7WIWgWgt 2I/C8tWHZvq3vCSrjP7jogJaFrC1QxOWitaLXGY11ker7A/WrpCpvKSQq+V+NmN7hV5D+hwwcA/s PTwxsqgIsJRLT5iPh66AVolSh2aIiVgMkvoqce241QCbRZUvsuIKLcMOuWzX9SLGLbQZ5XplXxrx aFoD88zpvN1SyQ7cHD4S0MaCzB4edid9G0/e72oF7Q8hEQk5ohJtQUJ0BhQQuws6bb3QLGZmVEhm twM1WbbeiVNA2a4x63seja7OhFzESij9v4ijvSvexBn39HVBSxZpo/fY5sPQeXqSqROkcXC+iZY4 Kdd+vEYn05BAFkPZ3XItuTqYp4JsYuucdEwLP7/uI+Gc+7PymwZUy3PiOEz6iPCPjCPqj02wWoVe 3WXk6W4BWFMM/C33O39ojtDZS7niRQEeJJ8/inyoCizbe3GxMSoJqNM6JgEzjzJbA+76pQx+58wf BiRNzYm0u2oWhz9aa5qM6eJ5m+bt2j2RdGVd6HF0c1bpfhQJ8dGiOToA7nRnJvJVJhATuDc5yxWn gpruNlkwh/oOPJATlrQ6UlkZpIy0BzMlMQxlCVpmCr0q6l4/p7w9FGxKY4UcFFMdrcdY7X3eLe4z EZK/7ot+3JDJVfnzK5WifcGR6q6v8VoLxPFmU2nIQWi7dLs9kDyZ3Wee6U0Fvsd2eM/+LbQYeXUI XXSOnzmWPBHjkN3XjRg2I1tXVzo8bSo7y8xcMxJ0sqzTaC/E2Y1MouWEIzdsUwgNziNjKaZ7prVV oiWyXqUg1RKQp0EWM3WKuZVPsbTz4ib8RM21jKQvTKgMW6gul/iQA66+QvgnzHEy16+gYMaKCWvB P7jyi5BJjotxFeJgQPgiOcpoCmRMJW2pBfCR9bJ1k+6G3IfItiXHCRFrUmmKcWyrKtz+vx6tQOJg LlyBH0tbliv6sUXu3IaGNKrxFkpl+1r7FgZZBQZ6JHkKk8xnBrE3lh7cM8IWzRVG4XczCUKZtXW2 azNZc4sxovhppQh9N6u2WPc/+sxVANRb10+7spacyi8IBkfEjML65vGMyoNZBMCaF7exX53VgRfZ QUbglUsyP8MtejatfeVRXWU+sA9RyMazZxus/Ga6jJiU0kphYNOq4MN1pB2b4LCte5xZHF2G84O4 k8fvVBX3X3kCgPjG18m4PE/umY12gJjKUqWQupHs+2qnbxFTCU6drhB2yzaPetlLEJg1pAJ7kKWW eMBKC1M9vkasFzJ9kj7suovcTEbwKR3iopvMYacFaB68OR3GCjw6g6GuEI8z1533WtqQMur7KA91 T1BtKK48RsYJmCyYdK7WpwvL4JD4Iy/P2L1O5Arh25AriVRcvgZCiVlbsp79ri9BBVK1Uq3H0JFJ oox2Hl97FMdMxi08c7shjQoqad1efFQzVGApJB7lqDgmBhmmvJ4bBr4Ps6650GXlIkaDKiwNbExR VQy8biGLCMKPKw301wmLTYXME40EQcmm4NTjOFtCb/oDLmWmJa+Oq4dYHxRkYiZmD6L45dkLUwLW lvDQAPhFy7N1q4xoVI4cS8jkfQppKNKqr83DE5wCwsPzuhMRoVp2OMcUJYukn4757jDS/pgzFuXX pyQxNw9VkcC18yUFH5qnN9igCAZJnd9nLJ3QzAR9QOK56L6/QKP0OC6GHHNZywnrA7yNz794l+C/ TlZYbOFYOl4WZePpCdRb2uJzD/UG9/XPNvzQWzVJWSKXFc+SiBfQDmlcbTaoNpxbVIUeJYBWj0YJ efHohn4kFTYS10fsxJsJQ4L0VFbPUfwz4FiTl+ANEwB+/Gu5/zg3KOc/+U+cpsWZfGVteIms1mIL g1jwfXMCvWGHnu13ckTIEvrDjskoGPGUSt4XxQrhjg22EApQ1DltMV7NaKhi3xLuTCdcX8YjF9Nh ouYg3V4fnlPj2PnUF1Y5kXF5NTIILukOzCjHbehgFCbVvy+83Zu68T0iWaOPaM5Mknaq5Xpki2FJ SEf1PAaq8FHY6l+U6JWrNa1BO5lAqCCFvGgvrvOJ/q34kBgdxU5f3MvWWbvJZ0IbDPYWpp95s/2/ 8jMDTGQOttO68Qp5Be0XoLcCyaYg9uxqaS97CAz82x5sGQgS0sNzVRNqb0jttu/TezHbDmqaX6Xh T3U0GvOp1fm0nAJysLC79ZzJ2GhUZ3z9L9HCd1xvxCACU/At1zbAyN29y4xntvyKUy72pRVeJhyk J0DA/sYqnIeZl6cP+jcwMU2Jgg8NF2wRGu0wM1C3nOkpDp35LtCpi5FpXHgPEbJUHguQu6DkDnxR nldUI22y1m7ZQ+WH7LkF3bS8CYK/sLwIcDzDJob3k9lRW9ke4NO9qZRUHOWGwmiiau1idMDLmlKm h/5uSR+gpbggeRRQNxNglKvZD9wfaG7zlDfUXePcjUT5TAqMlux4HFCCRyT7rztqvzomHMs8xbH/ gWoj8lBiR97T6DL5UE5ZCjsEGJR6QUFjIDQgFOGCO9AlT517BxYtvbwgrrUbS9nyckbA98E2Y+Nc vz1aV0vx8b/glz7oS3NMlOowgmT4+PZ1V1cTV8h5vNc5FdG4Kl37UvtytYj2K/iDXs5cq1Awg+Je 4P7J0843TDr07Aow/AL5uG2did35VIWxWNKq9EqwNWaZJRMYRA3KyzKyLEZnhkuVmcVzjEpLVKYL 1/ZQPyAC0Ij949uMZ+JQ14gtdnookIx7gsC6vU6OnRsM4cW9Lxl2UOc8m843Ehp0GKK+2lk4YW4D LEuKNWsJ8tgfZcwocirf5cvT+eeuJTpnv9o/vpJnZhgCOX3cm/XzvndJnIUfoH//XvgUb+8+UUlw j6K/jQI+r/Hwmpnd7XtSR4kh9H47+F/SXc5i+Q1lcu9heMtl+r9kW9bJNg5wwgyjCbXfTcgZEks+ T8QjJu6RSFW2Z4MzFbCo7LlulajY3FE4m1dtARYPuKDfgXA1aRX6k4Qcg0lIxVZzHZABYof0PvL8 mMOFuEciazEXCJwPj6wdyjgK6nVBgIzlB1z51uSjWhthXiLRd/xX9/dOqdqk7AuIO23h29+rqXUn FZrydudGZDuI16ByCXmRENjV5NJ5zPE15EVbo69M6/cZCtNSgg1pgSxBOQlvjzey42k7APgj+o7Z vB2I5gkqjgpgnNNlcvMY5TNesB+PSe2cydyahYSmABsWLfne7P6pOZargB+eoUm0K1cWZGB9AHqN 5vSDljEVYxSbPawTn6ScRP5Zsa0l/acixi4LkWFO8S/btMNyLS+09oD9tcYHwyfwxcWzCD72oDIV R9RCHiIIfHjD86MTSTmepO0dmrv4pNpfw3B5bCMeAig6rJDWNvA/N33c2ENSQU+C7uOysYt5Obgc qF7pVsNG91CbJp/Wz+VfXdvsy/YHxYoejn+jvgR70NVfBiuW7pT4fiZIQQU3GZ0PoFS7Hs9y8fQU 2lvesbgl+cHkhuCul3GM8dm8k+otQ89vQgtCfNqT1tuT21DsdDBO5lotZQoXk6sfUKw1coyxjCJd Utf1Fuy0CkSdZ1HQR1iF6s9O/GMj4HBg/e/r7xMTjtNx2cldo9qMYTRAzGLnfL62++2S3NDsRH+g npAqMN9okZsZus+WO037DUuAkzkb1kFvf6B7O5/I/ZgXqe6F9vwXYb0fSRWH3vin3W+HI2w2MD6G XYFd81Mj4iJ4h/C/VpvDQmfVoVnUVuI3EsVRhpizyxMpB9eSB5AhxF63vB3/AZXOB6H2I9VLuI6V 2qLCPja37SJJ+/PvxMq6bFuffEs1hsysiwiAg3R/q5sTQkQ8rMF5zh8BL3h8NM/D7loW9Pul0Th3 YJGBlBK/VU+hZsUwaj4sX4SIzqxxJOFxH3Dxqw+ClhBV0lmXxXlnN7tB0mdwjgwOsK794rKxN06v zhe3SXbM1LAO1/G2JurKis0XyaLU55ODD/w+VchAZBHOi1+sEklqnT+0W+z9kRqyMzMLTZmRJNTq i3GMTai2BtOlmETEAGnQSEPRkDRwOjwTSGRu6hwVf+v1VZNKvI325jtY0ZI1cT0aFdzuThOlIidX FhkEAumAofN8wWl3YVfojVRFB9I80lSPzzLNpQN7JsRuBCzKd51a8j/GrtI/Kpay8RPXTKzowLT0 p4KGCzCHS6ndgmf4fQjpT2VNk1EyDgLD+5B04qt6SpKjrDGXItqHEhCmLYXQ6kShlSY202uzFQK+ a8yWVI4mTrENNAkOEQ49fPdq3M4Jxrpgohaubia3qtVrVv+uZrGfdPdQdAcboRDz2PGwaDoBk7XT Bb2iez+9voxXwnG8xBPhjpl6wdE+RvVCgoPs2fsifkEfCGLDAkhuepleA2F8z0p+FAwj2shQ9SYx 5quDsMibbByHksTDjFU5opj7Fua1kXKwEJFiPHD4gUsAgkMOfBcBWRzysCXtIF94xkZPBC8u6UGn NWYVMPUNCApEdDngXyc0nA7dZsWmdi8CDlaP37yolwzEzcHzvM57bxpaSq84Tw/sDlxXnl6SJ7g4 D8f4zcEF3HrCkK4gM2Kuxrys7MrADlgehfSuqdjVvhSJHUJBn9ynrCRe79X9GN0OjLnnSSktS2bg 3y9SakACqeXUV62M2ZxPtgtUIcoH+YhsD+39OAnboGYXq43/dKv3gbAAPZN1yqrrvwiAeP7QlkCQ butbp2iPAxQpbec995L8ZNENuzBq9ZOXwuV8HuHJFdA9qoCz6PMWQ1pIpW+QV4rUfHz1hnfTfmp1 RURzgyxA0yvW5JpttzyJtVokNlB3vAbLsRcHjtGB4jUQxajb7HUi2Lbu6/CUwrJVBOSjH8ULM7qM eTEQC3hpinZoHuMR9HEzs30vPfyPUjkt4oGWvsMARpVLNN9pjU+ACpf73awNwrv/03dkfAoMFIMt R85/G2axr7CU9523JmQ43cykHb/ZIc3QjiOW8kGYMoRk56pve/aZF/NS5GW0/+1KzWW4F9JrQhrN xxV9Hs1DpStqjKq+oA6YzlEBtxGNK5kDtax5sgdsOc5E3q0aNojM1Ow/Q4aHnsg8PfXAFhbzvRq+ JFSwajHufYgs5fHXwePA2tXiYQ+mDOC5DT1cadn6C9PTtShh3IvRTfYMY/lnsdt727E2Q/rlUn9V XmdZ0b9zXaFrK0R6p+wSQEaWPZS56DSNwGozWiOaEFkQBA1s1N+qckYZG9ndmNvnokKx0R3VGDX+ AKZjz3fGA61KuCkj8+yOf6MCee+A6TeYWeqEF9hYRcF9i9lzFLuMNxOOdkVv1Y+kp6DT9X6iMWR/ oRcBKk0V5dAAJhDwlq5YKGvHQPXrAGaIqHQp27KgqV/tTNLYRtl65UG6Q+ggnqFvWb4a7qq6pDpH uR31J9jDlgYr60sUxNfTRc015mm7OerqR/9AJ2GcAW3mEQIuj6ochDsnivll6ZubmlJRwRj6uaze 6FcseUTeir1USNKE4ByxbkfZ6NhlOj+tACG/UEYlR5keauaoA0XZ9mV3eD3BuanSlU8bIlTFYP6y rwC5lfi9o8zgjOvou0KMBkY+LrjBcNDmYy/LqFFdXPRxqqGHZpTnSLnz6KBY/4lJ20SRqxJOga8h +F/2eyYS2cGumjklePu9/K4JTW5CdPgEIR5TmJT8xKrErzvg87mrGa5j1KvBVUFebpnGB1HfG+UA FcFBoUYNBFs0VzsPAxMGNYRoVOoCRXBMkZTLQ//kNAo1VwwWTLfj+EqRnZOUD8ChegAO79V6wQLw aeQ0KuG/VpXh6CqdPGhQXx65JeQxPUm2dOdJ7HtNHLFhp9MqyxnAo83fD1VwQOP/mNyjrAujreqo b6vOZLZ32mhpQxR1W+pg9AUZ3AmfLkiKszk1CcnDOa7cc6s1kY96RXOgUG4hjO94iphqlBL3dEFK nkAbInEs0WWh/5WXdFOYTTVRchCT3b3pQYirg5PETdHbotj4ijt3JHPf7WoVporrzz5Q6OXPWXsc PrhzIxR86LOZoAOWadatSJfsr6wRu6gykeZqdAaQpFpNJ/4HpwN158Uk43cnAJkdYckr9S2k/SJB Qv3k2osVtPYgKPAELYXtgV/48QCH8HpFGZnI9EKKIWJy8RBC7LIfIDZzNZkpHZwaF3UIYaPCM3LI bt84rZQ7UE3TeYV6fBg3oFBmDyFlhpV2f0wrw4azTErs6VRBn/7IOrFQhmtyMgtKPopQxtgTQd80 ++T+RZ8po2qb4rD//wGShiRT2nFHm1TMxFMonHWfvAOF1AdZpz8DGD0e9rUO2OhCyab6eMVLFbPn N2h98wIjYBhqBgkNEj3EIFHOZy+YU7HZ4YfZfvLYZ6xAiVP2YMKim00B+89uzFzjilAGsDiktxn8 iL/uHjcingCUmjGq98HDjCImGhe2pI5MvlfCdHg57rxVw/i7S0DJnJT+joxqcSxTsBhLxdtEPWrr UHv/xgL16qcmjk/OF+ar9Kc7mPyb5GzW5JQ6N92VjjKEqu43c4jAGK4d6koC/f2w2wjrl5iw0uM4 lqsrX0masy4OkbyjMerouwmEUeEaHRaPuLPM4KKjLrOoCLEL4rOt4z5Ifk36afcNCPNsuEHZi7ym bmQV+xWOYTCTL6/GWirMfwl5Ciot9/nBb6FMNzvwsqgsmBdBbjzNrCd8Ka5OYq02jjA0i1N6Wg5R 6X1JvU/UUvI4tzVhlaNvYrGrYNbEoPLJ0JDDZQeMeYjr3iIT5ptVPER/1uF46pZzyNN7r9BWzU1g LcrgRRRUA+q6ewX1rpEfJ3xm27arqF7vf7jm3euozc/1pGYFfD1ykxeT1jhQQEMm9IWFahxx8BeR fhbQDPKLQY5be+/WtbkkYhcClvmU1EgV+4wQrqvTmzO+5VtjPPLCw3T1N+zknR8anwpxnx3PnxcY MaLu9v676fjSWlhPi87xgs4YllJAaJF0JHLq11E4LzJs3PnHU1bRHJJMPpa/zleVGCszU5NHlwJU 42847TnI/jxH3bB7vaDcfSxTUH7mglGLdMs745QSxY+6/NTFgm8x58PxMZZ4RYkJIvGHBS+Me1S4 scAaLzBhHSrkJNqJCNbkmaS6La4EHjBkC/rQul9GWg9TPNg3bsRfvv042VwFoOAGufMRJXbc+o6a Ge3DPKlRQx+E4snU1W3WinUsJ6PbjIgm7wZI3vNNvOn+FYPaU7GmTCoSM3xPwOuyuM5No55y3/R+ 61fHhDGPTM+bZc6XShDYIaRYRSJjQsjlEtes610do4ebhyOVwdD/v2z1+o8b1x1gRauf+5RDxrRS ttl+2/htd1TBG0AZufrNLeWHSySzvyBvNhc3xiiL8yKRp2HU4e6tCk6bYYppQAsHqxn3ep7xZa7U xaX1kD6hTmbiI2XcIwUjI8c/CNI5X78OGg84Rb4zX0IcuFairECSucJZOkt8PrAk4jQ/wfVSHqpm xZ6QWXk3NM+LQSH5AMvsHX9tXDeM3tiA7QCPBhky0pjYWy6FGnv0Fr7L/GxP3T2SuYWCm9rdmzKD LjgG2KN8bj2MGaeBxL6es5sG4CCLBtCKbrIrMNJ5uihIOkjS0sO3NwZXCgd58blyVNLSMvuZLzw3 6yNNf5sCI690YuWVmdFfwVLxf2RhU9RqLy4oApJoe5Rk8HY6zsxQLWwI1hdIubT6VQP1sClY6u/Z gZnlarnXWbpkj1ZKMRv5YD2ZC7QIZVoRLIfYQV31AjTgHVcZum2ZL1MDy+p7HBpSic30VjhnxNAP DA5/kCbU9j87MFFryz5YyMdXYmqBfgurgP3MU04l4gGqXDCS26dx2Glpf7NNX/xGsHU9v5wOxak4 6AI5PZjrhiu6H1KFTcZDVd7BOHy58BFPLYThrRHx/t1G+cphhDQfdQSi/M4hccXi7ddtWQcJalje tUGsLSgKsrw6fGsfIG6AwcfRo5OYu9+7XnelttzJvZBS6zAvEKO97/pp34Ku0vwougGe/90JOta0 HFDyk6ncLr46rPuOCy2jDNmEzgBIOSm2PP3DEKaFMrF742aBerUgiArNTvXf6o33HDQx+ynW7yWA USDSVVVJuiVdx7m08m2pvdaOqlO5tWS4ES57593p2EwNR16XcZfvjq1lwNcFHZsGlqfTGh41mErW Gm/4n3xgiIT44RLC0rNPYwQ1SvVVeUF2l2cjX6RE7DILrf3fe4j23KuxblKaDkeTwoAT+SxDYzHI Q9kUQ8v0LtxbsQXIN5gYktHI5eaP7beGAoWAg2NRKe1kXwykE1Wkfo8EM0aaTeCg71sKMhYVJjDp 2iZXptfrGQbhTBDAhGAwmKIC21RQi6CSE0mtQTlgDOc8EzqOL6K4QMHw0LLTq5jx4EXxa2fPerf1 io1X5acEtmlTiGxI9MZbcUrcXcgjF+Ub5RGz9XmjG2/j11fIjuZcRXYSQ6ZFswd1gPJWFeQz+kNF ZOFmPslnqm696kGvVvzDDbsHDw0fFv21GBTaTo/QFtcoZzU56xqVK0H26GQi22flw8Yg4aEaWwYa K+jul+EsIUhrCEnoz7J2zjwwdwUrp/N4KA6Li1HoxI/OC5SUeJVn87nova8Dhb4vflgFi5M90KJ1 yuy082XXO9DuQDUMvpxk5FDLahEDZE7gBvCjNn1e8LjkOQ5MN6N2XcyDqLLJAr0cbfJ99XBwhTFE 2FoML0IaTNGl3Lcsjv9vP+TLjCIQRzJqT1eGf8en+k0DeQP1ExsGw66B83yXt95U9JdZQ7yc9bYt Y2m9PT6pKYBd/PRhWY09N1dArhhw3B6zK0nbdB/Ff4fY4yX1QVG9VU4U3bvVtiwKcCt7JdpkAjNz f0FJQxGRGQ5wvIJSI++hV3olyaXW4YGA2usAtZICapC4DhUPddJl3ATN7TkzKlguOQ5Aw7Y+I1Jp 1ecZiwCVKK59qRicZGAVEN5LxUiR+DRSRyVrSkuHz0aXuiw5fMVydRZHAyXv9RfiJBDlbZH8hGil 43HXS9XEMOFDjDnNvZn9tiBseUl07TJgLxGwGsNTxoCDTy8P8LRUP/bsSnQ3bcbEUITg3fqEL19B M0I6t00fkLv9qZlh3tHURLVMKo3EBBDu26mhk9tbsRFdICHj3Cl9S23IDwBeguZBwVb8/KZQ6aZq 13c7lPMoxTUKadGPW+zoQdcXFbMH1TM0/yjhd/IOt5eV6JX4q3rkeB6+W1TtnUGr0Z1Nbk+P+U4k BYBwXVXhhnEcV4BkvTbLaN4ZzewP+DsI5r8bYeUFsNk8f6EWZtYrYWd4cuo7wmOOWyIfVpqAlKCL Mhm7TWxyOHmU4/IRlFagvxUYbUoBOwco5Z3IBNLWhTL0Ga3e78AKA8RjJBn4epgqPqGNXcE1NSTO 8vz9y389yK6NPttII5RcBH9pM6oW3A2Ei5LQcnckcQ4GRK1l+E2K9sBaTPQU1CpgSh1JtWNncHKT m66Fq2RRzTFCIuMHJgE7/CXTH8I6gqAqUDDCdONhA1b2Pydx3Etbnje8k1BtWlqfZ1CECVsfTRcG 31qoeSaGJu5y0COaoYyaUghEE0tSm+SYoCK7pjvCcvxMzeg8TCjeV5TDrkqBeh7ookzie28Z2ib1 kDFyrdrMMXuAD3qXbEwdJCwdtz9eeLN4auNQm0PgHKTP0sc2xVhEYP+CWbbj6h+27lRWEqAon7tl p43ptWQ4hmhWTUilkKCpUJ9IvESHsQzvyTphcdWb/5gEyAxcVixQfY6aLJsbEKOxhE9/e8SNW1h5 JGFTcVzduMmi4t/6wNzMuR72+d2qrVjk1GpAIqxh524K1yY1JnE0whrFkOG44rvLLqCbgWPdvuU3 c7IlE+PsFWnnaOYTqRJpAJdSNsyo7dsz9zefF8UdvCZ/SUC2hc/FNkc+9NLno9G9Akq+UYSCNzrV SlvO8nEzQnulWgGW4gwSayb+VAfLwVVwqIvJba8lX8mU0oAs4bnapMbpp8tiOTNUfC6u6SJmBDIY JXaAlVI3fBqFaUyaUzgV79WUTLjfrq4hiF40aMb5pg+KtQnZITE8O6tNAZ+pfkseaonaSgELVIrv uEMr8Am6VxVng/PdyUGhuOp9URitEimfteDVo6N1AeLpm6iBJHtKZs0IzvEJMGyp1kQtdk81TtOJ IoCW9MOSVYvJiCDvtMUMQHnR/fNjnlQNQGXmhHlJvFoxbAUnglY/4FoQkDwsrLZb6OWqptylAALg yTtuu2uXCasa7XnJTFkBA3gdTw12B0e2q+m25QE4uJRO8Mb3lYffyp0QDb2Wy1QOWt5pzUs6fWgT V9b3PTBBUbZXFMrJAYfSdKe3gV9oDABbBMHv8OUba/HlI4C4EhUaiycDL48IUm++8yIwL3Jb94ou Rcex2M0Efcqdj9WAjZF8rB7xcukCCjg9NzlimS0lEj2ViVGt/NRbAgg5maZ5bfskqixxDLdzF75y y63PWUNL3QggnPeBd+6xWnGCHBPNOKnt1ykLoEYlKj/vLZfoBBSgce/hcTgA3dh1SAreSl+iUOky cmd4juwOcrdijM5IMe3cuoAArF7iMXVoUNJUmwBIXXSUEDVKRoosWySBenz4sH3+dGh5Jrx5frFs mEnYSjdwTalcrNkYmJrVaJSal6b4vFi2DkpBpl19FtLvkVmRUyGBqGqqtMMhRbQm9H3IQbvfvLKi q4yoD1plgbHvbpJu471qgdMnyx5RmSgUFu0ccB0wA4gcG2EYawtYbd0O9nAPbNBbGS4d4EOhnDJp Z4OBSbqVSXS0m+Cy+0x/m4SQyynI13h10HPqrK8CccZbCTpd0XUBsDBdSi9iboCNc8zmrTPuSFBS yPnu9TFtbMiNkaHVGTaPoJpBwKkAn43eBThwlgUsZT+forUEQW3gS+S7eYjFEt0m6JheWx6pVFFH 7GcIP/B0Qapc5v2ajZoHTAee8u8U9NSGx1B6tR8Px+/Mda739m1booR5IPWfvY6xw9xUjnL2MGov NgavUwT4gfRL/CzeqiKyGP1J3krtIqFwue3k9xAgv5O5/02zTGZifQZiVE9qBmlq6QL5s3Nt7LPH bWbjdXIQ5VqOmTMtedhG30ccbv0I/7AW9/N5aJkwnuNKpkIW11GfoRNbyarA043JeD3lRgVPy/JR avOn7D7b6ZRNnvXj25Bfd5xxPYhCMXRts6I0NN68EdEN7G2pBS6PD9lFzd8XbdQTvdDx7Y0RLPnw G0GZWWP8KkwLPXNgEjXyr7xeuicjXLBSDlsGhMI4o3TISez+N1xLkNKivaNQ0k0nIYBUGyvHouhV XS/zuqpALa6ANCqsJRjbx+qI8Ki7W+qkG9Pul92I/P5oEed0CC6CcCBffGEfRDQB0TJQQTn/eIVL YqvxzfDrI/IZhBmhJg8MJFOOebbV9jn4PhsZqpGcmGYO9FCIClQ6SJ16mtWEWIMgBOixwuMtKLa8 9rVOkciquy/EqXG8k2bFrK/PREILP5lIKxtvIY1crEuYawGPPbx0oy8jJWhNUoJyd1lwvtBQ/817 pIK6bRasHg/TLezjQClgNeCMw+mtVZcYvBwW6uiYPZMOPet1HyEhsPga4rah6cwJKs8DSfX3Ur5y f0e+U0ggdvzJeLciidAc3Z1VejzDhpDX0gT6tCD7yjrmaXXLAiw0wHCnafI/wgXKV2rbBdNO/DHA Slit0NEdmfE3DLNTJ41vvtF5TalxZNCDzgw17hV2HQyW+pTSmuxJllceKsnpnvIunS+9YLW5IDvo Ebs6p+TtwwrXLN1SVKqgm/7mE7ZfbivE8VAg0cnP5OdCIlMpUaGNMkhfPgqpOmGxBdhOvpjmOjDi OjGtzi3wyRtmK42/edwkMlX9Y+zB5baQZbHza3vOgZ1mbIdTW5hMIcWopdS8W+VyYLdz4OU+Pj+m UtpctdALet6z9YWFjygFjKqN9qtMmztraSm+4O8hFjk2FFcnDqVGaoePipPtqtT5fa7YGUwz3uJK hO6IwVZr2nAhsEnbhQYNp0/0aSK//GeHZzhmWRGPMbYS0T5eCR0GYr4K61c04CQbnMfkZ39b/bIv 2HbwY+3XWYf2vagjjr221ODzPgRndTjzzvkrFUvPuoLRVQJsbOJxl+sWccbtg7u83RlM+nG9Ug69 o/auvEmKwWuMeNtEhMIZGI25x7kBYC0TYIqCJ9/3xr3inQIldVj7YqSW77yfNBFaUTsair9lyD7/ Pj7Xoml/TRGZAzpIaa0z4hKvEtUssIe8yvzZXl3keWoX8Ok/SERvwuMpEAQHlR9GY5JlX/8p5CHt TtF5CcTABO6usMfpi+yFHg5M5zqS7rGGtePhx/clVlhMVBEDRuDxAXDwgaS+LXU0aHTzafsLxYs1 lRmdDueKAT7xN+KfgpU8KAmjU4qdMCS0rVn6RORMk73wzx2cx7989Osmz2o5cYhG4joyaCkVxwO+ HatQu7pLrKxwsKSuLfzRQCpINO9cOGSpb3Jx9cQXrUuogX8yULiAqj65wEqOsBxAcRTbX0JTPfil OHCt20EnHMPZmTb/wWw2n/G/0PukpMfI6MLVaTTEw9oRMun67HrY9kaeioEMjilsV+q0YZJ0ffXs opABHb0le/bNXQAdNPsbwsguH+Zkx3fmJA+oXqnCYfTpper7thOKAw8LYzH1y4iut+++nAKTtCDz yCOoeRw5kU6ugZnNouvhh8xxAPVPNZ2iFTvgdk/85lo1iuklNmHasgphQTEizOCrOAIr9uNAHBC/ nR1mWuO5tu0cU2BZIWaeNJARywD/mshXuS0D3rP6aZkigF9HFcIUreiL3UBn3PzQfRrJss/H4FQr 1se90nvxQiLiFXreyiAwm9O5MI7obDurnTPdJ8nomVVtijUbRgybtmHvhgcFLtsxIB1ttdNjdnc+ 8EI+z4DL+uX0ql+PYcCkJr/ioikl3sJ7BuPYvLnLcZwZiIjSlWzRWx8Y42Jn5IuHscoSoryMZAQ2 ZjsaZ3Zz15e+F05VGkFsJEbU17fmFPHrdYHC3cRLNiiKamhQ+FtZFtuslrBxMZ2zrmjzmv08Zto+ aWQEeXLKtAm4ttED01/6+czdNqvnm7b9spuLHAUVKuwElT1tOdgmL1YeYhRaX4SHjIzsQ5bC6E8O zqZQHta2ExeEOnAs3gMc/SxC74/X9rmLB8grQum+YZQ3/Pvp7zK1JKZI6rubmtjJjgDNa3sXgSns qargy/KV1M8kk4DSgg7K9GmwAfe8r/BlAkAodg8utJkiTtDzo57ezGpzR2bI8CI0qRKmV5OCtj+V 2NCrN09593LIx+crBeQbUkC/qyUMgIAYwCOyexVQ5qofLk7FkPAJ6WGnLs1o2LSYKlKLOZid/1px 48MuL+D4MAKB1eDJKo/yBjI7YRnFlTxfy+zMHCMggqc9h7vmwp6rgdspbcWw+j3+GFl/VcHMDS8q hDxOEcGkLnFGnSXgNWAsc6DOdq7ZBWDZKULrJXgxXFSS8M7WJ8KpUtm+Hlv0YFR1SfwX61ZxUE2D MkO5k/xBb36Q+/g8U1M9/id0222SkE4Fi0q9EkcwxomuuPuPFnEcpTOd++kYeeufVETVoCYajzFT T4yNgCYTKX8Man+DxcXWyEam00PSV7UVcVSVbkvQktOm71KLKYrkeFFeAsdYZTMJZGZhCQnCApTf 4FTGGi61l1HWFUNWHGjIm9mvJwbAoAN1KiPWjhliDo/YIOFYbGMjojEh5y/XDyCLBta60TG3pd61 9weOavPy0J5bGr73JCo58SXgsqUTu5dzXuCzzFwVrRcMI+87n8yQqpJyH7ePh2suSpigwyp6k/Ye 3gGJqkGMEbaySKT7erEsoBug/DV+009RQT5cXDKDEkMX4ACzMOY8CUmQ4WxD+V93yHRvIxqTeOqn 1Gyyh5QUYldHG82Q4qh1ojED0/3mris2KC5ZMoH9Aq4vLLV4WmWt/mqCM66giBwSqiBWBqjoHRBV KtUt+aJim33/CCNDJ+2gLkCET5yUgiYYP+iT38SP3BvIhMvgTA3hjP3g8QE3VQ27tgi0MXieu0tJ mYlHFZv7t7gJVKUKg5Edf1uHXWpaIDEA0WSb6wZ/N8okJYueIsjz//UsuccQOuCtYn+kxKUKmDRK cpVny1ziQ/RbT1jkAoKP5Gc/jyHQo5gI10xE6Lw3jPGmWXSLvZiLxxqBxiL35mliDK70doCZIso+ FAU0O0VEoN7LXVD2qjdeffJkTRDFjX40rjauritIYA+IIxNYqPdnaS405c8Y8DQtiOGgBNqRoTIo 7voFNCwlVMASY5OmCjFrW8sfuws5+JOyijeN/nDYGKfmp+w/r8ZZZ40Uv7p2fe6y1q0Dl2efy+Wr iZAnofiT9nw2gm1jjjtLq4dq1Glk9njIacIdXBY28Y7SmCerDKPYQxylUeKDuNtLNf+YauJmh3Cl yTt7t/51NRNkxwt+6lpu/oxeJbBzKvnrFMq3aL1eEAYU51NRfUoUlIOfPMlRLCdGb34hRyefsMKB qG2GFrp14g6DDgFBboHROdNUUwRJ8JkIBpSQV1S9RsqI2gu6FM8OdBwRX5y08J3q602b5jynDChc R35dBX4AJ4kokCOwHkw5QDYPD1/RtBTFv8uYikhy0KEGArUnwgILMSi5/aqv7e18NoSfvZpA3/O/ s1oYdRaMBlfPfYh/tbwGRZ+t8IuwSK/qmf1HIrFyO/Fg38mwVIWgXn6rGCXFpobemEFYSKrJ6/7H od3L42hMYgfX+tzVIsQA2znZZXTQOamAidiijJWuQx4rOVo/YwtCywbodSJFZWGPFVxw//o0dAPM TMkIIMn/awx3yBAMTz/cVgN4k2yffFgeP3NNqb5Z9URNceZlewGqlnbzD3NjspB3DszS+NIbFJ9l 1ykBx/awlTC1FRmiAYlBENVKn8Ifz07MNA+W8MO0Av3AIrqzm0C9XYboPOV/XHswamwxHQyGFhpo SoVtiue7W7yev0Imv98J19TlRs+LuDdhET0STn0+M3yiGUJrKWnoctW2AngHQcPzIPKbbSbKsBX6 S4Nh+5JsBKsqyUwOzF3SbQEuSEjgJDTLCWbpe3m+4NzCnDQt9ZOBVU55nSg5rl778ZAfubC6hUuD Y5eRvumSKAvadxW7uDVSGV4lf9aHfeobbRJoE5iO/DOGFc8pHSIJmmPYtb7LOPIKwsYw1TJu9vTM NZUbkOZfzuqMzh4tXs1IHeUadcWSv0kKebI0CK1Bi7HMT1/oAQHkrcMXXWto2muC8BAcVh5dC3kb JIRugUCDbjmyNWnkhDhOcSPPRiU24AFyQhYtR/TsUBQqlMMwbUm1GGNOosiwEaB6cNB6mKgz7TVh GDFQ0pJaHtzrZIqGrtdD/0UhhuMZf1O4FgjJdLRqlwdvlBAb3+ZiSq4G1mIfKZGDwtJ8RVUim/1q sP9pecrXVFm3kTwbce61Na5rbUSeFyN4Ko9bl3Bbfx9QFXnq4AgrMoT6nHzn6sPSmO006kzHfnYT ygYvJ6Oy03khl31mbsN7Rv04MMIGFvZ1JEFTUyOGpLFjKhAuLIulwPjVEYP8JCgesbPjgYOtcuYq f9CMHfdw19CgdSYg6yo/fankvJqRggTSxZNyzJyfitNqOPdD/L8n6cR5zXeKD3bmufJHGkQiHNIL CmYyHyb6HjFXowM++Doz8VqX01plK5/gy937lIp4QrNiW5c8m3nAwp4XQ+CveoqMPS88CtGwuA2r AhFqXuWLvvhhPxUeh+UnGxqcnDBPHc6oSIGPwVi6OJkX4c68DwpI01cyKakon09VlhOx2QOqshkM zZPvhj15AuJ0rGL6ArlI5z+22btjLl1Ct3jpkCtj4yJUCOjO8WJHbxWgPEKYVhG7HSDZJ82n2xJW ZcW+o70BMK6xUcVhzbX5SB/iag1mU62mG4qPVK6CZ44NckmgVGzY7RjePPPedmIAqykFyFXE6/yJ D1Em6+EQXLK0fs/ZGD05KHch5xcoAqzIh9UQ8gsF0sJ/QjdzZhLzYtlssUeyBKE5I0dJqfeHQWbw r7Uj3mfpwWT9FluY0iJpb6B+6ZYDVzH+nPnhg3TPweYh1VAs1RKUwxL/soy7ThUjhQ3abBbxHhf8 Tj5WAHEl7Cd2dSxb4HJbxTIvnH9CKly+vQppFhpaoHwlFZxMQeGrwWIj/7lapaXRuhWDUlHoPy8M UxUJ3wTxoUmiA/FAQg9vg1fs6BZ0jbmliSKsK8VvYaWGo7ZPj3Gq1RHeK+Zt8U9HtlalHzuimsn2 SyZ3iOMFlflTzKG6HFVtPov35w6QBgZQ6+Ez11CR9IWKjEl/C/lpuhVderkBlTaNzP5UORl3RtFD aV0yAklzjw0itWKQ84dRzyfI1fckn9doaBiwtU/bqevKiyyjNmXOQx7gb2dhbqVfC5rRxgCKb6XF DOKpcVXv/bSj4F3ptv69TEeQNnIlWaVT8Ms5jSPDE1UlJV5R8DexbTofQ+AWo9/MpNfx0S0W966a sBaJd8/f9dFn38tR+o0Z+DHqlr2U6iOOj52Zzwy4L/PnI05TocE1Z2xr9XWv2UHv0hAFiAS2yY+V gTM+1MG1dgJrWnXy5+1gDZdyKho2Rrtx9WCR2cvqqK7GHO2VgdLWWCOheAZVe4PDT8TkJaEzrs7j EWlg8BZzeL9+3VoNAL/EDTQsO79LCpmV5+iXSzu10brAMuvBSWkrNP9Uwbd2973i1rClt5EfDvPi YU/m0J5xtm5Qzt2na1S0YyYJ2ZULg0uIT6rDLj9yS+GQLX+pJL0lv1HpBmJBdnlPut7Y/rpmA5CJ aBXXQPdVgcHIR/R9ofT+Vq31kZOPMIuIRL1EkLgvzb6W07iLmNplWxOnevbXAZqqY0yLfq6A+oe4 MzGEBqvXnOBA8Jbui8AeJS9cKPJJV+LktszGz0LrS2guNlU/xNBw0+4LnXmjRAQJ94IC9xJVuw/J +EkxE7wrjLU4Sq/8P528+AHwgXQp6Qwi1+HNlQPb+1/hB/o6E4earKAwXlwLQ2GL5wQXJIbgyiB3 4fvfY0C65ntKtU1IK1i+6quOvnNgRTJf4sfyR7McVrg16FW8CA0IqXHIP+O/DRtumyKD/RhVglsL QYVuLnoTYWX88lT9g2/j6c/eOkjSHQCUKYQKsUaC1WvZXT67bo9Ks5zJXSaccFk+XCWzd18umPaz Zh+7bzaKxL7irbDTe5pCngLW0+HdjEIUNGBUz6wQozod7qH4lWwjIVXiwKJhKDE/bNoZbIYUk1Z4 ZYD2tYebFiOD0UqZRfuP3lagNeMPRBEvZUOzapNhLmYHWpr/KXfWAep3sIDq0ByKtJN7t7GBkM6a kBdgnWYrOQsje4ITd2HJl9LLPR1Vfuqj9AuqY2K5eFvBeFjp/L9g2E1LughOD9xj9FkONZd+2zOk tODO91em4KyR2agZgE0fd7btJ/4qmY3voCxE67/NJRAnMeVEtoBU5WLxATtyXYxUPDqfNctmh8Fu qxRES4zhwEGJg3gUxhlPy+hLhv6/g5TAwIULSsFYfFYYwgCOLZwQ/Etu7xwG6Dy9uO+jOnt8/ifB qjKm2WMJScp8i7sLFhkFyd4C0Q4vhzogjbLq8jydd+Us3GJDkd212XYIfOhOd/lAodIDVrjveu4k qY9AVSzhPzC1uOEskpdESFUYPaG7kiGbf3PUBxmHtbPOUj4eElVWezEUY1WqXh15LTruvzSpaYP1 D1ZpfG3FfTDS7MSWKEijmAdfFn/VJAzkns3GLXEEnaCzWbXRPEerGMov6RpV/m5ROQmKbQFisiNm gRNM1PBOzSqI9c+/8GJX0xr1v4wv3JLbxWPmxNPL9X6+wt3S2PyWwCkamag0ILRQKxuBHsUBhu9h t2m/nGiNHSwrEgq4vEUmHJp+jh/ADjjEp5ajByWwh+C0ur0Iynpk8bWUcq+yTa/oAoAkkdKulrBk QD9BqdHWd7wuq8xygbnbLYiWsqtW7Ohjm+gLTOCHKifEMqv5R5tu1hu1yhLGqbEoWtP44D/3QvGe OBeoWSh8FuOH2INUzjHAVvDh53R06ecQkhso10NCUGKNlVYbRorXG+hDeDyooYRwl3/nicNpu7X3 zFQx2czCAlT5jwliNz763LC/6jDv7oejaV0X+1kY/JYehei3nHewcX3PVVT7aLGVeBPZ2RhYVd6Z xSKTC+fN+m1F+WWbB5mQ/DQ6Te7RJQgHEaM8aDLt7WM2cyQXM/t/Kb1OFfDdIRyKcVNaup3FQycU +OB3v06tvi0PQiLbSl/as7NZauIPgaqUw3vDak/jCc7BizefPuX9AlHz3DE5HJwhmtd0ZQWuRY2W w+QBB1CPGFKUluDeSdFgw4PDceAtntCzm+98RMOF3YtE1XWdmRR/PZUkAbW3P3PPv8TVI9yeA/uO +TSu2RwJGeob3PdTHfCreDmI8N0ATbWLzsxEuibm3ueId3et1L4bQwn3kn2j/nMBNyh91VF17U0b mcIDYCo6m2X6y/aTn+reXnse82pOy3aMqxIx0oXVmNwcbklf9ohpD1TBYZlLPmObwvic1A/J1/aI YyWPX/EPF2dMFiUqm77wE78Eh9qSI+tKYThvObeLPRgRfLCDKcb9L2GdjfQcHcmmMz1EFYaof4AV pFBqp9kWwvj0xROn8vHVeVDyqayhCPVdmO6DzoO6xOMSZIGlvg8wd0MQnNpfrcIuSJtzAXqomEBo 9vbna/LNDKHkp8LnB/pFLerQi1THTshM7edbQ3izK52w6DH6DaaXeiz5d5pJ+fZgejkkdoyS2Up4 wGqe04BfanrfwCiBrrvVZp5Hdl1fMERwQtHZOOBuagILzR0FV0+3ydv7bXE7yhffe1V5ylWZOPWy ul6Vnw6ZOVao3XHIO49XIXmm7TlRGDlcrM3q8t6rnTBoA+9vaTVVv1omPYqJXF/sQERdY/inKTDC qOw1YcjW2fK62wz13iXEYm/03S1wFdBLAp7nckrO39UIwtQadO5mMhEYN/EGrgY81c347vN25Ktt 8Zl4+RwwduaCAOi5i6Ws1zeNCDcfdrgfmNo/C6SpyJCb7hVJ8lZPcYrRywsHS/N8P8dyJWFIl48A 046ZjiZVwE05vekToNJ8l2AS+bLQQ6xvVjdm1pdm8OOyTOS6wga44Py40VfJF6G4d5MWa3p3KfRj 3swm0s+cjqA4wq9vw3If/pwcFbruHiyNim3/3fZihcgCdhn+8n047M2nKzenK+e5xNh/XSQ/93eZ b5jaquAtL9v2XlVA2tCUw7RaChRbtzf50aevrkO1CrVfaEon8StvuxcWCJwPO+4uOu9fqIZlh/xr o5Uwsu9Ba+Nb9ZR/YouGZml28Sens1k80fflCgFx5g+sHEph3B/5pLHffRlDNjepW1A0+dYeXup5 It7yJWjSSme+J6+4wzVcsD9uuKrjqjR3wSWR5hHCqeF6cCUOn2qBpLvhOMKPlAhQdx7HqJ3ubDCg vgMrmGzP4kkBg6PuloDYlBYQqKJ2Hw986K7JFmvSJepvhmjG619ixAWxLmy2/Z7s8gmBMHUPqLPh bAnygtLdXdgCaheoINQsdb+HmWtWUqhEagQrmsKIJWohrk9DUIOdgnF8jSNv0duDpbIiYOA/DFWo b8aNuifssZhktRxnvlLEM/ZEmRJv4A4ZyPRfOIHRhiyZcvkUF06AVcIm+YTX4SIZShGw8aCfDtAZ JC94ofU9BwwTtvoeL6xteextjithVwke6v9Du/mIdNgcXHZZdR8xpLG/wlOOGj452pJe+X0Ie0I7 RSDKunkdKy0mp83Wpl66xPnTPu8O3NUnD26+Fm6MP8bU6zV8sNFpbA7TWv4D2KnRdFeJQpDCkgi1 CkzclC0o0zmhr8PcM0MzWWc/3nD93P9T6xTmN+aSxAsCGv/jGvOiI8jdQahuNYfv3lcKK92BCvfT i2H3b3827t+pbWywiawzrs7wZGBzEiMC3VWL0xn6fAJzQTEqceY9aOMhw/nOeD9blyhMdccxWcNx Xgh+yjmQabhcupDzu0ojV5x90wNSqLxvZ9sqJs1wiHaxIXyMA+uxvITi+6pCtx1aBCZBMRgBOlvn B7UQdmfxk9vguMg/xe7+2T2nbRjp/7AQU5xKcUhL2G9NzSsfbBpR/yPzK7bW4Ho8yjez2epAnKgp bgsyiCKvF4QxpDOjj9a4/XnKb4rOu39J9Rek9o5+ZkUdlxgjEfwThkymvv/mtm9ApEqjT4WfaSbk P/ttXsAzA8T72hooGmWckqnOTx/+F1Ai8AgIXxiSTHu4LW2N/PDBR36BXIFDjvZvu7gYgllPVJsb RZXPu9ptzsunp/1J7fLwvv4cdtjYqBvamg/KZYNOATe1MkOXzhZFiyZUx3SlWDwuyXgkC+PcSnAp TOjBB4pU8JTQfFb/ISchUN1t86vajYnjDIIsAVgrro98MOreA5tBMNO/TlDFi5loU6CUY1XoQnRE bPpZbzpE3TEYCK7nxeG/dnsEpSltXsCWhMQ/uqgI/gw2Sr0/1nMdTynyu0YRD/vkF3c4dc16bmIA FJPOZ8C+cTiL4JGjcqrLePg6xnnPviYSisKke1S8a3pDoF4qn/A2fCqfJ2iWKtFTbQ/rIfom2ChH 3Cafk/Z/eXeJzm3OboB46SqMRdBVbwS9IJcoNBJUyF/XsEzfUfiV+Qke89ocQthIOYqUQeIxVp6G 5HW/xFv3tc+VotzAmjxCo9m9c9g0r4fzvPzwmcxzN3sYZk3LYJC/6+8QQjVxb1r2VI4oOKZG0yFJ /ibOmzGzJKyGZeG9JUkCqxGOhokzbbzIHinl3ZBYGhpLPve/HOaYLYsEqpDSolA87CvlETwSmQ7y /EB71BzHdU+Ww+yYeo45uiyLZ1SpuD5wps1G1lCFpkzvRMiHfGedIb/riN9gR+T5JFZONJoZiaiR <KEY> `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_AXI_READ_FIFO_DELAY : integer; attribute C_AXI_READ_FIFO_DELAY of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_AXI_READ_FIFO_DEPTH : integer; attribute C_AXI_READ_FIFO_DEPTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 512; attribute C_AXI_READ_FIFO_TYPE : string; attribute C_AXI_READ_FIFO_TYPE of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is "bram"; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 0; attribute C_AXI_WRITE_FIFO_DELAY : integer; attribute C_AXI_WRITE_FIFO_DELAY of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_AXI_WRITE_FIFO_DEPTH : integer; attribute C_AXI_WRITE_FIFO_DEPTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 512; attribute C_AXI_WRITE_FIFO_TYPE : string; attribute C_AXI_WRITE_FIFO_TYPE of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is "bram"; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is "zynq"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 2; attribute P_PRIM_FIFO_TYPE : string; attribute P_PRIM_FIFO_TYPE of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is "512x72"; attribute P_READ_FIFO_DEPTH_LOG : integer; attribute P_READ_FIFO_DEPTH_LOG of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 9; attribute P_WIDTH_RACH : integer; attribute P_WIDTH_RACH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 60; attribute P_WIDTH_RDCH : integer; attribute P_WIDTH_RDCH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 69; attribute P_WIDTH_WACH : integer; attribute P_WIDTH_WACH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 60; attribute P_WIDTH_WDCH : integer; attribute P_WIDTH_WDCH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 75; attribute P_WIDTH_WRCH : integer; attribute P_WIDTH_WRCH of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 4; attribute P_WRITE_FIFO_DEPTH_LOG : integer; attribute P_WRITE_FIFO_DEPTH_LOG of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo : entity is 9; end system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo; architecture STRUCTURE of system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo is signal \<const0>\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_b_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_b_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_b_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_b_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_b_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_b_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_r_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_r_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_r_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_r_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_r_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_r_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_w_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_w_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_w_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_w_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_w_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_w_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axis_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axis_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axis_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axis_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axis_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axis_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_m_axis_tlast_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_m_axis_tvalid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_rd_rst_busy_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_s_axis_tready_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_wr_ack_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_wr_rst_busy_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_ar_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_aw_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_b_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_b_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_b_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_r_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_r_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_r_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_w_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_w_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axi_w_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axis_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axis_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_axis_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_dout_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axi_arid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axi_arregion_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axi_aruser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axi_awid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axi_awregion_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axi_awuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axi_wid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axi_wuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axis_tdata_UNCONNECTED\ : STD_LOGIC_VECTOR ( 63 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axis_tdest_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axis_tid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axis_tkeep_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axis_tstrb_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_m_axis_tuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_fifo.fifo_gen_inst_s_axi_bid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_s_axi_buser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_s_axi_rid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_s_axi_ruser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_fifo.fifo_gen_inst_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 64; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 4; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 8; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 4; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 4; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_AXI_ADDR_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 32; attribute C_AXI_ARUSER_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_AXI_AWUSER_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_AXI_BUSER_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_AXI_DATA_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 64; attribute C_AXI_ID_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 4; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 2; attribute C_AXI_RUSER_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of \gen_fifo.fifo_gen_inst\ : label is 3; attribute C_AXI_WUSER_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 10; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of \gen_fifo.fifo_gen_inst\ : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 18; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of \gen_fifo.fifo_gen_inst\ : label is 60; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of \gen_fifo.fifo_gen_inst\ : label is 69; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of \gen_fifo.fifo_gen_inst\ : label is 60; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of \gen_fifo.fifo_gen_inst\ : label is 75; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of \gen_fifo.fifo_gen_inst\ : label is 75; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of \gen_fifo.fifo_gen_inst\ : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 18; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_FAMILY of \gen_fifo.fifo_gen_inst\ : label is "zynq"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of \gen_fifo.fifo_gen_inst\ : label is 2; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of \gen_fifo.fifo_gen_inst\ : label is 2; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of \gen_fifo.fifo_gen_inst\ : label is 2; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of \gen_fifo.fifo_gen_inst\ : label is 2; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of \gen_fifo.fifo_gen_inst\ : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of \gen_fifo.fifo_gen_inst\ : label is "512x72"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of \gen_fifo.fifo_gen_inst\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of \gen_fifo.fifo_gen_inst\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of \gen_fifo.fifo_gen_inst\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of \gen_fifo.fifo_gen_inst\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of \gen_fifo.fifo_gen_inst\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of \gen_fifo.fifo_gen_inst\ : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of \gen_fifo.fifo_gen_inst\ : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of \gen_fifo.fifo_gen_inst\ : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of \gen_fifo.fifo_gen_inst\ : label is 30; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of \gen_fifo.fifo_gen_inst\ : label is 510; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of \gen_fifo.fifo_gen_inst\ : label is 30; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of \gen_fifo.fifo_gen_inst\ : label is 510; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of \gen_fifo.fifo_gen_inst\ : label is 14; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of \gen_fifo.fifo_gen_inst\ : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of \gen_fifo.fifo_gen_inst\ : label is 1022; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of \gen_fifo.fifo_gen_inst\ : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of \gen_fifo.fifo_gen_inst\ : label is 31; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of \gen_fifo.fifo_gen_inst\ : label is 511; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of \gen_fifo.fifo_gen_inst\ : label is 31; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of \gen_fifo.fifo_gen_inst\ : label is 511; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of \gen_fifo.fifo_gen_inst\ : label is 15; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of \gen_fifo.fifo_gen_inst\ : label is 1021; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 10; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of \gen_fifo.fifo_gen_inst\ : label is 1024; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 10; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of \gen_fifo.fifo_gen_inst\ : label is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of \gen_fifo.fifo_gen_inst\ : label is 2; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of \gen_fifo.fifo_gen_inst\ : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 10; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of \gen_fifo.fifo_gen_inst\ : label is 1024; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of \gen_fifo.fifo_gen_inst\ : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of \gen_fifo.fifo_gen_inst\ : label is 32; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of \gen_fifo.fifo_gen_inst\ : label is 512; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of \gen_fifo.fifo_gen_inst\ : label is 32; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of \gen_fifo.fifo_gen_inst\ : label is 512; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of \gen_fifo.fifo_gen_inst\ : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of \gen_fifo.fifo_gen_inst\ : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of \gen_fifo.fifo_gen_inst\ : label is 10; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of \gen_fifo.fifo_gen_inst\ : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of \gen_fifo.fifo_gen_inst\ : label is 9; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of \gen_fifo.fifo_gen_inst\ : label is 5; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of \gen_fifo.fifo_gen_inst\ : label is 9; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of \gen_fifo.fifo_gen_inst\ : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of \gen_fifo.fifo_gen_inst\ : label is 1; attribute KEEP_HIERARCHY : string; attribute KEEP_HIERARCHY of \gen_fifo.fifo_gen_inst\ : label is "soft"; attribute is_du_within_envelope : string; attribute is_du_within_envelope of \gen_fifo.fifo_gen_inst\ : label is "true"; begin m_axi_arid(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gen_fifo.fifo_gen_inst\: entity work.system_s00_data_fifo_0_fifo_generator_v13_2_5 port map ( almost_empty => \NLW_gen_fifo.fifo_gen_inst_almost_empty_UNCONNECTED\, almost_full => \NLW_gen_fifo.fifo_gen_inst_almost_full_UNCONNECTED\, axi_ar_data_count(5 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_ar_data_count_UNCONNECTED\(5 downto 0), axi_ar_dbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_ar_dbiterr_UNCONNECTED\, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => \NLW_gen_fifo.fifo_gen_inst_axi_ar_overflow_UNCONNECTED\, axi_ar_prog_empty => \NLW_gen_fifo.fifo_gen_inst_axi_ar_prog_empty_UNCONNECTED\, axi_ar_prog_empty_thresh(4 downto 0) => B"00000", axi_ar_prog_full => \NLW_gen_fifo.fifo_gen_inst_axi_ar_prog_full_UNCONNECTED\, axi_ar_prog_full_thresh(4 downto 0) => B"00000", axi_ar_rd_data_count(5 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_ar_rd_data_count_UNCONNECTED\(5 downto 0), axi_ar_sbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_ar_sbiterr_UNCONNECTED\, axi_ar_underflow => \NLW_gen_fifo.fifo_gen_inst_axi_ar_underflow_UNCONNECTED\, axi_ar_wr_data_count(5 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_ar_wr_data_count_UNCONNECTED\(5 downto 0), axi_aw_data_count(5 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_aw_data_count_UNCONNECTED\(5 downto 0), axi_aw_dbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_aw_dbiterr_UNCONNECTED\, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => \NLW_gen_fifo.fifo_gen_inst_axi_aw_overflow_UNCONNECTED\, axi_aw_prog_empty => \NLW_gen_fifo.fifo_gen_inst_axi_aw_prog_empty_UNCONNECTED\, axi_aw_prog_empty_thresh(4 downto 0) => B"00000", axi_aw_prog_full => \NLW_gen_fifo.fifo_gen_inst_axi_aw_prog_full_UNCONNECTED\, axi_aw_prog_full_thresh(4 downto 0) => B"00000", axi_aw_rd_data_count(5 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_aw_rd_data_count_UNCONNECTED\(5 downto 0), axi_aw_sbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_aw_sbiterr_UNCONNECTED\, axi_aw_underflow => \NLW_gen_fifo.fifo_gen_inst_axi_aw_underflow_UNCONNECTED\, axi_aw_wr_data_count(5 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_aw_wr_data_count_UNCONNECTED\(5 downto 0), axi_b_data_count(4 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_b_data_count_UNCONNECTED\(4 downto 0), axi_b_dbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_b_dbiterr_UNCONNECTED\, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => \NLW_gen_fifo.fifo_gen_inst_axi_b_overflow_UNCONNECTED\, axi_b_prog_empty => \NLW_gen_fifo.fifo_gen_inst_axi_b_prog_empty_UNCONNECTED\, axi_b_prog_empty_thresh(3 downto 0) => B"0000", axi_b_prog_full => \NLW_gen_fifo.fifo_gen_inst_axi_b_prog_full_UNCONNECTED\, axi_b_prog_full_thresh(3 downto 0) => B"0000", axi_b_rd_data_count(4 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_b_rd_data_count_UNCONNECTED\(4 downto 0), axi_b_sbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_b_sbiterr_UNCONNECTED\, axi_b_underflow => \NLW_gen_fifo.fifo_gen_inst_axi_b_underflow_UNCONNECTED\, axi_b_wr_data_count(4 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_b_wr_data_count_UNCONNECTED\(4 downto 0), axi_r_data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_r_data_count_UNCONNECTED\(9 downto 0), axi_r_dbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_r_dbiterr_UNCONNECTED\, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => \NLW_gen_fifo.fifo_gen_inst_axi_r_overflow_UNCONNECTED\, axi_r_prog_empty => \NLW_gen_fifo.fifo_gen_inst_axi_r_prog_empty_UNCONNECTED\, axi_r_prog_empty_thresh(8 downto 0) => B"000000000", axi_r_prog_full => \NLW_gen_fifo.fifo_gen_inst_axi_r_prog_full_UNCONNECTED\, axi_r_prog_full_thresh(8 downto 0) => B"000000000", axi_r_rd_data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_r_rd_data_count_UNCONNECTED\(9 downto 0), axi_r_sbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_r_sbiterr_UNCONNECTED\, axi_r_underflow => \NLW_gen_fifo.fifo_gen_inst_axi_r_underflow_UNCONNECTED\, axi_r_wr_data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_r_wr_data_count_UNCONNECTED\(9 downto 0), axi_w_data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_w_data_count_UNCONNECTED\(9 downto 0), axi_w_dbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_w_dbiterr_UNCONNECTED\, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => \NLW_gen_fifo.fifo_gen_inst_axi_w_overflow_UNCONNECTED\, axi_w_prog_empty => \NLW_gen_fifo.fifo_gen_inst_axi_w_prog_empty_UNCONNECTED\, axi_w_prog_empty_thresh(8 downto 0) => B"000000000", axi_w_prog_full => \NLW_gen_fifo.fifo_gen_inst_axi_w_prog_full_UNCONNECTED\, axi_w_prog_full_thresh(8 downto 0) => B"000000000", axi_w_rd_data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_w_rd_data_count_UNCONNECTED\(9 downto 0), axi_w_sbiterr => \NLW_gen_fifo.fifo_gen_inst_axi_w_sbiterr_UNCONNECTED\, axi_w_underflow => \NLW_gen_fifo.fifo_gen_inst_axi_w_underflow_UNCONNECTED\, axi_w_wr_data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axi_w_wr_data_count_UNCONNECTED\(9 downto 0), axis_data_count(10 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axis_data_count_UNCONNECTED\(10 downto 0), axis_dbiterr => \NLW_gen_fifo.fifo_gen_inst_axis_dbiterr_UNCONNECTED\, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => \NLW_gen_fifo.fifo_gen_inst_axis_overflow_UNCONNECTED\, axis_prog_empty => \NLW_gen_fifo.fifo_gen_inst_axis_prog_empty_UNCONNECTED\, axis_prog_empty_thresh(9 downto 0) => B"0000000000", axis_prog_full => \NLW_gen_fifo.fifo_gen_inst_axis_prog_full_UNCONNECTED\, axis_prog_full_thresh(9 downto 0) => B"0000000000", axis_rd_data_count(10 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axis_rd_data_count_UNCONNECTED\(10 downto 0), axis_sbiterr => \NLW_gen_fifo.fifo_gen_inst_axis_sbiterr_UNCONNECTED\, axis_underflow => \NLW_gen_fifo.fifo_gen_inst_axis_underflow_UNCONNECTED\, axis_wr_data_count(10 downto 0) => \NLW_gen_fifo.fifo_gen_inst_axis_wr_data_count_UNCONNECTED\(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_data_count_UNCONNECTED\(9 downto 0), dbiterr => \NLW_gen_fifo.fifo_gen_inst_dbiterr_UNCONNECTED\, din(17 downto 0) => B"000000000000000000", dout(17 downto 0) => \NLW_gen_fifo.fifo_gen_inst_dout_UNCONNECTED\(17 downto 0), empty => \NLW_gen_fifo.fifo_gen_inst_empty_UNCONNECTED\, full => \NLW_gen_fifo.fifo_gen_inst_full_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => '0', m_aclk_en => '1', m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(0) => \NLW_gen_fifo.fifo_gen_inst_m_axi_arid_UNCONNECTED\(0), m_axi_arlen(3 downto 0) => m_axi_arlen(3 downto 0), m_axi_arlock(1 downto 0) => m_axi_arlock(1 downto 0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => \NLW_gen_fifo.fifo_gen_inst_m_axi_arregion_UNCONNECTED\(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => \NLW_gen_fifo.fifo_gen_inst_m_axi_aruser_UNCONNECTED\(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(0) => \NLW_gen_fifo.fifo_gen_inst_m_axi_awid_UNCONNECTED\(0), m_axi_awlen(3 downto 0) => m_axi_awlen(3 downto 0), m_axi_awlock(1 downto 0) => m_axi_awlock(1 downto 0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => \NLW_gen_fifo.fifo_gen_inst_m_axi_awregion_UNCONNECTED\(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => \NLW_gen_fifo.fifo_gen_inst_m_axi_awuser_UNCONNECTED\(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(0) => '0', m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(63 downto 0) => m_axi_rdata(63 downto 0), m_axi_rid(0) => '0', m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(63 downto 0) => m_axi_wdata(63 downto 0), m_axi_wid(0) => \NLW_gen_fifo.fifo_gen_inst_m_axi_wid_UNCONNECTED\(0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(7 downto 0) => m_axi_wstrb(7 downto 0), m_axi_wuser(0) => \NLW_gen_fifo.fifo_gen_inst_m_axi_wuser_UNCONNECTED\(0), m_axi_wvalid => m_axi_wvalid, m_axis_tdata(63 downto 0) => \NLW_gen_fifo.fifo_gen_inst_m_axis_tdata_UNCONNECTED\(63 downto 0), m_axis_tdest(3 downto 0) => \NLW_gen_fifo.fifo_gen_inst_m_axis_tdest_UNCONNECTED\(3 downto 0), m_axis_tid(7 downto 0) => \NLW_gen_fifo.fifo_gen_inst_m_axis_tid_UNCONNECTED\(7 downto 0), m_axis_tkeep(3 downto 0) => \NLW_gen_fifo.fifo_gen_inst_m_axis_tkeep_UNCONNECTED\(3 downto 0), m_axis_tlast => \NLW_gen_fifo.fifo_gen_inst_m_axis_tlast_UNCONNECTED\, m_axis_tready => '0', m_axis_tstrb(3 downto 0) => \NLW_gen_fifo.fifo_gen_inst_m_axis_tstrb_UNCONNECTED\(3 downto 0), m_axis_tuser(3 downto 0) => \NLW_gen_fifo.fifo_gen_inst_m_axis_tuser_UNCONNECTED\(3 downto 0), m_axis_tvalid => \NLW_gen_fifo.fifo_gen_inst_m_axis_tvalid_UNCONNECTED\, overflow => \NLW_gen_fifo.fifo_gen_inst_overflow_UNCONNECTED\, prog_empty => \NLW_gen_fifo.fifo_gen_inst_prog_empty_UNCONNECTED\, prog_empty_thresh(9 downto 0) => B"0000000000", prog_empty_thresh_assert(9 downto 0) => B"0000000000", prog_empty_thresh_negate(9 downto 0) => B"0000000000", prog_full => \NLW_gen_fifo.fifo_gen_inst_prog_full_UNCONNECTED\, prog_full_thresh(9 downto 0) => B"0000000000", prog_full_thresh_assert(9 downto 0) => B"0000000000", prog_full_thresh_negate(9 downto 0) => B"0000000000", rd_clk => '0', rd_data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_rd_data_count_UNCONNECTED\(9 downto 0), rd_en => '0', rd_rst => '0', rd_rst_busy => \NLW_gen_fifo.fifo_gen_inst_rd_rst_busy_UNCONNECTED\, rst => '0', s_aclk => aclk, s_aclk_en => '1', s_aresetn => aresetn, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(0) => '0', s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(0) => '0', s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => \NLW_gen_fifo.fifo_gen_inst_s_axi_bid_UNCONNECTED\(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => \NLW_gen_fifo.fifo_gen_inst_s_axi_buser_UNCONNECTED\(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(63 downto 0) => s_axi_rdata(63 downto 0), s_axi_rid(0) => \NLW_gen_fifo.fifo_gen_inst_s_axi_rid_UNCONNECTED\(0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => \NLW_gen_fifo.fifo_gen_inst_s_axi_ruser_UNCONNECTED\(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(63 downto 0) => s_axi_wdata(63 downto 0), s_axi_wid(0) => '0', s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(7 downto 0) => s_axi_wstrb(7 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid, s_axis_tdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", s_axis_tdest(3 downto 0) => B"0000", s_axis_tid(7 downto 0) => B"00000000", s_axis_tkeep(3 downto 0) => B"0000", s_axis_tlast => '0', s_axis_tready => \NLW_gen_fifo.fifo_gen_inst_s_axis_tready_UNCONNECTED\, s_axis_tstrb(3 downto 0) => B"0000", s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => '0', sbiterr => \NLW_gen_fifo.fifo_gen_inst_sbiterr_UNCONNECTED\, sleep => '0', srst => '0', underflow => \NLW_gen_fifo.fifo_gen_inst_underflow_UNCONNECTED\, valid => \NLW_gen_fifo.fifo_gen_inst_valid_UNCONNECTED\, wr_ack => \NLW_gen_fifo.fifo_gen_inst_wr_ack_UNCONNECTED\, wr_clk => '0', wr_data_count(9 downto 0) => \NLW_gen_fifo.fifo_gen_inst_wr_data_count_UNCONNECTED\(9 downto 0), wr_en => '0', wr_rst => '0', wr_rst_busy => \NLW_gen_fifo.fifo_gen_inst_wr_rst_busy_UNCONNECTED\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_s00_data_fifo_0 is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_s00_data_fifo_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_s00_data_fifo_0 : entity is "system_s00_data_fifo_0,axi_data_fifo_v2_1_21_axi_data_fifo,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of system_s00_data_fifo_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of system_s00_data_fifo_0 : entity is "axi_data_fifo_v2_1_21_axi_data_fifo,Vivado 2020.2"; end system_s00_data_fifo_0; architecture STRUCTURE of system_s00_data_fifo_0 is signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 1; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of inst : label is 1; attribute C_AXI_READ_FIFO_DELAY : integer; attribute C_AXI_READ_FIFO_DELAY of inst : label is 1; attribute C_AXI_READ_FIFO_DEPTH : integer; attribute C_AXI_READ_FIFO_DEPTH of inst : label is 512; attribute C_AXI_READ_FIFO_TYPE : string; attribute C_AXI_READ_FIFO_TYPE of inst : label is "bram"; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_WRITE_FIFO_DELAY : integer; attribute C_AXI_WRITE_FIFO_DELAY of inst : label is 1; attribute C_AXI_WRITE_FIFO_DEPTH : integer; attribute C_AXI_WRITE_FIFO_DEPTH of inst : label is 512; attribute C_AXI_WRITE_FIFO_TYPE : string; attribute C_AXI_WRITE_FIFO_TYPE of inst : label is "bram"; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_PRIM_FIFO_TYPE : string; attribute P_PRIM_FIFO_TYPE of inst : label is "512x72"; attribute P_READ_FIFO_DEPTH_LOG : integer; attribute P_READ_FIFO_DEPTH_LOG of inst : label is 9; attribute P_WIDTH_RACH : integer; attribute P_WIDTH_RACH of inst : label is 60; attribute P_WIDTH_RDCH : integer; attribute P_WIDTH_RDCH of inst : label is 69; attribute P_WIDTH_WACH : integer; attribute P_WIDTH_WACH of inst : label is 60; attribute P_WIDTH_WDCH : integer; attribute P_WIDTH_WDCH of inst : label is 75; attribute P_WIDTH_WRCH : integer; attribute P_WIDTH_WRCH of inst : label is 4; attribute P_WRITE_FIFO_DEPTH_LOG : integer; attribute P_WRITE_FIFO_DEPTH_LOG of inst : label is 9; attribute downgradeipidentifiedwarnings of inst : label is "yes"; attribute X_INTERFACE_INFO : string; attribute X_INTERFACE_INFO of aclk : signal is "xilinx.com:signal:clock:1.0 CLK CLK"; attribute X_INTERFACE_PARAMETER : string; attribute X_INTERFACE_PARAMETER of aclk : signal is "XIL_INTERFACENAME CLK, FREQ_HZ 100000000, FREQ_TOLERANCE_HZ 0, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, ASSOCIATED_BUSIF S_AXI:M_AXI, ASSOCIATED_RESET ARESETN, INSERT_VIP 0"; attribute X_INTERFACE_INFO of aresetn : signal is "xilinx.com:signal:reset:1.0 RST RST"; attribute X_INTERFACE_PARAMETER of aresetn : signal is "XIL_INTERFACENAME RST, POLARITY ACTIVE_LOW, INSERT_VIP 0, TYPE INTERCONNECT"; attribute X_INTERFACE_INFO of m_axi_arready : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARREADY"; attribute X_INTERFACE_INFO of m_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARVALID"; attribute X_INTERFACE_INFO of m_axi_awready : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWREADY"; attribute X_INTERFACE_INFO of m_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWVALID"; attribute X_INTERFACE_INFO of m_axi_bready : signal is "xilinx.com:interface:aximm:1.0 M_AXI BREADY"; attribute X_INTERFACE_INFO of m_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI BVALID"; attribute X_INTERFACE_INFO of m_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 M_AXI RLAST"; attribute X_INTERFACE_INFO of m_axi_rready : signal is "xilinx.com:interface:aximm:1.0 M_AXI RREADY"; attribute X_INTERFACE_PARAMETER of m_axi_rready : signal is "XIL_INTERFACENAME M_AXI, DATA_WIDTH 64, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 1, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0, INSERT_VIP 0"; attribute X_INTERFACE_INFO of m_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI RVALID"; attribute X_INTERFACE_INFO of m_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 M_AXI WLAST"; attribute X_INTERFACE_INFO of m_axi_wready : signal is "xilinx.com:interface:aximm:1.0 M_AXI WREADY"; attribute X_INTERFACE_INFO of m_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 M_AXI WVALID"; attribute X_INTERFACE_INFO of s_axi_arready : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; attribute X_INTERFACE_INFO of s_axi_arvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; attribute X_INTERFACE_INFO of s_axi_awready : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; attribute X_INTERFACE_INFO of s_axi_awvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; attribute X_INTERFACE_INFO of s_axi_bready : signal is "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; attribute X_INTERFACE_INFO of s_axi_bvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; attribute X_INTERFACE_INFO of s_axi_rlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI RLAST"; attribute X_INTERFACE_INFO of s_axi_rready : signal is "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; attribute X_INTERFACE_PARAMETER of s_axi_rready : signal is "XIL_INTERFACENAME S_AXI, DATA_WIDTH 64, PROTOCOL AXI3, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 32, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 1, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 1, NUM_READ_OUTSTANDING 8, NUM_WRITE_OUTSTANDING 8, MAX_BURST_LENGTH 16, PHASE 0.0, CLK_DOMAIN /clk_wiz_0_clk_out1, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0, INSERT_VIP 0"; attribute X_INTERFACE_INFO of s_axi_rvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; attribute X_INTERFACE_INFO of s_axi_wlast : signal is "xilinx.com:interface:aximm:1.0 S_AXI WLAST"; attribute X_INTERFACE_INFO of s_axi_wready : signal is "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; attribute X_INTERFACE_INFO of s_axi_wvalid : signal is "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; attribute X_INTERFACE_INFO of m_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARADDR"; attribute X_INTERFACE_INFO of m_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARBURST"; attribute X_INTERFACE_INFO of m_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARCACHE"; attribute X_INTERFACE_INFO of m_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARLEN"; attribute X_INTERFACE_INFO of m_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARLOCK"; attribute X_INTERFACE_INFO of m_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARPROT"; attribute X_INTERFACE_INFO of m_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARQOS"; attribute X_INTERFACE_INFO of m_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 M_AXI ARSIZE"; attribute X_INTERFACE_INFO of m_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWADDR"; attribute X_INTERFACE_INFO of m_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWBURST"; attribute X_INTERFACE_INFO of m_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWCACHE"; attribute X_INTERFACE_INFO of m_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWLEN"; attribute X_INTERFACE_INFO of m_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWLOCK"; attribute X_INTERFACE_INFO of m_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWPROT"; attribute X_INTERFACE_INFO of m_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWQOS"; attribute X_INTERFACE_INFO of m_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 M_AXI AWSIZE"; attribute X_INTERFACE_INFO of m_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI BRESP"; attribute X_INTERFACE_INFO of m_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI RDATA"; attribute X_INTERFACE_INFO of m_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 M_AXI RRESP"; attribute X_INTERFACE_INFO of m_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 M_AXI WDATA"; attribute X_INTERFACE_INFO of m_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 M_AXI WSTRB"; attribute X_INTERFACE_INFO of s_axi_araddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; attribute X_INTERFACE_INFO of s_axi_arburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARBURST"; attribute X_INTERFACE_INFO of s_axi_arcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE"; attribute X_INTERFACE_INFO of s_axi_arlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLEN"; attribute X_INTERFACE_INFO of s_axi_arlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK"; attribute X_INTERFACE_INFO of s_axi_arprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARPROT"; attribute X_INTERFACE_INFO of s_axi_arqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARQOS"; attribute X_INTERFACE_INFO of s_axi_arsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE"; attribute X_INTERFACE_INFO of s_axi_awaddr : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; attribute X_INTERFACE_INFO of s_axi_awburst : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWBURST"; attribute X_INTERFACE_INFO of s_axi_awcache : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE"; attribute X_INTERFACE_INFO of s_axi_awlen : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLEN"; attribute X_INTERFACE_INFO of s_axi_awlock : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK"; attribute X_INTERFACE_INFO of s_axi_awprot : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWPROT"; attribute X_INTERFACE_INFO of s_axi_awqos : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWQOS"; attribute X_INTERFACE_INFO of s_axi_awsize : signal is "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE"; attribute X_INTERFACE_INFO of s_axi_bresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; attribute X_INTERFACE_INFO of s_axi_rdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; attribute X_INTERFACE_INFO of s_axi_rresp : signal is "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; attribute X_INTERFACE_INFO of s_axi_wdata : signal is "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; attribute X_INTERFACE_INFO of s_axi_wstrb : signal is "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; begin inst: entity work.system_s00_data_fifo_0_axi_data_fifo_v2_1_21_axi_data_fifo port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(0) => NLW_inst_m_axi_arid_UNCONNECTED(0), m_axi_arlen(3 downto 0) => m_axi_arlen(3 downto 0), m_axi_arlock(1 downto 0) => m_axi_arlock(1 downto 0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(0) => NLW_inst_m_axi_awid_UNCONNECTED(0), m_axi_awlen(3 downto 0) => m_axi_awlen(3 downto 0), m_axi_awlock(1 downto 0) => m_axi_awlock(1 downto 0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(0) => '0', m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(63 downto 0) => m_axi_rdata(63 downto 0), m_axi_rid(0) => '0', m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(63 downto 0) => m_axi_wdata(63 downto 0), m_axi_wid(0) => NLW_inst_m_axi_wid_UNCONNECTED(0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(7 downto 0) => m_axi_wstrb(7 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(0) => '0', s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(0) => '0', s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => NLW_inst_s_axi_bid_UNCONNECTED(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(63 downto 0) => s_axi_rdata(63 downto 0), s_axi_rid(0) => NLW_inst_s_axi_rid_UNCONNECTED(0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(63 downto 0) => s_axi_wdata(63 downto 0), s_axi_wid(0) => '0', s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(7 downto 0) => s_axi_wstrb(7 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Block_sin_taylor_ser is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (63 downto 0); p_read1 : IN STD_LOGIC_VECTOR (63 downto 0); ap_return : OUT STD_LOGIC_VECTOR (63 downto 0) ); end; architecture behav of Block_sin_taylor_ser is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (4 downto 0) := "00001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (4 downto 0) := "00010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (4 downto 0) := "00100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (4 downto 0) := "01000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (4 downto 0) := "10000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (4 downto 0) := "00001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_block_state1 : BOOLEAN; signal grp_fu_18_p2 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_18_ce : STD_LOGIC; signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal ap_return_preg : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; signal ap_NS_fsm : STD_LOGIC_VECTOR (4 downto 0); component sin_taylor_seriesfYi IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (63 downto 0); din1 : IN STD_LOGIC_VECTOR (63 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; begin sin_taylor_seriesfYi_U12 : component sin_taylor_seriesfYi generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst, din0 => p_read, din1 => p_read1, ce => grp_fu_18_ce, dout => grp_fu_18_p2); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_continue)) then ap_done_reg <= ap_const_logic_0; elsif ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; ap_return_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_preg <= ap_const_lv64_0; else if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_return_preg <= grp_fu_18_p2; end if; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_done_reg, ap_CS_fsm, ap_CS_fsm_state1) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1))))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => ap_NS_fsm <= ap_ST_fsm_state3; when ap_ST_fsm_state3 => ap_NS_fsm <= ap_ST_fsm_state4; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state1; when others => ap_NS_fsm <= "XXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_block_state1_assign_proc : process(ap_start, ap_done_reg) begin ap_block_state1 <= ((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1)); end process; ap_done_assign_proc : process(ap_done_reg, ap_CS_fsm_state5) begin if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_done <= ap_const_logic_1; else ap_done <= ap_done_reg; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state5) begin if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_return_assign_proc : process(grp_fu_18_p2, ap_CS_fsm_state5, ap_return_preg) begin if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_return <= grp_fu_18_p2; else ap_return <= ap_return_preg; end if; end process; grp_fu_18_ce_assign_proc : process(ap_start, ap_done_reg, ap_CS_fsm_state1) begin if (((ap_const_logic_1 = ap_CS_fsm_state1) and ((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1)))) then grp_fu_18_ce <= ap_const_logic_0; else grp_fu_18_ce <= ap_const_logic_1; end if; end process; end behav;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity LZC_20_tb is end LZC_20_tb; architecture driver of LZC_20_tb is component LZC_20 is port( in_20: in std_logic_vector(19 downto 0); count: out std_logic_vector(4 downto 0) ); end component; signal tb_in_20: std_logic_vector(19 downto 0) := (others => '0') ; signal tb_count: std_logic_vector(4 downto 0); begin UUT: LZC_20 port map ( in_20 => tb_in_20, count => tb_count); tb_in_20 <= "11101010101000010101" after 20ns, "01101100100111101111" after 30ns, "00100101001010001100" after 40ns, "00011000100010101001" after 50ns, "00001110010110001111" after 60ns, "00000101010101100111" after 70ns, "00000011010000001111" after 80ns, "00000001000000010010" after 90ns, "00000000101010001110" after 100ns, "00000000010101000111" after 110ns, "00000000001010001110" after 120ns, "00000000000101000111" after 130ns, "00000000000010001110" after 140ns, "00000000000001001110" after 150ns, "00000000000000101110" after 160ns, "00000000000000011110" after 170ns, "00000000000000001110" after 180ns, "00000000000000000110" after 190ns, "00000000000000000010" after 200ns, "00000000000000000000" after 210ns; end architecture;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block <KEY> `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect key_keyowner = "Synopsys", key_keyname= "<KEY>", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Xilinx", key_keyname= "xilinxt_2017_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9760) `protect data_block <KEY> GKcZ2xC7e8ZoQZacRCOHy5ZLoZXxNQxqo/dZuGuTw70vcRCg/eskt/6skEwEvi48fog8XYh3YV4Z /gKUV7sZFLHAppGED0RNtx+EfqrSDsk++c1ly7bh1SQBBuRtXsCqjAyygsv923N08rPK4xnmUH8R 38fQQ2l8rhiL7tv4vvr7U9N/3gZikg9w+9RQcZScyyogBtCqNnivG14yAtfnMWYlJuiQ3c59el0f GkSz381+xN9cgF65yQ0UTseteaYR7AZemUrDxosYU+gmVrWRdmF7+w89gBmgrjRoZ/mWJliaoUlV sVJKeChojj/YkE6rZHkKler3qA8UU+qJagfhus1Hia2opHnjXUaWLqFZTVOz95Spa8whtHCWBtq1 pB415AAlXAImsZ1eelIOjm0yvGBgqgpjLsLz/f10fVq3vGQsjO6IINPnBYOUIbV6fLgXmdXc55Qn 1d6MfEyEzcfj/Z/Br4Xu58yNdc6byI5uA5/itGZh+GF/Lv9mkZb3UHj5JTvy3yc4UuXhU0Xap1ej LaB7wPajOmgbcUwOBHad1xYhwziXcB7oCyF3bvFDpv7NqZd2Dlq74KxHkOqufxWkmE9WUOEC+fxa bK6Nkx7JmyBxur3KOqLWEB3lpVd7lf6odR7U14vY63PT4ybqPl08YaDKW2oGA8Nx/C36w6blMIM/ tAPRhllvc/l0Ai1X6nPx/x2i92egtU4b3NyrbVJnESFknuJmt8l8I/XXhfYmMIvOAajdnM0GRqm1 IHfmSwIiwzra0d3xW62pRueT12rOpWeYUW6en3Uje7Aif/IfH8ml4EOI8IbsxFZPM3nhjcPz7cTP bRZJEQIz8Dwq4l44CWqrtPyoi0E9dh7u61X4/ijYpwGaL4XfAoPyeVaAwCtR7ijDa5fZPKub0mOl e0Aame2Xz0Vz3mA2gbCeQro3fM8P7G0EOCdFuPBaUgfPLvxLDhQ/yBDf8pWbxNY8HnBet9ucsfDR l4247bplEYXe4X7k72Bsb0YC1WXPhigDdmpNwVNSsrraAZZww54ntUdNZl+mQGfbQSvnMuReeA0Y n9cPuI+oJA68A67UwF4gYFiv25BQQOCaYVo5RRvrY5SN61TRpMp8RAxFhhG/XAovAiqWVhMnY9Ky 1xDvPwn7nVh6hdCo/Ba0iyG1BPRuk89Zg4JMBITkvMaYf1TPnsutDTeEvZv0gTFB+cZhIFROA3J5 XrPVDSALnRp00MVYs0uXl314VQlzZyPifWb7N90iTuV/txlFPJPuloDImglnlt5zVtcRM8IbGKTs HFdVUpmVS6TU7vNA4YpKcY6SZRGsgA3d/t71JQalLeHPNdQyiYCZZMFk4LctARP/BytoCWG/rDIB SZ0qLdOFg6PvdUqdsAy33vKJ4VcYhfcKPu/nUp5Yc4inu6DsNXH3jYwoFtoGsF2d6vh7oxqL9Ugj 1XcTykH8FTCPGYUm4JXYqETPV2vzOGq/wfzLffBpRf8G2U2gNlj7j3aIutq2uFB7b+HIYVIPjfQZ zjNj27oC8lEwmFgEAISEoBOffnPhw0kDhm6phvpEW2bvmd5CaiWCgiIkEN2dhDQ4Qj1jh9QCDtJo O/b9i16DiozQi6+pga3mtAofxq+nE5i/PKzfcGXfJNMtdnAWsMpSFWJC0kgr/WoEMBDw9WgvMDsb yBjwCauTJusd1HgcXmTxTlTzvV0Z2ilgPj90yET3hGQ717i5LEjkj62YsSgze1gGsIS5bMDd6zVr NSWqNNs+5ca5swfP6pdepsMPzGrVytZvN59Vg6xsPzVgz4cCeGcfuQ1bqDWk9xG1dESAyWYIg7hM DyZhw4KpI8iomiNqIO8ebkG1wxnMFs/Rizcu3BiXkyVqI59Ymphe/HfcgCFaQvBtm3e1E2Omgc8p x47Y6W1Ta+tgABHZQRO31QF5m3vj8v8tzNqn66+gEYwBan+CsFP7y8o/H+pGUPJ1uZno+1B3aqeW NesyilLm/HboVJ5CRMplRWnMOEM7wBmud+2a65fuujA5yJfzhiL3hq0scshzDa99h+d8qi7VdSY5 zREw6lwyIsT7nwqx1Z/DA85J6mK3RHZDXAUw4E/WNHxLgtNLiMWKoAl+CgR6Fbao70stCGMfUUtG u3uzvBSgkNnDMYNpvm9v1NKhekK5TKZ9YQ2jcPQnb6r5pL6Vqf/LOcTueD6cVOIRBye1hJimqNF2 Ho46aQys9CKE3J1RYOPGpzalUZy+zS24PodjDbcafMq4IA/CeYcDdbULE+Kok8S+fimyhNixxSmB WeBmH81++Met0P4Pvr8nvDXji73ti+kIgetGjpGWFPiaZE7VtbzoVBhWpNzyn6pqZoFI8fTTnXhu xll5kQTR0r5J+DbJdhxvXTcqu3PFvU0HPcViAy7/Ht56Nd187IwL0JDDAfGcpfN0Rvsucyg5bmJj dmJ9ZNwQ8hm2F6TKSomW/e7lgnxUwhgeoCLUk3Ac94n3WEOMrppkEnECIfydZrYB3BG2oyEFyKyA 5C2EL3CTFdJh60XKebeGhSQTVN7+lqsB5IkMxbOJ/fX4W+WGqhZQk/g8jCgmJmm/68PPyj/K9spJ K282YWQgAUV/6CYl3XZhIFTHqm05DRKdc3rRKi1tm+EA+WjLDSd9cvlE7Aqlz/KAmgG7zvj7N6vd OuY/2oEzbwCq4+hdFXsKuKBkWg6rtqpmk77SPViwX+wm//5xvJRkytfZm9/CruBwzkuVHtUAGLop mEvM1b1LwqRkkmbG5S9vfvkBBhAHVKOlTQulxzUM3+RkFHTGfrIxPQUytr0cJV8yU3pY6AXl6bJR PPzhOHjarCkrRyibzHmf80NnqcwTjiL9s+RZpRe1kK8ZearEzgv7V4vThlGUtXrAo8RC5oBNWVTd jxt1ksQCES1AUBkkh87SGuVy6fie9CtpNVVGxokrFjQP/7mBL+srxvRetFE2VasAITKxlpM3A/lx 1ZJd26K2RxQfMEbA+WU2SGSbEewI5Qb0LW1lwr2j2T6zuPrzn5FoDpWRt0EuZHBmF/EbF0W2OR4O +7v8KzG/lY7+22v6eM91/bW2OAcPpdpHhE3uf1CirtWJdIffCmFB2f9wYBKSmRjWgFBRIaGcnqsH t94gsm1hCy/gwagMcxuVMKC2BYkMgm4soCNssu1x0GRA5IEQvImh5fSAzHcj+GcRSUSzGeJNzBzM D4Z8HPa1FsTsFWbA/m27jTWDy/6sm1wWVUM/8Ie4M+YJE82ym0L/big+R5KdePPObGbs9/D9af+Q TH4lCaJrXLbJw4FkG1I8viYNo0E+1ALnW6eWZgUIvfpJ7fmb0yp7qgs12rKkSvHJeSmbd8UWsxFC HkDQxKW1I0+zUD3piSNN5wl2D7WAKzmykBPZuNtIVTd46RIDyISAzdxS/gK+4hxWSfvW77Fsk+jM xdIr9RJsx3s7WPeaXETM0aqlrrbHLwhF0KFf644GkAAx5+e6Bc12wdeRhL36gOcq/lV1HEuri/FJ /slwSjQ+PAEGRnm29zszL6mN9ZWZpoAY+pKadDTcv3bh7na+dqa0TA6p+PwF5EkoG4n0U3xuRuPI NdUdEp0E7YwoHmYSt0JMiUy57fVf0ShPXLgOL3WPS1JlOJWXetkJ0zHqDuaMD3hoM6g6zD55mumT LhQhaZObW5kTPi6os+XB+QVGomUzLLH87DNpzpd2L9DYdQiDx4XxT9UjHuLnuGyQLGGWNtKvOn8+ URV78fobfJHvq8+KpRNPfotlJx3ifQCHpD9jHoDVFxPqQOn/VIe6XIL4YDmGnQG3V3Z/QDriN2Wp r3Cd9DGhmKGChuYR0z1M5ccoiiO8zfOfMl7QkLk3C9ZLs4C3bq0P3bjNWLbziibe2Is+RZYPgEx+ xoByq9cFa09plT400M24SQMQ+6lRZ39tFaNjfNXCNQBzRlab6eM6Ibtiuwk+xWQzQx28+Y1/2665 TqhoSOumOQr215vMY/m3iak/AgYfsqyecA4QJUfcRDKZPlQPQLYQnlYV+uN3Cn8CSPnYYtW80sNs sIzfuo7BphLF8bl+EGk5YNw+kBWwz4m62xVoYY0+PHpnyHKJPK2yFb6Zi1ClB764qKtFAOOfi2NI 8cQ66LgeglqOGP5M+UblqU0dOA+VAG/+TvOnZeiDvtEOsprD92p9gT+0Cn4HS+BX/+p0yq9jYuDo 16+IXjdnjr0yvbnbmCX9RjB0mI+dAS2SU3++E3WtUPYJNfFkc/3WGLjyQqpWk3A9UHDjI8qCfIvq LtmuGimODl6woU8osaEHLRcvjlrpYTzz6MZNLKZ0JSVe5pr7ua6+gMdE/7ZLHuAHY6yF7qjGA9wE WjoaCGgQodk+3f/6C+6Uk6TnmYdYiZE3XjAv6KGOmwL5o9tl9DOXmLsdyETdNGBQDdwSkOhbCPA9 0U1Z21a4pMMnxIWxn3BW013+pleuekkJ4bzIdBmDEzUQi7wrGNXrarug3w809DnBn4+1ysmJP5V3 ocK2ebBvkwH9jphuQ8uMweuwxTkSOIj7A87MvDSFo305NN9dq4bGKLq55Nrm39PJ1rAUg1mkSRHG JkUqzpMTxu5Iidlpo8A3E96PoZN1rFXSRuQElE8cr543NN5GasiiOp+HDzXpIGMbpWRlyJ2ntR68 MAR+nvPCxmtkokSHL05Y9Fybgkf9GHUPFSqcqyYGwCI33kK8a8WRYYCw4mJgSe4cAF9OtaptddQT BrvDvADa59ywF+aW5KfgW7aOC9lt7Rn2lKkpL2Cwron97kJNtplaZEb3n0VkJY237yaRMBGwHjBS A6CmojgQRqU4qwcLFbiYyDVVfPtQ65nxuPsVLYKkOyz7QD5n8kR28ciITxrkTkJtSN/xyw5fkYJ2 WXv20c8Tn4m3xg/lwbR3tbM505wM8nwjx2nKlF6fXwGhid+Vt+8aS449F0ZLqzIBPbx7KHhw/KWB 5rTzqZjb4Oo1lS+69bQeYRBXMByDEPTZKRAIEQ33O4xmxRbDlztcC5z/SQZriv8qiUD3er2lyat9 rIZ/VvdrJ7CRBWYCjTwRMgMbIwqqobMPubWivHWf2PTa9q+yrg/oegbCc8PI7zkOkKUdSS950+D1 O3556JV+eci29bsdwRKhkjlmBbHxd2PbPCx5LlnYwtD2ZILeyA1/xOvHi3HiNO1XQCAeAbOVTIdg FtBKCPsXMpL1FhX0QyNpHZP/QMGClpJDAMKOxhP9lrBMPQQYBKzpcw4CyKFrQQxxOOFeFa+AkeQF 9oScdmaJaU7zS6tzaEMHjLyl4NtOu0dd4jXuFQ8roNAjHMEw2uDYJDnM+CcHmR/smuylTG96ptHF OnWeylFAIVdSPCuUba0stljdv/uV/BMfDnIUTQreT7y99bU3i1G/SgrU+dH/VKWDre0g9wi1Rqlf artnjEFCoRcarWwjzHJAL8uyOi+nC4mxwjrFPd2ccsdPG9qI/4XMR0MsB+dn4j9Vxxrhxt+wNn95 BtaLUxRNFzdJZrCaY3ovK+SmEpeUj7eA3kKMQ2qkWplrA0HUAvIOUh8JpUWxzXJfNnaIsqc9cHKY hmdGl7ec1WM+Wb3Pfz1ANnXSImgEWQ4xVRenxZKa61xBShnFfPzviHtEGsKaf2mK60JPiOlbXDH6 sK/Srhc+q9zUxha+BVHYPXDm7wJC2imAKkpA+E79O0h+5FzBKOX2c16bmlcEM1zKsaKQyOmi2LQ/ PcvbofI2sy83u123InVIAt+b8fp+DhpDCTqoPIkNLMCxeb9wEugqiIqL2//wjh4JbsZPdV5Qcz3E 5dKl4w94KYF7680iibI2n3km1M9mYjawRKroUCaFYmucGQF2DZT+5vicIYMB6Qo+cHbAQXlBzZry Z63CrQfcjI6oORIUDoF5aUO5gH7OctF1bu9euVm9xZ7rij4saYD4y3YHVBAKTKRU0IlNt1iPo4Gx kjtTCzWtz6b/WtQL3eMY7YONGU+dqPesjaefm5rRmdBywoN48HmqQfpGOWRElvmp4d/Z03Q6VvaQ f+lsp4+kjqUqEaud16wjiMR+AR+Cq+IftnPIPVSSQaBrPpx1M9Tf+4US+4tas2AQlmOonLFcqPrw JPO4j9Zt6lYlpbE+RB4vjjveQfxQ+b4hAe6DoITsrg8z7Ss/B5kTFqZpTPS/cfT7hQNCpfCtiQjU JdgSVM/OyYP7iWUHi+9zHx5Urtm65USyUQRP9rfY8gsLPFvyc93jNEg6rA658G68JT/Fjm9IgVJl R4F0sOtfbRhGRg+uonriNmmicIZzuCHzmBkvZpzkgseo/M5Mo7G0uaJQJ61izC23ThNV/GxiiUp2 yAnoNzoIdb69oXDBE87nYp6kmIjsnkIekVaM9w0o7uPfxtqNiAH/dZeB8WsPzyksFcI287Jbc8OJ NAKIOgTGEA8gJF7nKAJ2M7umcz5GCKbVhrg35IHSjXtLKWC3Edn4aWU8ektyCefdwWeMX3KAmTTX 3FTIWazY7+g4QetzMV0qAxkvXuzLPBTu59es4V5uTBJFqkC/f8uT4i046xR6DlIkyfqEXPZok4cj o1cqGDGdSCFv+9n4er2yV4J4COt9i+mGCkJMLK9iYdTRB2R4iWNsHTZ3E8srtWFnj+Conid8Re7a wEtpHAWlR0bJRxgl0j7gov4zmaAz4VA9DAUCX+voiBlGRTpC5fzq5oAzLHHlhPeB4BJDd3QsDO2x mt5vS0dPZXcHhG7reBoMpymEA5Mn+3w3GdaWHdkoaNp5FJD9XsGe11ITNktmb00AdrdyrfHHSxaM +dmxyuSYAUD/RzHFXYkrfQrlCt34pUJLmv9FPXbAB6Skc7BYd11/B42bkiSLOjRD9b4cgpOHRTyH gYMIUuj1fQWpqnXqMKMVWN03a2QmBMextA4tbushbPc57Y1Bn5C9TDrrR6ODkbTcJNME1e3PgP2K z4qRSagYH81t5qZMM/1ntQ0510QUnQfUKle4DpZsC6V7LRbYlLGP8Wo5bUOSaofFtJdnhMVpEmRh pu5V/E35+p6ZjRbPEKBw2wJUm79rJir0sKpiq8+K/ybO5Hr01kZvODGQTXCuZx8UbO3OhHhijyRT 1I1nlaAaR7vpcgOIHrIG0poEv07UHY0KwCC/oeqZ4x7OpyWDVkx6yKw97VhxTMdWgqAx2V2wFvB8 31FeyRuBRthtkjPtDWi6vVMjD3QJ5nY6Um2d0rDSxcUdsJhoQ/jkKsSqiS4VKAhBklbejYBY3uLH aPrDQJypDWvARTo3sGohcn7ooke105bu4vgyf95SSjiuZjK1eJNo5nbvB/2lDjt4zxXN/GX1iPyJ 5HD7J4L9BXw2Iz+9G77vz3BMI5qChJ9qlxHxQMDG6xPL4vq62Z2WqGw1sm1DX0mLtZq3GOH4i0+F pgcpsJpSC0vOtnwjW9OdTZsM814d82x1zpotP0BeEJWHmUY59Kp0vyIf77B4hSHJryVMFbdUVzrs +hO9gOKgMwy0u6F3EjgAiDVaQtblyEk0uJ+KnztVgf9SN66O6qUcEolHgrHYXUGNJhCIkjQI6jZ5 LzIYrrmUYx0wlXcBYyCWSY8tM4mbI9uRmDhEqH59gOHZi13n8k6/4C/liB0fe5Io2jGoHirOULLE ZOcIdc9Lc7sMkwGkpF65VvMitqC5GOjOWe0hAUYSlJud6a8yDP6GFNWmcAtWL+2V6+w05p2CT6nX ONeKgMZBkoN0dbL+XsvIDWsBerVoG2namsFh2gEYqJwbhib36UTExSS+biQHwOgAFe/FArRKBwhv zSzwTcD1fC1PyIrVKqxgonOvGwOvQM5JbZMPuKRaGo03hBf57eaKshG/rYvNFzN8oAkDA9IGQQ3W LDY0i5nrMpEgLMEMYkjp1oOSVJc9sJlhgCQpMnx/Axz9YJ7expzVGULfRMIe6qG0t8epG+0f/Ebh inKjJEfQSKOwt/0Kj4JhS+l+sdtSOBNJmDJq9RcX6WHcBzHzSQ1HYJT0rxrEEf4vW3QwE/9WmWiE WKFt8SG1Su4A6xe6KgR8qFX/ScgaTNbMOORk2ji8j8dvYVESFDQqo83WbpqTs3+dbatFWFMH0xS3 ov08p+ZsHBL/7Ghgo4MWVPoH5GaLHfj+9UWm9VrXbjk+qaBbOJ/dUiPQaOHd9t44UEpgc0I9XG/t ImjNTNhrIPUkDWA58TUxWT9FxgEthsdVTsoOc5uIV8c6W9sgU74l0o98u5ulbLxJnmhXb3cMRsMC kRd2Hx4MuU2nJqEoD1QldvPCVQbZNM3bH05OypMYOunvrgQGnOCp2vGiWminSTKN1YZrUv9IklIB jgunqwPo4KPOI1QixtSkEz2VzQjW6xcEhYmYp6K3FFvl2gNv7jtDFc2Q0Xg5PcADrjE7qEh5lOBO CTDc7dXwSp+HWz0anpG42lyW7HAySgVe2Oj7UMpdSrUi8vfRsW7N0AkHxYa2PaG5KHsFqg881pKE 9/8AsHeUtQdomQyX200JHxVC8OJyRqw/Cl7vRgWTX/zkJNsbDNVluir83ejwEXcbcSwm2xOZoafF KopWSRJPM5my9NY5iKJlgeOwmfHJmmFGAhWCB1j5hT3bo7pgHTEvSPDnJgyAVqAT5O3IcLKv0s0W 3tYeuE1aLCcvPNeFjyFMiDsJONyxagYT7/Gs68fw7iMsdFlWJ+EjL7zsXlZBo9QFXBmz060UOXVL l1TkbXb8CkB9+Wyi1qAotYAWGWnXDLftqkPltkD5rvEgnxvrrUyC+TxrUkWje66h8ii3/qw8OiYd TQGsKkIHu9xg/fETHGQvEVv/kHxWLDZAVm0Uu2MaTnvbuxExeHvRo6o5LxhGLQ03+/cYuanmotx9 GXj1h/AZyWuZPjvPmDGdsinKcZxaO/s02U5oUfh3QTAfGsr6ruYnw0CfvFYS92EnsCK/v+P/wACv AaPDskcjXHPt+Zb7zttJHllcZCDMioHbwHUwljIKRp7KNEntFW3ZJwWs1k8mTPDwnFm6w4Ax1sv7 U2RkOwhcBcvSS4bcdmk2nhmVnjvo6vpqRSx/RnW50C1fUjprDK6NpYITokI0uZbXmGIBlJe+gqy1 XXPLMNldj9J0EsycZ2+2kJRYn8dy22GN8dm2VLlqvp4ogFUi2OWXHNdRvQOH8zMFKGXpB23dsxcE e4/58fd8njoMTCgwORahQPaNNRVB1BQeu+sWZhN+Ma93ho2Iu2WLhRXiVyoHscYpQT905KY78/pE zBZriyrRMxMalR/yJrSBdOlNF5P5bTv6/xgpYd+yIsulazCoAaigNuzXK7XU1AQdHxHlr8bDy+8P bIfNTxC2XUzGJvqItU/JF0gby0Luszh4voq9am+YDcnxqEjgZKa1KDefM8ZTuMmMgQapGzh7EWla k2P1kNbDoNyt/JvzJig2Tglg9Hp52PTqG8Awv1RmG9hBjvRCBqyMHqDJQnW0aMjBk1UK0urgNFdX eStCwbcnduEhV6acV0KRm9K6W04m7BYIzu5KAo2esCQgllXgG3OdPUVB9AKHcvpxpg3BHg660frV xoAiC1bCEfXBUIJGSwJ5xlyQcL+Dbqhib+u9XghoyTGZ1iz73rlvq38iqFvslsd/r+2iL2SjCTSr HfyORpMk2JXlyHDLNlOeNOqKdtr0vWd4KzzVzG+zbS4hsuzZzy2szXFIhSIx8Z5tDzrsMjf62cbe p5lLYB7oMka7ZJzH7SzG0487+DQpgzsBtzlFMPk4yMGKM/ujXDI9kUg0RxRbiw+GNOdnQfyhCTj3 +x5UiIzsJGB4bCHdkp6JrRJKh7Kzq8abccdBsWrlL6U/WL6vkbSdBYvzHB0e/QgTv40f35SelGr/ GYwFUJos59lW0cbom9kzMKWrdjEx59+JgJmddiz6S9drRyUNPb/dJq85My1A2nAjKHjNj2vHaEoN MieodtcUm8feWQxSurwbViGKfpKakcuj+UcOVyVZP2pXfwC9LguKpPb2Eel4gpLdX2S1epQ7uV4K l9wFFgvMC4/Fd2EhUb0RSPkcxurpfsp6Kxsvr6DL3JYhMpPoLyar5s5O/b88uTriSwvaaPu4czhg rit/Lr0QoqCBswykwaziAzewj4b4bcuuqwVvT/r6oeywg+xPxPqX9EjdMFkum7pPpBmdMGMYHoPf /+ajm9PukZVBb9dQf1Gb764arf+OwQnWs6SCaBhwHt7hjLzGdxvSyo+2b91FdoU74XvBHid498uu cMdGXNIOh/b4jnKzNzmzeuqpgcX4qXbkbHkq09FrjwnJ5JPmgKF3Y1Kdczmw/M9YNn0UMd1xhBzs N5F8mWPvBFWsvJ1KJkx42r25UE73cweUYk/O3G58xTV+n3NkDKt6aIMtCm1CoY9fXlyMP3xssFN6 K7CnfQlY0X/McHMm5ZPhsnduiWMDgVi2uz5QSG4lGas2BxtPEMNLaxij5GAtxRWG3PRCTADmEZDo eB4A0swUgyZVJ08iClzQABVsVPKIlDD/iD0Ti1qOLu08py9ucrgDfu0x2/kQyel2yzbDjWteOJ9S 8PnsmS55GonQoLyGiPyfMF2r0cHCzpMlDkkkPHkfd/fUd9e8KnPvdD4+ET3LBTpH24+WrtQ0JvhL 4o3zL+wcVAMU8i6LbCMBBw3DNL6ZDiGtFXpkxY+i93ZK0CjH9kQdF/0bfuC0Pgr5JL7Gxeb+/59v 2UYUkXeqnaYDiFJw4osoiSUqKKzFPvJH9jPvLhl+exXmF/azjV+t9Dg1o08RtsLLJJyhctgIO77w d7yq/jAg7FOYlkAFqK7rRe3gV7g6B5HdmyTBcgvZyC9Vav3YsaC4rYIaWOVeYORbfBD7NO4dpjjU +q1UpFn0jlZM423ae5ObFANLWvnDfP7jEhzi7UprH0JjpFfyMkJL4DmHVatNYzg8/rBIfrib9ZXZ lmgr3s7jit8ZoIP335yaxrhjVqPZRLRqVJ4OTZxFCL9m+GgPauiK7BCo3dNhJRQOzMAnrajhbUMK UihxjbcnPvF246rO6HD3nyjSpObJIDCIp0Te2vl5eT4x6asr77Hsjv4Jbl2RMywjaG12mL9pH5Eq 6mgqjzFPmm7wePEFg4RCUuhsvKE9KsFS7XypeE7a/76rqxeHLcOe6RqU/fdul4C5U6CAHCffY+qR CmurJ1r6rTIFsSQzvrNxPlToDlEiusy4psCYTvgaP8HPxxt3V8jNsvav/X4NX61RpqkXAg4kDyr8 lU6uOGXvqh0O/OcglTdd9/JYiuJ8fFqLeAsu6O6UpzzxOut5xaIXG9i0rea6iEZa5WxO1j1Pt3p/ fOedqtsK2BLFfSaXPZC+zXwdrY9eYpN09dtJhK/PvZyMrKtRUNtgw4DPLSq1xXsNIgAHKbRNkAGA Rh4KFgmYcwJV44NMQr3af80tz7Bo7RVoco81JWaA7WSlj08X8kEtGzCIv7rTYsQOMT5iUlehxPHQ DPeeWcpYSusV5GpEcRMpdt3Xf07ksNzELrVqTYLKR8MfsAOzA/GbZ7ZTg/DN0wpZd1Ty0mi2QMVh czED9JXv64w4arkwABBn1M5jcg3vzfnqLNpuYCJpR1FHFPmJDuzjpFeTwzhY9/OF85L+8Vn4I/JP aylDR4F9+Bwb12bcoWnedm8H6s4I3UWl8uebc2aL3FQ7zDwj5lRexElPAs2x0x6H+eZb/EM/+fFF ldg9vc46hNJTCKI98ZYZyN9ytR/6JX8MH0EUtKbGQ+vkqIBPZSqpTmikRvKKGjsQEdk0tpddhX7x <KEY> `protect end_protected
------------------------------------------------------------------------------------------------ -- WWW.DEADLINE-DESIGN.COM -- ------------------------------------------------------------------------------------------------ -- -- -- This software representation and its inclusive documentation are provided AS-IS and with -- -- all faults; is without warranty expressed or implied, including but not limited to, -- -- warranties of merchantability or fitness for a particular purpose. -- -- -- -- All trademarks are the property of their respective owners. -- -- -- -- DESIGN UNITS : gray_counter_TSTR(testbench1) -- -- -- -- FILE NAME : gray_counter_TSTR.vhd -- -- -- -- PURPOSE : The purpose of this design unit is to provide a very simplistic testbench -- -- for the pgray_counter_TSTR(dynamic) design unit. -- -- -- -- NOTE : This testbench does utilize certain elements contained within the -- -- D_D_pkg PACKAGE (D_D_pkg.vhd). Be sure to compile the package into the -- -- DEADLINE LIBRARY prior to compiling this testbench into the DEADLINE -- -- LIBRARY. -- -- -- -- This testbench does utilize the gray_count_bit(ver1) primitive -- -- (gray_count_bit.vhd). Be sure to compile the primitive into the -- -- DEADLINE LIBRARY prior to compiling this testbench into the -- -- DEADLINE LIBRARY. -- -- -- -- This testbench does utilize the gray_counter(ver1) counter -- -- (gray_counter.vhd). Be sure to compile the peripheral into the -- -- DEADLINE LIBRARY prior to compiling this testbench into the -- -- DEADLINE LIBRARY. -- -- -- -- LIMITATIONS : N/A. -- -- -- -- ERRORS : No known errors. -- -- -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ -- MODULE HISTORY -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ -- -- -- VERSION AUTHOR DATE COMMENTS -- -- 0.0 D-D 09 Feb 22 - Created. -- -- -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ -- LIBRARY UTILIZATION(S) -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; LIBRARY DEADLINE; USE DEADLINE.ALL; USE DEADLINE.D_D_pkg.ALL; ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ -- ENTITY and ARCHITECTURE(S) -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ ENTITY gray_counter_TSTR IS END gray_counter_TSTR; ARCHITECTURE testbench1 OF gray_counter_TSTR IS ------------------------------ -- COMPONENT DECLARATION(S) -- ------------------------------ COMPONENT gray_counter IS GENERIC ( CLOCK_POL_RISING : BOOLEAN := TRUE; WIDTH : INTEGER := 2 ); PORT ( i_reset : IN STD_LOGIC; i_clock : IN STD_LOGIC; i_count_enable : IN STD_LOGIC; o_gray_count : OUT STD_LOGIC_VECTOR((WIDTH-1) DOWNTO 0) ); END COMPONENT; --------------- -- CONSTANTS -- --------------- CONSTANT tSYSCLKPER : TIME := 20 nS; CONSTANT GRAY_COUNTER_WIDTH : INTEGER := 6; ------------- -- SIGNALS -- ------------- SIGNAL sys_reset : STD_LOGIC := '1'; SIGNAL sys_enable : STD_LOGIC := '0'; SIGNAL sys_clock : STD_LOGIC := '0'; SIGNAL sys_gray_count : STD_LOGIC_VECTOR((GRAY_COUNTER_WIDTH-1) DOWNTO 0); BEGIN SYSCLKGEN: sys_clock <= NOT(sys_clock) AFTER tSYSCLKPER/2; STIM: PROCESS BEGIN WAIT FOR tSYSCLKPER * GRAY_COUNTER_WIDTH; -- Wait WAIT UNTIL FALLING_EDGE(sys_clock); sys_reset <= '0'; -- De-assert reset WAIT FOR tSYSCLKPER * GRAY_COUNTER_WIDTH; -- Wait WAIT UNTIL FALLING_EDGE(sys_clock); sys_enable <= '1'; -- Assert count enable WAIT FOR tSYSCLKPER * 4 *(2**(GRAY_COUNTER_WIDTH+1)); -- Wait (cycle through 4X's) WAIT FOR tSYSCLKPER * GRAY_COUNTER_WIDTH; -- Wait WAIT UNTIL FALLING_EDGE(sys_clock); sys_enable <= '0'; -- De-assert count enable WAIT FOR tSYSCLKPER * GRAY_COUNTER_WIDTH; -- Wait WAIT UNTIL FALLING_EDGE(sys_clock); sys_reset <= '1'; -- Assert reset WAIT FOR tSYSCLKPER * GRAY_COUNTER_WIDTH; -- Wait -------------------- -- END SIMULATION -- -------------------- ASSERT (FALSE) REPORT "END OF SIMULATION" SEVERITY FAILURE; END PROCESS; ------------------------------------------------------------------------------------------------ -- GRAY COUNTER MODULES UNDER TEST -- ------------------------------------------------------------------------------------------------ GRAYCNTUT: gray_counter GENERIC MAP ( CLOCK_POL_RISING => TRUE, WIDTH => GRAY_COUNTER_WIDTH ) PORT MAP ( i_reset => sys_reset, i_clock => sys_clock, i_count_enable => sys_enable, o_gray_count => sys_gray_count ); END testbench1; -------------------------------------------- END OF CODE ---------------------------------------
-- IVH - Seminar VHDL -- Projekt 3 -- xtotha01 -- <NAME> library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.maskpack.ALL; -- proj1 --=============================================================================================== entity cell is GENERIC ( MASK : mask_t := (others => '1') -- mask_t from math_pack(proj1) ); Port ( INVERT_REQ_IN : in STD_LOGIC_VECTOR (3 downto 0); INVERT_REQ_OUT : out STD_LOGIC_VECTOR (3 downto 0); KEYS : in STD_LOGIC_VECTOR (4 downto 0); SELECT_REQ_IN : in STD_LOGIC_VECTOR (3 downto 0); SELECT_REQ_OUT : out STD_LOGIC_VECTOR (3 downto 0); INIT_ACTIVE : in STD_LOGIC; ACTIVE : out STD_LOGIC; INIT_SELECTED : in STD_LOGIC; SELECTED : out STD_LOGIC; CLK : in STD_LOGIC; RESET : in STD_LOGIC ); end cell; --=============================================================================================== architecture Behavioral of cell is constant IDX_TOP : NATURAL := 0; -- index sousedni bunky nachazejici se nahore v signalech *_REQ_IN a *_REQ_OUT, index klavesy posun nahoru v KEYSS constant IDX_LEFT : NATURAL := 1; -- ... totez ... vlevo constant IDX_RIGHT : NATURAL := 2; -- ... totez ... vpravo constant IDX_BOTTOM : NATURAL := 3; -- ... totez ... dole constant IDX_ENTER : NATURAL := 4; -- index klavesy v KEYSS, zpusobujici inverzi bunky (enter, klavesa 5) signal active_sig : STD_LOGIC; signal selected_sig : STD_LOGIC; begin --OK Pozadavky na funkci (sekvencni chovani vazane na vzestupnou hranu CLK) --OK pri resetu se nastavi ACTIVE a SELECTED na vychozi hodnotu danou signaly INIT_ACTIVE a INIT_SELECTED --OK pokud je bunka aktivni a prijde signal z klavesnice, tak se bud presune aktivita pomoci SELECT_REQ na dalsi bunky nebo se invertuje stav bunky a jejiho okoli pomoci INVERT_REQ (klavesa ENTER) --OK pokud bunka neni aktivni a prijde signal INVERT_REQ, invertuje svuj stav --OK pozadavky do okolnich bunek se posilaji a z okolnich bunek prijimaji, jen pokud je maska na prislusne pozici v '1' process (CLK, RESET) begin -- pri resetu se nastavi ACTIVE a SELECTED na vychozi hodnotu danou signaly INIT_ACTIVE a INIT_SELECTED -- reseting cell if ( RESET = '1' ) then active_sig <= INIT_ACTIVE; selected_sig <= INIT_SELECTED; -- Pozadavky na funkci (sekvencni chovani vazane na vzestupnou hranu CLK) -- Pozadavky do okolnich bunek se posilaji a z okolnich bunek prijimaji, jen pokud je maska na prislusne pozici v '1' elsif rising_edge(CLK) then -- erasing previous values for SELECT SELECT_REQ_OUT(IDX_TOP) <= '0'; SELECT_REQ_OUT(IDX_LEFT) <= '0'; SELECT_REQ_OUT(IDX_RIGHT) <= '0'; SELECT_REQ_OUT(IDX_BOTTOM) <= '0'; -- erasing previous values for INVERT INVERT_REQ_OUT(IDX_TOP) <= '0'; INVERT_REQ_OUT(IDX_LEFT) <= '0'; INVERT_REQ_OUT(IDX_RIGHT) <= '0'; INVERT_REQ_OUT(IDX_BOTTOM) <= '0'; -- pokud je bunka aktivni a prijde signal z klavesnice, tak se bud presune aktivita -- pomoci SELECT_REQ na dalsi bunky nebo se invertuje stav bunky a jejiho okoli -- pomoci INVERT_REQ (klavesa ENTER) if ( selected_sig = '1' ) then -- skip from selected cell to another direction (up-top,left,right,down-bottom) -- if neighbor exits if ( KEYS(IDX_TOP) = '1' and MASK.top = '1' ) then SELECT_REQ_OUT(IDX_TOP) <= '1'; selected_sig <= '0'; end if; if ( KEYS(IDX_LEFT) = '1' and MASK.left = '1' ) then SELECT_REQ_OUT(IDX_LEFT) <= '1'; selected_sig <= '0'; end if; if ( KEYS(IDX_RIGHT) = '1' and MASK.right = '1' ) then SELECT_REQ_OUT(IDX_RIGHT) <= '1'; selected_sig <= '0'; end if; if ( KEYS(IDX_BOTTOM) = '1' and MASK.bottom = '1' ) then SELECT_REQ_OUT(IDX_BOTTOM) <= '1'; selected_sig <= '0'; end if; -- cell is selected and inverted(ENTER was pressed) -- invert myself -- send invert request to neigbor cells (top,left,right,bottom) if ( KEYS(IDX_ENTER) = '1' ) then active_sig <= not active_sig; if ( MASK.top = '1' ) then INVERT_REQ_OUT(IDX_TOP) <= '1'; end if; if ( MASK.left = '1' ) then INVERT_REQ_OUT(IDX_LEFT) <= '1'; end if; if ( MASK.right = '1' ) then INVERT_REQ_OUT(IDX_RIGHT) <= '1'; end if; if ( MASK.bottom = '1' ) then INVERT_REQ_OUT(IDX_BOTTOM) <= '1'; end if; end if; end if; -- pokud bunka neni aktivni a prijde signal INVERT_REQ, invertuje svuj stav -- cell got request to invert from neighbor cell if (selected_sig = '0') then if ( INVERT_REQ_IN(IDX_TOP) = '1' and MASK.top = '1') then active_sig <= not active_sig; end if; if ( INVERT_REQ_IN(IDX_LEFT) = '1' and MASK.left = '1') then active_sig <= not active_sig; end if; if ( INVERT_REQ_IN(IDX_RIGHT) = '1' and MASK.right = '1') then active_sig <= not active_sig; end if; if ( INVERT_REQ_IN(IDX_BOTTOM) = '1' and MASK.bottom = '1') then active_sig <= not active_sig; end if; if ( SELECT_REQ_IN(IDX_TOP) = '1' and MASK.top = '1' ) then selected_sig <= '1'; end if; if ( SELECT_REQ_IN(IDX_LEFT) = '1' and MASK.left = '1' ) then selected_sig <= '1'; end if; if ( SELECT_REQ_IN(IDX_RIGHT) = '1' and MASK.right = '1' ) then selected_sig <= '1'; end if; if ( SELECT_REQ_IN(IDX_BOTTOM) = '1' and MASK.bottom = '1' ) then selected_sig <= '1'; end if; end if; end if; end process; ACTIVE <= active_sig; SELECTED <= selected_sig; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.Common.all; entity AluOpEncoder is port ( -- Interface -- op : in std_logic_vector(5 downto 0); func : in std_logic_vector(5 downto 0); rt : in std_logic_vector(4 downto 0); aluop : out AluOpType ); end AluOpEncoder; architecture Behavioral of AluOpEncoder is signal special, regimm : AluOpType; begin with func select special <= ALU_SLL when func_sll, ALU_SRL when func_srl, ALU_SRA when func_sra, ALU_SLL when func_sllv, ALU_SRL when func_srlv, ALU_SRA when func_srav, ALU_MUL when func_mult, ALU_MULU when func_multu, ALU_ADD when func_addu, ALU_SUB when func_subu, ALU_AND when func_and, ALU_OR when func_or, ALU_XOR when func_xor, ALU_NOR when func_nor, ALU_LT when func_slt, ALU_LTU when func_sltu, ALU_NOP when others; with rt select regimm <= ALU_LT when rt_bltz, ALU_GEZ when rt_bgez, ALU_NOP when others; with op select aluop <= special when op_special, regimm when op_regimm, ALU_EQ when op_beq, ALU_NE when op_bne, ALU_LEZ when op_blez, ALU_GTZ when op_bgtz, ALU_ADD when op_addiu, ALU_LT when op_slti, ALU_LTU when op_sltiu, ALU_AND when op_andi, ALU_OR when op_ori, ALU_XOR when op_xori, ALU_SLL when op_lui, ALU_ADD when op_lb | op_lw | op_lbu | op_sb | op_sw, ALU_NOP when others; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity clear is port ( start : in std_logic; wren : out std_logic; addr : out std_logic_vector(13 downto 0); done : out std_logic ); end clear; architecture clear of clear is signal addrR : std_logic_vector(13 downto 0); signal addrN : std_logic_vector(13 downto 0); begin addr <= addrR; process begin if clock'event and clock = '1' then if start = '1' then addrR <= 0; else addrR <= std_logic_vector( signed(addrR) + 1 ); end if; ---------------------------------------------- if addrR = "11111111111111" then done <= '1'; else done <= '0'; end if; end if; end process; end clear;
LIBRARY ieee; USE ieee.std_logic_1164.all; USE IEEE.STD_LOGIC_ARITH.all; USE IEEE.STD_LOGIC_UNSIGNED.all;
package CONSTANTS is constant NumBit : integer := 16; end package CONSTANTS;
-- -- vid_packer.vhd -- -- Crops oa configurable window out of a video stream -- -- -- Copyright (C) 2015 <NAME> <<EMAIL>> -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- vim: ts=4 sw=4 -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.utils_pkg.all; entity vid_crop is generic ( DATA_WIDTH : integer := 32; CNT_WIDTH : integer := 12 ); port ( -- Input in_data : in std_logic_vector(DATA_WIDTH-1 downto 0); in_last : in std_logic; in_sof : in std_logic; in_valid : in std_logic; -- Output out_data : out std_logic_vector(DATA_WIDTH-1 downto 0); out_last : out std_logic; out_sof : out std_logic; out_valid : out std_logic; -- Config win_cs : in std_logic_vector(CNT_WIDTH-1 downto 0); win_ce : in std_logic_vector(CNT_WIDTH-1 downto 0); win_ls : in std_logic_vector(CNT_WIDTH-1 downto 0); win_le : in std_logic_vector(CNT_WIDTH-1 downto 0); -- Clock / Reset clk : in std_logic; rst : in std_logic ); end vid_crop; architecture rtl of vid_crop is signal last_1 : std_logic; signal last_2 : std_logic; signal last_3 : std_logic; signal sof_1 : std_logic; signal sof_2 : std_logic; signal sof_3 : std_logic; signal valid_1 : std_logic; signal valid_2 : std_logic; signal valid_3 : std_logic; signal data_3 : std_logic_vector(DATA_WIDTH-1 downto 0); signal cnt_col_1 : std_logic_vector(CNT_WIDTH-1 downto 0); signal cnt_line_1 : std_logic_vector(CNT_WIDTH-1 downto 0); signal win_cs_ok_2 : std_logic; signal win_ce_ok_2 : std_logic; signal win_ls_ok_2 : std_logic; signal win_le_ok_2 : std_logic; signal win_ce_last_2 : std_logic; signal win_ok_2 : std_logic; begin -- Position counters ---------------------- process (clk) begin if rising_edge(clk) then if rst = '1' then cnt_col_1 <= (others => '0'); cnt_line_1 <= (others => '0'); else if in_sof = '1' and in_valid = '1' then cnt_col_1 <= (others => '0'); cnt_line_1 <= (others => '0'); elsif valid_1 = '1' then if last_1 = '1' then cnt_col_1 <= (others => '0'); cnt_line_1 <= cnt_line_1 + 1; else cnt_col_1 <= cnt_col_1 + 1; end if; end if; end if; end if; end process; -- Comparators ---------------- process (clk) begin if rising_edge(clk) then win_cs_ok_2 <= btsl(cnt_col_1 >= win_cs); win_ce_ok_2 <= btsl(cnt_col_1 <= win_ce); win_ls_ok_2 <= btsl(cnt_line_1 >= win_ls); win_le_ok_2 <= btsl(cnt_line_1 <= win_le); win_ce_last_2 <= btsl(cnt_col_1 = win_ce); end if; end process; win_ok_2 <= win_cs_ok_2 and win_ce_ok_2 and win_ls_ok_2 and win_le_ok_2; -- Flags ---------- process (clk) begin if rising_edge(clk) then -- Last flag last_1 <= in_last; last_2 <= last_1; last_3 <= valid_2 and win_ok_2 and (last_2 or win_ce_last_2); -- SOF sof_1 <= in_sof; sof_2 <= sof_1; if sof_2 = '1' and valid_2 = '1' then sof_3 <= '1'; elsif valid_3 = '1' then sof_3 <= '0'; end if; -- Valid flag valid_1 <= in_valid; valid_2 <= valid_1; valid_3 <= valid_2 and win_ok_2; end if; end process; -- Data delay --------------- data_dly_I: delay_bus generic map ( DELAY => 3, WIDTH => DATA_WIDTH ) port map ( d => in_data, q => data_3, qp => open, clk => clk ); -- Output ----------- out_data <= data_3; out_last <= last_3; out_sof <= sof_3; out_valid <= valid_3; end rtl;
<filename>D_7SEG/testbench.vhd -- Insert library and use clauses library ieee; use IEEE.std_logic_textio.all; USE IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_signed.all; use IEEE.numeric_std.all; ENTITY testbench IS generic ( ASIZE : integer := 23; DSIZE : integer := 32; ROWSIZE : integer := 13; COLSIZE : integer := 9; BANKSIZE : integer := 2; ROWSTART : integer := 9; COLSTART : integer := 0; BANKSTART : integer := 20; DATA_ADDR_SIZE : integer := 32; WORD_SIZE : integer := 32; -- SDRAM latencies DATA_AVAL : integer := 2; -- cycles RESET_NOP : integer := 4; -- cycles RAS_TO_CAS : integer := 2; -- cycles PRE_TO_ACT : integer := 3 -- cycles ); END ENTITY testbench; ARCHITECTURE stimulus OF testbench IS component tcs230 port ( clk : in std_logic; rst : in std_logic; freq_sel : in std_logic_vector(1 downto 0); s_out : out std_logic_vector(3 downto 0); -- Filter selection data_in : in std_logic -- sensor data input ); end component; signal clk : std_logic; signal reset : std_logic; signal s : std_logic_vector(3 downto 0); signal data : std_logic; signal data_0, data_1, sel : std_logic; BEGIN -- beginning of architecture body tcs230_0: tcs230 port map ( clk => clk, rst => reset, freq_sel => "11", s_out => s, data_in => data ); -- Process to create clock signal -- 50Mhz clk_proc : process begin clk <= '0'; wait for 500 ns; clk <= '1'; wait for 500 ns; end process; reset_p : process begin reset <= '1'; WAIT FOR 1 us; reset <= '0'; wait; end process; data_sim_f0: process begin data_0 <= '0'; wait for 2 us; data_0 <= '1'; wait for 2 us; end process; data_sim_f1: process begin data_1 <= '0'; wait for 1 us; data_1 <= '1'; wait for 1 us; end process; --LCD_EN <= '1' when (enable = '1') and count = 1 else '0'; sel <= '0', '1' AFTER 40 us; data <= data_0 when (sel = '0') else data_1; END ARCHITECTURE stimulus;
<reponame>mtrberzi/ym2608 ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:09:21 08/02/2014 -- Design Name: -- Module Name: signal_generator_sampled - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity signal_generator_sampled is Port ( clk : in STD_LOGIC; nxt : in STD_LOGIC; sample: out signed(19 downto 0)); end signal_generator_sampled; architecture Behavioral of signal_generator_sampled is component sample_rom port ( clka: in std_logic; addra: in std_logic_vector(17 downto 0); douta: out std_logic_vector(15 downto 0) ); end component; signal addr: std_logic_vector(17 downto 0) := (others=>'0'); constant addr_hi: unsigned(17 downto 0) := to_unsigned(233138-1, 18); constant resample_scale: unsigned(1 downto 0) := "10"; -- resample by 3 (16000Hz samples) signal resample: unsigned(1 downto 0) := resample_scale; signal data: std_logic_vector(15 downto 0); begin process(clk, nxt, addr, resample) variable addr_u: unsigned(17 downto 0); variable next_addr: unsigned(17 downto 0); variable resample_next: unsigned(1 downto 0); begin addr_u := unsigned(addr); next_addr := addr_u; resample_next := resample; if(nxt = '1') then if(resample = "00") then resample_next := resample_scale; if(addr_u = addr_hi) then next_addr := to_unsigned(0, 18); else next_addr := addr_u + 1; end if; else resample_next := resample - 1; end if; end if; if(rising_edge(clk)) then addr <= std_logic_vector(next_addr); resample <= resample_next; end if; end process; sample <= signed(data & "0000"); ROM: sample_rom port map ( clka => clk, addra => addr, douta => data ); end Behavioral;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.stdtap.all; entity MachXO2_TAP is generic ( -- The IDCODE is just kept here for interface compatibility -- For MACHXO2 platforms, it is specified in the .lpf file IDCODE : std_logic_vector(32-1 downto 0) := x"00000000" ); port ( -- JTAG signals (dedicated pins on MACHXO2) reset : in std_logic; tck : in std_logic; tms : in std_logic; tdi : in std_logic; tdo : out std_logic; -- Core <-> TAP signals: tin : in tap_in_rec; tout : out tap_out_rec ); end MachXO2_TAP; architecture sim of MachXO2_TAP is begin process begin tout.emurequest <= '0'; tout.core_reset <= '0'; wait for 5 us; tout.core_reset <= '1'; wait for 1 us; tout.core_reset <= '0'; wait; end process; end sim; ---------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.stdtap.all; entity ECP3_TAP is generic ( -- The IDCODE is just kept here for interface compatibility -- For ECP3 platforms, it is specified in the .lpf file IDCODE : std_logic_vector(32-1 downto 0) := x"00000000" ); port ( -- JTAG signals (dedicated pins on ECP3) reset : in std_logic; tck : in std_logic; tms : in std_logic; tdi : in std_logic; tdo : out std_logic; -- Core <-> TAP signals: tin : in tap_in_rec; tout : out tap_out_rec ); end ECP3_TAP; architecture sim of ECP3_TAP is begin process begin tout.emurequest <= '0'; tout.core_reset <= '0'; wait for 5 us; tout.core_reset <= '1'; wait for 1 us; tout.core_reset <= '0'; wait; end process; end sim; ---------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.stdtap.all; entity ECP5_TAP is generic ( -- The IDCODE is just kept here for interface compatibility -- For ECP3 platforms, it is specified in the .lpf file IDCODE : std_logic_vector(32-1 downto 0) := x"00000000" ); port ( reset : in std_logic; -- JTAG signals (dedicated pins on ECP3) tck : in std_logic; tms : in std_logic; tdi : in std_logic; tdo : out std_logic; -- Core <-> TAP signals: tin : in tap_in_rec; tout : out tap_out_rec ); end ECP5_TAP; architecture sim of ECP5_TAP is begin process begin tout.emurequest <= '0'; tout.core_reset <= '0'; wait for 5 us; tout.core_reset <= '1'; wait for 1 us; tout.core_reset <= '0'; wait; end process; end sim; ---------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.stdtap.all; entity Spartan3_TAP is generic ( -- The IDCODE is just kept here for interface compatibility -- For ECP3 platforms, it is specified in the .lpf file IDCODE : std_logic_vector(32-1 downto 0) := x"00000000" ); port ( -- JTAG signals (dedicated pins on ECP3) tck : in std_logic; tms : in std_logic; tdi : in std_logic; tdo : out std_logic; -- Core <-> TAP signals: tin : in tap_in_rec; tout : out tap_out_rec ); end Spartan3_TAP; architecture sim of Spartan3_TAP is begin process begin tout.emurequest <= '0'; tout.core_reset <= '0'; wait for 5 us; tout.core_reset <= '1'; wait for 1 us; tout.core_reset <= '0'; wait; end process; end sim; ---------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.stdtap.all; entity Spartan6_TAP is generic ( -- The IDCODE is just kept here for interface compatibility -- For ECP3 platforms, it is specified in the .lpf file IDCODE : std_logic_vector(32-1 downto 0) := x"00000000" ); port ( -- JTAG signals (dedicated pins on ECP3) tck : in std_logic; tms : in std_logic; tdi : in std_logic; tdo : out std_logic; -- Core <-> TAP signals: tin : in tap_in_rec; tout : out tap_out_rec ); end Spartan6_TAP; architecture sim of Spartan6_TAP is begin process begin tout.emurequest <= '0'; tout.core_reset <= '0'; wait for 5 us; tout.core_reset <= '1'; wait for 1 us; tout.core_reset <= '0'; wait; end process; end sim;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.4 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity SubRS is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; start_full_n : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; start_out : OUT STD_LOGIC; start_write : OUT STD_LOGIC; src_data_stream_0_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); src_data_stream_0_V_empty_n : IN STD_LOGIC; src_data_stream_0_V_read : OUT STD_LOGIC; src_data_stream_1_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); src_data_stream_1_V_empty_n : IN STD_LOGIC; src_data_stream_1_V_read : OUT STD_LOGIC; src_data_stream_2_V_dout : IN STD_LOGIC_VECTOR (7 downto 0); src_data_stream_2_V_empty_n : IN STD_LOGIC; src_data_stream_2_V_read : OUT STD_LOGIC; dst_data_stream_0_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); dst_data_stream_0_V_full_n : IN STD_LOGIC; dst_data_stream_0_V_write : OUT STD_LOGIC; dst_data_stream_1_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); dst_data_stream_1_V_full_n : IN STD_LOGIC; dst_data_stream_1_V_write : OUT STD_LOGIC; dst_data_stream_2_V_din : OUT STD_LOGIC_VECTOR (7 downto 0); dst_data_stream_2_V_full_n : IN STD_LOGIC; dst_data_stream_2_V_write : OUT STD_LOGIC ); end; architecture behav of SubRS is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (3 downto 0) := "0001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (3 downto 0) := "0010"; constant ap_ST_fsm_pp0_stage0 : STD_LOGIC_VECTOR (3 downto 0) := "0100"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (3 downto 0) := "1000"; constant ap_const_boolean_1 : BOOLEAN := true; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010"; constant ap_const_boolean_0 : BOOLEAN := false; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv10_0 : STD_LOGIC_VECTOR (9 downto 0) := "0000000000"; constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011"; constant ap_const_lv11_0 : STD_LOGIC_VECTOR (10 downto 0) := "00000000000"; constant ap_const_lv10_2D0 : STD_LOGIC_VECTOR (9 downto 0) := "1011010000"; constant ap_const_lv10_1 : STD_LOGIC_VECTOR (9 downto 0) := "0000000001"; constant ap_const_lv11_500 : STD_LOGIC_VECTOR (10 downto 0) := "10100000000"; constant ap_const_lv11_1 : STD_LOGIC_VECTOR (10 downto 0) := "00000000001"; constant ap_const_lv9_FA : STD_LOGIC_VECTOR (8 downto 0) := "011111010"; constant ap_const_lv32_8 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001000"; constant ap_const_lv8_0 : STD_LOGIC_VECTOR (7 downto 0) := "00000000"; signal real_start : STD_LOGIC; signal start_once_reg : STD_LOGIC := '0'; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (3 downto 0) := "0001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal internal_ap_ready : STD_LOGIC; signal src_data_stream_0_V_blk_n : STD_LOGIC; signal ap_CS_fsm_pp0_stage0 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_pp0_stage0 : signal is "none"; signal ap_enable_reg_pp0_iter1 : STD_LOGIC := '0'; signal ap_block_pp0_stage0 : BOOLEAN; signal exitcond_i_reg_333 : STD_LOGIC_VECTOR (0 downto 0); signal src_data_stream_1_V_blk_n : STD_LOGIC; signal src_data_stream_2_V_blk_n : STD_LOGIC; signal dst_data_stream_0_V_blk_n : STD_LOGIC; signal dst_data_stream_1_V_blk_n : STD_LOGIC; signal dst_data_stream_2_V_blk_n : STD_LOGIC; signal t_V_1_reg_196 : STD_LOGIC_VECTOR (10 downto 0); signal exitcond1_i_fu_207_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal i_V_fu_213_p2 : STD_LOGIC_VECTOR (9 downto 0); signal i_V_reg_328 : STD_LOGIC_VECTOR (9 downto 0); signal exitcond_i_fu_219_p2 : STD_LOGIC_VECTOR (0 downto 0); signal ap_block_state3_pp0_stage0_iter0 : BOOLEAN; signal ap_block_state4_pp0_stage0_iter1 : BOOLEAN; signal ap_block_pp0_stage0_11001 : BOOLEAN; signal j_V_fu_225_p2 : STD_LOGIC_VECTOR (10 downto 0); signal ap_enable_reg_pp0_iter0 : STD_LOGIC := '0'; signal ap_block_pp0_stage0_subdone : BOOLEAN; signal ap_condition_pp0_exit_iter0_state3 : STD_LOGIC; signal t_V_reg_185 : STD_LOGIC_VECTOR (9 downto 0); signal ap_block_state1 : BOOLEAN; signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal ap_block_pp0_stage0_01001 : BOOLEAN; signal rhs_V_i_fu_231_p1 : STD_LOGIC_VECTOR (8 downto 0); signal p_Val2_1_fu_235_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_fu_241_p3 : STD_LOGIC_VECTOR (0 downto 0); signal p_Val2_2_fu_249_p1 : STD_LOGIC_VECTOR (7 downto 0); signal rhs_V_1_i_fu_262_p1 : STD_LOGIC_VECTOR (8 downto 0); signal p_Val2_4_fu_266_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_2_fu_272_p3 : STD_LOGIC_VECTOR (0 downto 0); signal p_Val2_5_fu_280_p1 : STD_LOGIC_VECTOR (7 downto 0); signal rhs_V_2_i_fu_293_p1 : STD_LOGIC_VECTOR (8 downto 0); signal p_Val2_s_fu_297_p2 : STD_LOGIC_VECTOR (8 downto 0); signal tmp_4_fu_303_p3 : STD_LOGIC_VECTOR (0 downto 0); signal p_Val2_7_fu_311_p1 : STD_LOGIC_VECTOR (7 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (3 downto 0); signal ap_idle_pp0 : STD_LOGIC; signal ap_enable_pp0 : STD_LOGIC; begin ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_continue = ap_const_logic_1)) then ap_done_reg <= ap_const_logic_0; elsif (((exitcond1_i_fu_207_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; ap_enable_reg_pp0_iter0_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_enable_reg_pp0_iter0 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_condition_pp0_exit_iter0_state3) and (ap_const_boolean_0 = ap_block_pp0_stage0_subdone))) then ap_enable_reg_pp0_iter0 <= ap_const_logic_0; elsif (((exitcond1_i_fu_207_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_enable_reg_pp0_iter0 <= ap_const_logic_1; end if; end if; end if; end process; ap_enable_reg_pp0_iter1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_enable_reg_pp0_iter1 <= ap_const_logic_0; else if (((ap_const_logic_1 = ap_condition_pp0_exit_iter0_state3) and (ap_const_boolean_0 = ap_block_pp0_stage0_subdone))) then ap_enable_reg_pp0_iter1 <= (ap_const_logic_1 xor ap_condition_pp0_exit_iter0_state3); elsif ((ap_const_boolean_0 = ap_block_pp0_stage0_subdone)) then ap_enable_reg_pp0_iter1 <= ap_enable_reg_pp0_iter0; elsif (((exitcond1_i_fu_207_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_enable_reg_pp0_iter1 <= ap_const_logic_0; end if; end if; end if; end process; start_once_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then start_once_reg <= ap_const_logic_0; else if (((internal_ap_ready = ap_const_logic_0) and (real_start = ap_const_logic_1))) then start_once_reg <= ap_const_logic_1; elsif ((internal_ap_ready = ap_const_logic_1)) then start_once_reg <= ap_const_logic_0; end if; end if; end if; end process; t_V_1_reg_196_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((exitcond_i_fu_219_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_enable_reg_pp0_iter0 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then t_V_1_reg_196 <= j_V_fu_225_p2; elsif (((exitcond1_i_fu_207_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2))) then t_V_1_reg_196 <= ap_const_lv11_0; end if; end if; end process; t_V_reg_185_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state5)) then t_V_reg_185 <= i_V_reg_328; elsif ((not(((real_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1))) and (ap_const_logic_1 = ap_CS_fsm_state1))) then t_V_reg_185 <= ap_const_lv10_0; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then exitcond_i_reg_333 <= exitcond_i_fu_219_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state2)) then i_V_reg_328 <= i_V_fu_213_p2; end if; end if; end process; ap_NS_fsm_assign_proc : process (real_start, ap_done_reg, ap_CS_fsm, ap_CS_fsm_state1, exitcond1_i_fu_207_p2, ap_CS_fsm_state2, exitcond_i_fu_219_p2, ap_enable_reg_pp0_iter0, ap_block_pp0_stage0_subdone) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if ((not(((real_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1))) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((exitcond1_i_fu_207_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_NS_fsm <= ap_ST_fsm_state1; else ap_NS_fsm <= ap_ST_fsm_pp0_stage0; end if; when ap_ST_fsm_pp0_stage0 => if (not(((exitcond_i_fu_219_p2 = ap_const_lv1_1) and (ap_enable_reg_pp0_iter0 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_subdone)))) then ap_NS_fsm <= ap_ST_fsm_pp0_stage0; elsif (((exitcond_i_fu_219_p2 = ap_const_lv1_1) and (ap_enable_reg_pp0_iter0 = ap_const_logic_1) and (ap_const_boolean_0 = ap_block_pp0_stage0_subdone))) then ap_NS_fsm <= ap_ST_fsm_state5; else ap_NS_fsm <= ap_ST_fsm_pp0_stage0; end if; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state2; when others => ap_NS_fsm <= "XXXX"; end case; end process; ap_CS_fsm_pp0_stage0 <= ap_CS_fsm(2); ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state5 <= ap_CS_fsm(3); ap_block_pp0_stage0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_pp0_stage0_01001_assign_proc : process(src_data_stream_0_V_empty_n, src_data_stream_1_V_empty_n, src_data_stream_2_V_empty_n, dst_data_stream_0_V_full_n, dst_data_stream_1_V_full_n, dst_data_stream_2_V_full_n, ap_enable_reg_pp0_iter1, exitcond_i_reg_333) begin ap_block_pp0_stage0_01001 <= ((ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_2_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_1_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_0_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_2_V_empty_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_1_V_empty_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_0_V_empty_n = ap_const_logic_0)))); end process; ap_block_pp0_stage0_11001_assign_proc : process(src_data_stream_0_V_empty_n, src_data_stream_1_V_empty_n, src_data_stream_2_V_empty_n, dst_data_stream_0_V_full_n, dst_data_stream_1_V_full_n, dst_data_stream_2_V_full_n, ap_enable_reg_pp0_iter1, exitcond_i_reg_333) begin ap_block_pp0_stage0_11001 <= ((ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_2_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_1_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_0_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_2_V_empty_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_1_V_empty_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_0_V_empty_n = ap_const_logic_0)))); end process; ap_block_pp0_stage0_subdone_assign_proc : process(src_data_stream_0_V_empty_n, src_data_stream_1_V_empty_n, src_data_stream_2_V_empty_n, dst_data_stream_0_V_full_n, dst_data_stream_1_V_full_n, dst_data_stream_2_V_full_n, ap_enable_reg_pp0_iter1, exitcond_i_reg_333) begin ap_block_pp0_stage0_subdone <= ((ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_2_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_1_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_0_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_2_V_empty_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_1_V_empty_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_0_V_empty_n = ap_const_logic_0)))); end process; ap_block_state1_assign_proc : process(real_start, ap_done_reg) begin ap_block_state1 <= ((real_start = ap_const_logic_0) or (ap_done_reg = ap_const_logic_1)); end process; ap_block_state3_pp0_stage0_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1)); ap_block_state4_pp0_stage0_iter1_assign_proc : process(src_data_stream_0_V_empty_n, src_data_stream_1_V_empty_n, src_data_stream_2_V_empty_n, dst_data_stream_0_V_full_n, dst_data_stream_1_V_full_n, dst_data_stream_2_V_full_n, exitcond_i_reg_333) begin ap_block_state4_pp0_stage0_iter1 <= (((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_2_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_1_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (dst_data_stream_0_V_full_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_2_V_empty_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_1_V_empty_n = ap_const_logic_0)) or ((exitcond_i_reg_333 = ap_const_lv1_0) and (src_data_stream_0_V_empty_n = ap_const_logic_0))); end process; ap_condition_pp0_exit_iter0_state3_assign_proc : process(exitcond_i_fu_219_p2) begin if ((exitcond_i_fu_219_p2 = ap_const_lv1_1)) then ap_condition_pp0_exit_iter0_state3 <= ap_const_logic_1; else ap_condition_pp0_exit_iter0_state3 <= ap_const_logic_0; end if; end process; ap_done_assign_proc : process(ap_done_reg, exitcond1_i_fu_207_p2, ap_CS_fsm_state2) begin if (((exitcond1_i_fu_207_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then ap_done <= ap_const_logic_1; else ap_done <= ap_done_reg; end if; end process; ap_enable_pp0 <= (ap_idle_pp0 xor ap_const_logic_1); ap_idle_assign_proc : process(real_start, ap_CS_fsm_state1) begin if (((real_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_idle_pp0_assign_proc : process(ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter0) begin if (((ap_enable_reg_pp0_iter1 = ap_const_logic_0) and (ap_enable_reg_pp0_iter0 = ap_const_logic_0))) then ap_idle_pp0 <= ap_const_logic_1; else ap_idle_pp0 <= ap_const_logic_0; end if; end process; ap_ready <= internal_ap_ready; dst_data_stream_0_V_blk_n_assign_proc : process(dst_data_stream_0_V_full_n, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0, exitcond_i_reg_333) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0))) then dst_data_stream_0_V_blk_n <= dst_data_stream_0_V_full_n; else dst_data_stream_0_V_blk_n <= ap_const_logic_1; end if; end process; dst_data_stream_0_V_din <= ap_const_lv8_0 when (tmp_fu_241_p3(0) = '1') else p_Val2_2_fu_249_p1; dst_data_stream_0_V_write_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, exitcond_i_reg_333, ap_block_pp0_stage0_11001) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then dst_data_stream_0_V_write <= ap_const_logic_1; else dst_data_stream_0_V_write <= ap_const_logic_0; end if; end process; dst_data_stream_1_V_blk_n_assign_proc : process(dst_data_stream_1_V_full_n, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0, exitcond_i_reg_333) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0))) then dst_data_stream_1_V_blk_n <= dst_data_stream_1_V_full_n; else dst_data_stream_1_V_blk_n <= ap_const_logic_1; end if; end process; dst_data_stream_1_V_din <= ap_const_lv8_0 when (tmp_2_fu_272_p3(0) = '1') else p_Val2_5_fu_280_p1; dst_data_stream_1_V_write_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, exitcond_i_reg_333, ap_block_pp0_stage0_11001) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then dst_data_stream_1_V_write <= ap_const_logic_1; else dst_data_stream_1_V_write <= ap_const_logic_0; end if; end process; dst_data_stream_2_V_blk_n_assign_proc : process(dst_data_stream_2_V_full_n, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0, exitcond_i_reg_333) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0))) then dst_data_stream_2_V_blk_n <= dst_data_stream_2_V_full_n; else dst_data_stream_2_V_blk_n <= ap_const_logic_1; end if; end process; dst_data_stream_2_V_din <= ap_const_lv8_0 when (tmp_4_fu_303_p3(0) = '1') else p_Val2_7_fu_311_p1; dst_data_stream_2_V_write_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, exitcond_i_reg_333, ap_block_pp0_stage0_11001) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then dst_data_stream_2_V_write <= ap_const_logic_1; else dst_data_stream_2_V_write <= ap_const_logic_0; end if; end process; exitcond1_i_fu_207_p2 <= "1" when (t_V_reg_185 = ap_const_lv10_2D0) else "0"; exitcond_i_fu_219_p2 <= "1" when (t_V_1_reg_196 = ap_const_lv11_500) else "0"; i_V_fu_213_p2 <= std_logic_vector(unsigned(t_V_reg_185) + unsigned(ap_const_lv10_1)); internal_ap_ready_assign_proc : process(exitcond1_i_fu_207_p2, ap_CS_fsm_state2) begin if (((exitcond1_i_fu_207_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then internal_ap_ready <= ap_const_logic_1; else internal_ap_ready <= ap_const_logic_0; end if; end process; j_V_fu_225_p2 <= std_logic_vector(unsigned(t_V_1_reg_196) + unsigned(ap_const_lv11_1)); p_Val2_1_fu_235_p2 <= std_logic_vector(unsigned(ap_const_lv9_FA) - unsigned(rhs_V_i_fu_231_p1)); p_Val2_2_fu_249_p1 <= p_Val2_1_fu_235_p2(8 - 1 downto 0); p_Val2_4_fu_266_p2 <= std_logic_vector(unsigned(ap_const_lv9_FA) - unsigned(rhs_V_1_i_fu_262_p1)); p_Val2_5_fu_280_p1 <= p_Val2_4_fu_266_p2(8 - 1 downto 0); p_Val2_7_fu_311_p1 <= p_Val2_s_fu_297_p2(8 - 1 downto 0); p_Val2_s_fu_297_p2 <= std_logic_vector(unsigned(ap_const_lv9_FA) - unsigned(rhs_V_2_i_fu_293_p1)); real_start_assign_proc : process(ap_start, start_full_n, start_once_reg) begin if (((start_once_reg = ap_const_logic_0) and (start_full_n = ap_const_logic_0))) then real_start <= ap_const_logic_0; else real_start <= ap_start; end if; end process; rhs_V_1_i_fu_262_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(src_data_stream_1_V_dout),9)); rhs_V_2_i_fu_293_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(src_data_stream_2_V_dout),9)); rhs_V_i_fu_231_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(src_data_stream_0_V_dout),9)); src_data_stream_0_V_blk_n_assign_proc : process(src_data_stream_0_V_empty_n, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0, exitcond_i_reg_333) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0))) then src_data_stream_0_V_blk_n <= src_data_stream_0_V_empty_n; else src_data_stream_0_V_blk_n <= ap_const_logic_1; end if; end process; src_data_stream_0_V_read_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, exitcond_i_reg_333, ap_block_pp0_stage0_11001) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then src_data_stream_0_V_read <= ap_const_logic_1; else src_data_stream_0_V_read <= ap_const_logic_0; end if; end process; src_data_stream_1_V_blk_n_assign_proc : process(src_data_stream_1_V_empty_n, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0, exitcond_i_reg_333) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0))) then src_data_stream_1_V_blk_n <= src_data_stream_1_V_empty_n; else src_data_stream_1_V_blk_n <= ap_const_logic_1; end if; end process; src_data_stream_1_V_read_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, exitcond_i_reg_333, ap_block_pp0_stage0_11001) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then src_data_stream_1_V_read <= ap_const_logic_1; else src_data_stream_1_V_read <= ap_const_logic_0; end if; end process; src_data_stream_2_V_blk_n_assign_proc : process(src_data_stream_2_V_empty_n, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0, exitcond_i_reg_333) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_const_boolean_0 = ap_block_pp0_stage0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0))) then src_data_stream_2_V_blk_n <= src_data_stream_2_V_empty_n; else src_data_stream_2_V_blk_n <= ap_const_logic_1; end if; end process; src_data_stream_2_V_read_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, exitcond_i_reg_333, ap_block_pp0_stage0_11001) begin if (((exitcond_i_reg_333 = ap_const_lv1_0) and (ap_enable_reg_pp0_iter1 = ap_const_logic_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_boolean_0 = ap_block_pp0_stage0_11001))) then src_data_stream_2_V_read <= ap_const_logic_1; else src_data_stream_2_V_read <= ap_const_logic_0; end if; end process; start_out <= real_start; start_write_assign_proc : process(real_start, start_once_reg) begin if (((start_once_reg = ap_const_logic_0) and (real_start = ap_const_logic_1))) then start_write <= ap_const_logic_1; else start_write <= ap_const_logic_0; end if; end process; tmp_2_fu_272_p3 <= p_Val2_4_fu_266_p2(8 downto 8); tmp_4_fu_303_p3 <= p_Val2_s_fu_297_p2(8 downto 8); tmp_fu_241_p3 <= p_Val2_1_fu_235_p2(8 downto 8); end behav;
<reponame>bravo95/SecondProcessor library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.std_logic_unsigned.all; entity regis is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC := '0' ; datain : in STD_LOGIC_VECTOR (31 downto 0) := x"00000000" ; dataout : out STD_LOGIC_VECTOR (31 downto 0) := x"00000000" ); end regis; architecture Behavioral of regis is signal res: std_logic_vector(31 downto 0):= x"00000000"; begin res <= datain; process (clk, rst) begin if (clk'event and clk = '1' and rst = '0') then if datain = "00000000000000000000000001000000" then dataout<= "00000000000000000000000000000000"; else dataout <= res; end if; end if; if (rst = '1') then dataout <= "00000000000000000000000000000000"; end if; end process; --process (rst) --begin -- if (rst'event and rst = '1') then -- res <= x"00000000"; -- dataout <= res; -- end if; --end process; end Behavioral;
<gh_stars>10-100 --====================================================================== -- Wrapper for the Xilinx device DNA primitive. A 1->0 transition of -- the reset triggers a read of the DNA value, which is then exposed -- as a 96-bit parallel value. -- -- Details about the DNA_PORTE2 primitive itself can be found in UG974 -- and UG570. --====================================================================== library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity device_dna is port ( clk : in std_logic; rst : in std_logic; dna : out std_logic_vector(95 downto 0) ); end device_dna; architecture rtl of device_dna is constant C_DNA_LENGTH : natural := 96; signal dna_dout : std_logic; signal dna_read : std_logic; signal dna_shift : std_logic; signal dna_val : std_logic_vector(C_DNA_LENGTH - 1 downto 0); signal dna_bits_left : std_logic_vector(7 downto 0); type STATE is (STATE_IDLE, STATE_READ, STATE_SHIFT, STATE_DONE); signal fsm_state : STATE; begin -- The actual Xilinx UltraScale(+) device DNA primitive. dna_port : DNA_PORTE2 generic map ( SIM_DNA_VALUE => x"000000000000000000000000" ) port map ( clk => clk, din => '0', dout => dna_dout, read => dna_read, shift => dna_shift ); -- The process to trigger a DNA read and turn the serial result into -- a bit vector. read_dna : process (clk) is begin if rising_edge(clk) then if rst = '1' then fsm_state <= STATE_IDLE; else case fsm_state is when STATE_IDLE => dna_read <= '0'; dna_shift <= '0'; dna_val <= (others => '0'); dna_bits_left <= (others => '0'); -- Move on. fsm_state <= STATE_READ; when STATE_READ => -- Trigger a DNA read. dna_read <= '1'; dna_shift <= '0'; dna_val <= (others => '0'); dna_bits_left <= std_logic_vector(to_unsigned(C_DNA_LENGTH, dna_bits_left'length)); -- Move on. fsm_state <= STATE_SHIFT; when STATE_SHIFT => dna_read <= '0'; dna_shift <= '1'; -- Add the latest bit read to our running value. -- NOTE: Shift direction is from LSB to MSB. dna_val <= dna_dout & dna_val(dna_val'length - 1 downto 1); dna_bits_left <= dna_bits_left - '1'; -- Once the whole DNA has been read, move on. if dna_bits_left = (dna_bits_left'range => '0') then fsm_state <= STATE_DONE; else fsm_state <= fsm_state; end if; when STATE_DONE => -- Nothing to do, really. dna_read <= dna_read; dna_shift <= '0'; dna_val <= dna_val; dna_bits_left <= dna_bits_left; fsm_state <= fsm_state; end case; end if; end if; end process read_dna; dna <= dna_val; end rtl; --======================================================================
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.numeric_bit.all; use IEEE.numeric_std.all; entity fourbitadderCount is port (A,B: in STD_Logic_Vector(3 downto 0); carry: out std_logic; sum: out std_logic_vector(3 downto 0)); end fourbitadderCount; ------------------------------------------------- architecture behavioral of fourbitadderCount is signal result: std_logic_vector (4 downto 0); begin result <= ('0' & A) + ('0' & B); sum <= result(3 downto 0); carry <= result(4); end behavioral;
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY dec_2to4_ne IS PORT( a: IN std_logic_vector(2 DOWNTO 1); d: OUT std_logic_vector(4 DOWNTO 1)); END dec_2to4_ne; ARCHITECTURE behav OF dec_2to4_ne IS BEGIN WITH a SELECT d <= "0001" WHEN "00", "0010" WHEN "01", "0100" WHEN "10", "1000" WHEN "11", "0000" WHEN OTHERS; END behav;
library ieee; use ieee.std_logic_1164.all; use work.core_pack.all; use work.serial_port_pkg.all; entity serial_port_wrapper is generic ( clk_freq : integer; baud_rate : integer; sync_stages : integer; tx_fifo_depth : integer; rx_fifo_depth : integer); port ( clk : in std_logic; res_n : in std_logic; address : in std_logic_vector(0 downto 0); wr : in std_logic; wr_data : in std_logic_vector(DATA_WIDTH-1 downto 0); rd : in std_logic; rd_data : out std_logic_vector(DATA_WIDTH-1 downto 0); tx : out std_logic; rx : in std_logic); end serial_port_wrapper; architecture behavior of serial_port_wrapper is signal tx_data : std_logic_vector(7 downto 0); signal tx_wr : std_logic; signal tx_free : std_logic; signal rx_data : std_logic_vector(7 downto 0); signal rx_rd : std_logic; signal rx_data_empty : std_logic; signal rx_data_full : std_logic; signal rd_address : std_logic_vector(0 downto 0); begin -- behavior sp : serial_port generic map ( clk_freq => clk_freq, baud_rate => baud_rate, sync_stages => sync_stages, tx_fifo_depth => tx_fifo_depth, rx_fifo_depth => rx_fifo_depth) port map ( clk => clk, res_n => res_n, tx_data => tx_data, tx_wr => tx_wr, tx_free => tx_free, rx_data => rx_data, rx_rd => rx_rd, rx_data_empty => rx_data_empty, rx_data_full => rx_data_full, rx => rx, tx => tx); sync: process (clk, res_n) begin -- process sync if res_n = '0' then -- asynchronous reset (active low) rd_address <= (others => '0'); elsif clk'event and clk = '1' then -- rising clock edge if rd = '1' then rd_address <= address; end if; end if; end process sync; write: process (address, wr, wr_data) begin -- process wrap tx_data <= wr_data(31 downto 24); if address(0) = '1' then tx_wr <= wr; else tx_wr <= '0'; end if; end process write; read: process (address, rd, rd_address, rx_data, rx_data_empty, rx_data_full, tx_free) begin -- process read if address(0) = '1' then rx_rd <= rd; else rx_rd <= '0'; end if; rd_data <= (others => '0'); if rd_address(0) = '1' then rd_data(31 downto 24) <= rx_data; else rd_data(31 downto 24) <= "00000" & rx_data_full & not rx_data_empty & tx_free; end if; end process read; end behavior;
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY Sboxes IS GENERIC ( count : POSITIVE); PORT ( input: IN STD_LOGIC_VECTOR (4*count-1 DOWNTO 0); output: OUT STD_LOGIC_VECTOR (4*count-1 DOWNTO 0)); END Sboxes; ARCHITECTURE behavioral OF Sboxes IS BEGIN GEN : FOR i IN 0 TO count-1 GENERATE SboxInst: ENTITY work.sbox Port Map ( X => input ((i+1)*4-1 downto i*4), Y => output((i+1)*4-1 downto i*4)); END GENERATE; END behavioral;
<filename>vhdl/customClock.vhd -- uartRx.vhd -- -- UART transmitter model for the camera link interface. -- -- <NAME> -- NASA MSFC EI31 -- 3/6/2006 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use work.meshPack.all; entity customClock is generic( clockDivider : integer range 0 to 100000 := 2; halfClk : integer range 0 to 100000 := 1 ); port ( clk : in std_logic; rst : in std_logic; clkOut : out std_logic ); end customClock; architecture behave of customClock is type clockStates is (waitForClkHigh,waitForClkLow); signal clockState : clockStates; signal cnt : integer range 0 to clockDivider := 0; begin -- transmitter process(rst, clk) begin if rst = '0' then clockState <= waitForClkLow; cnt <= 1; clkOut <= '0'; elsif (clk'event and clk = '1') then if (cnt = clockDivider) then clkOut <= '1'; cnt <= 1; -- elsif (cnt >= halfClk) then -- clkOut <= '0'; -- cnt <= cnt + 1; else clkOut <= '0'; cnt <= cnt + 1; end if; end if; end process; ------------------------------------------------------------------------------------------ end behave;
-------------------------------------------- -- 信号窄化器 -- 在sig_in的上升沿作为启动窄化的信号 -- 窄化的后的信号持续narr_prd个clk周期的高电平 -- 当narr_prd=0时, narr_sig_out就是0了 -------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity narr_sig is port( sig_in : in std_logic; clk : in std_logic; reset : in std_logic; narr_prd : in std_logic_vector(7 downto 0); -- narr信号持续的周期数(以clk为周期) narr_sig_out : out std_logic ); end narr_sig; architecture arch of narr_sig is -- narr信号持续的周期计数器(1 -> narr_prd) signal narr_prd_cnt : std_logic_vector(7 downto 0); -- 停止窄化标志 0-窄化, 1-不窄化 signal stop_narr_flag : std_logic; begin process(sig_in, reset, clk, stop_narr_flag) begin if reset = '1' then narr_prd_cnt <= X"00"; stop_narr_flag <= '1'; narr_sig_out <= '0'; else if sig_in = '0' then narr_prd_cnt <= X"00"; stop_narr_flag <= '0'; narr_sig_out <= '0'; else if stop_narr_flag = '0' then if rising_edge(clk) then narr_prd_cnt <= narr_prd_cnt + '1'; narr_sig_out <= '1'; if narr_prd_cnt = narr_prd then stop_narr_flag <= '1'; narr_prd_cnt <= X"00"; narr_sig_out <= '0'; end if; end if; end if; end if; end if; end process; end arch;
<filename>zed_system/zed3_axiethlite/zed3_axiethlite.ip_user_files/ipstatic/axi_ethernetlite_v3_0/hdl/src/vhdl/defer_state.vhd ------------------------------------------------------------------------------- -- defer_state - entity/architecture pair ------------------------------------------------------------------------------- -- *************************************************************************** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2010 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename : defer_state.vhd -- Version : v2.0 -- Description : This file contains the transmit deferral state machine. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_ethernetlite.vhd -- \ -- \-- axi_interface.vhd -- \-- xemac.vhd -- \ -- \-- mdio_if.vhd -- \-- emac_dpram.vhd -- \ \ -- \ \-- RAMB16_S4_S36 -- \ -- \ -- \-- emac.vhd -- \ -- \-- MacAddrRAM -- \-- receive.vhd -- \ rx_statemachine.vhd -- \ rx_intrfce.vhd -- \ async_fifo_fg.vhd -- \ crcgenrx.vhd -- \ -- \-- transmit.vhd -- crcgentx.vhd -- crcnibshiftreg -- tx_intrfce.vhd -- async_fifo_fg.vhd -- tx_statemachine.vhd -- deferral.vhd -- cntr5bit.vhd -- defer_state.vhd -- bocntr.vhd -- lfsr16.vhd -- msh_cnt.vhd -- ld_arith_reg.vhd -- ------------------------------------------------------------------------------- -- Author: PVK -- History: -- PVK 06/07/2010 First Version -- ^^^^^^ -- First version. -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "Clk", "clk_div#", "clk_#x" -- reset signals: "Rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- library ieee; use ieee.std_logic_1164.all; ------------------------------------------------------------------------------- -- axi_ethernetlite_v3_0_4 library is used for axi_ethernetlite_v3_0_4 -- component declarations ------------------------------------------------------------------------------- library axi_ethernetlite_v3_0_4; use axi_ethernetlite_v3_0_4.mac_pkg.all; -- synopsys translate_off -- Library XilinxCoreLib; -- synopsys translate_on ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Ports: -- -- Clk -- System Clock -- Rst -- System Reset -- TxEn -- Transmit enable -- Txrst -- Transmit reset -- Ifgp2Done -- Interframe gap2 done -- Ifgp1Done -- Interframe gap1 done -- BackingOff -- Backing off -- Crs -- Carrier sense -- Full_half_n -- Full/Half duplex indicator -- Deferring -- Deffering for the tx data -- CntrEnbl -- Counter enable -- CntrLd -- Counter load ------------------------------------------------------------------------------- -- ENTITY ------------------------------------------------------------------------------- entity defer_state is port ( Clk : in std_logic; Rst : in std_logic; TxEn : in std_logic; Txrst : in std_logic; Ifgp2Done : in std_logic; Ifgp1Done : in std_logic; BackingOff : in std_logic; Crs : in std_logic; Full_half_n : in std_logic; Deferring : out std_logic; CntrEnbl : out std_logic; CntrLd : out std_logic ); end defer_state; ------------------------------------------------------------------------------- -- Definition of Generics: -- No Generics were used for this Entity. -- -- Definition of Ports: -- ------------------------------------------------------------------------------- architecture implementation of defer_state is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Constants used in this design are found in mac_pkg.vhd ------------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- type StateName is (loadCntr,startIfgp1Cnt,startIfgp2Cnt,cntDone); signal thisState : StateName; signal nextState : StateName; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- The following components are the building blocks of the tx state machine begin ---------------------------------------------------------------------------- -- FSMR Process ---------------------------------------------------------------------------- -- An FSM that deals with transmitting data ---------------------------------------------------------------------------- FSMR : process (Clk) begin -- if (Clk'event and Clk = '1') then -- rising clock edge if (Rst = '1' or Txrst = '1') then thisState <= loadCntr; else thisState <= nextState; end if; end if; end process FSMR; ---------------------------------------------------------------------------- -- FSMC Process ---------------------------------------------------------------------------- FSMC : process (thisState,TxEn,Ifgp2Done,Ifgp1Done,BackingOff,Crs, Full_half_n) begin -- case thisState is when loadCntr => if (((TxEn = '0') and (Full_half_n = '1')) or ((Crs = '0') and (Full_half_n = '0') and (BackingOff = '0'))) and Ifgp1Done = '0' and Ifgp2Done = '0' then nextState <= startIfgp1Cnt; else nextState <= loadCntr; -- wait for end of transmission end if; when startIfgp1Cnt => if (((Crs = '1') and (Full_half_n = '0')) or ((BackingOff = '1') and (Full_half_n = '0'))) then nextState <= loadCntr; elsif (Ifgp1Done = '1') then -- gap done nextState <= startIfgp2Cnt; else nextState <= startIfgp1Cnt; -- still counting end if; when startIfgp2Cnt => -- Added check for CRS to reset counter in when CRS goes low. if (((Crs = '1') and (Full_half_n = '0')) or ((BackingOff = '1') and (Full_half_n = '0'))) then nextState <= loadCntr; elsif (Ifgp2Done = '1') then -- gap done nextState <= cntDone; else nextState <= startIfgp2Cnt; -- still counting end if; when cntDone => if (TxEn = '1' or Crs = '1') then -- transmission started nextState <= loadCntr; else nextState <= cntDone; end if; -- coverage off when others => null; nextState <= loadCntr; -- coverage on end case; end process FSMC; ---------------------------------------------------------------------------- -- FSMD Process ---------------------------------------------------------------------------- FSMD : process(thisState) begin -- if ((thisState = loadCntr) or (thisState = startIfgp1Cnt) or (thisState = startIfgp2Cnt)) then Deferring <= '1'; else Deferring <= '0'; end if; if ((thisState = startIfgp1Cnt) or (thisState = startIfgp2Cnt)) then CntrEnbl <= '1'; else CntrEnbl <= '0'; end if; if (thisState = loadCntr) then CntrLd <= '1'; else CntrLd <= '0'; end if; end process FSMD; end implementation;
<filename>hardware/traffic_generator_receiver/VHDL/traffic_gen_with_noc/rtl/packages/NOC_3D_PACKAGE.vhd ------------------------------------------------------------------------------- -- Title : Package for modular, heterogenous 3D NoC -- Project : Modular, heterogenous 3D NoC ------------------------------------------------------------------------------- -- File : NOC_3D_PACKAGE.vhd -- Author : <NAME> <<EMAIL>> -- Company : -- Created : 2018-10-24 -- Last update: 2018-11-28 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Package including the constants, types, function and components -- required for the modular, heterogenous 3D NoC. ------------------------------------------------------------------------------- -- Copyright (c) 2018 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2018-10-24 1.0 bamberg Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; package NOC_3D_PACKAGE is -------------------------------------------------------------------------------- ---------------------- CONSTANTS ----------------------------------------------- -------------------------------------------------------------------------------- ---- The following lines can be edited to change the router architecture ---- With VHDL2008 these should be generic of the package constant flit_size : positive := 32; -- Flit size in bits constant max_vc_num : positive := 4; -- Max VCs of an input phy. channel constant max_vc_num_out : positive := 4; -- Max VCs of an op. channel constant max_x_dim : positive := 4; -- Max number of routers in X-dim constant max_y_dim : positive := 4; -- Max number of routers in Y-dim constant max_Z_dim : positive := 4; -- Max number of routers in Z-dim constant max_packet_len : positive := 31; -- Max packet_length in flits -- (ideal is 2^N-1) constant max_port_num : positive := 7; -- Max number of router port -- Which port-num belongs to witch port constant int_local : natural := 0; constant int_north : natural := 1; constant int_east : natural := 2; constant int_south : natural := 3; constant int_west : natural := 4; constant int_up : natural := 5; constant int_down : natural := 6; -- General contants for the used technology constant RST_LVL : std_logic := '0'; -- Level to acticate reset ('1' => -- active high; '0' => active low) -- Clock cycle in nano second constant clk_period : time := 10 ns; constant delay_constant : time := 300 ps; -- Derived constants that cannot be edited (there values is calculated later -- in the body) constant packet_len_width : positive; -- Header Bits req. for packet-length constant x_addr_width : positive; -- Header Bits req. for Dest. Addr X constant y_addr_width : positive; -- Header Bits req. for Dest. Addr Y constant z_addr_width : positive; -- Header Bits req. for Dest. Addr Z -------------------------------------------------------------------------------- --------------------- (SUB)TYPES ----------------------------------------------- -------------------------------------------------------------------------------- -- General type integer_vec is array (natural range <>) of integer; type integer_array is array (natural range <>, natural range <>) of integer; -- Flit related subtype flit is std_logic_vector(flit_size-1 downto 0); type flit_vector is array (natural range <>) of std_logic_vector(flit_size-1 downto 0); -- Virtual channel related subtype vc_status_vec is std_logic_vector(max_vc_num-1 downto 0); subtype vc_status_vec_enc is std_logic_vector( positive(ceil(log2(real(max_vc_num))))-1 downto 0); type vc_status_array is array (natural range <>) of vc_status_vec; type vc_status_array_enc is array (natural range <>) of vc_status_vec_enc; subtype vc_prop_int is integer_vec(0 to max_vc_num-1); -- integer vc -- propoerties -- (e.g. depth) type vc_prop_int_array is array (natural range <>) of vc_prop_int; -- Full NoC related -- Head Flit related type header_inf is record packet_length : std_logic_vector(positive(ceil(log2(real(max_packet_len+1))))-1 downto 0); ------------------------------- (packet_len_width-1 downto 0) x_dest : std_logic_vector(positive(ceil(log2(real(max_x_dim))))-1 downto 0); ------------------------------- (x_addr_width-1 downto 0) y_dest : std_logic_vector(positive(ceil(log2(real(max_y_dim))))-1 downto 0); ------------------------------- (y_addr_width-1 downto 0) z_dest : std_logic_vector(positive(ceil(log2(real(max_z_dim))))-1 downto 0); --------------------------------- (z_addr_width-1 downto 0) end record; type header_inf_vector is array (natural range <>) of header_inf; -- Head Flit related type address_inf is record x_dest : std_logic_vector(positive(ceil(log2(real(max_x_dim))))-1 downto 0); ------------------------------- (x_addr_width-1 downto 0) y_dest : std_logic_vector(positive(ceil(log2(real(max_y_dim))))-1 downto 0); ------------------------------- (y_addr_width-1 downto 0) z_dest : std_logic_vector(positive(ceil(log2(real(max_z_dim))))-1 downto 0); --------------------------------- (z_addr_width-1 downto 0) end record; --------------------------------------------------------------------------------- ------------------ FUNCTION-DEC. ------------------------------------------------ --------------------------------------------------------------------------------- -- Bits required to encode x different values function bit_width(x : positive) return positive; -- Transfer std_logic_vector (intp. unsigned) to natural integer function slv2int(x : std_logic_vector) return natural; -- Transfer "one_hot" to std_logic_vector function one_hot2slv(x : std_logic_vector) return std_logic_vector; -- Transfer "one_hot" to natural integer function one_hot2int(x : std_logic_vector) return natural; -- Get the req. information from the head_flit function get_header_inf(x : std_logic_vector) return header_inf; -- Get the dest. adress from the header information function extract_address_inf(x : header_inf) return address_inf; -- Sum all values of an integer array function int_vec_sum(x : integer_vec) return integer; -- Upper range function upper_range(x : integer_vec; i : natural) return natural; -- Lower range function lower_range(x : integer_vec; i : natural) return natural; -- Get the i^th slice of x (slice sized defined by vec) function slice(x : std_logic_vector; vec : integer_vec; i : natural) return std_logic_vector; -- Return the index of a value in an array function ret_index(x : integer_vec; i : integer) return integer; -- Return the maximum value of an array function ret_max(x : integer_vec) return integer; end package NOC_3D_PACKAGE; --!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!-- --------------------- BODY ------------------------------------------------------- --!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!-- package body NOC_3D_PACKAGE is ----------------------------------------------------------------------------------- ------------------- FUNCTION-DEC. ------------------------------------------------- ----------------------------------------------------------------------------------- -- Bits required to encode x different values function bit_width(x : positive) return positive is begin assert (x > 1) report "Encoding for less than two values is not possible" severity failure; return positive(ceil(log2(real(x)))); end function; -- Derived constants using function bit_width constant packet_len_width : positive := bit_width(max_packet_len+1); constant x_addr_width : positive := bit_width(max_x_dim); constant y_addr_width : positive := bit_width(max_y_dim); constant z_addr_width : positive := bit_width(max_z_dim); -- Transfer "std_logic_vector" (intp. unsigned) to "natural integer" function slv2int(x : std_logic_vector) return natural is begin return to_integer(unsigned(x)); end function; -- Transfer "one_hot" to "std_logic_vector" function one_hot2slv(x : std_logic_vector) return std_logic_vector is variable var : std_logic_vector(bit_width(x'length)-1 downto 0); begin var := (others => '0'); for i in x'range loop if x(i) = '1' then -- use "or" to avoid synthesizing a priority decoder var := var or std_logic_vector(to_unsigned(i, var'length)); end if; end loop; return var; end function; -- Transfer "one_hot" to natural function one_hot2int(x : std_logic_vector) return natural is variable var : unsigned(bit_width(x'length)-1 downto 0); begin var := (others => '0'); for i in x'range loop if x(i) = '1' then -- use "or" to avoid synthesizing a priority decoder var := var or to_unsigned(i, var'length); end if; end loop; return to_integer(var); end function; -- The following unit has to be change if the header structure is changes. -- Currently we assume that the LSBs are the packet-length: the next higher bits -- are the X, Y and then Z address. All higher value bith are currently used by higher -- layers. Important sofar is that is that the req. header informations -- (addr, packet_length) are not allowed to take mor then "flit_size" bits. function get_header_inf(x : std_logic_vector) return header_inf is variable y : header_inf; variable offset : integer; begin y.packet_length := x(packet_len_width-1 downto 0); offset := packet_len_width; y.x_dest := x(x_addr_width+offset-1 downto offset); offset := offset + x_addr_width; y.y_dest := x(y_addr_width+offset-1 downto offset); offset := offset + y_addr_width; y.z_dest := x(z_addr_width+offset-1 downto offset); return y; end function; -- Get the address information from a header function extract_address_inf(x : header_inf) return address_inf is variable y : address_inf; begin y.x_dest := x.x_dest; y.y_dest := x.y_dest; y.z_dest := x.z_dest; return y; end function; -- Sum of integer array function int_vec_sum(x : integer_vec) return integer is variable var : integer; begin var := 0; for i in x'range loop var := var + x(i); end loop; return var; end function; -- Uper range function upper_range(x : integer_vec; i : natural) return natural is variable var : natural; begin var := 0; for it in 0 to i loop var := var + x(it); end loop; return var-1; end function; -- Lower range function lower_range(x : integer_vec; i : natural) return natural is variable var : natural; begin var := 0; for it in 0 to i loop var := var + x(it); end loop; return var-x(i); end function; -- Slice of vector function slice(x : std_logic_vector; vec : integer_vec; i : natural) return std_logic_vector is begin return x(upper_range(vec, i) downto lower_range(vec, i)); end function; -- Return the position in an array function ret_index(x : integer_vec; i : integer) return integer is variable result : integer:=-1; begin for index in 0 to x'length-1 loop if x(x'left+index) = i then result:= index; end if; end loop; if result=-1 then assert false report "INDEX IS NOT FOUND" severity error; end if; return result; end function; -- Return the maximum value of an array function ret_max(x : integer_vec) return integer is variable max_value : integer:=0; begin for index in 0 to x'length-1 loop if x(x'left+index) > max_value then max_value := x(x'left+index); end if; end loop; return max_value; end function; end package body NOC_3D_PACKAGE;
-------------------------------------------------------------------------------- -- Brno University of Technology, Department of Radio Electronics -------------------------------------------------------------------------------- -- Author: <NAME> -- Design: substraction_with_carry -- Description: Implementation of substraction_with_carry. -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -------------------------------------------------------------------------------- -- Entity declaration for substraction_with_carry -------------------------------------------------------------------------------- entity substraction_with_carry is port( -- Input A_i : in std_logic_vector(4-1 downto 0); B_i : in std_logic_vector(4-1 downto 0); C_i : in std_logic; -- Output Y_o : out std_logic_vector(4-1 downto 0) ; C_o : out std_logic ); end substraction_with_carry; -------------------------------------------------------------------------------- -- Architecture declaration for substraction_with_carry -------------------------------------------------------------------------------- architecture Behavioral of substraction_with_carry is signal sig_1 : std_logic_vector(4-1 downto 0); signal sig_2 : std_logic_vector(2-1 downto 0); signal carry_s : std_logic_vector(4-1 downto 0); begin NA0: entity work.substraction port map (A_i,B_i,'0',sig_1,sig_2(0)); -- odecteni cisel A a B NA1: entity work.substraction port map (sig_1, carry_s,'0',Y_o,sig_2(1)); -- Odecteni cisla z prvni odcitacky s carry na nejnizsim bitu c_o <= sig_2(0) or sig_2(1); -- vystupy z obou odcitaacek secteny a privedeny na vystup c_o carry_s(3 downto 1) <= "000"; -- naplneni carry_s nulami krome nejnizsiho bitu carry_s(0) <= C_i; -- na posledni bit carry_s je zapsan vstup carry end Behavioral;
<reponame>richmr/CTF<filename>dcdarknet/2018/complex_v10-old.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity complex_v10 is port ( Din : in std_logic_vector(31 downto 0); Dout : out std_logic_vector(39 downto 0) ); end complex_v10; architecture imp of complex_v10 is -- 10 bit constants constant x000 : std_logic_vector(9 downto 0) := (others => '0'); constant x019 : std_logic_vector(9 downto 0) := "00" & X19; constant x022 : std_logic_vector(9 downto 0) := "00" & X22; constant x024 : std_logic_vector(9 downto 0) := "00" & X24; constant x03A : std_logic_vector(9 downto 0) := "00" & X3A; constant x066 : std_logic_vector(9 downto 0) := "00" & X66; constant x067 : std_logic_vector(9 downto 0) := "00" & X67; constant x0DE : std_logic_vector(9 downto 0) := "00" & XDE; constant x0FF : std_logic_vector(9 downto 0) := "00" & XFF; constant x111 : std_logic_vector(9 downto 0) := "01" & X11; constant x155 : std_logic_vector(9 downto 0) := "01" & X55; constant x2A5 : std_logic_vector(9 downto 0) := "10" & XA5; constant x2AA : std_logic_vector(9 downto 0) := "10" & XAA; -- break 32-bit input Din into bytes and store it in a 10-bit value signal Dinb3 : std_logic_vector(9 downto 0); signal Dinb2 : std_logic_vector(9 downto 0); signal Dinb1 : std_logic_vector(9 downto 0); signal Dinb0 : std_logic_vector(9 downto 0); begin -- break them into bytes and extend to 10 bits proc0 : process(Din) begin Dinb3 <= "00" & Din(31 downto 24); Dinb2 <= "00" & Din(23 downto 16); Dinb1 <= "00" & Din(15 downto 8); Dinb0 <= "00" & Din(7 downto 0); end process proc0; -- Din(31:24) drives Dout(19:10) proc1 : process(Dinb3) begin case to_integer((Dinb3(7 downto 0))) is when to_integer(00) to to_integer(31) => Dout(19 downto 10) <= Dinb3 + x03A; when to_integer(unsigned(32)) to to_integer(unsigned(42)) => Dout(19 downto 10) <= Dinb3 - x0DE; when to_integer(unsigned(43)) to to_integer(unsigned(55)) => Dout(19 downto 10) <= Dinb3 XOR x2A5; when to_integer(unsigned(56)) to to_integer(unsigned(96)) => Dout(19 downto 10) <= std_logic_vector(shift_left(unsigned(Dinb3),3)); when to_integer(unsigned(97)) to to_integer(unsigned(170)) => Dout(19 downto 10) <= std_logic_vector(rotate_left(unsigned(Dinb3),5)); when to_integer(unsigned(171)) to to_integer(unsigned(255)) => Dout(19 downto 10) <= std_logic_vector(shift_right(unsigned(Dinb3),2)); when others => Dout(19 downto 10) <= x000; end case; end process proc1; -- Din(23:16) drives Dout(9:0) proc2 : process(Dinb2) begin case to_integer(unsigned(Dinb2(7 downto 0))) is when to_integer(unsigned(00)) to to_integer(unsigned(16)) => Dout(9 downto 0) <= NOT Dinb2; when to_integer(unsigned(17)) to to_integer(unsigned(44)) => Dout(9 downto 0) <= Dinb2 - x111; when to_integer(unsigned(45)) to to_integer(unsigned(68)) => Dout(9 downto 0) <= Dinb2 + x066; when to_integer(unsigned(69)) to to_integer(unsigned(187)) => Dout(9 downto 0) <= Dinb2 AND x2AA; when to_integer(unsigned(188)) to to_integer(unsigned(222)) => Dout(9 downto 0) <= Dinb2(1) & Dinb2(3) & Dinb2(2) & Dinb2(6) & Dinb2(0) & Dinb2(8) & Dinb2(5) & Dinb2(7) & Dinb2(4) & Dinb2(9); when to_integer(unsigned(223)) to to_integer(unsigned(255)) => Dout(9 downto 0) <= Dinb2 + x022; when others => Dout(9 downto 0) <= x000; end case; end process proc2; -- Din(15:8) drives Dout(39:30) proc3 : process(Dinb1) begin case to_integer(unsigned(Dinb1(7 downto 0))) is when to_integer(unsigned(X"00")) to to_integer(unsigned(44)) => Dout(39 downto 30) <= NOT(Dinb1(3 downto 0)) & Dinb1(7 downto 4) & Dinb1(9 downto 8); when to_integer(unsigned(45)) to to_integer(unsigned(61)) => Dout(39 downto 30) <= Dinb1 + x024; when to_integer(unsigned(62)) to to_integer(unsigned(82)) => Dout(39 downto 30) <= Dinb1 + x0ff; when to_integer(unsigned(83)) to to_integer(unsigned(115)) => Dout(39 downto 30) <= Dinb1 - x024; when to_integer(unsigned(116)) to to_integer(unsigned(204)) => Dout(39 downto 30) <= Dinb1 - x0ff; when to_integer(unsigned(205)) to to_integer(unsigned(255)) => Dout(39 downto 30) <= "10" & (Dinb1(7 downto 4) OR X"1") & (Dinb1(3 downto 0) AND X"E"); when others => Dout(39 downto 30) <= x000; end case; end process proc3; -- Din(7:0) drives Dout(29:20) proc4 : process(Dinb0) begin case to_integer(unsigned(Dinb0(7 downto 0))) is when to_integer(unsigned(X"00")) to to_integer(unsigned(65)) => Dout(29 downto 20) <= std_logic_vector(rotate_right(unsigned(Dinb0),3)); when to_integer(unsigned(X"42")) to to_integer(unsigned(90)) => Dout(29 downto 20) <= Dinb0(5) & Dinb0(3) & Dinb0(0) & Dinb0(2) & Dinb0(1) & Dinb0(7) & Dinb0(9) & Dinb0(6) & Dinb0(4) & Dinb0(8); when to_integer(unsigned(X"5b")) to to_integer(unsigned(134)) => Dout(29 downto 20) <= Dinb0 + x019; when to_integer(unsigned(X"87")) to to_integer(unsigned(175)) => Dout(29 downto 20) <= Dinb0 + x067; when to_integer(unsigned(X"b0")) to to_integer(unsigned(223)) => Dout(29 downto 20) <= Dinb0 XOR x2AA; when to_integer(unsigned(X"e0")) to to_integer(unsigned(255)) => Dout(29 downto 20) <= Dinb0 XOR x155; when others => Dout(29 downto 20) <= x000; end case; end process proc4; end imp;
------------------------------------------------------------------------------- -- Company : SLAC National Accelerator Laboratory ------------------------------------------------------------------------------- -- Description: Wrapper for Lmk048Base to handle 3-wire SPI and address mapping ------------------------------------------------------------------------------- -- This file is part of 'SLAC Firmware Standard Library'. -- It is subject to the license terms in the LICENSE.txt file found in the -- top-level directory of this distribution and at: -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. -- No part of 'SLAC Firmware Standard Library', including this file, -- may be copied, modified, propagated, or distributed except according to -- the terms contained in the LICENSE.txt file. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; library surf; use surf.StdRtlPkg.all; use surf.AxiLitePkg.all; library unisim; use unisim.vcomponents.all; entity Lmk048Base is generic ( TPD_G : time := 1 ns; CLK_PERIOD_G : real := 6.4E-9; -- units of seconds SPI_SCLK_PERIOD_G : real := 100.0E-6); -- units of seconds port ( -- 3-Wire SPI Ports lmkCsL : out sl; lmkSck : out sl; lmkSdio : inout sl; -- AXI-Lite Interface axilClk : in sl; axilRst : in sl; axilReadMaster : in AxiLiteReadMasterType; axilReadSlave : out AxiLiteReadSlaveType; axilWriteMaster : in AxiLiteWriteMasterType; axilWriteSlave : out AxiLiteWriteSlaveType); end Lmk048Base; architecture mapping of Lmk048Base is signal writeMaster : AxiLiteWriteMasterType; signal readMaster : AxiLiteReadMasterType; signal lmkSDin : sl; signal lmkSDout : sl; begin process (axilReadMaster, axilWriteMaster) is variable wrMst : AxiLiteWriteMasterType; variable rdMst : AxiLiteReadMasterType; begin -- Init wrMst := axilWriteMaster; rdMst := axilReadMaster; -- Force the Upper SPI address bits that should always be zero wrMst.awaddr(31 downto 12) := (others => '0'); rdMst.araddr(31 downto 12) := (others => '0'); -- Outputs writeMaster <= wrMst; readMaster <= rdMst; end process; U_LMK : entity surf.AxiSpiMaster generic map ( TPD_G => TPD_G, ADDRESS_SIZE_G => 15, DATA_SIZE_G => 8, CLK_PERIOD_G => CLK_PERIOD_G, SPI_SCLK_PERIOD_G => SPI_SCLK_PERIOD_G) port map ( -- AXI-Lite Interface axiClk => axilClk, axiRst => axilRst, axiReadMaster => readMaster, axiReadSlave => axilReadSlave, axiWriteMaster => writeMaster, axiWriteSlave => axilWriteSlave, -- SPI Ports coreSclk => lmkSck, coreSDin => lmkSDin, coreSDout => lmkSDout, coreCsb => lmkCsL); U_lmkSdio : IOBUF port map ( I => '0', O => lmkSDin, IO => lmkSdio, T => lmkSDout); end mapping;
<reponame>varunnagpaal/Digital-Hardware-Modelling<gh_stars>10-100 library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity top is generic( W : natural := 4); -- Width of data port (clk : in std_logic; rst : in std_logic; -- active high sync to clock i_data_a : in std_logic_vector(W-1 downto 0); -- input data A i_data_b : in std_logic_vector(W-1 downto 0); -- input data B i_data_carry : in std_logic; -- carry in or borrow in i_ctrl : in std_logic_vector(3 downto 0); -- control signals o_data_c : out std_logic_vector(W-1 downto 0); -- output data C o_data_carry : out std_logic; -- carry out or borrow out o_data_comp : out std_logic_vector(1 downto 0); -- output comparison o_valid : out std_logic -- valid output ); end top; architecture rtl of top is -- 1: FETCH signal reg_i_instr_1 : std_logic_vector( i_ctrl'RANGE ) := ( others => '0' ); signal reg_i_data_a_1 : std_logic_vector( i_data_a'RANGE ) := ( others => '0' ); signal reg_i_data_b_1 : std_logic_vector( i_data_b'RANGE ) := ( others => '0' ); signal reg_i_data_carry_1 : std_logic := '0'; -- 2: DECODE signal reg_i_instr_2 : std_logic_vector( i_ctrl'RANGE ) := ( others => '0' ); signal reg_i_data_a_2 : std_logic_vector( i_data_a'RANGE ) := ( others => '0' ); signal reg_i_data_b_2 : std_logic_vector( i_data_b'RANGE ) := ( others => '0' ); signal reg_i_data_carry_2 : std_logic := '0'; -- 3: Execute signal reg_i_instr_3 : std_logic_vector( i_ctrl'RANGE ) := ( others => '0' ); signal reg_i_data_a_3 : std_logic_vector( i_data_a'RANGE ) := ( others => '0' ); signal reg_i_data_b_3 : std_logic_vector( i_data_b'RANGE ) := ( others => '0' ); signal reg_i_data_carry_3 : std_logic := '0'; -- Output signals of ALU signal sig_data_c : std_logic_vector( o_data_c'RANGE ) := ( others=> '0' ); signal sig_data_carry : std_logic := '0'; signal sig_data_comp : std_logic_vector( o_data_comp'RANGE ) := ( others=> '0' ); signal sig_valid : std_logic := '0'; signal reg_valid : std_logic := '0'; -- Declare component ALU component alu is generic( W : natural := 4 ); port (i_data_a : in std_logic_vector(W-1 downto 0); -- input data A i_data_b : in std_logic_vector(W-1 downto 0); -- input data B i_data_carry : in std_logic; -- carry in or borrow in i_ctrl : in std_logic_vector(3 downto 0); -- control signals o_data_c : out std_logic_vector(W-1 downto 0); -- output data C o_data_carry : out std_logic; -- carry out or borrow out o_data_comp : out std_logic_vector(1 downto 0) -- output comparison ); end component alu; begin -- Instruction pipeline (1: FETCH, 2: DECODE, 3: EXECUTE) mem_instr: process(clk) begin if rising_edge(clk) then if( rst = '1' ) then -- 1: FETCH reg_i_instr_1 <= ( others => '0' ); -- NOP -- 2: DECODE reg_i_instr_2 <= ( others => '0' ); -- NOP -- 2: DECODE reg_i_instr_3 <= ( others => '0' ); -- NOP else -- 1: FETCH reg_i_instr_1 <= i_ctrl; -- 2: DECODE reg_i_instr_2 <= reg_i_instr_1; -- 3: Execute reg_i_instr_3 <= reg_i_instr_2; end if; end if; end process mem_instr; -- Operand pipeline (1: FETCH, 2: DECODE, 3: EXECUTE) mem_operands: process(clk) begin if rising_edge(clk) then if( rst = '1' ) then -- 1: FETCH reg_i_data_a_1 <= ( others => '0' ); reg_i_data_b_1 <= ( others => '0' ); reg_i_data_carry_1 <= '0'; -- 2: DECODE reg_i_data_a_2 <= ( others => '0' ); reg_i_data_b_2 <= ( others => '0' ); reg_i_data_carry_2 <= '0'; -- 2: Execute reg_i_data_a_3 <= ( others => '0' ); reg_i_data_b_3 <= ( others => '0' ); reg_i_data_carry_3 <= '0'; else -- 1: FETCH reg_i_data_a_1 <= i_data_a; reg_i_data_b_1 <= i_data_b; reg_i_data_carry_1 <= i_data_carry; -- 2: DECODE reg_i_data_a_2 <= reg_i_data_a_1; reg_i_data_b_2 <= reg_i_data_b_1; reg_i_data_carry_2 <= reg_i_data_carry_1; -- 2: EXECUTE reg_i_data_a_3 <= reg_i_data_a_2; reg_i_data_b_3 <= reg_i_data_b_2; reg_i_data_carry_3 <= reg_i_data_carry_2; end if; end if; end process mem_operands; -- 2: DECODE -- Check if fetched instruction is a valid instruction sig_valid <= '1' when reg_i_instr_2 = "0001" OR reg_i_instr_2 = "0010" OR reg_i_instr_2 = "0011" OR reg_i_instr_2 = "0100" OR reg_i_instr_2 = "0101" OR reg_i_instr_2 = "0110" OR reg_i_instr_2 = "0111" else '0'; -- 2: DECODE mem_valid: process(clk) begin if rising_edge(clk) then if( rst = '1') then reg_valid <= '0'; else reg_valid <= sig_valid; end if; end if; end process mem_valid; -- 2: DECODE o_valid <= reg_valid; -- 3: EXECUTE alu_inst: alu generic map( W => W ) port map( i_data_a => reg_i_data_a_3, i_data_b => reg_i_data_b_3, i_data_carry => reg_i_data_carry_3, i_ctrl => reg_i_instr_3, o_data_c => sig_data_c, o_data_carry => sig_data_carry, o_data_comp => sig_data_comp ); -- 3: EXECUTE mem_outputs: process(clk) begin if rising_edge(clk) then if( rst = '1') then o_data_c <= ( others => '0' ); o_data_carry <= '0'; o_data_comp <= ( others => '0' ); else if( reg_valid = '1' ) then o_data_c <= sig_data_c; o_data_carry <= sig_data_carry; o_data_comp <= sig_data_comp; else o_data_c <= ( others => '0' ); o_data_carry <= '0'; o_data_comp <= ( others => '0' ); end if; end if; end if; end process mem_outputs; end rtl;
------------------------------------------------------------------------------ -- This file is part of 'RCE Development Firmware'. -- It is subject to the license terms in the LICENSE.txt file found in the -- top-level directory of this distribution and at: -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. -- No part of 'RCE Development Firmware', including this file, -- may be copied, modified, propagated, or distributed except according to -- the terms contained in the LICENSE.txt file. ------------------------------------------------------------------------------ ------------------------------------------------------------------------------- -- SlaveRena.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.numeric_std.all; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library rce_gen3_fw_lib; use rce_gen3_fw_lib.RceG3Pkg.all; library surf; use surf.StdRtlPkg.all; use surf.AxiLitePkg.all; use surf.AxiStreamPkg.all; use surf.EthMacPkg.all; library ucsc_hn; entity SlaveRena is generic ( BUILD_INFO_G : BuildInfoType); port ( -- I2C i2cSda : inout sl; i2cScl : inout sl; -- Ethernet ethRxP : in sl; ethRxN : in sl; ethTxP : out sl; ethTxN : out sl; -- Hub clock and sync clockHubP : in sl; clockHubN : in sl; syncHubP : inout sl; syncHubN : inout sl; -- Rena Clock And Sync clockOutP : out sl; clockOutN : out sl; syncOutP : out sl; syncOutN : out sl; syncOutNew : out sl; fpgaProgL : out sl; -- Data inputs rxDataP : in slv(30 downto 1); rxDataN : in slv(30 downto 1); -- Control outputs txData : out slv(6 downto 1) ); end SlaveRena; architecture STRUCTURE of SlaveRena is constant TPD_C : time := 1 ns; constant SERVER_PORTS_C : PositiveArray(1 downto 0) := ( 1 => 2542, -- Xilinx XVC 0 => 8192); constant APP_AXIS_CONFIG_C : AxiStreamConfigType := ( TSTRB_EN_C => False, TDATA_BYTES_C => 8, TDEST_BITS_C => 0, TID_BITS_C => 0, TKEEP_MODE_C => TKEEP_COMP_C, TUSER_BITS_C => 2, TUSER_MODE_C => TUSER_FIRST_LAST_C); -- AXI-Lite constant AXIL_XBAR_MASTERS_C : integer := 3; constant MAC_AXIL_INDEX_C : integer := 0; constant UDP_AXIL_INDEX_C : integer := 1; constant RSSI_AXIL_INDEX_C : integer := 2; constant AXIL_XBAR_CFG_C : AxiLiteCrossbarMasterConfigArray(AXIL_XBAR_MASTERS_C-1 downto 0) := ( MAC_AXIL_INDEX_C => ( baseAddr => X"B0000000", addrBits => 16, connectivity => X"FFFF"), UDP_AXIL_INDEX_C => ( baseAddr => X"B0010000", addrBits => 16, connectivity => X"FFFF"), RSSI_AXIL_INDEX_C => ( baseAddr => X"B0020000", addrBits => 10, connectivity => X"FFFF")); signal stableClk : sl; signal stableRst : sl; signal clk312 : sl; signal rst312 : sl; signal clk200 : sl; signal rst200 : sl; signal clk156 : sl; signal rst156 : sl; signal clk125 : sl; signal rst125 : sl; signal clk62 : sl; signal rst62 : sl; signal locked : sl; signal axiDmaClk : sl; signal axiDmaRst : sl; signal axilClk : sl; signal axilRst : sl; -- External Axi Bus, 0xA0000000 - 0xAFFFFFFF (axilClk domain) signal extAxilReadMaster : AxiLiteReadMasterType; signal extAxilReadSlave : AxiLiteReadSlaveType; signal extAxilWriteMaster : AxiLiteWriteMasterType; signal extAxilWriteSlave : AxiLiteWriteSlaveType; -- Core Axi Bus, 0xB0000000 - 0xBFFFFFFF (axilClk domain) signal coreAxilReadMaster : AxiLiteReadMasterType; signal coreAxilReadSlave : AxiLiteReadSlaveType; signal coreAxilWriteMaster : AxiLiteWriteMasterType; signal coreAxilWriteSlave : AxiLiteWriteSlaveType; -- Core Axi Bus, 0xB0000000 - 0xBFFFFFFF (axilClk domain) signal coreAxilReadMasters : AxiLiteReadMasterArray(AXIL_XBAR_MASTERS_C-1 downto 0); signal coreAxilReadSlaves : AxiLiteReadSlaveArray(AXIL_XBAR_MASTERS_C-1 downto 0); signal coreAxilWriteMasters : AxiLiteWriteMasterArray(AXIL_XBAR_MASTERS_C-1 downto 0); signal coreAxilWriteSlaves : AxiLiteWriteSlaveArray(AXIL_XBAR_MASTERS_C-1 downto 0); signal udpAxilReadMaster : AxiLiteReadMasterType; signal udpAxilReadSlave : AxiLiteReadSlaveType; signal udpAxilWriteMaster : AxiLiteWriteMasterType; signal udpAxilWriteSlave : AxiLiteWriteSlaveType; signal udpObServerMasters : AxiStreamMasterArray(1 downto 0); signal udpObServerSlaves : AxiStreamSlaveArray(1 downto 0); signal udpIbServerMasters : AxiStreamMasterArray(1 downto 0); signal udpIbServerSlaves : AxiStreamSlaveArray(1 downto 0); -- DMA Interfaces (dmaClk domain) signal dmaClk : slv(3 downto 0); signal dmaClkRst : slv(3 downto 0); signal dmaState : RceDmaStateArray(3 downto 0); signal dmaObMaster : AxiStreamMasterArray(3 downto 0); signal dmaObSlave : AxiStreamSlaveArray(3 downto 0); signal dmaIbMaster : AxiStreamMasterArray(3 downto 0); signal dmaIbSlave : AxiStreamSlaveArray(3 downto 0); -- User Ethernet signal userEthClk : sl; signal userEthClkRst : sl; signal userEthUdpIbMaster : AxiStreamMasterType; signal userEthUdpIbSlave : AxiStreamSlaveType; signal userEthUdpObMaster : AxiStreamMasterType; signal userEthUdpObSlave : AxiStreamSlaveType; signal localIp : slv(31 downto 0); signal localMac : slv(47 downto 0); signal rssiObMaster : AxiStreamMasterType; signal rssiObSlave : AxiStreamSlaveType; signal rssiIbMaster : AxiStreamMasterType; signal rssiIbSlave : AxiStreamSlaveType; -- ZYNQ GEM Interface signal armEthTx : ArmEthTxArray(1 downto 0); signal armEthRx : ArmEthRxArray(1 downto 0); signal armEthMode : slv(31 downto 0); signal iethRxP : slv(3 downto 0); signal iethRxN : slv(3 downto 0); signal iethTxP : slv(3 downto 0); signal iethTxN : slv(3 downto 0); begin -------------------------------------------------- -- RCE Core -------------------------------------------------- U_RceG3Top : entity rce_gen3_fw_lib.RceG3Top generic map ( TPD_G => TPD_C, MEMORY_TYPE_G => "block", SEL_REFCLK_G => false, BUILD_INFO_G => BUILD_INFO_G, SLOW_PLL_G => true, PCIE_EN_G => false, RCE_DMA_MODE_G => RCE_DMA_AXISV2_C) port map ( -- I2C Ports i2cSda => i2cSda, i2cScl => i2cScl, -- Reference Clock ethRefClkP => '1', ethRefClkN => '0', ethRefClk => open, stableClk => stableClk, stableRst => stableRst, -- Top-level clocks and resets clk312 => clk312, rst312 => rst312, clk200 => clk200, rst200 => rst200, clk156 => clk156, rst156 => rst156, clk125 => clk125, rst125 => rst125, clk62 => clk62, rst62 => rst62, locked => locked, userInterrupt => (others=>'0'), -- DMA clock and reset axiDmaClk => axiDmaClk, axiDmaRst => axiDmaRst, -- AXI-Lite clock and reset axilClk => axilClk, axilRst => axilRst, -- External Axi Bus, (axilClk domain) -- 0xA0000000 - 0xAFFFFFFF (COB_MIN_C10_G = False) -- 0x90000000 - 0x97FFFFFF (COB_MIN_C10_G = True) extAxilReadMaster => extAxilReadMaster, extAxilReadSlave => extAxilReadSlave, extAxilWriteMaster => extAxilWriteMaster, extAxilWriteSlave => extAxilWriteSlave, -- Core Axi Bus, 0xB0000000 - 0xBFFFFFFF (axilClk domain) coreAxilReadMaster => coreAxilReadMaster, coreAxilReadSlave => coreAxilReadSlave, coreAxilWriteMaster => coreAxilWriteMaster, coreAxilWriteSlave => coreAxilWriteSlave, -- DMA Interfaces (dmaClk domain) dmaClk => dmaClk, dmaClkRst => dmaClkRst, dmaState => dmaState, dmaObMaster => dmaObMaster, dmaObSlave => dmaObSlave, dmaIbMaster => dmaIbMaster, dmaIbSlave => dmaIbSlave, -- ZYNQ GEM Interface armEthTx => armEthTx, armEthRx => armEthRx, armEthMode => armEthMode); ---------------------------------------------------------------------------- -- Core AXI Crossbar -- ---------------------------------------------------------------------------- U_AxiLiteCrossbar_1 : entity surf.AxiLiteCrossbar generic map ( TPD_G => TPD_C, NUM_SLAVE_SLOTS_G => 1, NUM_MASTER_SLOTS_G => AXIL_XBAR_MASTERS_C, MASTERS_CONFIG_G => AXIL_XBAR_CFG_C, DEBUG_G => false) port map ( axiClk => axilClk, axiClkRst => axilRst, sAxiWriteMasters(0) => coreAxilWriteMaster, sAxiWriteSlaves(0) => coreAxilWriteSlave, sAxiReadMasters(0) => coreAxilReadMaster, sAxiReadSlaves(0) => coreAxilReadSlave, mAxiWriteMasters => coreAxilWriteMasters, mAxiWriteSlaves => coreAxilWriteSlavEs, mAxiReadMasters => coreAxilReadMasters, mAxiReadSlaves => coreAxilReadSlaves); ---------------------------------------------------------------------------- -- ETH GT Mapping -- ---------------------------------------------------------------------------- -- This VHDL wrapper is determined by the ZYNQ family type -- Zynq-7000: rce-gen3-fw-lib/RceG3/hdl/zynq/RceEthGtMapping.vhd -- Zynq Ultrascale+: rce-gen3-fw-lib/RceG3/hdl/zynquplus/RceEthGtMapping.vhd ---------------------------------------------------------------------------- U_RceEthernet : entity rce_gen3_fw_lib.RceEthernet generic map ( -- Generic Configurations TPD_G => TPD_C, RCE_DMA_MODE_G => RCE_DMA_AXIS_C, ETH_TYPE_G => "1000BASE-KX", MEMORY_TYPE_G => "block", EN_JUMBO_G => false, -- User ETH Configurations UDP_SERVER_EN_G => true, UDP_SERVER_SIZE_G => 2, UDP_SERVER_PORTS_G => SERVER_PORTS_C, BYP_EN_G => false, VLAN_EN_G => false) port map ( -- Clocks and resets clk312 => clk312, rst312 => rst312, clk200 => clk200, rst200 => rst200, clk156 => clk156, rst156 => rst156, clk125 => clk125, rst125 => rst125, clk62 => clk62, rst62 => rst62, stableClk => stableClk, stableRst => stableRst, -- PPI Interface dmaClk => dmaClk(3), dmaRst => dmaClkRst(3), dmaState => dmaState(3), dmaIbMaster => dmaIbMaster(3), dmaIbSlave => dmaIbSlave(3), dmaObMaster => dmaObMaster(3), dmaObSlave => dmaObSlave(3), -- User ETH interface userEthClk => userEthClk, userEthRst => userEthClkRst, userEthIpAddr => localIp, userEthMacAddr => localMac, userEthUdpIbMaster => userEthUdpIbMaster, userEthUdpIbSlave => userEthUdpIbSlave, userEthUdpObMaster => userEthUdpObMaster, userEthUdpObSlave => userEthUdpObSlave, userEthBypIbMaster => AXI_STREAM_MASTER_INIT_C, userEthBypIbSlave => open, userEthBypObMaster => open, userEthBypObSlave => AXI_STREAM_SLAVE_FORCE_C, userEthVlanIbMasters => (others=>AXI_STREAM_MASTER_INIT_C), userEthVlanIbSlaves => open, userEthVlanObMasters => open, userEthVlanObSlaves => (others=>AXI_STREAM_SLAVE_FORCE_C), -- AXI-Lite Buses axilClk => axilClk, axilRst => axilRst, axilWriteMaster => coreAxilWriteMasters(MAC_AXIL_INDEX_C), axilWriteSlave => coreAxilWriteSlaves(MAC_AXIL_INDEX_C), axilReadMaster => coreAxilReadMasters(MAC_AXIL_INDEX_C), axilReadSlave => coreAxilReadSlaves(MAC_AXIL_INDEX_C), -- Ref Clock ethRefClk => '0', -- Ethernet Lines ethRxP => iethRxP, ethRxN => iethRxN, ethTxP => iethTxP, ethTxN => iethTxN); armEthMode <= x"00000002"; -- Show connections iethRxP(0) <= ethRxP; iethRxN(0) <= ethRxN; ethTxP <= iethTxP(0); ethTxN <= iethTxN(0); ------------------------------------------------------------------------------------------------- -- UDP Engine ------------------------------------------------------------------------------------------------- U_AxiLiteAsync : entity surf.AxiLiteAsync generic map ( TPD_G => TPD_C) port map ( sAxiClk => axilClk, sAxiClkRst => axilRst, sAxiReadMaster => coreAxilReadMasters(UDP_AXIL_INDEX_C), sAxiReadSlave => coreAxilReadSlaves(UDP_AXIL_INDEX_C), sAxiWriteMaster => coreAxilWriteMasters(UDP_AXIL_INDEX_C), sAxiWriteSlave => coreAxilWriteSlaves(UDP_AXIL_INDEX_C), mAxiClk => userEthClk, mAxiClkRst => userEthClkRst, mAxiReadMaster => udpAxilReadMaster, mAxiReadSlave => udpAxilReadSlave, mAxiWriteMaster => udpAxilWriteMaster, mAxiWriteSlave => udpAxilWriteSlave); U_UdpEngineWrapper : entity surf.UdpEngineWrapper generic map ( TPD_G => TPD_C, SERVER_EN_G => true, SERVER_SIZE_G => 2, SERVER_PORTS_G => SERVER_PORTS_C, CLIENT_EN_G => false, DHCP_G => false, CLK_FREQ_G => 125.0e6) port map ( localMac => localMac, localIp => localIp, obMacMaster => userEthUdpObMaster, obMacSlave => userEthUdpObSlave, ibMacMaster => userEthUdpIbMaster, ibMacSlave => userEthUdpIbSlave, obServerMasters => udpObServerMasters, obServerSlaves => udpObServerSlaves, ibServerMasters => udpIbServerMasters, ibServerSlaves => udpIbServerSlaves, axilWriteMaster => udpAxilWriteMaster, axilWriteSlave => udpAxilWriteSlave, axilReadMaster => udpAxilReadMaster, axilReadSlave => udpAxilReadSlave, clk => userEthClk, rst => userEthClkRst); U_Debug : entity surf.UdpDebugBridgeWrapper generic map ( TPD_G => TPD_C) port map ( -- Clock and Reset clk => userEthClk, rst => userEthClkRst, -- UDP XVC Interface obServerMaster => udpObServerMasters(1), obServerSlave => udpObServerSlaves(1), ibServerMaster => udpIbServerMasters(1), ibServerSlave => udpIbServerSlaves(1)); ------------------------------------------------------------------------------------------------- -- RSSI Engines ------------------------------------------------------------------------------------------------- U_RssiCoreWrapper : entity surf.RssiCoreWrapper generic map ( TPD_G => TPD_C, CLK_FREQUENCY_G => 125.0e6, WINDOW_ADDR_SIZE_G => 4, SEGMENT_ADDR_SIZE_G => 7, BYPASS_CHUNKER_G => false, PIPE_STAGES_G => 1, APP_STREAMS_G => 1, TIMEOUT_UNIT_G => 1.0e-3, SERVER_G => true, RETRANSMIT_ENABLE_G => true, MAX_NUM_OUTS_SEG_G => 16, INIT_SEQ_N_G => 16#80#, APP_ILEAVE_EN_G => true, BYP_TX_BUFFER_G => false, BYP_RX_BUFFER_G => false, ILEAVE_ON_NOTVALID_G => true, APP_AXIS_CONFIG_G => (0 => APP_AXIS_CONFIG_C), TSP_AXIS_CONFIG_G => EMAC_AXIS_CONFIG_C, MAX_SEG_SIZE_G => 1024) port map ( clk_i => userEthClk, rst_i => userEthClkRst, sAppAxisMasters_i(0) => rssiIbMaster, sAppAxisSlaves_o(0) => rssiIbSlave, mAppAxisMasters_o(0) => rssiObMaster, mAppAxisSlaves_i(0) => rssiObSlave, sTspAxisMaster_i => udpObServerMasters(0), sTspAxisSlave_o => udpObServerSlaves(0), mTspAxisMaster_o => udpIbServerMasters(0), mTspAxisSlave_i => udpIbServerSlaves(0), openRq_i => '1', axiClk_i => axilClk, axiRst_i => axilRst, axilReadMaster => coreAxilReadMasters(RSSI_AXIL_INDEX_C), axilReadSlave => coreAxilReadSlaves(RSSI_AXIL_INDEX_C), axilWriteMaster => coreAxilWriteMasters(RSSI_AXIL_INDEX_C), axilWriteSlave => coreAxilWriteSlaves(RSSI_AXIL_INDEX_C), statusReg_o => open); ---------------------------------------------------- -- Fan In Board Core ---------------------------------------------------- U_FanInBoard: entity ucsc_hn.FanInBoard generic map ( TPD_G => TPD_C, MASTER_G => false, AXIS_CONFIG_G => APP_AXIS_CONFIG_C ) port map ( axilClk => axilClk, axilRst => axilRst, axilReadMaster => extAxilReadMaster, axilReadSlave => extAxilReadSlave, axilWriteMaster => extAxilWriteMaster, axilWriteSlave => extAxilWriteSlave, dataClk => userEthClk, dataClkRst => userEthClkRst, dataObMaster => rssiIbMaster, dataObSlave => rssiIbSlave, dataIbMaster => rssiObMaster, dataIbSlave => rssiObSlave, clockHubInP => clockHubP, clockHubInN => clockHubN, syncHubP => syncHubP, syncHubN => syncHubN, clockOutP => clockOutP, clockOutN => clockOutN, syncOutP => syncOutP, syncOutN => syncOutN, syncOutNew => syncOutNew, fpgaProgL => fpgaProgL, rxDataP => rxDataP, rxDataN => rxDataN, txData => txData ); -- DMA Interfaces are not used dmaClk(2 downto 0) <= (others=>axiDmaClk); dmaClkRst(2 downto 0) <= (others=>axiDmaRst); --dmaObMaster(2 downto 0) dmaObSlave(2 downto 0) <= dmaIbSlave(2 downto 0); dmaIbMaster(2 downto 0) <= dmaObMaster(2 downto 0); --dmaIbSlave(2 downto 0) end architecture STRUCTURE;
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY LogicTest IS END LogicTest; ARCHITECTURE behavior OF LogicTest IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT Logic PORT( Clk : IN std_logic; Rst : IN std_logic; Issue : IN std_logic; Op : IN std_logic_vector(1 downto 0); Vj : IN std_logic_vector(31 downto 0); Qj : IN std_logic_vector(4 downto 0); Vk : IN std_logic_vector(31 downto 0); Qk : IN std_logic_vector(4 downto 0); CDBV : IN std_logic_vector(31 downto 0); CDBQ : IN std_logic_vector(4 downto 0); Grant : IN std_logic; Available : OUT std_logic_vector(2 downto 0); VOut : OUT std_logic_vector(31 downto 0); QOut : OUT std_logic_vector(4 downto 0); Request : OUT std_logic ); END COMPONENT; --Inputs signal Clk : std_logic := '0'; signal Rst : std_logic := '0'; signal Issue : std_logic := '0'; signal Op : std_logic_vector(1 downto 0) := (others => '0'); signal Vj : std_logic_vector(31 downto 0) := (others => '0'); signal Qj : std_logic_vector(4 downto 0) := (others => '0'); signal Vk : std_logic_vector(31 downto 0) := (others => '0'); signal Qk : std_logic_vector(4 downto 0) := (others => '0'); signal CDBV : std_logic_vector(31 downto 0) := (others => '0'); signal CDBQ : std_logic_vector(4 downto 0) := (others => '0'); signal Grant : std_logic := '0'; --Outputs signal Available : std_logic_vector(2 downto 0); signal VOut : std_logic_vector(31 downto 0); signal QOut : std_logic_vector(4 downto 0); signal Request : std_logic; -- Clock period definitions constant Clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: Logic PORT MAP ( Clk => Clk, Rst => Rst, Issue => Issue, Op => Op, Vj => Vj, Qj => Qj, Vk => Vk, Qk => Qk, CDBV => CDBV, CDBQ => CDBQ, Grant => Grant, Available => Available, VOut => VOut, QOut => QOut, Request => Request ); -- Clock process definitions Clk_process :process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; wait for Clk_period/2; end process; -- Stimulus process stim_proc: process begin Rst <='1'; wait for 100 ns; Rst <='0'; wait for 100 ns; Op <= "01"; Vj <= "00000000000000000000000000111000"; Vk <= "00000000000000000000000000000111"; Qj <= "00000"; Qk <= "00010"; wait for Clk_period*10; Issue <='1'; wait for Clk_period*10; Issue <='0'; wait for Clk_period*10; CDBV <= "00000000000000000000000000010110"; CDBQ <= "00010"; wait for Clk_period*10; Grant <= '1'; wait for Clk_period*10; Grant <= '0'; wait for Clk_period*10; -- insert stimulus here wait; end process; END;
-- Reset with SW[0]. Clock counter and memory with KEY[0] -- Each clock cycle reads a character from memory and shows it on the HEX display LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY display IS PORT ( KEY : IN STD_LOGIC_VECTOR(0 DOWNTO 0); SW : IN STD_LOGIC_VECTOR(0 DOWNTO 0); HEX0 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); LEDR : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END ENTITY; ARCHITECTURE Behavior OF display IS COMPONENT inst_mem PORT ( address : IN STD_LOGIC_VECTOR (4 DOWNTO 0); clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)); END COMPONENT; COMPONENT count3 PORT ( Resetn, Clock : IN STD_LOGIC; Q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END COMPONENT; CONSTANT A : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"41"; CONSTANT b : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"62"; CONSTANT C : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"43"; CONSTANT d : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"64"; CONSTANT E : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"45"; CONSTANT F : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"46"; CONSTANT g : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"67"; CONSTANT h : STD_LOGIC_VECTOR(7 DOWNTO 0) := x"68"; SIGNAL Resetn, Clock : STD_LOGIC; SIGNAL Count : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL Address : STD_LOGIC_VECTOR(4 DOWNTO 0); SIGNAL char : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN Resetn <= SW(0); Clock <= KEY(0); U1: count3 PORT MAP (Resetn, Clock, Count); Address <= "00" & Count; U2: inst_mem PORT MAP (Address, Clock, char); LEDR <= "00" & char; HEX0 <= "0001000" WHEN char = A ELSE "0000011" WHEN char = b ELSE "1000110" WHEN char = C ELSE "0100001" WHEN char = d ELSE "0000110" WHEN char = E ELSE "0001110" WHEN char = F ELSE "0010000" WHEN char = g ELSE "0001011" WHEN char = h ELSE "1111111"; END Behavior; LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY count3 IS PORT ( Resetn, Clock : IN STD_LOGIC; Q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)); END count3; ARCHITECTURE Behavior OF count3 IS SIGNAL Count : STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN PROCESS (Clock, Resetn) BEGIN IF (Resetn = '0') THEN Count <= "000"; ELSIF (rising_edge(Clock)) THEN Count <= Count + '1'; END IF; END PROCESS; Q <= Count; END Behavior;
library ieee; use ieee.std_logic_1164.all; entity tb_tlc is end entity tb_tlc; architecture testbench of tb_tlc is constant c_CLK_100MHZ_PERIOD : time := 10 ns; signal s_clk_100MHz : std_logic; signal s_reset : std_logic; signal s_south : std_logic_vector(3 - 1 downto 0); signal s_west : std_logic_vector(3 - 1 downto 0); signal s_sens_s : std_logic; signal s_sens_w : std_logic; begin uut_tlc : entity work.tlc port map( clk => s_clk_100MHz, reset => s_reset, south_o => s_south, west_o => s_west, sens_s => s_sens_s, sens_w => s_sens_w ); p_clk_gen : process begin while now < 10000 ns loop s_clk_100MHz <= '0'; wait for c_CLK_100MHZ_PERIOD / 2; s_clk_100MHz <= '1'; wait for c_CLK_100MHZ_PERIOD / 2; end loop; wait; end process p_clk_gen; p_reset_gen : process begin s_reset <= '0'; wait for 200 ns; s_reset <= '1'; wait for 500 ns; s_reset <= '0'; wait; end process p_reset_gen; p_stimulus : process begin wait for 745ns; s_sens_s <= '0'; wait for 100ns; s_sens_w <= '0'; wait for 100ns; s_sens_s <= '0'; wait for 100ns; s_sens_w <= '1'; wait for 100ns; s_sens_s <= '1'; wait for 100ns; s_sens_w <= '0'; wait for 100ns; s_sens_s <= '1'; wait for 100ns; s_sens_w <= '1'; wait for 100ns; wait; end process p_stimulus; end architecture testbench;
<reponame>wesleygrignani/ccsds123.0-B-2_impl ----------------------------------------------------------------------------------------------------- -- Name: <NAME> -- Laboratory of Embedded and Distributed Systems (LEDS) - UNIVALI ----------------------------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library work; use work.ccsds123_b2_package.all; entity mux_weight_mem is port (i_weight_from_update : in std_logic_vector(WEIGHT_SIZE-1 downto 0); i_weight_from_init : in std_logic_vector(WEIGHT_SIZE-1 downto 0); i_sel : in std_logic; o_weight_out : out std_logic_vector(WEIGHT_SIZE-1 downto 0)); end mux_weight_mem; architecture Behavioral of mux_weight_mem is begin p_mux : process(i_weight_from_update, i_weight_from_init, i_sel) begin case i_sel is when '1' => o_weight_out <= i_weight_from_init; when '0' => o_weight_out <= i_weight_from_update; when others => o_weight_out <= (others => '0'); end case; end process p_mux; end Behavioral;
<gh_stars>10-100 LIBRARY ieee; USE ieee.std_logic_1164.all; package display_types is type display_mode is (training, running, idle); end package display_types; LIBRARY ieee; USE ieee.std_logic_1164.all; USE work.display_types.all; USE work.lcd_types.all; package display_components is component display is PORT ( reset, clock : IN STD_LOGIC; mode : IN display_mode; inputs : IN STD_LOGIC_VECTOR(15 downto 0); class : IN CHAR; lcd_dd : OUT CHAR_VECTOR(0 to 31); lcd_cg : OUT CHAR_GRAPHICS_VECTOR(0 to 7) ); end component; end package; LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; use ieee.numeric_std.all; USE work.display_types.all; USE work.lcd_types.all; ENTITY display IS PORT ( reset, clock : IN STD_LOGIC; mode : IN display_mode; inputs : IN STD_LOGIC_VECTOR(15 downto 0); class : IN CHAR; lcd_dd : OUT CHAR_VECTOR(0 to 31); lcd_cg : OUT CHAR_GRAPHICS_VECTOR(0 to 7) ); END ENTITY display; ARCHITECTURE display OF display IS BEGIN -- character graphics lcd_cg(0) <= "00000"& "00000"& "00000"& "00000"& "00000"& "00000"& "00000"& "00000"; lcd_cg(1) <= "00000"& "00000"& "00000"& "00000"& "11111"& "11111"& "11111"& "00000"; lcd_cg(2) <= "11111"& "11111"& "11111"& "00000"& "00000"& "00000"& "00000"& "00000"; lcd_cg(3) <= "11111"& "11111"& "11111"& "00000"& "11111"& "11111"& "11111"& "00000"; -- switch pattern display lcd_dd(0) <= "000000" & inputs(15) & inputs(11); lcd_dd(1) <= "000000" & inputs(14) & inputs(10); lcd_dd(2) <= "000000" & inputs(13) & inputs(9); lcd_dd(3) <= "000000" & inputs(12) & inputs(8); lcd_dd(16) <= "000000" & inputs(7) & inputs(3); lcd_dd(17) <= "000000" & inputs(6) & inputs(2); lcd_dd(18) <= "000000" & inputs(5) & inputs(1); lcd_dd(19) <= "000000" & inputs(4) & inputs(0); -- NERUAL NET lcd_dd(4 to 15) <= (x"20", x"4e", x"45", x"55", x"52", x"41", x"4c", x"20", x"4e", x"45", x"54", x"20"); with mode select lcd_dd(20 to 31) <= -- Training... (x"20", x"74", x"72", x"61", x"69", x"6e", x"69", x"6e", x"67", x"2e", x"2e", x"2e") when training, -- Running... (x"20", x"72", x"75", x"6e", x"6e", x"69", x"6e", x"67", x"2e", x"2e", x"2e", x"20") when running, -- Pattern: (x"20", x"70", x"61", x"74", x"74", x"65", x"72", x"6e", x"3a", x"20", class, x"20") when idle; END ARCHITECTURE display;
<gh_stars>1-10 ---------------------------------------------------------------------------------- -- COPYRIGHT (c) 2020 ALL RIGHT RESERVED -- -- COMPANY: Ruhr-University Bochum, Security Engineering -- AUTHOR: <NAME> -- -- CREATE DATE: 06/05/2020 -- LAST CHANGES: 06/05/2020 -- MODULE NAME: AES_MixColumns -- -- REVISION: 1.00 - File created -- -- LICENCE: Please look at licence.txt -- USAGE INFORMATION: Please look at readme.txt. If licence.txt or readme.txt -- are missing or if you have questions regarding the code -- please contact <NAME> (<EMAIL>) and -- <NAME> (<EMAIL>) -- -- THIS CODE AND INFORMATION ARE PROVIDED "AS IS" WITHOUT WARRANTY OF ANY -- KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND/OR FITNESS FOR A -- PARTICULAR PURPOSE. ---------------------------------------------------------------------------------- -- IMPORTS ---------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; -- ENTITY ---------------------------------------------------------------------------------- ENTITY AES_MixColumns IS PORT ( MC_IN : IN STD_LOGIC_VECTOR (127 DOWNTO 0); MC_OUT : OUT STD_LOGIC_VECTOR (127 DOWNTO 0) ); END AES_MixColumns; -- ARCHITECTURE ---------------------------------------------------------------------------------- ARCHITECTURE Structural OF AES_MixColumns IS -- STRUCTURAL ---------------------------------------------------------------------------------- BEGIN -- INSTANCES ------------------------------------------------------------------ Column1 : ENTITY work.AES_MixSingleColumn PORT MAP ( COLUMN => MC_IN (127 DOWNTO 96), RESULT => MC_OUT(127 DOWNTO 96) ); Column2 : ENTITY work.AES_MixSingleColumn PORT MAP ( COLUMN => MC_IN ( 95 DOWNTO 64), RESULT => MC_OUT( 95 DOWNTO 64) ); Column3 : ENTITY work.AES_MixSingleColumn PORT MAP ( COLUMN => MC_IN ( 63 DOWNTO 32), RESULT => MC_OUT( 63 DOWNTO 32) ); Column4 : ENTITY work.AES_MixSingleColumn PORT MAP ( COLUMN => MC_IN ( 31 DOWNTO 0), RESULT => MC_OUT( 31 DOWNTO 0) ); ------------------------------------------------------------------------------- END Structural;
-------------------------------------------------------------------------------- -- -- Module Name : small_sfifo32 -- -- Copyright 2009 by -- Alpha Data Parallel Systems Ltd. -- All Rights Reserved. -- -- Original Author : <NAME> -- Created : -- -- Modified By : -- Date : -- Change Notes : -- -- Description : Small Dual Port RAM Synchronous FIFO -- -- Configurable data width, fixed depth of 32 -- -- -- Dependencies : -- -- Disclaimer : THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY -- WHATSOEVER AND ALPHA DATA SPECIFICALLY DISCLAIMS ANY -- WARRANTIES IMPLIED OF MERCHANTABILITY, FITNESS FOR A -- PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; entity small_sfifo64 is generic ( width : integer := 64); port ( clk : in std_logic; rst : in std_logic; wadv : in std_logic; wdata : in std_logic_vector(width-1 downto 0); nfull : out std_logic; radv : in std_logic; rempty : out std_logic; rdata : out std_logic_vector(width-1 downto 0)); end small_sfifo64; architecture rtl of small_sfifo64 is type fifo_type is array (0 to 63) of std_logic_vector(width-1 downto 0); signal ofifo_regs : fifo_type := (others => (others => '0')); signal ofifo_rptr, ofifo_wptr : std_logic_vector(5 downto 0) := "000000"; signal ofifo_level : std_logic_vector(6 downto 0) := "0000000"; signal ofifo_out, ofifo_in : std_logic_vector(width-1 downto 0) := (others => '0'); signal ofifo_empty : std_logic := '1'; signal ofifo_wr, ofifo_rd, ofifo_wr1 : std_logic := '0'; begin -- rtl ofifo_wr <= wadv; ofifo_in <= wdata; ofifo : process (clk) begin -- process ofifo if clk'event and clk = '1' then -- rising clock edge if rst = '1' then -- asynchronous reset ofifo_level <= "0000000"; ofifo_rptr <= "000000"; ofifo_wptr <= "000000"; ofifo_empty <= '1'; nfull <= '0'; ofifo_wr1 <= '0'; else -- Delayed fifo write for improving timing at cost of -- NFULL and EMPTY being delayed wrt WADV -- FIFO may have 26 elements before NFULL asserted ofifo_wr1 <= ofifo_wr; if ofifo_wr1 = '1' and ofifo_rd = '0' then ofifo_level <= ofifo_level+1; ofifo_empty <= '0'; elsif ofifo_wr1 = '0' and ofifo_rd = '1' then ofifo_level <= ofifo_level-1; if ofifo_level = "0000001" then ofifo_empty <= '1'; end if; end if; if ofifo_wr = '1' then ofifo_wptr <= ofifo_wptr+1; end if; if ofifo_rd = '1' and ofifo_level /= "0000000" then ofifo_rptr <= ofifo_rptr+1; end if; nfull <= ofifo_level(6) or ofifo_level(5); end if; end if; end process ofifo; process (clk) is begin -- process if rising_edge(clk) then -- rising clock edge if ofifo_wr = '1' then ofifo_regs(conv_integer(ofifo_wptr)) <= ofifo_in; end if; end if; end process; ofifo_out <= ofifo_regs(conv_integer(ofifo_rptr)); ofifo_rd <= radv and not ofifo_empty; rempty <= ofifo_empty; rdata <= ofifo_out; end rtl;
<filename>modules/sfp_udpontrig/hdl/IPv4_TX.vhd ---------------------------------------------------------------------------------- -- Company: -- Engineer: <NAME> -- -- Create Date: 16:20:42 06/01/2011 -- Design Name: -- Module Name: IPv4_TX - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle simple IP TX -- doesnt handle segmentation -- dest MAC addr resolution through ARP layer -- Handle IPv4 protocol -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Revision 0.02 - fixed up setting of tx_result control defaults -- Revision 0.03 - Added data_out_first -- Revision 0.04 - Added handling of broadcast address -- Revision 0.05 - Fix cks calc when add of high bits causes another ovf -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.axi.all; use work.ipv4_types.all; use work.arp_types.all; entity IPv4_TX is port ( -- IP Layer signals ip_tx_start : in std_logic; ip_tx : in ipv4_tx_type; -- IP tx cxns ip_tx_result : out std_logic_vector (1 downto 0); -- tx status (changes during transmission) ip_tx_data_out_ready : out std_logic; -- indicates IP TX is ready to take data -- system signals clk : in std_logic; -- same clock used to clock mac data and ip data reset : in std_logic; our_ip_address : in std_logic_vector (31 downto 0); our_mac_address : in std_logic_vector (47 downto 0); -- ARP lookup signals arp_req_req : out arp_req_req_type; arp_req_rslt : in arp_req_rslt_type; -- MAC layer TX signals mac_tx_req : out std_logic; -- indicates that ip wants access to channel (stays up for as long as tx) mac_tx_granted : in std_logic; -- indicates that access to channel has been granted mac_data_out_ready : in std_logic; -- indicates system ready to consume data mac_data_out_valid : out std_logic; -- indicates data out is valid mac_data_out_first : out std_logic; -- with data out valid indicates the first byte of a frame mac_data_out_last : out std_logic; -- with data out valid indicates the last byte of a frame mac_data_out : out std_logic_vector (7 downto 0) -- ethernet frame (from dst mac addr through to last byte of frame) ); end IPv4_TX; architecture Behavioral of IPv4_TX is type tx_state_type is ( IDLE, WAIT_MAC, -- waiting for response from ARP for mac lookup WAIT_CHN, -- waiting for tx access to MAC channel SEND_ETH_HDR, -- sending the ethernet header SEND_IP_HDR, -- sending the IP header SEND_USER_DATA -- sending the users data ); type crc_state_type is (IDLE, TOT_LEN, ID, FLAGS, TTL, CKS, SAH, SAL, DAH, DAL, ADDOVF, FINAL, WAIT_END); type count_mode_type is (RST, INCR, HOLD); type settable_cnt_type is (RST, SET, INCR, HOLD); type set_clr_type is (SET, CLR, HOLD); -- Configuration constant IP_TTL : std_logic_vector (7 downto 0) := x"08";--x"80"; -- TX state variables signal tx_state : tx_state_type; attribute fsm_encoding : string; attribute fsm_encoding of tx_state : signal is "one_hot"; attribute fsm_safe_state : string; attribute fsm_safe_state of tx_state : signal is "auto_safe_state"; attribute mark_debug : string; attribute mark_debug of tx_state : signal is "true"; signal tx_count : unsigned (11 downto 0); signal tx_result_reg : std_logic_vector (1 downto 0); signal tx_mac : std_logic_vector (47 downto 0); signal tx_mac_chn_reqd : std_logic; signal tx_hdr_cks : std_logic_vector (23 downto 0); signal mac_lookup_req : std_logic; signal crc_state : crc_state_type; signal arp_req_ip_reg : std_logic_vector (31 downto 0); signal mac_data_out_ready_reg : std_logic; -- tx control signals signal next_tx_state : tx_state_type; signal set_tx_state : std_logic; signal next_tx_result : std_logic_vector (1 downto 0); signal set_tx_result : std_logic; signal tx_mac_value : std_logic_vector (47 downto 0); signal set_tx_mac : std_logic; signal tx_count_val : unsigned (11 downto 0); signal tx_count_mode : settable_cnt_type; signal tx_data : std_logic_vector (7 downto 0); signal set_last : std_logic; signal set_chn_reqd : set_clr_type; signal set_mac_lku_req : set_clr_type; signal tx_data_valid : std_logic; -- indicates whether data is valid to tx or not -- tx temp signals signal total_length : std_logic_vector (15 downto 0); -- computed combinatorially from header size function inv_if_one(s1 : std_logic_vector; en : std_logic) return std_logic_vector is --this function inverts all the bits of a vector if --'en' is '1'. variable Z : std_logic_vector(s1'high downto s1'low); begin for i in (s1'low) to s1'high loop Z(i) := en xor s1(i); end loop; return Z; end inv_if_one; -- end function -- IP datagram header format -- -- 0 4 8 16 19 24 31 -- -------------------------------------------------------------------------------------------- -- | Version | *Header | Service Type | Total Length including header | -- | (4) | Length | (ignored) | (in bytes) | -- -------------------------------------------------------------------------------------------- -- | Identification | Flags | Fragment Offset | -- | | | (in 32 bit words) | -- -------------------------------------------------------------------------------------------- -- | Time To Live | Protocol | Header Checksum | -- | (ignored) | | | -- -------------------------------------------------------------------------------------------- -- | Source IP Address | -- | | -- -------------------------------------------------------------------------------------------- -- | Destination IP Address | -- | | -- -------------------------------------------------------------------------------------------- -- | Options (if any - ignored) | Padding | -- | | (if needed) | -- -------------------------------------------------------------------------------------------- -- | Data | -- | | -- -------------------------------------------------------------------------------------------- -- | .... | -- | | -- -------------------------------------------------------------------------------------------- -- -- * - in 32 bit words -- CHIPSCOPE ILA probes signal probe6 : std_logic_vector(31 downto 0); attribute keep : string;--keep name for ila probes attribute keep of tx_state : signal is "true"; attribute keep of tx_count : signal is "true"; begin ----------------------------------------------------------------------- -- combinatorial process to implement FSM and determine control signals ----------------------------------------------------------------------- tx_combinatorial : process( -- input signals ip_tx_start, ip_tx, our_ip_address, our_mac_address, arp_req_rslt, --clk, mac_tx_granted, mac_data_out_ready, -- state variables tx_state, tx_count, tx_result_reg, tx_mac, tx_mac_chn_reqd, mac_lookup_req, tx_hdr_cks, arp_req_ip_reg, mac_data_out_ready_reg, -- control signals next_tx_state, set_tx_state, next_tx_result, set_tx_result, tx_mac_value, set_tx_mac, tx_count_mode, tx_data, set_last, set_chn_reqd, set_mac_lku_req, total_length, tx_data_valid, tx_count_val ) begin -- set output followers ip_tx_result <= tx_result_reg; mac_tx_req <= tx_mac_chn_reqd; arp_req_req.lookup_req <= mac_lookup_req; arp_req_req.ip <= arp_req_ip_reg; -- set initial values for combinatorial outputs mac_data_out_first <= '0'; case tx_state is when SEND_ETH_HDR | SEND_IP_HDR => mac_data_out <= tx_data; tx_data_valid <= mac_data_out_ready; -- generated internally mac_data_out_last <= set_last; when SEND_USER_DATA => mac_data_out <= ip_tx.data.data_out; tx_data_valid <= ip_tx.data.data_out_valid; mac_data_out_last <= ip_tx.data.data_out_last; when others => mac_data_out <= (others => '0'); tx_data_valid <= '0'; -- not transmitting during this phase mac_data_out_last <= '0'; end case; mac_data_out_valid <= tx_data_valid and mac_data_out_ready; -- set signal defaults next_tx_state <= IDLE; set_tx_state <= '0'; tx_count_mode <= HOLD; tx_data <= x"00"; set_last <= '0'; set_tx_mac <= '0'; set_chn_reqd <= HOLD; set_mac_lku_req <= HOLD; next_tx_result <= IPTX_RESULT_NONE; set_tx_result <= '0'; tx_count_val <= (others => '0'); tx_mac_value <= (others => '0'); -- set temp signals total_length <= std_logic_vector(unsigned(ip_tx.hdr.data_length) + 20); -- total length = user data length + header length (bytes) -- TX FSM case tx_state is when IDLE => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx tx_count_mode <= RST; set_chn_reqd <= CLR; if ip_tx_start = '1' then -- check header count for error if too high if unsigned(ip_tx.hdr.data_length) > 1480 then next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; else next_tx_result <= IPTX_RESULT_SENDING; set_tx_result <= '1'; -- TODO - check if we already have the mac addr for this ip, if so, bypass the WAIT_MAC state if ip_tx.hdr.dst_ip_addr = IP_BC_ADDR then -- for IP broadcast, dont need to look up the MAC addr tx_mac_value <= MAC_BC_ADDR; set_tx_mac <= '1'; next_tx_state <= WAIT_CHN; set_tx_state <= '1'; else -- need to req the mac address for this ip set_mac_lku_req <= SET; next_tx_state <= WAIT_MAC; set_tx_state <= '1'; end if; end if; else set_mac_lku_req <= CLR; end if; when WAIT_MAC => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx set_mac_lku_req <= CLR; -- clear the request - will have been latched in the ARP layer if arp_req_rslt.got_mac = '1' then -- save the MAC we got back from the ARP lookup tx_mac_value <= arp_req_rslt.mac; set_tx_mac <= '1'; set_chn_reqd <= SET; -- check for optimise when already have the channel if mac_tx_granted = '1' then -- ready to send data next_tx_state <= SEND_ETH_HDR; set_tx_state <= '1'; else next_tx_state <= WAIT_CHN; set_tx_state <= '1'; end if; elsif arp_req_rslt.got_err = '1' then set_mac_lku_req <= CLR; next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; end if; when WAIT_CHN => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx if mac_tx_granted = '1' then -- ready to send data next_tx_state <= SEND_ETH_HDR; set_tx_state <= '1'; end if; -- probably should handle a timeout here when SEND_ETH_HDR => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx if mac_data_out_ready = '1' then if tx_count = x"00d" then tx_count_mode <= RST; next_tx_state <= SEND_IP_HDR; set_tx_state <= '1'; else tx_count_mode <= INCR; end if; case tx_count is when x"000" => mac_data_out_first <= mac_data_out_ready; tx_data <= tx_mac (47 downto 40); -- trg = mac from ARP lookup when x"001" => tx_data <= tx_mac (39 downto 32); when x"002" => tx_data <= tx_mac (31 downto 24); when x"003" => tx_data <= tx_mac (23 downto 16); when x"004" => tx_data <= tx_mac (15 downto 8); when x"005" => tx_data <= tx_mac (7 downto 0); when x"006" => tx_data <= our_mac_address (47 downto 40); -- src = our mac when x"007" => tx_data <= our_mac_address (39 downto 32); when x"008" => tx_data <= our_mac_address (31 downto 24); when x"009" => tx_data <= our_mac_address (23 downto 16); when x"00a" => tx_data <= our_mac_address (15 downto 8); when x"00b" => tx_data <= our_mac_address (7 downto 0); when x"00c" => tx_data <= x"08"; -- pkt type = 0800 : IP when x"00d" => tx_data <= x"00"; when others => -- shouldnt get here - handle as error next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; end case; end if; when SEND_IP_HDR => ip_tx_data_out_ready <= '0'; -- in this state, we are unable to accept user data for tx if mac_data_out_ready = '1' then if tx_count = x"013" then tx_count_val <= x"001"; tx_count_mode <= SET; next_tx_state <= SEND_USER_DATA; set_tx_state <= '1'; else tx_count_mode <= INCR; end if; case tx_count is when x"000" => tx_data <= x"45"; -- v4, 5 words in hdr when x"001" => tx_data <= x"00"; -- service type when x"002" => tx_data <= total_length (15 downto 8); -- total length when x"003" => tx_data <= total_length (7 downto 0); when x"004" => tx_data <= x"00"; -- identification when x"005" => tx_data <= x"00"; when x"006" => tx_data <= x"00"; -- flags and fragment offset when x"007" => tx_data <= x"00"; when x"008" => tx_data <= IP_TTL; -- TTL when x"009" => tx_data <= ip_tx.hdr.protocol; -- protocol when x"00a" => tx_data <= tx_hdr_cks (15 downto 8); -- HDR checksum when x"00b" => tx_data <= tx_hdr_cks (7 downto 0); -- HDR checksum when x"00c" => tx_data <= our_ip_address (31 downto 24); -- src ip when x"00d" => tx_data <= our_ip_address (23 downto 16); when x"00e" => tx_data <= our_ip_address (15 downto 8); when x"00f" => tx_data <= our_ip_address (7 downto 0); when x"010" => tx_data <= ip_tx.hdr.dst_ip_addr (31 downto 24); -- dst ip when x"011" => tx_data <= ip_tx.hdr.dst_ip_addr (23 downto 16); when x"012" => tx_data <= ip_tx.hdr.dst_ip_addr (15 downto 8); when x"013" => tx_data <= ip_tx.hdr.dst_ip_addr (7 downto 0); when others => -- shouldnt get here - handle as error next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; end case; end if; when SEND_USER_DATA => ip_tx_data_out_ready <= mac_data_out_ready;-- and mac_data_out_ready_reg; -- in this state, we are always ready to accept user data for tx if mac_data_out_ready = '1' then if ip_tx.data.data_out_valid = '1' or tx_count = x"000" then -- only increment if ready and valid has been subsequently established, otherwise data count moves on too fast if unsigned(tx_count) = unsigned(ip_tx.hdr.data_length) then -- TX terminated due to count - end normally set_last <= '1'; set_chn_reqd <= CLR; tx_data <= ip_tx.data.data_out; next_tx_result <= IPTX_RESULT_SENT; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; if ip_tx.data.data_out_last = '0' then next_tx_result <= IPTX_RESULT_ERR; end if; elsif ip_tx.data.data_out_last = '1' then -- TX terminated due to receiving last indication from upstream - end with error set_last <= '1'; set_chn_reqd <= CLR; tx_data <= ip_tx.data.data_out; next_tx_result <= IPTX_RESULT_ERR; set_tx_result <= '1'; next_tx_state <= IDLE; set_tx_state <= '1'; else -- TX continues tx_count_mode <= INCR; tx_data <= ip_tx.data.data_out; end if; end if; end if; end case; end process; ----------------------------------------------------------------------------- -- sequential process to action control signals and change states and outputs ----------------------------------------------------------------------------- tx_sequential : process (clk)--, reset, mac_data_out_ready_reg) begin -- if rising_edge(clk) then -- mac_data_out_ready_reg <= mac_data_out_ready; -- else -- mac_data_out_ready_reg <= mac_data_out_ready_reg; -- end if; if rising_edge(clk) then if reset = '1' then -- reset state variables tx_state <= IDLE; tx_count <= x"000"; tx_result_reg <= IPTX_RESULT_NONE; tx_mac <= (others => '0'); tx_mac_chn_reqd <= '0'; mac_lookup_req <= '0'; else -- Next tx_state processing if set_tx_state = '1' then tx_state <= next_tx_state; else tx_state <= tx_state; end if; -- tx result processing if set_tx_result = '1' then tx_result_reg <= next_tx_result; else tx_result_reg <= tx_result_reg; end if; -- control arp lookup request case set_mac_lku_req is when SET => arp_req_ip_reg <= ip_tx.hdr.dst_ip_addr; mac_lookup_req <= '1'; when CLR => mac_lookup_req <= '0'; arp_req_ip_reg <= arp_req_ip_reg; when HOLD => mac_lookup_req <= mac_lookup_req; arp_req_ip_reg <= arp_req_ip_reg; end case; -- save MAC if set_tx_mac = '1' then tx_mac <= tx_mac_value; else tx_mac <= tx_mac; end if; -- control access request to mac tx chn case set_chn_reqd is when SET => tx_mac_chn_reqd <= '1'; when CLR => tx_mac_chn_reqd <= '0'; when HOLD => tx_mac_chn_reqd <= tx_mac_chn_reqd; end case; -- tx_count processing case tx_count_mode is when RST => tx_count <= x"000"; when SET => tx_count <= tx_count_val; when INCR => tx_count <= tx_count + 1; when HOLD => tx_count <= tx_count; end case; end if; end if; end process; ----------------------------------------------------------------------------- -- Process to calculate CRC in parallel with pkt out processing -- this process must yield a valid CRC before it is required to be used in the hdr ----------------------------------------------------------------------------- crc : process (clk)--, reset) begin if rising_edge(clk) then case crc_state is when IDLE => if ip_tx_start = '1' then tx_hdr_cks <= x"004500"; -- vers & hdr len & service crc_state <= TOT_LEN; end if; when TOT_LEN => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(total_length)); crc_state <= ID; when ID => tx_hdr_cks <= tx_hdr_cks; crc_state <= FLAGS; when FLAGS => tx_hdr_cks <= tx_hdr_cks; crc_state <= TTL; when TTL => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(IP_TTL & ip_tx.hdr.protocol)); crc_state <= CKS; when CKS => tx_hdr_cks <= tx_hdr_cks; crc_state <= SAH; when SAH => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(our_ip_address(31 downto 16))); crc_state <= SAL; when SAL => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(our_ip_address(15 downto 0))); crc_state <= DAH; when DAH => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(ip_tx.hdr.dst_ip_addr(31 downto 16))); crc_state <= DAL; when DAL => tx_hdr_cks <= std_logic_vector (unsigned(tx_hdr_cks) + unsigned(ip_tx.hdr.dst_ip_addr(15 downto 0))); crc_state <= ADDOVF; when ADDOVF => tx_hdr_cks <= std_logic_vector ((unsigned(tx_hdr_cks) and x"00ffff")+ unsigned(tx_hdr_cks(23 downto 16))); crc_state <= FINAL; when FINAL => tx_hdr_cks <= inv_if_one(std_logic_vector (unsigned(tx_hdr_cks) + unsigned(tx_hdr_cks(23 downto 16))), '1'); crc_state <= WAIT_END; when WAIT_END => tx_hdr_cks <= tx_hdr_cks; if ip_tx_start = '0' then crc_state <= IDLE; else crc_state <= WAIT_END; end if; end case; end if; end process; --------------------------------------------------------------------------- -- Chipscope ILA Debug purpose --------------------------------------------------------------------------- ILA_GEN : IF True GENERATE--false GENERATE My_chipscope_ila_probe_IP_TX_6 : entity work.ila_32x8K PORT MAP ( clk => clk, probe0 => probe6 ); probe6(11 downto 0)<=--tx_state& std_logic_vector(tx_count); probe6(31 downto 12)<=(others=>'0'); END GENERATE; end Behavioral;
---------------------------------------------------------------------------------- -- COPYRIGHT (c) 2016 ALL RIGHT RESERVED -- -- COMPANY: Ruhr-Universitaet Bochum, Chair for Embedded Security -- AUTHOR: <NAME> -- -- CREATE DATA: 17/11/2016 -- MODULE NAME: RoundFunction -- -- REVISION: 1.00 - File created -- -- LICENCE: Please look at licence.txt -- USAGE INFORMATION: Please look at readme.txt. If licence.txt or readme.txt -- are missing or if you have questions regarding the code -- please contact <NAME> (<EMAIL>) -- or <NAME> (<EMAIL>). -- -- THIS CODE AND INFORMATION ARE PROVIDED "AS IS" WITHOUT WARRANTY OF ANY -- KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND/OR FITNESS FOR A -- PARTICULAR PURPOSE. ---------------------------------------------------------------------------------- -- IMPORTS ---------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE WORK.SKINNYPKG.ALL; -- ENTITY ---------------------------------------------------------------------------------- ENTITY RoundFunction IS GENERIC (BS : BLOCK_SIZE := BLOCK_SIZE_128; TS : TWEAK_SIZE := TWEAK_SIZE_3N); PORT ( -- KEY PORT ------------------------------------- ROUND_KEY : IN STD_LOGIC_VECTOR((GET_TWEAK_SIZE(BS, TS) - 1) DOWNTO 0); ROUND_IN : IN STD_LOGIC_VECTOR((GET_BLOCK_SIZE(BS) - 1) DOWNTO 0); ROUND_OUT : OUT STD_LOGIC_VECTOR((GET_BLOCK_SIZE(BS) - 1) DOWNTO 0); CONST_IN : IN STD_LOGIC_VECTOR (5 downto 0)); END RoundFunction; -- ARCHITECTURE : MIXED ---------------------------------------------------------------------------------- ARCHITECTURE Mixed OF RoundFunction IS -- CONSTANTS ------------------------------------------------------------------ CONSTANT N : INTEGER := GET_BLOCK_SIZE(BS); CONSTANT T : INTEGER := GET_TWEAK_SIZE(BS, TS); CONSTANT W : INTEGER := GET_WORD_SIZE(BS); -- SIGNALS -------------------------------------------------------------------- SIGNAL CURRENT_STATE, NEXT_STATE, KEY_ADDITION, CONST_ADDITION, SUBSTITUTION, SHIFTROWS : STD_LOGIC_VECTOR((N - 1) DOWNTO 0); --SIGNAL CONST : STD_LOGIC_VECTOR( 5 DOWNTO 0); BEGIN -- CONSTANT GENERATOR --------------------------------------------------------- --ConstGen : ENTITY work.ConstGenerator --PORT MAP ( --CLK => CLK, --INIT => INIT, --CONST => CONST --); ------------------------------------------------------------------------------- -- REGISTER ------------------------------------------------------------------- --R : ENTITY work.ScanFF --GENERIC MAP (SIZE => N) --PORT MAP ( -- CLK => CLK, -- SE => INIT, -- D => NEXT_STATE, -- DS => ROUND_IN, -- Q => CURRENT_STATE --); ------------------------------------------------------------------------------- -- S-BOX ---------------------------------------------------------------------- GEN : FOR I IN 0 TO 15 GENERATE S : ENTITY work.SBox GENERIC MAP (BS => BS) PORT MAP (ROUND_IN((W * (I + 1) - 1) DOWNTO (W * I)), SUBSTITUTION((W * (I + 1) - 1) DOWNTO (W * I))); END GENERATE; ------------------------------------------------------------------------------- -- CONSTANT ADDITION ---------------------------------------------------------- N64 : IF BS = BLOCK_SIZE_64 GENERATE CONST_ADDITION(63 DOWNTO 60) <= SUBSTITUTION(63 DOWNTO 60) XOR CONST_IN(3 DOWNTO 0); CONST_ADDITION(59 DOWNTO 46) <= SUBSTITUTION(59 DOWNTO 46); CONST_ADDITION(45 DOWNTO 44) <= SUBSTITUTION(45 DOWNTO 44) XOR CONST_IN(5 DOWNTO 4); CONST_ADDITION(43 DOWNTO 30) <= SUBSTITUTION(43 DOWNTO 30); CONST_ADDITION(29) <= NOT(SUBSTITUTION(29)); CONST_ADDITION(28 DOWNTO 0) <= SUBSTITUTION(28 DOWNTO 0); END GENERATE; N128 : IF BS = BLOCK_SIZE_128 GENERATE CONST_ADDITION(127 DOWNTO 124) <= SUBSTITUTION(127 DOWNTO 124); CONST_ADDITION(123 DOWNTO 120) <= SUBSTITUTION(123 DOWNTO 120) XOR CONST_IN(3 DOWNTO 0); CONST_ADDITION(119 DOWNTO 90) <= SUBSTITUTION(119 DOWNTO 90); CONST_ADDITION( 89 DOWNTO 88) <= SUBSTITUTION( 89 DOWNTO 88) XOR CONST_IN(5 DOWNTO 4); CONST_ADDITION( 87 DOWNTO 58) <= SUBSTITUTION( 87 DOWNTO 58); CONST_ADDITION(57) <= NOT(SUBSTITUTION(57)); CONST_ADDITION( 56 DOWNTO 0) <= SUBSTITUTION( 56 DOWNTO 0); END GENERATE; ------------------------------------------------------------------------------- -- SUBKEY ADDITION ------------------------------------------------------------ T1N : IF TS = TWEAK_SIZE_1N GENERATE KEY_ADDITION((16 * W - 1) DOWNTO (12 * W)) <= CONST_ADDITION((16 * W - 1) DOWNTO (12 * W)) XOR ROUND_KEY((16 * W - 1) DOWNTO (12 * W)); KEY_ADDITION((12 * W - 1) DOWNTO ( 8 * W)) <= CONST_ADDITION((12 * W - 1) DOWNTO ( 8 * W)) XOR ROUND_KEY((12 * W - 1) DOWNTO ( 8 * W)); END GENERATE; T2N : IF TS = TWEAK_SIZE_2N GENERATE KEY_ADDITION((16 * W - 1) DOWNTO (12 * W)) <= CONST_ADDITION((16 * W - 1) DOWNTO (12 * W)) XOR ROUND_KEY((1 * N + 16 * W - 1) DOWNTO (1 * N + 12 * W)) XOR ROUND_KEY((16 * W - 1) DOWNTO (12 * W)); KEY_ADDITION((12 * W - 1) DOWNTO ( 8 * W)) <= CONST_ADDITION((12 * W - 1) DOWNTO ( 8 * W)) XOR ROUND_KEY((1 * N + 12 * W - 1) DOWNTO (1 * N + 8 * W)) XOR ROUND_KEY((12 * W - 1) DOWNTO ( 8 * W)); END GENERATE; T3N : IF TS = TWEAK_SIZE_3N GENERATE KEY_ADDITION((16 * W - 1) DOWNTO (12 * W)) <= CONST_ADDITION((16 * W - 1) DOWNTO (12 * W)) XOR ROUND_KEY((2 * N + 16 * W - 1) DOWNTO (2 * N + 12 * W)) XOR ROUND_KEY((1 * N + 16 * W - 1) DOWNTO (1 * N + 12 * W)) XOR ROUND_KEY((16 * W - 1) DOWNTO (12 * W)); KEY_ADDITION((12 * W - 1) DOWNTO ( 8 * W)) <= CONST_ADDITION((12 * W - 1) DOWNTO ( 8 * W)) XOR ROUND_KEY((2 * N + 12 * W - 1) DOWNTO (2 * N + 8 * W)) XOR ROUND_KEY((1 * N + 12 * W - 1) DOWNTO (1 * N + 8 * W)) XOR ROUND_KEY((12 * W - 1) DOWNTO ( 8 * W)); END GENERATE; KEY_ADDITION(( 8 * W - 1) DOWNTO ( 4 * W)) <= CONST_ADDITION(( 8 * W - 1) DOWNTO ( 4 * W)); KEY_ADDITION(( 4 * W - 1) DOWNTO ( 0 * W)) <= CONST_ADDITION(( 4 * W - 1) DOWNTO ( 0 * W)); ------------------------------------------------------------------------------- -- SHIFT ROWS ----------------------------------------------------------------- SR : ENTITY work.ShiftRows GENERIC MAP (BS => BS) PORT MAP (KEY_ADDITION, SHIFTROWS); ------------------------------------------------------------------------------- -- MIX COLUMNS ---------------------------------------------------------------- MC : ENTITY work.MixColumns GENERIC MAP (BS => BS) PORT MAP (SHIFTROWS, NEXT_STATE); ------------------------------------------------------------------------------- -- ROUND OUTPUT --------------------------------------------------------------- ROUND_OUT <= NEXT_STATE; ------------------------------------------------------------------------------- --CONST_OUT <= CONST; -- DONE ----------------------------------------------------------------------- --CHK1 : IF BS = BLOCK_SIZE_64 AND TS = TWEAK_SIZE_1N GENERATE DONE <= '1' WHEN (CONST = "111000") ELSE '0'; END GENERATE; --CHK2 : IF BS = BLOCK_SIZE_64 AND TS = TWEAK_SIZE_2N GENERATE DONE <= '1' WHEN (CONST = "001101") ELSE '0'; END GENERATE; --CHK3 : IF BS = BLOCK_SIZE_64 AND TS = TWEAK_SIZE_3N GENERATE DONE <= '1' WHEN (CONST = "011010") ELSE '0'; END GENERATE; --CHK4 : IF BS = BLOCK_SIZE_128 AND TS = TWEAK_SIZE_1N GENERATE DONE <= '1' WHEN (CONST = "011010") ELSE '0'; END GENERATE; --CHK5 : IF BS = BLOCK_SIZE_128 AND TS = TWEAK_SIZE_2N GENERATE DONE <= '1' WHEN (CONST = "000100") ELSE '0'; END GENERATE; --CHK6 : IF BS = BLOCK_SIZE_128 AND TS = TWEAK_SIZE_3N GENERATE DONE <= '1' WHEN (CONST = "001010") ELSE '0'; END GENERATE; ------------------------------------------------------------------------------- END Mixed;
<reponame>tukl-msd/median.demonstrator ---------------------------------------------------------------------------------- -- Company: Trenz Electronic GmbH -- Engineer: <NAME> ---------------------------------------------------------------------------------- library ieee; use ieee.STD_LOGIC_1164.all; use ieee.numeric_std.all; Library UNISIM; use UNISIM.vcomponents.all; Library UNIMACRO; use UNIMACRO.vcomponents.all; ---------------------------------------------------------------------------------- entity axis_raw_unpack_v1_0 is generic ( C_IMP_TYPE : integer range 0 to 1 := 0; C_OUT_TYPE : integer range 1 to 4 := 4 ); port ( axis_aclk : in STD_LOGIC; axis_aresetn : in STD_LOGIC; -- Ports of Axi Slave Bus Interface S_AXIS s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR(15 downto 0); s_axis_tuser : in STD_LOGIC; s_axis_tlast : in STD_LOGIC; s_axis_tvalid : in STD_LOGIC; -- Ports of Axi Master Bus Interface M_AXIS m_axis_tvalid : out STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR(C_OUT_TYPE*16-1 downto 0); m_axis_tuser : out STD_LOGIC; m_axis_tlast : out STD_LOGIC; m_axis_tready : in STD_LOGIC ); end axis_raw_unpack_v1_0; ---------------------------------------------------------------------------------- architecture arch_imp of axis_raw_unpack_v1_0 is ---------------------------------------------------------------------------------- constant C_DEVICE : STRING := "7SERIES"; constant C_FIFO_SIZE : STRING := "18Kb"; type sm_rx_state_type is (ST_IDLE, ST_PA, ST_PB, ST_PC, ST_PD); signal sm_rx_state : sm_rx_state_type := ST_IDLE; type sm_tx_state_type is (ST_WAIT, ST_TXA, ST_TXB, ST_TXC, ST_TXD); signal sm_tx_state : sm_tx_state_type := ST_WAIT; type sm_rxp_state_type is (ST_PIDLE, ST_PPA, ST_PPB, ST_PPC, ST_PPD, ST_PPW); signal sm_rxp_state : sm_rxp_state_type := ST_PIDLE; signal pixels_data : STD_LOGIC_VECTOR(39 downto 0); signal last : STD_LOGIC; signal user : STD_LOGIC; signal pixel_a : STD_LOGIC_VECTOR(9 downto 0); signal pixel_b : STD_LOGIC_VECTOR(9 downto 0); signal pixel_c : STD_LOGIC_VECTOR(9 downto 0); signal pixel_d : STD_LOGIC_VECTOR(9 downto 0); signal pixels_valid : STD_LOGIC; signal buffer_we : STD_LOGIC; signal buffer_re : STD_LOGIC; signal buffer_full : STD_LOGIC; signal buffer_empty : STD_LOGIC; signal buffer_in_data : STD_LOGIC_VECTOR(41 downto 0); signal buffer_out_data : STD_LOGIC_VECTOR(41 downto 0); component srl_fifo is generic( C_DEPTH : integer := 32; C_WIDTH : integer := 8 ); port ( clk_in : in STD_LOGIC; we_in : in STD_LOGIC; re_in : in STD_LOGIC; full_out : out STD_LOGIC; empty_out : out STD_LOGIC; data_in : in STD_LOGIC_VECTOR(C_WIDTH - 1 downto 0); data_out : out STD_LOGIC_VECTOR(C_WIDTH - 1 downto 0) ); end component; ---------------------------------------------------------------------------------- begin ---------------------------------------------------------------------------------- -- 16 bit input implementation process(axis_aclk) begin if(axis_aclk = '1' and axis_aclk'event)then case sm_rx_state is when ST_IDLE => buffer_we <= '0'; if(s_axis_tvalid = '1')then pixels_data( 9 downto 2) <= s_axis_tdata( 7 downto 0); -- P0 pixels_data(19 downto 12) <= s_axis_tdata(15 downto 8); -- P1 user <= s_axis_tuser; if(s_axis_tlast /= '1')then sm_rx_state <= ST_PA; end if; end if; when ST_PA => buffer_we <= '0'; if(s_axis_tvalid = '1')then pixels_data(29 downto 22) <= s_axis_tdata( 7 downto 0); -- P2 pixels_data(39 downto 32) <= s_axis_tdata(15 downto 8); -- P3 if(s_axis_tuser = '1')then -- Problem sm_rx_state <= ST_PA; elsif(s_axis_tlast /= '1')then sm_rx_state <= ST_PB; else sm_rx_state <= ST_IDLE; end if; end if; when ST_PB => if((s_axis_tvalid = '1') and (buffer_full = '0'))then pixel_a( 9 downto 2) <= pixels_data( 9 downto 2); pixel_a( 1 downto 0) <= s_axis_tdata( 1 downto 0); pixel_b( 9 downto 2) <= pixels_data(19 downto 12); pixel_b( 1 downto 0) <= s_axis_tdata( 3 downto 2); pixel_c( 9 downto 2) <= pixels_data(29 downto 22); pixel_c( 1 downto 0) <= s_axis_tdata( 5 downto 4); pixel_d( 9 downto 2) <= pixels_data(39 downto 32); pixel_d( 1 downto 0) <= s_axis_tdata( 7 downto 6); last <= s_axis_tlast; buffer_we <= '1'; pixels_data( 9 downto 2) <= s_axis_tdata(15 downto 8); if(s_axis_tuser = '1')then -- Problem sm_rx_state <= ST_PA; elsif(s_axis_tlast /= '1')then sm_rx_state <= ST_PC; else sm_rx_state <= ST_IDLE; end if; end if; when ST_PC => buffer_we <= '0'; if(s_axis_tvalid = '1')then pixels_data(19 downto 12) <= s_axis_tdata( 7 downto 0); -- P1 pixels_data(29 downto 22) <= s_axis_tdata(15 downto 8); -- P2 if(s_axis_tuser = '1')then -- Problem sm_rx_state <= ST_PA; elsif(s_axis_tlast /= '1')then sm_rx_state <= ST_PD; else sm_rx_state <= ST_IDLE; end if; end if; when ST_PD => if((s_axis_tvalid = '1') and (buffer_full = '0'))then pixel_a( 9 downto 2) <= pixels_data( 9 downto 2); pixel_a( 1 downto 0) <= s_axis_tdata( 9 downto 8); pixel_b( 9 downto 2) <= pixels_data(19 downto 12); pixel_b( 1 downto 0) <= s_axis_tdata(11 downto 10); pixel_c( 9 downto 2) <= pixels_data(29 downto 22); pixel_c( 1 downto 0) <= s_axis_tdata(13 downto 12); pixel_d( 9 downto 2) <= s_axis_tdata( 7 downto 0); pixel_d( 1 downto 0) <= s_axis_tdata(15 downto 14); buffer_we <= '1'; user <= '0'; last <= s_axis_tlast; if(s_axis_tuser = '1')then -- Problem sm_rx_state <= ST_PA; else sm_rx_state <= ST_IDLE; end if; end if; end case; end if; end process; process(sm_rx_state, pixels_valid) begin case sm_rx_state is when ST_IDLE => s_axis_tready <= '1'; when ST_PA => s_axis_tready <= '1'; when ST_PB => s_axis_tready <= not buffer_full; when ST_PC => s_axis_tready <= '1'; when ST_PD => s_axis_tready <= not buffer_full; end case; end process; ---------------------------------------------------------------------------------- reg_buf_gen: if C_IMP_TYPE = 0 generate begin process(axis_aclk) begin if(axis_aclk = '1' and axis_aclk'event)then if(pixels_valid = '0')then if(((sm_rx_state = ST_PB) or (sm_rx_state = ST_PD)) and (s_axis_tvalid = '1'))then pixels_valid <= '1'; end if; else if(buffer_re = '1')then pixels_valid <= '0'; end if; end if; end if; end process; buffer_full <= pixels_valid; buffer_empty <= not pixels_valid; buffer_out_data <= last & user & pixel_d & pixel_c & pixel_b & pixel_a; end generate; ---------------------------------------------------------------------------------- fifo_buf_gen: if C_IMP_TYPE = 1 generate begin buffer_in_data <= last & user & pixel_d & pixel_c & pixel_b & pixel_a; FIFO_inst: srl_fifo generic map( C_DEPTH => 32, C_WIDTH => 42 ) port map( clk_in => axis_aclk, we_in => buffer_we, re_in => buffer_re, full_out => buffer_full, empty_out => buffer_empty, data_in => buffer_in_data, data_out => buffer_out_data ); end generate; ---------------------------------------------------------------------------------- serial_out_gen: if C_OUT_TYPE = 1 generate begin process(axis_aclk) begin if(axis_aclk = '1' and axis_aclk'event)then case sm_tx_state is when ST_WAIT => if(buffer_empty = '0')then m_axis_tdata(15 downto 0) <= "000000" & buffer_out_data( 9 downto 0); m_axis_tuser <= buffer_out_data(40); m_axis_tlast <= '0'; sm_tx_state <= ST_TXA; end if; when ST_TXA => if(m_axis_tready = '1')then m_axis_tdata(15 downto 0) <= "000000" & buffer_out_data(19 downto 10); m_axis_tuser <= '0'; m_axis_tlast <= '0'; sm_tx_state <= ST_TXB; end if; when ST_TXB => if(m_axis_tready = '1')then m_axis_tdata(15 downto 0) <= "000000" & buffer_out_data(29 downto 20); m_axis_tuser <= '0'; m_axis_tlast <= '0'; sm_tx_state <= ST_TXC; end if; when ST_TXC => if(m_axis_tready = '1')then m_axis_tdata(15 downto 0) <= "000000" & buffer_out_data(39 downto 30); m_axis_tuser <= '0'; m_axis_tlast <= buffer_out_data(41); sm_tx_state <= ST_TXD; end if; when ST_TXD => if(m_axis_tready = '1')then if(buffer_empty = '0')then m_axis_tdata(15 downto 0) <= "000000" & buffer_out_data( 9 downto 0); m_axis_tuser <= buffer_out_data(40); m_axis_tlast <= '0'; sm_tx_state <= ST_TXA; else sm_tx_state <= ST_WAIT; m_axis_tlast <= '0'; end if; end if; end case; end if; end process; buffer_re <= '1' when ((sm_tx_state = ST_TXC) and (m_axis_tready = '1')) else '0'; m_axis_tvalid <= '1' when (sm_tx_state /= ST_WAIT) else '0'; end generate; -- serial_out_gen parallel4_out_gen: if C_OUT_TYPE = 4 generate begin m_axis_tdata(15 downto 0) <= "000000" & buffer_out_data( 9 downto 0); m_axis_tdata(31 downto 16) <= "000000" & buffer_out_data(19 downto 10); m_axis_tdata(47 downto 32) <= "000000" & buffer_out_data(29 downto 20); m_axis_tdata(63 downto 48) <= "000000" & buffer_out_data(39 downto 30); m_axis_tuser <= buffer_out_data(40); m_axis_tlast <= buffer_out_data(41); m_axis_tvalid <= not buffer_empty; buffer_re <= m_axis_tready; end generate; -- parallel4_out_gen ---------------------------------------------------------------------------------- end arch_imp;
library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use WORK.constants.all; entity shifter is generic( NBIT : integer := NUMBIT_DATA); port( A: in std_logic_vector(NBIT-1 downto 0); B: in std_logic_vector(NBIT-1 downto 0); logic_arith: in std_logic; -- 0 logic 1 arith right_left: in std_logic; -- 0 right 1 left shifter_out: out std_logic_vector(NBIT-1 downto 0)); end entity; architecture structural of shifter is component MUX21_GENERIC is generic(NBIT: integer := NBIT+8); Port (a: In std_logic_vector(NBIT-1 downto 0); b: In std_logic_vector(NBIT-1 downto 0); sel: In std_logic; Y: Out std_logic_vector(NBIT-1 downto 0)); end component; component MUX41_GENERIC is generic(NBIT: integer := NBIT+8); Port( a:in std_logic_vector(NBIT-1 downto 0); b: in std_logic_vector(NBIT-1 downto 0); c: in std_logic_vector(NBIT-1 downto 0); d: in std_logic_vector(NBIT-1 downto 0); sel: in std_logic_vector(1 downto 0); Y: out std_logic_vector(NBIT-1 downto 0)); end component; component MUX81_GENERIC is generic(NBIT: integer := NBIT); Port( a:In std_logic_vector(NBIT-1 downto 0); b: In std_logic_vector(NBIT-1 downto 0); c: In std_logic_vector(NBIT-1 downto 0); d: In std_logic_vector(NBIT-1 downto 0); e: In std_logic_vector(NBIT-1 downto 0); f: In std_logic_vector(NBIT-1 downto 0); g: In std_logic_vector(NBIT-1 downto 0); h: In std_logic_vector(NBIT-1 downto 0); sel: In std_logic_vector(2 downto 0); Y: Out std_logic_vector(NBIT-1 downto 0)); end component; type first_masks_array is array(0 to NBIT/8-1) of std_logic_vector(NBIT+7 downto 0); type second_masks_array is array(0 to 7) of std_logic_vector(NBIT-1 downto 0); signal right_masks_array: first_masks_array; signal left_masks_array: first_masks_array; signal chosen_array: first_masks_array; signal chosen_mask: std_logic_vector(NBIT+7 downto 0); signal second_masks: second_masks_array; signal third_sel: std_logic_vector(2 downto 0); begin -- STEP 1: Mask preparation and Pre-Shift -- 4 Mask generarion for Left and Right shift and take care about if it is logic operation or arithmetic STEP1_MASKS: for i in 1 to NBIT/8 generate right_masks_array(i-1)(NBIT+7 downto NBIT+8-8*i) <= (others => (A(NBIT-1) and logic_arith)); right_masks_array(i-1)(NBIT+7-8*i downto 0) <= A(NBIT-1 downto 8*(i-1)); left_masks_array(i-1)(NBIT+7 downto 8*i-1) <= '0' & A(NBIT-8*(i-1)-1 downto 0); left_masks_array(i-1)(8*i-2 downto 0) <= (others => '0'); end generate; -- Select Left Mask or Right Mask STEP1: for i in 0 to NBIT/8-1 generate MUX21_i : MUX21_GENERIC port map(left_masks_array(i), right_masks_array(i), right_left, chosen_array(i)); end generate; -- STEP 2: Coarse Grain Shift -- Use MSB(4 and 3) of B to chooses among the 4 masks the nearest to the shift to be operated STEP2: MUX41_GENERIC port map(chosen_array(3), chosen_array(2), chosen_array(1), chosen_array(0), B(4 downto 3), chosen_mask); -- Prepare the 8 possible configuration of the chosen mask STEP2_MASKS: for i in 0 to 7 generate second_masks(i) <= chosen_mask(NBIT+i-1 downto i); end generate; -- STEP 3: Fine Grain Shift -- Use LSB(2, 1 and 0) of B to chooses among the 8 configuration the correct shift (implement the real shift) third_sel(2) <= B(2) xor right_left; third_sel(1) <= B(1) xor right_left; third_sel(0) <= B(0) xor right_left; STEP3: MUX81_GENERIC port map(second_masks(7), second_masks(6), second_masks(5), second_masks(4), second_masks(3), second_masks(2), second_masks(1), second_masks(0), third_sel, shifter_out); end architecture;
<reponame>lsst-camera-daq/surf<filename>protocols/jesd204b/rtl/Jesd204bPkg.vhd ------------------------------------------------------------------------------- -- Company : SLAC National Accelerator Laboratory ------------------------------------------------------------------------------- -- Description: JESD204B Package File ------------------------------------------------------------------------------- -- This file is part of 'SLAC Firmware Standard Library'. -- It is subject to the license terms in the LICENSE.txt file found in the -- top-level directory of this distribution and at: -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. -- No part of 'SLAC Firmware Standard Library', including this file, -- may be copied, modified, propagated, or distributed except according to -- the terms contained in the LICENSE.txt file. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library surf; use surf.StdRtlPkg.all; package Jesd204bPkg is -- Constant definitions -------------------------------------------------------------------------- -- Number of bytes in MGT word (2 or 4). constant GT_WORD_SIZE_C : positive := 4; -- 8B10B characters (8-bit values) -- K.28.5 constant K_CHAR_C : slv(7 downto 0) := x"BC"; -- K.28.0 constant R_CHAR_C : slv(7 downto 0) := x"1C"; -- K.28.3 constant A_CHAR_C : slv(7 downto 0) := x"7C"; -- K.28.7 constant F_CHAR_C : slv(7 downto 0) := x"FC"; -- Register or counter widths constant SYSRF_DLY_WIDTH_C : positive := 8; constant RX_STAT_WIDTH_C : positive := 19 + 2*GT_WORD_SIZE_C; constant TX_STAT_WIDTH_C : positive := 6; -- AXI packet size at power up constant AXI_PACKET_SIZE_DEFAULT_C : slv(23 downto 0) := x"00_01_00"; -- TX specific -- Ramp step or square wave period slv width (max 16) constant PER_STEP_WIDTH_C : positive := 16; -- Scrambler/Descrambler PBRS taps for 1 + x^14 + x^15 constant JESD_PRBS_TAPS_C : NaturalArray := (0 => 14, 1 => 15); -- Sub-types -------------------------------------------------------------------------- type jesdGtRxLaneType is record data : slv((GT_WORD_SIZE_C*8)-1 downto 0); -- PHY receive data dataK : slv(GT_WORD_SIZE_C-1 downto 0); -- PHY receive data is K character dispErr : slv(GT_WORD_SIZE_C-1 downto 0); -- PHY receive data has disparity error decErr : slv(GT_WORD_SIZE_C-1 downto 0); -- PHY receive data not in table rstDone : sl; cdrStable : sl; end record jesdGtRxLaneType; constant JESD_GT_RX_LANE_INIT_C : jesdGtRxLaneType := ( data => (others => '0'), dataK => (others => '0'), dispErr => (others => '0'), decErr => (others => '0'), rstDone => '0', cdrStable => '0' ); type jesdGtTxLaneType is record data : slv((GT_WORD_SIZE_C*8)-1 downto 0); -- PHY receive data dataK : slv(GT_WORD_SIZE_C-1 downto 0); -- PHY receive data is K character end record jesdGtTxLaneType; constant JESD_GT_TX_LANE_INIT_C : jesdGtTxLaneType := ( data => (others => '0'), dataK => (others => '0')); -- Arrays type jesdGtRxLaneTypeArray is array (natural range <>) of jesdGtRxLaneType; type jesdGtTxLaneTypeArray is array (natural range <>) of jesdGtTxLaneType; type fixLatDataArray is array (natural range <>) of slv((GT_WORD_SIZE_C*8+GT_WORD_SIZE_C*2)-1 downto 0); type sampleDataArray is array (natural range <>) of slv((GT_WORD_SIZE_C*8)-1 downto 0); type sampleDataVectorArray is array (natural range<>, natural range<>) of slv((GT_WORD_SIZE_C*8)-1 downto 0); type rxStatuRegisterArray is array (natural range <>) of slv((RX_STAT_WIDTH_C)-1 downto 0); type txStatuRegisterArray is array (natural range <>) of slv((TX_STAT_WIDTH_C)-1 downto 0); type alignTxArray is array (natural range <>) of slv((GT_WORD_SIZE_C)-1 downto 0); -- Functions -------------------------------------------------------------------------- -- Detect K character function detKcharFunc(data_slv : slv; charisk_slv : slv; bytes_int : positive) return std_logic; -- Output variable index from SLV (use in variable length shift register) function varIndexOutFunc(shft_slv : slv; index_slv : slv) return std_logic; -- Detect position of first non K character (Swapped) function detectPosFuncSwap(data_slv : slv; charisk_slv : slv; bytes_int : positive) return std_logic_vector; -- Detect position of first non K character function detectPosFunc(data_slv : slv; charisk_slv : slv; bytes_int : positive) return std_logic_vector; -- Byte swap slv (bytes int 2 or 4) function byteSwapSlv(data_slv : slv; bytes_int : positive) return std_logic_vector; -- Swap little and big endians (bytes int 2 or 4) function endianSwapSlv(data_slv : slv; bytes_int : positive) return std_logic_vector; -- Align the data within the data buffer according to the position of the byte alignment word function JesdDataAlign(data_slv : slv; position_slv : slv; bytes_int : positive) return std_logic_vector; -- Align the character within the buffer according to the position of the byte alignment word function JesdCharAlign(char_slv : slv; position_slv : slv; bytes_int : positive) return std_logic_vector; -- Convert standard logic vector to integer function slvToInt(data_slv : slv) return integer; -- Convert integer to standard logic vector function intToSlv(data_int : integer; bytes_int : positive) return std_logic_vector; -- Output offset binary zero function outSampleZero(F_int : positive; bytes_int : positive) return std_logic_vector; -- Invert functions -- Invert signed function invSigned(input : slv) return std_logic_vector; function invData(data : slv; F_int : positive; bytes_int : positive) return std_logic_vector; procedure jesdScrambler ( dataIn : in slv(15 downto 0); lfsrIn : in slv(14 downto 0); dataOut : inout slv(15 downto 0); lfsrOut : inout slv(14 downto 0)); end Jesd204bPkg; package body Jesd204bPkg is -- Functions -------------------------------------------------------------------------- -- Detect K character function detKcharFunc(data_slv : slv; charisk_slv : slv; bytes_int : positive) return std_logic is begin if(bytes_int = 2) then if(data_slv (7 downto 0) = K_CHAR_C and data_slv (15 downto 8) = K_CHAR_C and charisk_slv = (charisk_slv'range => '1') ) then return '1'; else return '0'; end if; elsif(bytes_int = 4) then if(data_slv (7 downto 0) = K_CHAR_C and data_slv (15 downto 8) = K_CHAR_C and data_slv (23 downto 16) = K_CHAR_C and data_slv (31 downto 24) = K_CHAR_C and charisk_slv = (charisk_slv'range => '1') ) then return '1'; else return '0'; end if; else return '0'; end if; end detKcharFunc; -- Output variable index from SLV (use in variable length shift register) function varIndexOutFunc(shft_slv : slv; index_slv : slv) return std_logic is variable i : integer; begin -- Return the index i := to_integer(unsigned(index_slv)); return shft_slv(i); end varIndexOutFunc; -- Detect position of first non K character function detectPosFunc(data_slv : slv; charisk_slv : slv; bytes_int : positive) return std_logic_vector is begin -- GT word is 2 bytes if(bytes_int = 2) then if(data_slv (7 downto 0) /= K_CHAR_C and data_slv (15 downto 8) /= K_CHAR_C ) then return "01"; elsif(data_slv (7 downto 0) /= K_CHAR_C and data_slv (15 downto 8) = K_CHAR_C and charisk_slv(1) = '1' ) then return "10"; else return "11"; end if; -- GT word is 4 bytes wide elsif(bytes_int = 4) then if(data_slv (7 downto 0) /= K_CHAR_C and data_slv (15 downto 8) /= K_CHAR_C and data_slv (23 downto 16) /= K_CHAR_C and data_slv (31 downto 24) /= K_CHAR_C ) then return "0001"; elsif(data_slv (7 downto 0) /= K_CHAR_C and data_slv (15 downto 8) /= K_CHAR_C and data_slv (23 downto 16) /= K_CHAR_C and data_slv (31 downto 24) = K_CHAR_C and charisk_slv(3) = '1' ) then return "0010"; elsif(data_slv (7 downto 0) /= K_CHAR_C and data_slv (15 downto 8) /= K_CHAR_C and data_slv (23 downto 16) = K_CHAR_C and data_slv (31 downto 24) = K_CHAR_C and charisk_slv(3 downto 2) = "11" ) then return "0100"; elsif(data_slv (7 downto 0) /= K_CHAR_C and data_slv (15 downto 8) = K_CHAR_C and data_slv (23 downto 16) = K_CHAR_C and data_slv (31 downto 24) = K_CHAR_C and charisk_slv(3 downto 1) = "111" ) then return "1000"; else return "1111"; end if; else return (bytes_int-1 downto 0 => '1'); end if; end detectPosFunc; -- Detect position of first non K character (Swapped bits/bytes) function detectPosFuncSwap(data_slv : slv; charisk_slv : slv; bytes_int : positive) return std_logic_vector is begin -- GT word is 2 bytes if(bytes_int = 2) then if(data_slv (7 downto 0) /= K_CHAR_C and data_slv (15 downto 8) /= K_CHAR_C ) then return "01"; elsif(data_slv (7 downto 0) = K_CHAR_C and data_slv (15 downto 8) /= K_CHAR_C and charisk_slv(0) = '1' ) then return "10"; else return "11"; end if; -- GT word is 4 bytes wide elsif(bytes_int = 4) then if(data_slv (7 downto 0) /= K_CHAR_C and data_slv (15 downto 8) /= K_CHAR_C and data_slv (23 downto 16) /= K_CHAR_C and data_slv (31 downto 24) /= K_CHAR_C ) then return "0001"; elsif(data_slv (7 downto 0) = K_CHAR_C and data_slv (15 downto 8) /= K_CHAR_C and data_slv (23 downto 16) /= K_CHAR_C and data_slv (31 downto 24) /= K_CHAR_C and charisk_slv(0) = '1' ) then return "0010"; elsif(data_slv (7 downto 0) = K_CHAR_C and data_slv (15 downto 8) = K_CHAR_C and data_slv (23 downto 16) /= K_CHAR_C and data_slv (31 downto 24) /= K_CHAR_C and charisk_slv(1 downto 0) = "11" ) then return "0100"; elsif(data_slv (7 downto 0) = K_CHAR_C and data_slv (15 downto 8) = K_CHAR_C and data_slv (23 downto 16) = K_CHAR_C and data_slv (31 downto 24) /= K_CHAR_C and charisk_slv(2 downto 0) = "111" ) then return "1000"; else return "1111"; end if; else return (bytes_int-1 downto 0 => '1'); end if; end detectPosFuncSwap; -- Byte swap slv (bytes int 2 or 4) function byteSwapSlv(data_slv : slv; bytes_int : positive) return std_logic_vector is begin if(bytes_int = 2) then return data_slv(7 downto 0) & data_slv(15 downto 8); elsif(bytes_int = 4) then return data_slv(7 downto 0) & data_slv(15 downto 8) & data_slv(23 downto 16) & data_slv(31 downto 24); else return data_slv; end if; end byteSwapSlv; -- Swap little or big endian (bytes int 2 or 4) function endianSwapSlv(data_slv : slv; bytes_int : positive) return std_logic_vector is begin if(bytes_int = 2) then return data_slv; elsif(bytes_int = 4) then return data_slv(15 downto 0) & data_slv(31 downto 16); else return data_slv; end if; end endianSwapSlv; -- Align the data within the data buffer according to the position of the byte alignment word function JesdDataAlign(data_slv : slv; position_slv : slv; bytes_int : positive) return std_logic_vector is begin if(bytes_int = 2) then if (position_slv(1 downto 0) = "01") then return data_slv (31 downto 16); elsif (position_slv(1 downto 0) = "10") then return data_slv (31-8 downto 16-8); else return data_slv (31 downto 16); end if; elsif(bytes_int = 4) then if (position_slv(3 downto 0) = "0001") then return data_slv(63 downto 32); elsif (position_slv(3 downto 0) = "0010") then return data_slv(63-1*8 downto 32-1*8); elsif (position_slv(3 downto 0) = "0100") then return data_slv(63-2*8 downto 32-2*8); elsif (position_slv(3 downto 0) = "1000") then return data_slv(63-3*8 downto 32-3*8); else return data_slv(63 downto 32); end if; else return data_slv; end if; end JesdDataAlign; -- Align the char within the buffer according to the position of the byte alignment word function JesdCharAlign(char_slv : slv; position_slv : slv; bytes_int : positive) return std_logic_vector is begin if(bytes_int = 2) then if (position_slv(1 downto 0) = "01") then return char_slv (3 downto 2); elsif (position_slv(1 downto 0) = "10") then return char_slv (3-1 downto 2-1); else return char_slv (3 downto 2); end if; elsif(bytes_int = 4) then if (position_slv(3 downto 0) = "0001") then return char_slv(7 downto 4); elsif (position_slv(3 downto 0) = "0010") then return char_slv(7-1 downto 4-1); elsif (position_slv(3 downto 0) = "0100") then return char_slv(7-2 downto 4-2); elsif (position_slv(3 downto 0) = "1000") then return char_slv(7-3 downto 4-3); else return char_slv(7 downto 4); end if; else return char_slv; end if; end JesdCharAlign; -- Convert standard logic vector to integer function slvToInt(data_slv : slv) return integer is begin return to_integer(unsigned(data_slv)); end slvToInt; -- Convert integer to standard logic vector function intToSlv(data_int : integer; bytes_int : positive) return std_logic_vector is begin return std_logic_vector(to_unsigned(data_int, bytes_int)); end IntToSlv; -- Output zero sample data depending on word size and Frame size function outSampleZero(F_int : positive; bytes_int : positive) return std_logic_vector is constant SAMPLES_IN_WORD_C : positive := (bytes_int/F_int); variable vSlv : slv((bytes_int*8)-1 downto 0); begin vSlv := (others => '0'); for i in (SAMPLES_IN_WORD_C-1) downto 0 loop vSlv(i*8*F_int+8*F_int-1) := '1'; end loop; return vSlv; end outSampleZero; -- Invert Signed function invSigned(input : slv) return std_logic_vector is variable vOutput : signed(input'range); begin vOutput := - signed(input); return std_logic_vector(vOutput); end invSigned; -- Output zero sample data depending on word size and Frame size function invData(data : slv; F_int : positive; bytes_int : positive) return std_logic_vector is constant SAMPLES_IN_WORD_C : positive := (bytes_int/F_int); variable vSlv : slv((bytes_int*8)-1 downto 0); begin vSlv := data; for i in (SAMPLES_IN_WORD_C-1) downto 0 loop vSlv(i*8*F_int+8*F_int-1 downto i*8*F_int) := invSigned(vSlv(i*8*F_int+8*F_int-1 downto i*8*F_int)); end loop; return vSlv; end invData; -- lfsr(14:0)=1+x^14+x^15 procedure jesdScrambler ( dataIn : in slv(15 downto 0); lfsrIn : in slv(14 downto 0); dataOut : inout slv(15 downto 0); lfsrOut : inout slv(14 downto 0)) is begin lfsrOut(0) := lfsrIn(0) xor lfsrIn(1) xor lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13); lfsrOut(1) := lfsrIn(0) xor lfsrIn(1) xor lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(2) := lfsrIn(1) xor lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(3) := lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(4) := lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(5) := lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(6) := lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(7) := lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(8) := lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(9) := lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(10) := lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(11) := lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(12) := lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(13) := lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); lfsrOut(14) := lfsrIn(0) xor lfsrIn(1) xor lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(14); dataOut(0) := dataIn(0) xor lfsrIn(14); dataOut(1) := dataIn(1) xor lfsrIn(13) xor lfsrIn(14); dataOut(2) := dataIn(2) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(3) := dataIn(3) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(4) := dataIn(4) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(5) := dataIn(5) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(6) := dataIn(6) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(7) := dataIn(7) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(8) := dataIn(8) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(9) := dataIn(9) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(10) := dataIn(10) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(11) := dataIn(11) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(12) := dataIn(12) xor lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(13) := dataIn(13) xor lfsrIn(1) xor lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(14) := dataIn(14) xor lfsrIn(0) xor lfsrIn(1) xor lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13) xor lfsrIn(14); dataOut(15) := dataIn(15) xor lfsrIn(0) xor lfsrIn(1) xor lfsrIn(2) xor lfsrIn(3) xor lfsrIn(4) xor lfsrIn(5) xor lfsrIn(6) xor lfsrIn(7) xor lfsrIn(8) xor lfsrIn(9) xor lfsrIn(10) xor lfsrIn(11) xor lfsrIn(12) xor lfsrIn(13); end procedure; end package body Jesd204bPkg;
<gh_stars>1-10 ------------------------------------------------------------------------------------------------ -- WWW.DEADLINE-DESIGN.COM -- ------------------------------------------------------------------------------------------------ -- -- -- This software representation and its inclusive documentation are provided AS-IS and with -- -- all faults; is without warranty expressed or implied, including but not limited to, -- -- warranties of merchantability or fitness for a particular purpose. -- -- -- -- All trademarks are the property of their respective owners. -- -- -- -- DESIGN UNITS : pre_scaler_srle_based(dynamic) -- -- -- -- FILE NAME : pre_scaler_srle_based.vhd -- -- -- -- PURPOSE : The purpose of this design unit is to provide a minimal footprint yet -- -- somewhat flexible multi-use clock enable tick based clock pre-scaler. -- -- Every attempt is made at inferring functionality as opposed to -- -- instantiating functionality to permit easier portability. -- -- -- -- NOTE : This design unit utilizes the LUT based shift register primitive where -- -- possible for a more efficient footprint. The primitive can be found in -- -- various Xilinx FPGA families. -- -- -- -- This design unit does utilize certain elements contained within the -- -- D_D_pkg PACKAGE (D_D_pkg.vhd). Be sure to compile the package into the -- -- DEADLINE LIBRARY prior to compiling this design unit into the DEADLINE -- -- LIBRARY. -- -- -- -- This design unit does utilize the srle(dynamic) primitive (srle.vhd). -- -- Be sure to compile the primitive into the DEADLINE LIBRARY prior to -- -- compiling this design unit into the DEADLINE LIBRARY. -- -- -- -- GENERIC DECLARATIONS -- -- -- -- PRE_SCALE_SRL_DEPTH - Pre-scale divider LUT based SRL depth. -- -- Ideally this should be 16 or 32 to permit single -- -- primitive instantion. However a multiple of 16 -- -- that is greater than 32 is possible. -- -- -- -- PORT DECLARATIONS -- -- -- -- i_clock - Global clock input. -- -- -- -- i_enable - Pre-scaler module enable input. -- -- -- -- i_pre_scale_div - Pre-scale divide clock enable tick rate input. -- -- The divide rate is i_pre_scale_div + 1. -- -- It may be set statically, or can be dynamic -- -- (so long as it is properly flushed prior to the -- -- dynamic change. -- -- -- -- o_pre_scale_rate_tick - Pre-scale divided clock enable tick output. -- -- -- -- LIMITATIONS : The SRL LUT shift register is permanently clock enabled. This permits a -- -- simpler meachanism for flushing when the pre-scaler module is disabled. -- -- -- -- In order to properly flush the SRL based shift registers, i_enable must -- -- be de-asserted for no less thant pre_scale_srl_depth + 1 clock cycles. -- -- -- -- If i_pre_scale_div is utilized(adjusted) dynamically, be sure to first -- -- flush the SRL LUT shift register using i_enable, prior to making the -- -- change and re-asserting i_enable. -- -- -- -- Ensure that the PRE_SCALE_SRL_DEPTH GENERIC value is a multiple of 16 so -- -- that it is compatible with SRL LUT based shift register depths. -- -- -- -- ERRORS : No known errors. -- -- -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ -- MODULE HISTORY -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ -- -- -- VERSION AUTHOR DATE COMMENTS -- -- 0.0 D-D 30 Jan 22 - Created. -- -- -- -- D-D 01 Feb 22 - Incorporated revised srle(dynamic) COMPONENT. -- -- -- -- D-D 04 Feb 22 - Changed PRE_SCALE_SRL_INIT GENERIC to a local CONSTANT. -- -- -- -- D-D 08 Feb 22 - Corrected typo in titleblock. -- -- -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ -- LIBRARY UTILIZATION(S) -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; LIBRARY DEADLINE; USE DEADLINE.ALL; USE DEADLINE.D_D_pkg.ALL; ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ -- ENTITY and ARCHITECTURE(S) -- ------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------ ENTITY pre_scaler_srle_based IS GENERIC ( PRE_SCALE_SRL_DEPTH : INTEGER := 16 ); PORT ( i_clock : IN STD_LOGIC; i_enable : IN STD_LOGIC; i_pre_scale_div : IN STD_LOGIC_VECTOR((find_bit_width(PRE_SCALE_SRL_DEPTH-1)-1) DOWNTO 0); o_pre_scale_rate_tick : OUT STD_LOGIC ); END pre_scaler_srle_based; ARCHITECTURE dynamic OF pre_scaler_srle_based IS ------------------------------ -- COMPONENT DECLARATION(S) -- ------------------------------ COMPONENT srle IS GENERIC ( CLOCK_POL_RISING : BOOLEAN := TRUE; SRLDEPTH : INTEGER := 16; SRLTYPE : STRING := "srl"; SRLINIT : STRING := "0000" ); PORT ( i_clock : IN STD_LOGIC; i_clock_enable : IN STD_LOGIC; i_tap_sel : IN STD_LOGIC_VECTOR((find_bit_width(SRLDEPTH-1)-1) DOWNTO 0); i_data : IN STD_LOGIC; o_data : OUT STD_LOGIC ); END COMPONENT; --------------- -- CONSTANTS -- --------------- CONSTANT PRE_SCALE_SRL_INIT : STRING := SRLEn_gen_hex_INIT_string('0',PRE_SCALE_SRL_DEPTH); ------------- -- SIGNALS -- ------------- SIGNAL enable_dly : STD_LOGIC; -- Pre-scaler enable delay SIGNAL srl_pre_scale : STD_LOGIC; -- Pre-scaler SRL output SIGNAL srl_pre_scale_token : STD_LOGIC; -- Pre-scaler SRL input token ---------------- -- ATTRIBUTES -- ---------------- BEGIN ------------------------------------------------------------------------------------------------ -- PRE-SCALER RATE GENERATION -- ------------------------------------------------------------------------------------------------ -- -- -- i_enable when de-asserted should be de-asserted for a minimum of clocks equal to the -- -- pre_scale_srl_depth + 1 to ensure proper flushing. When asserted, the pre-scaler is active -- -- and output clock enable ticks are generated at the divide rate of the input clock. -- -- -- -- i_pre_scale_div is the clock divider value. Specifically the divider rate is equal to -- -- i_pre_scale_div + 1. If i_pre_scale_div is to be utilized dynamically, it is important to -- -- flush the SRL based shift register prior to making any change to the divider value. -- -- i_enable should be de-asserted long enough to flush the SRL based shift register, then -- -- i_pre_scale_div may be changed, followed by re-asserting i_enable to commence generation of-- -- the pre-scaler rate tick/pulses at the new divider value rate. -- -- -- -- srl_pre_scale_token is a simple gate which permits the SRL based shift register to be -- -- flushed when the pre-scaler is disabled and also permits it to be loaded with a single -- -- initial '1' pulse when i_enable transitions from de-asserted to asserted. -- -- -- -- o_pre_scale_rate_tick is a simple single clock wide pulse (or tick) with a rate at the -- -- pre-scaler divide rate as set by i_pre_scale_div. De-assertion of i_enable is utilized to -- -- synchronously put o_pre_scale_rate_tick in the inactive reset state and thereby stop -- -- output/generation of pre-scaler rate tick/pulses. -- -- -- ------------------------------------------------------------------------------------------------ --------------------------------- -- PRE-SCALER RATE TICK OUTPUT -- --------------------------------- PRESCALEOUT: PROCESS(i_clock) BEGIN IF RISING_EDGE(i_clock) THEN IF (i_enable = '0') THEN o_pre_scale_rate_tick <= '0'; ELSE o_pre_scale_rate_tick <= srl_pre_scale; END IF; END IF; END PROCESS; -------------------------------------------------------- -- ONE CLOCK ENABLE DELAY FOR DEASSERT EDGE DETECTION -- -------------------------------------------------------- PRESCALEENADLY: PROCESS(i_clock) BEGIN IF RISING_EDGE(i_clock) THEN enable_dly <= i_enable; END IF; END PROCESS; ----------------------------------- -- PRE-SCALE DIVIDER INPUT TOKEN -- ----------------------------------- PRESCALETOKEN: srl_pre_scale_token <= ((srl_pre_scale AND enable_dly) OR -- Normal loopback (i_enable AND NOT(enable_dly))); -- Initial token ------------------------------------------------ -- PRE-SCALE DIVIDER SRL BASED SHIFT REGISTER -- ------------------------------------------------ PRESCALEGEN: srle GENERIC MAP ( CLOCK_POL_RISING => TRUE, SRLDEPTH => PRE_SCALE_SRL_DEPTH, SRLTYPE => "srl", SRLINIT => PRE_SCALE_SRL_INIT ) PORT MAP ( i_clock => i_clock, i_clock_enable => '1', i_tap_sel => i_pre_scale_div, i_data => srl_pre_scale_token, o_data => srl_pre_scale ); END dynamic; -------------------------------------------- END OF CODE ---------------------------------------
library IEEE; use IEEE.std_logic_1164.all; use work.pkg.all; entity RISCV is port ( CLK : in std_logic; PC : in std_logic_vector (3 downto 0); Instruction : out std_logic_vector(31 downto 0); ALU_Result : out std_logic_vector (31 downto 0) ); end entity RISCV; architecture test of RISCV is signal PC_Sync : std_logic_vector (3 downto 0); signal Aux_Instruction : std_logic_vector (31 downto 0); signal Aux_ALU_Result : std_logic_vector (31 downto 0); signal WE_REG : std_logic := '0'; signal RD_1, RD_2 : std_logic_vector (31 downto 0); signal read_validity : std_logic; begin -- FF at the entry input_reg : process(CLK) begin if (rising_edge(CLK)) then PC_Sync <= PC; end if; end process input_reg; Ins_Mem : Instruction_Memory port map (PC_Sync, Aux_Instruction); RISCV_Reg : RISCV_Register_file generic map ( N => 32, N_cod => 5 ) port map ( CLK => CLK, -- : in std_logic; we => WE_REG, -- : in std_logic; // we = Write enable rs1 => Aux_Instruction (19 downto 15), -- : in std_logic_vector(n_cod-1 downto 0); // rs = Read Selection rs2 => Aux_Instruction (24 downto 20), -- : in std_logic_vector(n_cod-1 downto 0); // rs = Read Selection ws => Aux_Instruction (11 downto 7), -- : in std_logic_vector(n_cod-1 downto 0); // ws = Write Selection wd => Aux_ALU_Result, -- : in std_logic_vector(n-1 downto 0); // wd = Write Data rd1 => RD_1, -- : out std_logic_vector(n-1 downto 0) // rd = Read Data rd2 => RD_2, read_validity => read_validity); Instruction <= Aux_Instruction; ALU_Result <= (others => '0'); end test;
-- AVALON MM-slave wrapper around the core gcd IP library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.misc_gcd.all; entity avs_gcd is port (avs_s0_address : in std_logic_vector(3 downto 0) := (others => '0'); -- 0000 : control/status register (b1=start, b0=rdy) -- 0001 : a register -- 0010 : b register -- 0011 : params_0031acc register -- 0100 : params_0031n register -- 0101 : result register avs_s0_read : in std_logic := '0'; avs_s0_readdata : out std_logic_vector(31 downto 0); avs_s0_write : in std_logic := '0'; avs_s0_writedata : in std_logic_vector(31 downto 0) := (others => '0'); clock_clk : in std_logic := '0'; reset_reset : in std_logic := '0'); end entity; architecture rtl of avs_gcd is component gcd is port (signal clk : in std_logic; signal reset : in std_logic; signal start : in std_logic; signal rdy : out std_logic; signal a: in caml_int; signal b: in caml_int; signal params_0031acc: out caml_int; signal params_0031n: out caml_int; signal result: out caml_int); end component; signal a: caml_int; signal b: caml_int; signal params_0031acc: caml_int; signal params_0031n: caml_int; signal result: caml_int; signal start: std_logic; signal rdy: std_logic;type write_state_t is (Idle, StartAsserted); signal write_state: write_state_t; begin gcd_CC : component gcd port map (clk => clock_clk, reset => reset_reset, start => start, rdy => rdy, a => a, b => b, params_0031acc => params_0031acc, params_0031n => params_0031n, result => result); WRITE: process (clock_clk, reset_reset) begin if reset_reset = '1' then write_state <= Idle; elsif rising_edge(clock_clk) then case write_state is when StartAsserted => start <= '0'; write_state <= Idle; when Idle => if avs_s0_write = '1' then case avs_s0_address is when "0000" => -- writing CSR asserts start for one clock period start <= '1'; write_state <= StartAsserted; when "0001" => a <= signed(avs_s0_writedata(30 downto 0)); when "0010" => b <= signed(avs_s0_writedata(30 downto 0)); when others => NULL; end case; end if; end case; end if; end process; READ: process (clock_clk) begin if rising_edge(clock_clk) then if avs_s0_read = '1' then case avs_s0_address is when "0000" => avs_s0_readdata <= X"0000000" & "000" & rdy; -- when reading CSR, bit 0 is rdy when "0001" => avs_s0_readdata <= "0" & std_logic_vector(a); when "0010" => avs_s0_readdata <= "0" & std_logic_vector(b); when "0011" => avs_s0_readdata <= "0" & std_logic_vector(params_0031acc); when "0100" => avs_s0_readdata <= "0" & std_logic_vector(params_0031n); when "0101" => avs_s0_readdata <= "0" & std_logic_vector(result); when others => null; end case; end if; end if; end process; end architecture;
<reponame>mkotormus/G3_OrchestraConductorDemo<filename>src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_logic_pkt_fifo.vhd `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block <KEY> `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHl/QJUYlA/ScW0xSwRrs5EF1Jk46e66BBLINgQIFTDiS6wQLsM9W8ubvHql8w7h3EDwrvDybQzy <KEY> `protect key_keyowner = "Synopsys", key_keyname= "<KEY>", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848) `protect data_block KV<KEY> RVmEAiI/W83fXVJjHFtiADPFaCUV8XOeCvdKwuVA0YFLEXzHqjjWP6xWf22Iqn9zSdWOzrNbV6GK hvgTFQH9ISMKHqG+3NNV73xW1zA/6N60BACUpu4Y3Uf2IsbSBoWeU90EjBV+sJSaA/hsqqdcJ8ki RnqYdBcrJ1k/HRIF8qmD0SAfepKmqHwUzI926opuQ2TIucOOAEOFtDXWANdrTGnwYsYnWOVq/NqY PYlWwC2fEUUc3idjMpEfW7vk74TCXOqk+uqrdXRo76PVE2vvBAJwXdDdPfGI/mNqte0JM8HCyxPG rrvQ1G4KpxwB1kETZlVpqFblDMxXavZJUtx2t1HLTo+lAut0i9jwHXUUbUoCWRSh4wgnUwzIuxIj /4hqspQIRyW4rJg1wgA/6wiuDHZqRON9FJjCgDsfuXBWL99hqVqzTuCdWQ2qcCPq8ZuvBZHMqzru 6XarPp6XTJuYRWP6kgCJ2eY1UUKQA0f2QEz4FoLtCHzTqbgZuou6IHxxoj1hRWcWn0FuhgCibrGT jxn9iOyPra98562L+ZyJoEw7HsCgowRltIWqxKlpiqolJ6w4FnH2MW10nPsraBQ1rSJzZ3PLEPWG pRdRHBicF1jBrNeXQ1nuUUSgcBfHMbHVoXjSjZ4FE089QBTOHl2aYA1heDdDMQUaq2vk8EDpPuR0 CPjHDFlQJ2T5eWlpPeuRFclqzZSFZZBNG2Llh29PoWWisS+FAXuWmKrHe5K7URA06cl+1+dof99n Exl96GgN97/fXCQiy1tRyauxolAVhkO1Ytm9qRWowAJyQCJnITFlrYvG4UwqcLoX6ZqIp9NFPGZU lWG90jNJep1UjtHVf3z6ey4SNtd8TqSPYZx9IQVJYXQk1jD5uzU9X0TO2rQREc2xHviHUS6QSKTW DXc4272oqo8VXw+TmzgQuNVAszCPTDMMhBQ25mw+Lft2iCnzjOvu9zzBwS0awkzs72c2GaKtR+wB X8Fko8xrycHlmo6d6/hzDkUe6H6Hrsil51jp2w6/SU8wHesSgEJfeDzXzeBEyUKz/AkMjjxFkrNv BKffimb4QxomCpbDizFlhbN3/iV1K7+acOCNyICPrpdAF44Z3JmutVLCu0+tZJojAFrMk4c7jei9 LhMDpNrV9BoYtIc2nPOsot0iM0AFI8vHmh6OmtNteLkV0Oy5SIlcOLcwFhLoCPzcYYFsOA2+ztYL 4wemKjeNgGdxnS5IKVAz/67gy0JYK6t5MT5U1Sz4QLtwXRVwIl2cnzbKCGFyq7PYpXiUk+xR6HP3 D6mYkc1yqcbEe1QNZTh59CvgBcsjPTbFlX36h8jKnolNGaPTWIPc6uq1LGVYK6i/lsCm5Jlz3zBi KkxIA6XM4p7DqB5EzrbF1wc0S7vr1nAQcMqNb2MaqV2lhipBwC7h1gosRjVMiFpK/ETB1oBHY9ix HyMVk6RWfNJ8htyT3y8fXpiP6a+btexSyGfXU53o28z5675cmhlghA5VDi1abUgSGJ+e3ObpGh8g aLxrTk7xG4hArl2XL/6Mf/EBq7oYgIL01MXTMtkop0FnNTyx7A+gc1PUXQ5NxnCMDNJhYD0DaLkV PDlXXr5gHAfNhYW9zPUOJHE898NLqpMQPnyBO4cBxalcnnbRXKT5C4c6Oc1RnoH+FHSKyLcG4C6o cfzKCBEHcH1x2K/cHCYbwEiDI1RJOnM9sBskOTuI9/M0mDoWSML4uVaYFO+GF7T7eSJ7DWeJ0EtW JoWX5eWmcaxb+/tobbyjm/fUo4Xy6UxlSaoQy9aNjkw+2rX8zsXEsnQYLzjwdxmSf2uVcbzhYa1C NjHQ+vynqwGnIVMe56J5SN3kOKwVjCw2B+g/HJmF+4GkrU2artd0V9DQ1v15j8pK0Z7yNpNO6gOn Xw+XesS+OxKW6f7nEf8UgxzHOf2JzIggLCvRKbCBJyqd/q77B1pa96eApLMqwMdvlOMMxGSlPNpM 1pRP/nhWOZixDxdkIvf1W0K4wRJJ3nOfKrZZ0FPn8KKiVX2Zw8dtVUc4S6x2GX98rr5F8TlIAr5q cr7ZbGHCWJS3hJ1kHFttW6MO/cTHULZZYTGW8qHxFW/wqoclsQnbsEHl7lJqIL2C7lCZ6A7rd1Kp fQ6uFX3UU4AscNnbjXWnnSnODj0VBqXeDKBBHOFaOHBxwzb0OGIjkjV1zwtUlBz1+0dPCzZZGobW 93LV6ZxTR44EdBrpCvPFoDKLAR14oHgf/2lybIysoFpHAS9DuA5VQ+daVEJojx6ul65cX0FKKrSJ 62K/is7K+3MPc6EAlq/RQnFc9HJbBmd5uvxUxW9k5ZVPXPPmrqRb9M8XRWn22pUpl4Z8CK+QM+w3 S8uvw1FhYspPFMY2pyWA9sT9Jd42VNnpwpBIqSXYVBh4l5lSEIaQYDaembmUNT2/UbVsRfmLEbWp PI31NfxIG7Qczovoiu1s2CeaA59Qau32hzBOs6PiBCF4b1Ts839hKw9qOjbTozLQTURkBxQcvhk9 4uXwsZg1nrF3dZ62fip7dDZiwHdnxWMucQuDK2ji6qpU9hb9ud2s5MivZvM1c1BVRaRG3WNKzlte g/VlYO0G6UbxSgjo8k82TipQhho/3dw7xf2MWqjoolzTR98JpSFDta4laGK+m5UC5zZJK2/pEbnv NCIH05L7Lox2Hhgd0m7wZRElaKiu8jkCAD43ROHzfgyjqUYCq3x5mMeuIhwIVoyQbfXgPWfLRp+A 1+CAVrGfn8mf1TS4Pf0yzsMFUhTJdfz1n4s0NOROzN1Mk37GVdGMQf6bpUTUfVu567rHXtedM/Yj m+MvdGmOXlHj0qlaOIh/oX2cXj9b6zP7VqLAvgqdu6BaG+e83NwPayVaDIMppjNs6QOQ3k/HaBF9 G7h0btk3j/wbXAFL/wTYeifkf99z7ctJtxicuNab8acglGiezsyb9bYTpbzSEfezRDv8t3tSpOmQ sA0RVF1on0JcwwR5YQ8QXLfNNjGeZu559zwjeydGt/KlZqVJ5B0UfvK5fU3alGYPUEdjJ+n+Yewk sfCnV1ljjRd2FtBQtylJ+tKrUbltlTqH80g22Atvy0DG6MuDt1Je3pCk55v3e+T+mTLJ7iWlfVIc 9VFh/XYqvu4JX/WpsWRbTuJn+3AnIvoGZ6XE06FlwR9WwC6uwTMTAuU2BsRC4JM6aYhYDlfsfIRB N0NNpiwyen9Rewg0j3N6YvF8drfikheaJVaVAeEiPyWHOy1nRcdzpTMTDgvLKeO4uSp3TlKg2UnK aNf0LEGyAl0qD9KukTV4gGM/y4qT2pyvYGlqtSdGUrP2vDdqAKiRVTbAMbX/pq4dQnhQX8/w32d3 VoCTRSnwXUegjxWdEU5dDF3MOKYMFAShiTOz0zb0ZaH8W+kQFXhyMvBGq46Avns6GV4HumDjEiQM kvhWaJcgmFEwWj0kvlDZ+qFo4t7BCXINUc/1HoRizIBz5fZcwCVLDlXSMJBVWWeaPEDotXQATBXs rfMsDsnCnrOiNgAVd+XM/ye1MfxKJQJ+5KZZof4kO0pG/Jk21JMYY36SH8mbwqkf0Ztmb8k6gEqn KGM87Omt2FC5UQiRKo3EKBGx41Ru2nKO3QJ3VIBofDGbU+kdSgHwOxdqTQFqyDrWj/4k+kvML3J4 Y9+/I/fp+TT87ZcDpcc1tIil3y1fNFWhsqLh05TJgvhozk3z73psTnCwuD9PlSwbD/rRGcCFojjg W8Thw0tW2ITb5/b4LuDfydycfYqQRw0Ojw+k1qIodNTQtZfbMRUKDF+Mnc3W8rJ0rzdWRk3DVp+m pY1yOuKf2Ym3Hqirqi/r0hcIyHzOVIqGaplkzOBX6MBxAa/tokXBrw7s/6YpuqaqLRKjOarAB+dg VXxoCAXQMXHXYVTLrjX9HZcdKtbx6FgfyFfMnqMTd6ffFuq+7jnxuldig5SUD84gRLx8MAgF9MpL VQ2U5DUOSIRJjGYmy/W1IVGcSXUCDSoBnUUlUzH/wYAexaxtzS2R8EutpToZQAZ9dZcVw5tBeXAH kTiDxeYhOTrHnHTvKf9oyJHIWLsrPknriv+sSsHmeLhR2AHGTKHPM4AF1TedlsfJM/E/nQaRxP9O YcYtOb5FZFQbEi3dg6dNYB/3vbOVfv4lOBOOvj6sIzPg+h+eywjpLXBS+chSHMreeM66wE1iMxqR huaDWS3ATZgfhNoSXOLrT+3I7S1g7HTopNXUXpy0/JTjKIX+XXxfyF20QQe8D/keRydINdNfMeVB GpPv13zoctZMZYObxN/cFXJ2dU7t9WT40yBviS0IsrSiDxP/ZyCBKPihP6heycO3l7i2mXhQN14k djp6H+3sBxecsL+kaZ/56/rd/gX/9kcHfQ/33U4eCrzUrn4uynMaKapbvOuPMp7921JmxsvpwRg0 oi63lME7toFIj8RLL2uJNBlOmgD0j6KovslbI27Vw3uZ6874d7q2ltVgR9+meyw9QNE+gq8xSpoi 3ltTVNPf1UnTCFoWn+2IF/D5ycghRuK9XhuWp4Q0I+P1gdnJFDlgKyKfmVHhyHSGTRt4zovBXBxQ a8hAe4SI3PKPo0Y0ZdhRu4ex+gv9oauy8CAyuEFY0QxBf1SQORMp+L6IACT0w04cfvOcKXMQ6aUl Y1mKQjyy/tqQD6dnB6MhD9SMUF6ALOSO4laSDngWvXsEUlS9PpsFRWYXx1nQFnz7TWkRCVcaFjMf gwHnZVi0WbfX0kvaRaYzkTFs7MHHEV0/ORXkBifHI0/3MofrA+NCr0VJCx4FYSBHrctO2EyXYcnm FVu5LdK75+p1MPkQ/TciT/mgDPLiwstEAGBnDlHOyMUwjea77so8uCh+e3BqeYO2ZGmhYWF5wjfM 40akdQD3/e9hvAnhzk8vGrE6eRdschHhVw+fPv9Ksuw/zWAE2rvTvoK2rGWWdGnPtSUfEwHmTLez 5IHI3oMAylVttlKXz5L6YGh2aY/W8KpCcm2aacvMq9Big91hKo8IJiQKYP2o43z76GdqU8GF/Els XbPnj4QAcNb1pWsnQ5KTyzB2DKe77rZK7p7CeRiFFa3WCxZDENovS+VBVR7r1IO2QTWq+OJ+i3wV ndNae5WPKym+AOj8eMZBYkpMASCJWcTVkbXDOSG5DFtucOMnsywlGujXZfPHnVov8WaM9fj8TGoz SHSNsCMPRMXb4rPE7+sF+61V9C+Y0K1+NUq3Sxlu9z2SU/vOAsHxPyQROGwySf2VbbCzCWs0aSPg 6l/fKqe4pTO7uIUADjRLplI1fQbrDZDuse+Nw1gT8+M9aqn1vKOmFFTMtr0zRQUd0hxoweQpjfjE HirzD81RMGIgpG4B+aqvu8gQrAI4iaiDK9YZBkAhXvAy3UZiwal8wyFxc+y3KAt/nZaCUrPtiHO6 hCKzMXXA15NJGd9P8/8zypIp2BL2kHRw9LsPxXJhYvX8e/2mrhzVUcDIlnLOMj3R0B/x4CpEGTye fD5OEjD8tqIhBQNHMeMxxgwofex+UhrXlzwiqLV/OLFjDriCOc489t9KEzAeFiDXA1SVO+I/2J4B lLfi196UQATgWVr9exksHFSxUqvwNT1p40R35DEzQMm51SZLz83h961L5JUAet4BDK/JGmYr2l4G 5gjQ4/HMC8d4rgbs3FQBI6CPhAqqhkNMrje+FFmn4OCsqFWqlemfuJO8bsggWU1q6ea7/plD859I 15uoE1wPZtt6+5v1cmyU61vhdNWdoWR0YIIFD9SezMVUILrA4C72nSu9liWBgcdJTPt1x6N07ZaF 27/bNu8/b2IuwLBbu1swGd6aUQiV+D9VI2XNRuMRfK9Po5EfWKOmQasT1u4stengVrAMEqLdN4gV C21koMol5zf0deF+RVAYSO1uTxlpEa4YN9JANlPkbk3hvG8SiL0JCiuyhemHRurQWMNI3JVrE3rw frknRzmtCTjdW3aa4H16fM/lUwzNidJeqaEQRokeI4dmaq2v0RWP4f273dxD2nyZ0dtIXlVwQnhC S4wZeAmY/UQQpu/wcL4+f73Un7cqPJAzR4i43sKDNV/Et1vXK6f/ScrUnidwIZgC4pLkcJKCTDYi sYVSzQ43MTXVsYPPtX2gh+SriyX94S76ekUSEJKi7JmRvl58yBGlikdleqlR66Dpg6KmUekcI/V/ Ze1lCFi6H1+rmVjfq9zYxRj6S0RjnYwr4WPqVow41JcKJ20Os5lnkBM5hPwEUO7H0iJ28iPzQel3 xy3Y4FIh1v0vkyAGA+VryJmlEW+C0O7urbPQr0oMCD4bJTVGnyHNDfe1Tdc0aYwo87KNCMV2eGvC atksv18Wx69huYefQwiJwjwF5itZ9U+mUzNTJyoPwvSVfDzpKoc99BUUig5R8uScLuztKCp5YXtf jxivKd8lvFm9aE9U56Ghti/RbohYiP7c4vTkbuSJlQgmJ4ntPvTe1ONQNMDa8UhXCs0+HtCczPRv XHH6mMHvIzjjJ0CWmO3xAd5PKd2YT197uPS8jNHVBnX3oJjuGNAvq36ORsc2OU7Rc8fJqY3IUF7w kA4ZyWVRBR5+L/9+DVAMbtR/BBNjIhqGwxNRc0K4+hzDl0VDCCIcOO8Q+rQO50XYUP8QK6DZBAgB vs0Zx/0mHrJxsta/Uyp2Ae5IttK7cezbvjVMj0G2X0/lInJ92YhWlfrbCkjUGCtiSIYDb0/bDAWM Zs4h6PX5HB1EH7kEt3dfgD4xVg5JOHb4eQd5amnj6qqLxhDEN8vbFD3mEFwgNSVmMZMmTcajs9MO vYrWu1Yn/SVa+I0L9JQ35HegRaOom+muyxCD3KjeuIh6y2ZIShEsaXamqjpMT53yOh93jYDi491X stjdTizKZoB55usi1sEuB00jtLbvFCrZW6Hy+UvzRR5ksBi5PxryurU98SoqbWyOogQRR4FTyNmV e4yT5nZ/m5kOAiRJ6AJw66WfArK/g0A9P0rp3ExUjLhr1Ar0ZkgU91ItjGuWQSwObXHXXNoi8OmR TQcp15zasrVBl0DCW6fD1yVvSjTg1ENVZxUoU0apb6zIv89CdXsdq26Tb7ijFaMJ531Ns29gyWeq IT4pzJndezXi3Ow+mrwA/h+d4TGVfCcN27e6jP8ThuwyixmDNDKacUzk6HUKB30PNvyPa2BXzYC5 gLlLkUTQtQ0l5PnA6+/Qf/e58L5G2YZxHkT0YYRT/B3QtnrisJ5CyQY14JlO4GjDMlPNgvTUTutw ydkh7pHNt/pQVZIU2VcoBxZ68x5yNeSi/mLvJjXvG3VuswyNuw4EavJ/Jcm4XxIva6a6TM1tKfTl 2h+WzrbUCRQ01wd/nWzjnLkMWrbuHqjLodzrX6+Nso22qeD6aY7iKzkjcmaHDHHALYnZFjXZtKyx chF7XbqqD0gsPGryCbZVcUp6LGzi9h1BksLMMYLGtD6kyylJ+mb4511IBsjSNIZdWPZQK/yMaLs2 kiHaVdJRuTkTu9vAByW5cVjsL0FktnGnr+XFLcpLilTmS2+blH4thWrqw3WQa0fEA94t35Ax22Af p9WJ3HfEgziSaFT9C7xC/uYjNDGoxqdBtepvyU6WJhr1TCE5Ej6c2W0xkqUHddWpHhVBxOpfyNw4 GVUcq4MHIardLtiqO9DMF9SaQp/kVOIi7TFjYybD2oQs05AIiEyy3ATzOn5lZ46s04IgPRkBYN2T QWtw6F7MdW8p4p4Ox/vxcXEUZFw2JaAC04RS5l82cJtyF43pPs9iAodylprrIYsurIhPeZnsvGeH bQu1VngQLt1l4TTPgxXMYKHrT7ZcnMYSEDToOvRlyt2kuz9Tthm21TN8ZIe+ndtq6TT5r585wNzN kfPTW1qHK67HFVosRVIhl9HpS8t06LdKwpmGbCv3L0wFcDHbKDnRvCw94GrOrizY4salRo+Qjuvz w9nnBvYZyjNbzZYHOZ21yfXneCULWHT0vQgHPC1mbZApM6J8pOmosgGUlshOxzN1vmAv4A457ZMV DUy9VRds3XJl1mqEqWluXb0vWE10WGED/83ZskFIyZ6iliy/oMhQN7uTMZxf55M1xvdzA5XiUSqm RUHB5/Y8vsGwNLeq5FzNt4jxOzn9aQMEd20t01GoC1oHwDHL1ltigF9YvjPBL18n4OA6QSpW4bt1 f2UJ9EC+s6WusDOTV0Q0c6h69qNFLqSe1BhdLzf72E2AbyEkmgCpkCEaT02C+nabUeB/29K5JPkl Hd1mtBr51NRzxRW/nyKX4Z8m5zPwYCzsbSsdDyQBUYfERUdRScoFdavEKzRLdctMWmmGhg+rQvN4 B8WNJoBC8H3PQb4rUsiEIMxeWHyLnF1HN+EUr+hhFlKAiPwn15zrtd3z0UWtuJoXkuuC+qALAbwj 4FBaKQRpqRwRhhu+SVAZNJDKqekE8Pew2Nr2tUXYqXNpb4frtcwOlYwmrMX/IEWdSRImddDv6/pI PUt1GC33gRXr+nsP/z574CVguo/Gbn7Rx7yfEeDGhKkig1Eabn/2yuNNVA4eJi8wrYcskJlRmQ6g sbkgOBJ2Q1HDonqoCodLIuCReT+oRRDHwFENq3iz4GL0BEHGrL1Rt5Vt52R4BQqzAqfRL+BTcTEV fGB2ksEoH8RBI1p2eZ6yHGB2xPSbsUFzc3c7XHWtd03yzjoli2+vD5Xk3xCThmI2dqlv4v5GHgc+ SY1F6DQVOJqMKYYEorFP+OhaXe/hnGhcJFiuuQeCdy004PglAmFTN8nDgm2iVTUU0pTuOVQ5a6OY T2lr3MoYhqIwbDXwLfOgJg54TQf+60RJ5/L2z5DtZhYROFJs1MrUk8ChMVfVym4drUvrjEnL9SQ5 Ll8T+yayL+EuYlcdtdyDDwF3AqRBnqH1CDvEwO+f5hU86htfkQ/1lTgVC2dP+lRXYt1TQ4jb5D0a XuvV//Wjm44FjFNIHcO7tjfu0ddHvIr/8xH2dGpJczK+M0vLYy7TjEqh/wIvm9wcm6PAxMViU6fs eYShQsztZ3yzD+L2Z/rIJqGeIgl6OE/HcI+J7VETQmvjI6QmtJ7gZ7mbMfHkZpUesvDsEAFlfiBG wmdM0RDMT7XNynmGzOq26ZTb9JkLaXS/bb7EHNjLj4EKT+zkOq0uS0VenIhDScnw2XePFRUPSpMQ xJrtnb4rperm2S8eKBhp7IBO60EYNCMCydls0IS2E56O7Gl6LOsi3yhrSDlhb3qZUeZcZU7EZVId 7s2Iqb19YI4UktYB+YMnts67S3eTIBosoXP0C2jsLzJTCQdjZcX8/ZcWVWNP1ezLSdp++i2/efM9 ZmtwXHGONHgw5WwagKapjmpZPr/u/mpDhEws4qsEvV84e1Fey8FciKasG6sHQHM4HYP+zhRNvtgf QBuGv06lNYOdhWbqrY4VLAcYzVmA/VETu/Sc1UiEUJtuIRlmhhHri3FzxQWdxTdpyWZ7fCOEtlQY irUIOmsHtmCnp/1Enez56GQOQfaDYrivuyDCH0PIFJ/tOkD8Yx826DXN4anED8tjDXOAL158qQdQ MKpKTYiIGy5E7p8gmAa+GqQJVrv9M/4pEtJ1aNwq+AmOOXaXcEO+ZbPK/XccEwsYpcZ6SciHbL9p eKSpl6tk+nVtuM8Wadl9NFk6gV6Grka3LvdS7p/+FxypH9CEeedFD2dcHqUDtcSgE8YDHM5qDDTB yWi3gAWpJmS3eHgDsmTenTJXvtVAm8/OnYlSAaaJ8DJUBJnCQg+Lc20AscM776tFuUZxarlX1miS GdvQvhUUNQTiDQOOeZhviXTO8l4SGHR8z4xWqxosqsaz4xmnKnNcreL50IOzRFx/qP5wZprEyZpe JV00FlLLKrLW5x167awZ+6lFn0aO9rgMIUgY5PAtRQRvQ+zc9aKGdG+J5tKLwM6QDQCCE6jh/ARl zf9Vrf9S8xTXDYVzjF4RC7Q1DAcb0oJDj3fx4Q/gXjxWXYJBrUc5d3dWWi8esO7TVn5NhoVC0tLc zpA/9B5ZEJpqdjKgp4bvTG2sJ6/zMU1ffeuddJ/xHJViQcaXVEVZIaPEC7zPbRgKCjg0aft0h+m3 0EN2RLwZbLtGnrBQb6RVFGyBhmHGpTNIXTeNlgq+Y/pilyu62IAQAo75mXR1+pI7gPrTkkSfWh+j oZF81L8as3fBm46LtwFPxZCEILZ4rS5ctTIS7aoA7BtZg/Kjr2UYwP5djjoeDeMjTAGnDfO3JYvQ RKkgAuBw80iD12I51tSg8xZHgc/0S+mqIham7QgKloJsoklhotIiqlJ+vqmbE2nqjzL8XT56sHtC eNnvoB0L+/vGoE8Nn9C1Jku2yAiQgo2l6QKxdr3h9l6TIeaQd8ROZPspEpfJ5766DZ120o1pN/cY AC3l0tcacLnPytQ8ms45NPt3Gxe1CrZ7UMPk1+KihQjKV3lDiN+ER7rEsLrkji8h+LNXGSPSD+/m WDeZ7MVRIuud4mi9HkSOcgE/CclNXEJP6X6AdRLMeHDcNJPz5uJoGTdbXKB7iYjEBJYQxB9u6zq5 jqM1UbxrZnpuCTeZqSeH3WpOSQ+7kmE2vziOSPnwWqDK/e3KXJ2glkw+dp9Kt+DF6u9Pog3rexWu 3UIGjjWDgdrU4l0jcM1JEspqddlmyru9BYdyZ0lauhGOHltP1I5dT6Ktp0VBXfiWnQuaZje8JYG6 gGH+/7zG0JqmJhHwl5Vbw35f62KZ8RrV/XJtcvmLDANtf1t1xk0o93NmvbKlerfwcZDIAfLgMA5p XV5oDZwpxMMveuQanAMfB1rkmgFHcfzXM5fAzhJQNo6Z/OA/11VA4zYs6zQ40SEJDXjfvaYGhWRv n0CGH/tGOTtOGamligxGJLbMy6Fl28C9RGBaCy3grUSFc/AqzNhjjbmPO0vIRmv4YeCyIk76nhaJ FTssnZpKBunplXhtNs3TQYT8RnEQFCkFUFOIF8QKgLnw3J2N994cvheCxcMjVBkpm6aVmEtWpiz6 cZitWPOC0WTYoxS2YMTb108rct1hyUgFxiAJoEW/4JAtUhvQsFAZkcVOp45yIoRHjDeGMRkkI+g4 7JFicXyojIUj4RnbthXsSPOExCX8HnYpBu3l6ABI1Fe0lrcIZDVs6NaGIOvyEVLR6yBo/Q2YTyuh vP1uJeQi4f8At5Bq37w8KORCvxB/MQAPyY3sf9GasWNU0AxL96/jaJQyMFhuXbS5l9cqL80TKU13 URBB3XoawQrAib0zUfa38mkL8g5rL2vAKI8eOEVUQZYbP73C79pqOjRmCWRseFtyh17+lrNjRGH3 aO8DlnYok1m8bHR8a7qErTUhUN0Ds1Z1VARzTxTZz2HifmMwDQy4LvddonRCV1htlreF+4SJjnVL PY9xLHiqRLUTwS8JDZt6JEytFNq6dJw15v+y1uaC1yZU8n/G+cwrCyou9e6NXUoTmc4Q3k5YzWFN 01tPzV71lTc4t4d4jllYEkW/9O0n7UYmJgG5fIvb0975DNLfJu1tl/4XQZf9NyJCTk/f+7s3bGRh 6EgVz4SZ9licOHzxT4AiGbL2L+FAJcqG6WPVvcbYyjW+WqIlZhTS2lKEESq75YrlvTH9C2g+CdkK 1Q4sNy2V7K/IrYC2b0/RBYOR+OAnohZdbri3AF8otQsuaIbIwwSaKvfI7zXkVi0n4dHAd3ufP0Dc oWOk2nLlgqQB01bRrKGnqqB9QJJViOhvIC3rMxrrSH0jjq1X6LFpPiPEQ77L1oPJYC94zT62m72O ROllxCgaOTVHflPlNXD4yeth5EKGwumE0zF7UszLt8ZNHKuNXsVW0/zkh7UOdWX/pwUK1BpJUXHH IUMJtgqBywZZBVgP0G6bj5AyTJTyiJVDazlCXVDrBlGcGD/lt3pCefpX5IyPU3ZaLfdLHzGblHgD erJTWju2ValOzUIt/U2SysP0SMGoeEs3yO39kfDnQMYMlH1401RL3SWeoZ3sno5305L5ifO8J0OR ILkogAe0ek/Mpv5VPXfHh7PUTBis8iW1rDDRfe+7gQAaC/BPGQX6XhWFh1ifsfVYDjiibFrFf7aY Mph/GIYLvGU3LjVVNGly4myZBWAnRVcTNcNtyjSVDAOz/xXxwWLsCveZOezzZ2Ws8wiaxIX9o8Mn qIMpIUz2XMtEyp6pAABouZmkmHF3qgd45xTfl5NYQWGsD0VIRnxSljIeKH8538ndBd65jjs/uyk3 OxRkAeuos1ExlrmM5YD+eB8KRRYVIB/gmSnpoigmsE4Bwy+BF+agb1Y2atAgB+jWU2k7EV30K11K 7EfMsK72oPgISz3vb/XfS8bcyEx3bcjpf5qTsZC4uk0X5sGm+mCNLq9HW+hKCrQQOUA+ktoPKPlZ +aor1m++zCsH3txsQSiNRUy4m25UJ/T/9Hc+Z2wez/Lqs+B6PSCDgVoCmhu5anqoyPNtMJ7F/rfL pBnRc9Ltvr5HpUMwfyk5oGRTXisRHS8bw9k3Irj7ZVytByiyx6BhSAFST7UkzADZlRxzvm6Qfxvw QLeKYGQoZas9r8ZrdP0hFf/FsgDHAfpAl8Djk/0esVHSbfbXQps3v81i1v53J3vgirdfkA0KFu9/ xgW8kyfLmJ61uBwt59c7IsS2s7WRLcFlnrxAgT+NdOvBIoNAE9AykKfiqLEHM1tUIbo7PD98HNLy DUrhvpa6n++9RCfL28tjfBDpPKI23mBpgN1aRVjDrN8F46HM5OCQivdXyHsjice7Pn+D3TSLm/Hz sk0vb2SWBCqDvG3F4NZ9Ee5BVsxHJGO0eJl5tKNBiE/LJewmCW0op7jTsysb1UDmWtLCo43tP/WR FbSKqFMCXooc1VwY4ZsPBQD7wJdX6qAf/OoxKP/WC7NPKwj5YYNptgBYEh4IW7Qf5oFidax/X/Ng zQvOg6Srrj9wMR8VFoSiTimMye889eeT1GHNmAn2hlsgtTLHkyUL6gejADfAAGEbCzNMwI7m9D5Z /sGEp8PD5Xf6m2NC/KT5oNQqIJT21pw/c+TjZPgbVWbt0hxBkv6kXtlYigK7VdABUYwSQiMcYI0J K84pat/R3+3K5WQfqMV0TerI+nXxjJSpGeVrhrwlf9+NIp+1icbuativgwuZ797cO0CJ8SB7BJgy kqjd+Ejh12qIm+LMrJ7HbR4yh3MJaZHwkHcf8xcHWVVAc3DcG5aSkHPPGyl7lfAx1Ihdv0cCrSNf 9hWSNm8RZZO1AK/RfEA6iY8hiK/muPgK8VKWt8Q+Quk0Jey7Ixm2HmwUPiQ76Pj3rmEhMiVF3oP8 sW6LYie9HGtfogKg7ek2jfwnRfAtC3mypuoagu+9T84y3t2+PJJqOUkrgG3Z7c0XdRrTJRwj/wTd SMTkRPcVfqS8KdqX9s+vBBYftXQsa/jmUp2Ih3e+zcEcsze/Q1a6RKwnjQhb0CG+mtHFRVZgiH70 dPGYGa5tYJN40KfToeyAbCJ2jbbSWc7q/cI4u6BVqWE6Df1WDx9YzbpN0+Gh8z7KlhUvBdLAUovP Q8E2J7chW6b/zCg8IRmaHYrZKcahtJJVo5ESpOlJvICXLWw9TTSi9WUSvgGCMrzlSwsZCpkDwBPl jtRDfwQxIYCoc9w7vxZ/p3FWd+DsbTnto2NJ691rBwIgVid1Ek8YhBJSYhfUFa8ImAS06pA9ogMS AKdGllXzvqmo2NxgnLw52Zx8xkShZ6x1cU4cutz6FX4lYWVVbqBLZesbvNANkuN00ausF7nvKmVG Zm6g5KOut40ycmrewHrg8qT6yRqKdkNvTzO9s5lN1ZMBMMoHa6afCsgwaYc332y0SsR3BZp3/Yvn zxAAG7jJkTexW6SAIMkHSiErbukDWopfGwIVkpCYAX7/rTIDaFzbmfajma8QpUlYw00BpuU0/7N4 DYRiBCTEe8SJl/3Qt30XHi66iDJOQnRQTLvmnGPlRykyfq3W1uuEUwnO5AtxJQXF54mJ2FDQVZmf 1Cv5dozwa+oFniEgdSSK3GE/zzvFuUd12oZio/LN6UBu4OPASOxZJNO3EY7YWR8O+8mew/HGzyTL gPzGeHf7NP0+zq7Q/G5AYOfTK3ZDH3xFZ/zGUm/+F6oTTfDRDRpDec5vwwX1dlQ4YLQK0GILtye8 hhCnibu/H2KMXPvLcKG/94k17VgUpV5utwanmmKJkofX6uSk46zmI3ixFgBAj5X0NKN4SewLKjMy EkR+70LPKyojlQCzU/Ru0LzND6wtO4CgwiqkwCp5g4WZcL+c5/HNEP/3uQR2w3AXYZGAejNxrmps eEsbs2K5I2qp5q/oxiG+zkrg1qRPgTvrFpRQXtJBU3FYwhEe0/HOCGjroAj56PqC0I9/wdIUaNd1 s3Vy1uJQHHU7MhJ49FGE7OVdVDgpm+vcu/svfNArMu8lD/CkTBEqxYjuYk+FmaFJGoTuoy669N5F +qdOWBoYnMk94lnwZmo3pwNudB25hoMw1NMy5aojVWfpo8OFcgUgpa2lFx3rHn1otX+sO4cy58mj RvVsMBOC3yCF9BH0TLtGaJqDnVt6+XpMTnDI22AJ21QXB5ZsBoi1LaXHPZbqW8pCDJMTejg0oZz0 5+pqQVWUs37b8mku0USN2/aj/K22oq3TtguPvGH4E4qVmWy6PYSaZQz+qOkyMzJ2Ux00EfBOSDHY /q6x0TUph4ESqCU6IzozsYulsUIa7GvoCYt5SSWgXrkqt0MhTwRC0BEIzmgVGvzG2aIOcZ5+mo78 Ijawl9K1evWFFenskl8171tAtV+hZuBc2KK3s7fc2D1m1gvhJelfUTMPmJqgHptG0n0UdTmu9LNC yLvNSt9W3zQuLyKOnX4ZgYXeOtI4IcABkriRJybM2wEeFQtE7IQ3olnE7Qp1ygLID03b7im9kynL GhhW5yqgR7Pd604gzQ+EBGeRheOQhVe5ngcI8reUf8LOtBXmnwE8+dIDw2L8gatatlicwHloqgdw /eDnFn7gN6GfntQ4KkCnLAvdG2ufZVqeQUHybVOYOJJccMc/OpjLnPJhmZAZSroOlg3mDGO4/Cgi 0ZMpWoQb6EjzHnQodr0xhKCGoshehEyTv5w44ooyGVjUqSUhcF0LN/nvv2zK0U1nvXjNUYYiY1Bq Gzw3ZvGYrLXXtEKKVLSghIscXPKCxf8aEE7LcSFIZi6awWRiUG0Nj1IzDMyT7tX3TfWnF4oS0CUN vpDLzOzwbMaTKleClA3/gRY4f1Ar7dpaaAdZ6s9Y2p/xUCGcAUprDuZa67444U4lHHTE3V4hcT3Z NejwRV1JrgADrShQQsEAktJ3KL9nIZztp5DLV2iyMxVkZgI4hkstoOBNlJuON7ZqXYhnqVmC3DOU Q+cqiCdnQVbyf6jnIlutTH+d5DKCsg+gb8RoIv9sA3sbm+oyt97Vof79+htgeEAn+056s8lARU2U bcJSstMggBMx9HWNbCM+FrxwhOjHRk/O0NLjCmAvthF7xNBLLu4GAYeFVaE5jrTsm2ArupPJk82h pZju0KFVCc8tXZoKOCNxBu5Q46dkk50gBP3ScdZZvouQRzf1jcTuZqsDcv3XqpNsOCKjYq9XK8e2 HhkKv1D6zGEZ2yplIpHheDMHJT87aLJdlagGXZ9z9fBvSKSvCBbbkmfHwDNiz08XYxdZS3wK2bjf Lg+cd3DO3f6g1dyBv4y/YibHVpDwLCvVl8qw8JFlE0RPpr6buVTI2C5F2LGYU07P5vARdu/zO11b MZxHxfR9u1GindjcoQXoGyT5gCn6sZeBznY7L1Kq3R2+3eOiQ9rHF8TQJCGdO+Ezk10rwOrM5FDR Kpjl7sopSTJMcZVl/numv2NQWd7kPNqlGwJPMiynYLs/gYzWFItdt/yftr7B2JNo4UjZ2JbhzksV PCV2creR6QHCjSahs65g4b8tESXjJD1ldzH3wrHOKmvF34o2SXkTkGOclJQAh/Rwrpb0Salg54ZL rQ5L9Y7IaK1oFLj5YE2N4UCWGHdDJoPGSCdG6sCgpVuIHbvxRWR2z2V8NT0EUgq+oOHoUkIVkPTZ dxKso/xFojNnQCzTPVQ23LxnrfUBventyo3m6eRk4Xb8Ri8bPslFeqoZJEwanUbc/QN7i+vRZjN5 cpaluk5jKlxtVw0Bw0iuHN9ydEmmjFNwPg7PqBpHb4xLy9ZkxgeQlagUZRfTW7fNR+ys2JWF/5Wr O+h6+wI5bgnpC7lGoibC5QZ9GlCTRKFCUvW0nS9bVrwro4J4dyZYOgvVyFwkxtI0CmnPBNS1IlO7 uxOX5mVwNbXCCUIXrhTSFjNxRoIPmSxF31WmZawSwhu57U6mCcp3ryd4b2JdgPz7YNKVT9HuG4Yt ZvY68Z7noD2UGkbXsT0CaoXsk+dLx/nc5lokTMNd/a94r18bNwjoeF5JhRJOUTTmDG99JGeygGE8 7gLUeUp5QGlBXyBt3THi/WIhWWFI5rQBuYsUEEPjqZSKnmTakvdnvnDsBoWwQL5b8jN8+/wragk/ ipXHXirVW3kVU81xjmre92UIOoiHVBkzQLc4xFdPkD3ruvgJMYIVOruDeZspki+WJ4UKKK/S25D9 Td+E4567JrFv0PA1H0ARNuABOopG1M+tkN+Tk4MAdLu0kjSs2ECWqYvj5i7qThdwKc5u5pM0sxbC 15tpRuSt1TCSlf3gpQnNvGTC8LeO21Kz6cwGQnA5fJakbpj/j9DismcfXQgor2wFFE1o+u/02L2z 2DZOrVT8j/tpkg2PbHUFJZOth+m5YBqh0cAr7N/OrwTloM1pi8Ah/qUVbfFvDutqwCVkxb9YsdqJ 4KfnRcm0W1Eo1MpdbB7YihG8wK3St8dgDh6GmIy0AuUoOHlRZFOx2wBM/6nThs+moHGmxrXY1Wh5 Jt+nsagdiwBozkOW5Jai5IKsML/ihL2QLnKCQrU8qUzAUY/vbv7xHLqoJMilll6p9qhoElB2XPgM M8DeploBRcTzUbwG6HfPneiwTXrJsTl0vFIIqR8/fy9dZ3KO4V1GjluFZJ5m/ctnDE94jNKxSRYS /vGZWJuUHhX+6MkF/gV6WRqko9y7FkSTG1EZkZ/UqahDC1T5+wZD//vXmQwx4nhHabqjODkicStA TQC/JTUttbqLFV/LATqmr55reqLm+hTLi1Qj4CB115XidaN32TSP9SOv3V3XBKoq/82TPlmWvvy+ 9E74BUjqawz5bQnJ6BH6nmwE2AF+NfK82vQRmN6PJpPMT8rwewt1krh5WS9xGwP1+Uy5i5FhiVhp RnVrJJKnlGEXwl/fJIf7ESuPDa+sJytxzWfy/GT0xHDGAMLltr8994D9CrEiMAd2w1ZRMwZYm+rP aIOXc+Om9fEMHQ6KY0EyRbASyBgIyX5vP6sTUWjvhztrPnkK54OfrMocLK0FDLyc1RDTGKQ/jncW Tvws3cqNxXnMApxxaJMLX3PVJHs+rdxBPqJawNrtVSa61d+9DGY0zwTM2Qeb1pUWtsCZ1VpRvdD6 +Qvyewnyb+EDXFvQBOXEzj3JKY7DNuIFA2favVEdRPqoBQrCId7OqR4HO/TF5jVpGUeDrsXnhY+m v0afUav1YGxy6R5E3BwHj8ee02X2m2KmGz17K09xkQYb+z+8jIZvwjyH4Dy+0/90XrhfIc8nUvYc ZLyhSSMqKZVE0xUuu+wsfHxk/l7Mtbf/IAdHj7p1oti6SVnMsqGdlVbhwz4222ZQ/MCV3XA/01yl dkcUYRA2Q2vnf0OeVkKgKbla9Yac12OlKFKFa3MceG0p5Jto5AjJiYQUx797pLM5svS2GN/+X/SL 5DEJlrZce8gMQpmwdU8JGdWWHJrf3fWSQQBgiIYdhpLEOswQnfQl8fLEFamcooTaPIScaALb43F6 ZriVAC8TGCSEk/zaJkA9lxTBjMYuTRYrB0reDa/cnP2mNphmj5K1vpcsS5R5140r4WlCqzY/dBmM Y9tIKU6g6VL/Z+ZDxkw7edCrcbPJ35okse/Hh7Yx2IJ/pmq/f1ZVEuxWQYOOuw4/McPcBFhY7kTF xed/I2CavOV4MqUuZ4Io9yNrbN7BscwS4wG2whxq9xuOFaNezLApXaKENZGeEVQ8PsRwWHErNwf+ SuFr+tkXNsfmt2x2jBos5c2u2X2QbgX9K2kOT1Nh6sh5zvhWMxbSYQAiR2oFAO1LRFJpQJlRL/br U5EXPWzgSUsu+kFjGX5C95COsuTq8HG4eWKXrZzt5mIB0T5pLs8NFzZkBQgng/z5gHaCPs2szopE xIaAekqzawjSFX3/uuyqeIfm+7/0ont2Sy86v/xyLXRf+Wt+3yZvTle0b+/yKsPAADSEmdNlVBDF H8DQyigzqCH1u5JjLGrvZhyYDV5op4n8mcciprqH1Z8j9bOZfw/Yt580cqmHVhSBDFEeNgGHl14w GdLH289HfF8pC9P3Xzil6J+GyTj6eqJRDq2RwjWdqglk05umnvWegAu+NSjprMktmYcrWFKOxtW+ 30wmT/i2qRd3y6cf8OVVh84QWDdkdejHjRXvP9EWZjJBIFbCTusMC6md+uRCaCkLYjD8nfyv4WfS aMbqGXIv1eI/tycXp2fVRxDOTCQJRsf3kDI31NDDYSMlj9XCJ61PuResmZq36s2t5rn9mGy5zHrI Xm1XyLpkv/L7W18j5XC90DPWWE7tOEHPjzit5aS+mrW2AUfWObo1PMwSKN3ZAA254Npd0KCoVvjk d3HCzcDbUW2EmM4bLEJLU+CfeC6KXXc1Jvx9OUw1x2sIi5vGYiW7TYdGUWFpFB9zSZCzMPIfH+jz PncufGRg3LR4iaKumc0gjAzrTCAsdAuzKV6D0lomAc2Q7Zta6KpfcC1z1YGN7bSZxQydbNENKS6X 4sQzJIjLAWdxjWWNooV+/j1EtsNW+I/76o2pMGAm0YdSX91NETmI891e6PyPt3Wq7bVHAptSUwvt aaFPvLKsbcnaH/oiybcuANkKpK/JX4ENRna3D3R42sv/L97poknW9/5nqAddzAmXfkreQT90gija wwAQvQvHkZI7EKgAk/0vdB5E+hrnNBcplydx+5E2FJX2r3Ed7rBGRlyA3um4bqJ3vipHF8pZvPVW 8KHUgZH44YMUfFa7Yc8R5GfNd/wER9cWtP9S8wvOfWOEHwUfokPzvfp1H24x2EK41O0JTPfjsmQ+ 5ComRvrYERr3YqRgwMOHK7mUIJBvx4Opyo5Z5K6afb3ZUeIppGz4I0ZoEbnjOfFxvE7Jyr1lVYwZ 1UI5Y776v6vc8ylzZU4pHjEBaDagoxMhvpEr7RRxhbLZ8asHO8cOEeB6XlxLQ4ur+ekauRl7UbXm HM4ta9tBuHENDr1cyP6HSiUm+Ddc+uPSPdmZ5P6M5vIchizKd5gk9FFPjVeJujXsbvsbDUcit30t ePoBT7MXHvR0riIjmBDrr+Drcp6veIqDefFVLLyGPSwbusEay86ajNpQHMDbFIogn9VWM+QgxQ0R Js+sEu7wjcy5BPUPa50LmgKpSlg2yi/I8zRf3Y8RyGxKW64DbiNl0NMeKEvz5jD28ITGneSEX+NT hkxAMLkJ8A8I7IeqRrOsVy2AEpn8DtY7QzJEmHqIbeZoyA4EqGNt10XMnxFm2ugDSd8RKr8LmocK Jm68abYX0Q5FTL4ldw7UazQqmBFCrKIAnuTt5McJBIlzEDxh6UajPBMcg5hnOUI6xzX9L1YIFtnn k0dTwYkVrwlEXwtNh0kUrfBccxlLRc5mB3axRpqr7Go53tq1CsGhY+umOAy/4xowAaryGY5pERP5 WwBs1poar5PHG67jTP57K9GGmalyinvSvGhCuRwy8sayOZix0wJ3LYMqOw+nqqkHoQPVekeQpgBa 6k905evqNPcx8M9W0ASzqXLIckb3SX2pL5Ec1938AkveahyDJzPAvAVv/dty8IisoQxMOxrqJML9 LZONwZ+1jXvwsc64utZukTODhBJSiwRyq3Nv5S7I7T///tPjqIam58i5+xHZEiHxviAa8TTWVhiv tFcRZe51xtgbkiIo3QHLRoY9czpAvueFHbRv5iMbxLDUJaSoYuXXkU9CQF/mzAeCJn7uTsyVwxmy GkIuWqfx4sjAMgKto9bOfHO5jfzZDFjyrECMRane5KzaKWvMswy3urlCJP8SkEEWTbwsoeGw5/Hr 10YjGMJOZFfFjTR8+8+Lp3vCd5GXNXG1QQOWJBh3uILI7ZqDH1IT+R0/TUDjYhw+uTJt9NOskjiN oauHV427IEh7DYej79vcP8R6gmxeHBBtzL1iq2slLrcsA1NNFQSUTiX3ajngOhDoX0zyP7ZuFpcM LTpY0WslFUJOyukFkgKMnsR3Xb73abRee7kiM0sOOLYG48+b0ap3uSdUuvySYjrTJJeNmPsyttJj PpnzfhkonAWozyopWWrkdAont08gCit9a0UWJ2dFhnIc3Kp85YTJk3D8xXG8g4ycSYm/1AG7/E0q f0l5D9DHPZO34Iw1rgCH7By6ylMb4E4pMb9Ldz8aublvIsulWEfMjUSxMm9XZLnkOqeFbLD3UjO1 IiYEGg6y/xNB+bUHJAjFY0Bi2y6UU5gPqTa6wFWm+sMmKRax+ZhEYaZOTlwGb+DemzZlVeKYi9Dt x6tCPu8+w4GjkWIoedZJcrLDeDuUKLThGo5uJW9FNAsfP2XU75yWBo/TGhy0YKIgKcmDNtwPJSeO uKmAKmG0YiXviLEw+89fdLsQR8jZluL9jktsjo9klGfPC+ybTQSVv4Sv0pKZwexusONWzy0IWH7b Sct5kXCqbD6yghgJ4XUrX00ufIXAXf6/DY/zQjYYKZO9uz0BjlLli0xqFsTB2QYqHCXS0pbVPq+a PWwmEdccSstLejx1W8ECsjn4W/va1ewux6qYLCcFJ6HJ8fC+BEmgtIa7bQi4T0b7SzanOc2q4qWV OVI11rLd2xsKxNO6JnYOKItA3ECXuf6Mm5uxxyYMRwTPISB4SHEhFVMgrBh/Jkl9l453XlM2c4Cr dtygJU9fN6y1M30GgOzoQFrOW6uUmZjKAlnc22Uqb8xOqatjJWbZKYE2ypPMu/8+Ttdysi3kEUrz 8tzWKjG4Sd86rN6nscw8ljHqx5yZyNDtdJbtWykia/YxVYLh4XJ4L0PecZc+enBOwoITkHow7Cdm CgmzM8QmUVNf6Rat8IMGdxlaeLn9vSVzg+fpxJLtnt24Fa0Oo0U7XZ74khMf4VwH2JndiEOMNS/3 k5GEF1aQvbSPoMPaAMSpr6l1KGRQqtegXyiZ6XIpUWxypdTervJJD7ITXFNQLjBoraEc5VKqQcDo +S2fhFdoKIpK3jalM1rJpUAWtbctc06ezFmyBiUaI29/WMe8RJriGCCKWj3E8GRubnlVDuTOs05q vzULyWClZ7rzrqfeayfLdRyRs6xbz4VxpvMYdDWdXYxAaY5e6xLfSEQwZZYapD+69nU7EkjLdiVU J/+dsPn1BNMTDbZn/K2LoR6XDCChA0p5oPvqxUmQAVhQq9/1zA3bJczVsqotfzmEmwGxeCTqmse0 OjCMbxEAUH5Ue4f/JlV0y6Sul/s0FtAjpinnoiuPgrUS5AxkQYybN9YjV+Uj+ZryWngIBL/UJQa/ sYSTiSvmhoiSL90uBEIdVBxXg5sCznfFw8DtUjeIVagLDBAOXo3JxJ20zn6zGjGHmPPATQP+hqf0 BW1d+JOiMgJCgc0v7WH3yIQI9gdK/N9gV3RTt2abRZNSeE6I5fru/6kII3etHlRaklhhgLc9Rct/ wdctVKPtL9m9tehSTKVe5zuiwrUQ5Nlf4y23oi6NZLujirHSDUOEUq0Plwkv7R7sEle/V4RIQhpF 4GPzouqJg9wLzWtejsv0Ffyh0evbjU06l7x00q2lbUqfxGDpLgIyzU58kCLZOXUDAxNYsV9YTkQf yjVZJQHz/8AZoVNLWro/vMbly44dJHrUrbtmTYKha66Puant6E+9iD1ST+sY4N8uThFkL4IvpgLK PQ4GN9RKLBlfBs6+IZev9FIHERUJ4e4lCBmalB3ofPQXx8i06JGjS129zLQzgYdq9KtvTV1s+mng eHKjkbtcjk5uL/7HS6cz1SxorH4XxGu9IevM3BJX74S48AKtyHwJmHN2GnB+f22ffVHh1QIV9t2q YjUtLHCqVkRLTFctWCBmAaPWVqY/jOmAn+Rm7x6f5hrWly0S6rIbHnaWVp8wto9ge2e87Nzis9Jb VVm/jARigS9J4o+fklIYjQ1BqMV3br4W1FYAc2vyAZINEFCxCvdsc306IBzRX328BGNHvT643hEl Q+boWT3zUubvJ1b6sLJeqhHKwjPczuOaHdmPl1IrP2zSyMHbBN94T3pnTS+P6YmCE3nMKesbKnUz fBVJmoPfCdJxTACa45Cf9bUiLFzaa0LKs1g4zG2pnnvWnsUKnhj/BpUwKImkSlZxxSsTob6mtkGH hLvWlWqnvgszlQiPnBxzD3sf3njG6cmTXgitTjXK/RcFtMbH7u8edWonxv93Js+UVhSXEzP0s3kx Vy9smwb1Xy1vdeZb4uMTOdivmbby8jG7wtXhsfoNxH82rTVcCIHEvZfv/EgJoXsVFPS0/SewHE2h 4HyKDkXBIgxVPHiXrC54QJjMcMl2/BZp495+h+sm//07TQthDEaNMtL4/bPvvhUQjEf3LaXeQpw8 BIyhnzWUMOXvQ4ZXjHnJAgU5Cv+vVxy1iKHiqHZsD5RpsfDrK9Qf9ty+DPYMBHAtQQHq2d2pqgoS Ms6QsPFr1GLoxQHbRBpm9Ux7Aq+FcS/zuCTkry0PDLldbfatOGm+eDUFPKKtYj6W7xFlmiq+JYMM y4HcUE480tx7Xe1towEDiBywpf6kkmph9PGzdGl1jemCAi/SBCMRnJ338MU6CyrTAgmlc4dwQFUv s8mn5yWtGmZznJQ7HZWjLTpvTlRhEi1g1esjxNH3sqB+YsJdwyhXy2t4KwliRMuNRKPtQERsGy/f za2/7uqP+dbjV4seAQezbW9EYN8/fjTcI0DzhG4lzlHgyU5s/5DNTskt31BAF+FE4GGTwuClx0sQ mfbwyGCNm2p7GhC2JjFuHD5k792+EzBMfbN4uiLCuW6Tg2BN8OpuyQx/lGCqxzstgdJdAbMomtqG xNKpNQJhq1IZT7ZIgcbYVVRLGyHeep+qM0T8Ckz0Qm2Xm13MDRqMq0ZXgNmU5P44rdDAeSzLHMzN iVBpxCX2qP8DF+m7CeBuw3mduqEnxcXnEyQv12tW20/TGQ9BJTWYltwU3gXGC/IzXkb1fij46v81 amVo1K10jmh9VNmS1La1JuqHrX6j2lzBMhn15Npu+7sNpB4kaDGL03Bj9Oxd6S5o1VcLREnmHNXx YG17RGB1PhxLLP46UgGTzBLlJN0q2WgXhYSnY7JnWM8PTyVAjCo38IuXt2NZojdJhHJDk3vYUAxA rIllDELromApNLOCCe/vnLe4wQqDQJtuzvt10m21H7tsyhS+fbfOycVd+erZvqM9zVpNR7x9vH0K +ZFaWHuIBt6Bl78viPalF+qSpmPM4+CH41bSEAfzRPpaAi8172iiYRR4Cfq47pfX7NtkHVWJ5O3m fZs7iFSSV7CmFuZJK3Xi6d84x0X70Lpl/VTn67BycvqWTtEjfgZTc56TFt6dkOjsou0yb4fhTXV0 SyOVWPOTGa4pl34i6HFHfmL2/Ta2lN1C38IHXAjYeLfODvRScTLfJb24v6K3rHVo1HonqDlkRgXb omcqRDUd4OqMiNeMVlkGboMf/6yLdTiPs4DRLXaxv3aB5hEpBtilX/JoZzAloSCQeb+q4XiMlfPw HZ6Bn55D0QJ+ggacT6t8X9xT5cr7D0jvm3JBB8hwtij4ydroDfBDc+uNwhNN+FaVk8sTjQSCBH18 yCkLjyohNn6EyNroygIy5eYxnayIAd1SrzkgKjuuw3QRbsntbNgzZLEculNEEAQfXfp6Da5wI5Oo VOGnrFhyO9940bURg6alrVMSGGH0uFKCbrtXpEnLWningPzKq5MFepmf2eWbNBdSHJszT+Vd2ELp iLqJklZBaX/cb4QLAfw5xt/y1s9+uMk+SNljlxwGpk1zsr2KpumCSE+aqizB/tLL9SYjy8ZGjvnn PuJPIJAlUDJBDGuCiYgRshzqIxwUAo+gUqL4/SDt66T6K3/jXXBOTH2WcopLI9w4VVi71nwjTWaz RYgOay+qvupDn4SmjkMDv/Lz6KZ6cK7s6n+ky7X1sAD90hh0uh088MFq/YC2AUKTbphc7zWEfc7F m/DKvIbwC91glq07FWtUI2vdcAV4AIZ1uUZfUiloOZxjcKjIdyr/M1ovJDSXkD1Ol7mEH9qgEzh7 qcuwJ+X/JrskAhxMOOOYLaaoCLbQVKWv0BOIQxl1QR06MMUiw6FbRdMWanKVG9cdqAzO0f7nrVSh Q8ro7sj3qFCoQ8c50JIB+YM/N3NS9LBE50PprFNUhynQL6u/7FM+HftBIyLzmOVJXCYg+fA7nJ7y ZYYECxukKCMECcAC2hoNN25b8t0x9JhChen6LUrFllPEaG0svpIVGXwRDKUcqwcfckHEpB94vdo4 w09yJQjyn8ELYRGR9N0kidgDTxyInswAjNa2123Hflc5Ul1Dct0r8wMFB4TOipIzA+XxzyLoXtRb d4r3oeSBpLpwp38bRPdJm0DYXWkoaK4uritTlKVKN9AuPDP1OBAIJnaYS0Ot4vSsAspbTT04RHSZ XEZw/WgcDqiW4dV+3xWVB6/kIDNiXD0njLI/SbRUa4QvLqTwfsXS65bnAS/07LLhPub7KVn+ZR0F GVkLy36+mYx+eyGJ920Vc7pEznOtGYA5vOqc9ZihdBS/ouT86rb+6xBsQLY1frmTv60mJZWP67LG OMvxPVdT0hbb/aHS1ZsLId1301nbk4mP08SAfAljG1Y3jB7fj6wivh9xczn7X1JjNw9/qeeIb4Qo A4E/oR1MQzNmtaMyzQacaYOHShtnR8x3s90PsA56BiuBsec/oxEvLC9q5mOYfCOhxgsKMVqx7RPX EF80ZmvcXmHwzfjWDbVj5YdGM0FJVdhO84vPf+9gv4TKwXEvuBcVOOljhEnU72V6AwBmpNbBLr+j g8ntMnlxMKd46loQfCUvZKQa4I3bOYUB+G7WxUxIFsHcSrOCCZdSUcEnDvj0qPEXrfkH0oYbOuZY yiBlASbnVXn54bnpBrQSPFAlidlIkC6sK4mv0F+cNcIIJdPEyenKoLVAuVIYHkDt+g5B0f9AKq3L IguYPyA2vX2edfZAbeDjX2BkvC8iXm9XcVTctkmxsGSBB9YiPubvsUh7Iw5OUCz06haqBs+m6/A0 Wd7KFzqn3sEE3WPpcnpQNKwvO9xCoTCjVBLSNXalkeOI22wc978uqL/LlvlhX/GBLXbj3TfoAEVX 6EkG1g0/M8Ny/jV1lO+CubtYhmxHoUW5tsRwTcaSZ+Ym11z9o2sOP/4UhJOpW0xvnchy//mxXfrz nn2xE1o9v0ulPb9UIYRiQEL+7ZWIRJui+TtvrPVWTZ8Glms3Ia/TnyZ5v+UPhtcEcGUF7wqbMSHf 3KG8eZnOcd+RTUZR7+MON6677TxUhfhgoBQ1OPW5FRbn/Rmebz8+kUs02zjSZDbSwTnLze9cvpJT pgPRsP/rzUsxCgCz/mLwYFb5pdactJbfkqlXEu/5dPDBZ/1WBjZXjDRhi4YextunVzggsxzF0Wu4 D9hASRVfaM+bbyFO6/xVH/JlDOFvIHTaf5m16gUr4RvPpkENfzsVp/im/P9NAdi5UwQ1ZMaeb+Ii 0LKC5/jQdpErlUrei08NNg49eeW8+5qNFKL3n9WUT3LSgVXGXBY2MeAGdXmu5Ox4l9CoeNq7NE0p rIN2J6mSc1e4e0/GoQeXccJ21nKGXl1XNIXJniwb9yofpxtfM4QvUK8c1u/WRB8Smn4fOkzqEy/k EEz/8dOtD33Zoz7vutsisRLhVkZEG7xXJBn+xXJ+zOP9Vo/IXnl44DVRxIhOfkMoBVCWMADplI3T nYMsDppOLfWU3mkQGERseA32eGums7y+CLMlUTnA8MQzS8xUJdyloKcsY57uQJJ2gJIv3GqBqIdT 5q6/PlYMGtnda63IUjeKyGwdzwuod+eoIZNwpK8+4fnDvmhpmSu+zN5bXHAnrtOa87/fxt35GeiD J+V3ubIGFD3eBe2so2k0ZHjbZpZfVnqwdM7pjAJfwDYAScKNRtyalp8LV/kZYfAVImAFZP7DPzAw lNH3kEHFI4V5R9OmNDN3T9St/q1QtVY+Rg9wlvZTJPB4A3QA8r9SfVB6okOolzepyTCcRjvAeeAs SzfTRRhdppapxolyQe50dUir7RmMw8l6/ImksdoGvLEY4mJBPANQDPIjXBNl/Uoc8vJAPmjYfbcz gaUt1tpq6I8jTmguuvQvbYfVPAsXaSLWeOyEZr1q7tqMqZ7+MX++Wemxv1ZSunyUhX0nHGf2TfzK vM9RTK8srda3zjKqHS1nlbjNTzANH3ZAyYKbHSGwzu8B8+HgSdiVG7pMMP2E4KRqmX7Qp4AgL5Dm Eqx/9pANRIOcmHMyC93NKonuupHepQ8Nx59XPbtCdoAeS/eKxhFavraIhxiJAI6JOmlpFj0Y/FB8 cGlaepwTmqnKkDiUbueiNyMeUXY5dfkqRwBVuyJfihJ9WkMIgy/g0zPQ7ONmLPsCfh+y2gIcgIQX offKjkJYQxFxOMOfjWam9Edxsr5/BuNU5y8XMeygBbkE6cZPv4yJDuVjDtaSp+Ggc4HnY+OQkESD Ne8/Wp6Kig3xWcITNtRS2ifttproxM4YcpyLSiUVOeHqg5RoJlBsCc5yvpBQFSdth3LRmhL8DKw1 MqsivKj8/x6adMkVfrRzl+1IJC/c9rWWk79OTW0sZ60A1FiGjxoOvbP29ZZDkRCgDGlxJvG/2esq RBQAormlkfTTGZYWL7kJFfen9XO1nxjYA83nwI30zt0d3OXyf9LgtP5cUyWxfb4QuTCh7ZMDEwUc 6et+Uhcsa6GPZKojGV3pmytCg5BmnlM3RoCUS+pkkEt18uQREySdOLvvIG30QIfMr+flPLr9MmvC lYJxIQ5yAgfRCcq38oTZwRGLFex+EuaBvQW+K/dJkB9IPCpKuWE1LFLr9ksT91rxd/HDN/XvFAA9 owOmVSst+e0xW0WiEPWgOkOzzxQ8y8+8dWFlV6wzTkWj6+99c2LpM3LBlzyHSYDy5Ubn81lxTGBa WCsiKG1RgHLwZfisEZ9L0JbIyPsgwQ19vARuWemfqg9Hm1j2+yflbnXAuf4zRSKZZXb9SQAfYQ9Y lZ0e61NZDyuoz9Zrfi7zKV9Sp8bGcAafHC4HGOGzEaWQ9ZYoyR8/zsCFprEudvgryWpnTCH1upRW YgX7mz4W/WYHUW3TM2NP4977wsbAJ2G2NO7dXtCj8cNn6immYq76+fpMPq53DKLkRnt/9CAfOsle E8vGXejraZy7UWY6yuQ90XWjif1zuhmeb7NPx2nKyROdToga9cLfmTViieNuzSzTqywNM9PN37tc 6lNJaiqbIl01dyKUs5s18ge3PcMtOupy1+QcYI4Rbah41G2onY6h0ixXsUqVIJLo1SnhLJgSpDD2 EwyXZAX2g0MwfGGaDdbAIX/Lcfw/5H+smmKoTVSdiNIR0DV+68MbA1JnIgkU8p7R1p/JYVedNdeE Yp+Xo0LaEyNP22J8152SSqJteeBPoOp1gIeDiFHoRT7vSqJ+ALU1zPAmFwhJwespSJqwnpkIqgCv 6MFRITD0/vC2p7uhjwZlXkUKNuqZwlFfTh/xXNBDXaew6/9l1vtlz9w3Z6Ubzubp82CSNpoAgcye dnuzq2Zc/sME7L0jvy7dbX9XUe+HINugiyo4ejI7ZJnBlfVyWy+XgM8Rw/LiP0bok9HrI+NdnXP4 08cKYMoGkgum45AO0xktRF6cWuFKal/YoFx+csBF+sgiy6kyqNAYP4Sio4QspCbubnfVub6RRYW1 m3CG3twdx4Lr+ymqS5AhfGRVGWq+xqZOxpIDTcsTk3axeYkSXJyXmugnQJX21WjYadL1qsjO3khg b813CyHiqVpuveCfLkLFVlXU3FemmwpVOLBPSA4Didmxp9oY+mGNMHYfRE9xesHZ2TgcEQoeQXnX ZgXuaZRqi8r8vwYEYs5zIYzN3KEvJK5cSE1N/xdDWwlxnsqMszl6EcnYanuNwTMFz5PUwkbDwzf1 CcHQfoOj8E8xbQy/HJaTTV44Mlr5CK5DwROfycpWmeGSbAGinTd45iPJ2Gyx0GGpT9E8Ijo2vTNN all2GUThCJ8071mexDiWcPhQFIGtXyFTrzmKkKYn7bFbpqYX4uGTsYoT9l13EOLSHg1ms+J4Y/Wq 325ogQGNSP25Ds96W/0pJtJHJKzw+0u9/mrCOHqA6a3CQA7dLE6HraIS9OUvMLpABO82qwFzrj1Z ycbFFMEDshyOWHdFbL/Th58jALiZiYH1OEFX1rIULluunkE8uh8h8KzvS6+F/ft7VE5KfhtIF34q E1c4jMO5tzPA07jSBjFULPsBO/fwF2DZbgD61e5Bggw8PdQ1TYnPj0VuodeMgDs2MmgVt/53xN1M yj3ogslsG8l7KbZons054PBzZKrjX5KBjviZ0+QKf4NmVUUCve4QVTdPl5i+CU43H9iXcC1MqQ7V cE3w0i09/j9gGger3ckEOYXnlmg3HWLIdmo3zxEqA4iqBi9FEBW79DcZUk0AaJ6uA6cWQGi/yoL0 3pEOQVaDiKZVH4Pa6uAFyFRB2/OibDDs8ZtAh16IYAQkxXYfqIJ4dMtaXUeBEgMFiGxq8jNWz1um 17Hee9PKEQuEVni5nUHu5MlMST0DXAIJs47ySlnCDrdy4o/DZJiHgA+0cFhWsBLpUTxi6/+U8CEn OALuQAqXnKCYxk4wSm/snJNsNL9lakPEF8NWnfzLO0JvRjd6BLVRMSGd6DwxY2QOyYO0YhAG4+D9 LaQmYKbySTlLc+3EWPn6JZdhFiiiXcljLpFn2UkpY5Sgjk3gzc6nRGPhux54uEeesApSf1GuTLL7 g2Eqy5a2Mx3vtfPUPWtVs4ok6dhZfJ6XLqNCT+TZD1Pk9cZ64CuoWgMEn6atlcTwdOT4V4oePpQl ZC7/GOcGm+UWiQaLxw9jJXaudBamd3BsPOsk6qLX2jso8lhI+SrJnIiE8JjSqZhw9LPjKYbjsR7W 53viP1zjvdWAygiTwZcLjnFw62oumawTAmXXFITjSvRH0gITJyupTcqPIbFeK2xNZCX47Q6b4Bxu KSieYYLH5azd0aRvZ8dagDWw1o4CBEB3RadPdh6yEDZUQUAZqjppJdy1urSVpIvvfnT8z3JrIV9s wC/GwBrPbtm5kQf/U3Cao13a14OXjMAfr64pKcmT/ohmymNxWn0oHCh3iUrkIfrxEwa6BJG1+XlA aRi3Ko6J0KghCSmEi3VZ4QXUXC1ZVLfq4xoFFujYhjbub/zmgszfhl4CafQ7aQYjIDjmr8qzv6rZ mswliSEwASz7LxNHvQ/Ym+0z/bRisarwevuCLxO4fPjao+Aoj8N7ehWJtI32mTeVIpPUV3HGBAOb mECSWCn2WJZ5/kQcjCJkj/hMS1rzMP5Hrr+F43eF4PC8E2G2s+jWR9WP8MffukjsidmjE93N5zgY Uz97lvjOd7z+IqiMCVqeMBSf10TBYLm4Ok/dhh5k6lMkjqfDhNrOEdcfoYO1WENBhv4wwylPlNUn aNkjLtfABhcEJ35WXq2ZsrB/fYQwZHGU1/gMbmPQHLo5bjZTzWni50zoY8W417QOgIfcbMzhGFls cyTronY2e+hb6QLU+nzdVfhrylHtEofg32cI6cqOdMxT6bixmrU3haPSMYXW3GMpaRoDVVPhbAqK oM9uyvmnD9F3JElrznhx4QZiOHy2giQyJJ8UN32mshIiUkee9LwG2V0Kr2gl8naZG4jtM7LsLMLq xjK8e+nRf0b2gdLid5Xw4ekzIJ883YKo3ulmSjuE6AceD2Yznpx8lKnbutJ7Gnyxwq6bUqBKFfBB HTiPNRy67b5+FcA5WGWq7GHtzzFHV3RjxA34bcQNEKwNpY2vAYoSLPfO0h6GLo4mlatuQoqApZFF BmUySztR7tUeQowQOV+Y9rfvIKLpkPIIcbcaxqdoDD8ZhDrCp2ETmFlTJOGGTIry/mMjcOyu/r8P rU4jUNtA4uYWA+ted3DEFEvud+kigdHkX897xb/O3SPQVxpiLcceNJCnRujmXLGZkiF4dPhZoFXH /F0ZjSndwVaTg/m7BNufEihrDApcz4X21m45fPNiSeFqHTf/35lSf+sfJrwtPev6l4M9xYJeJ2ua 2ABB3R8Q9DktfXSbv+DM4lZ62tRC/9pOMXh5vqd4TrLC/PSBae+L5L1VGAglEweaDuOjYIePBA7R ar8XHHsvkZH3ZPY6bMrWxe/DWyqVkWohPrDLQJ7mO99nOHPLldyNFHUaO3KlCHaasmn6nDV0SXMK qt0MLN8v2Xm+ecbegZlZFpR1wE1rKOVFqX2PTpDgUsn2+WTETdLvWgp1dI9fpeZPUtc9F5R3duLn fEpH2DAUALVLUB2X/SGPUu+TtLF5OVn/6oA3QfjnftBrn0SZ9me3vFc8LNCg4JchsD81T9IzjzaL CSe8/e/CapCPFt9DMw3rEfRCnkxuQXmsRgT/kjr+NHNc9wIFGc2mgNKFmH44k8k/Re5/akmmPz73 1Jyhv7o3aRa7etadjbWcnXU9dfF5xut54dg2PDCra+ynfA/Z99rd+ywSBTszzJSh5aJAmqdKmuy5 CwgRYuBUna7bRxsd4TFDPWB7Kb03q8xBFqtOwAGyCVDnfyBj7kLk+EJor45Ft0xgtqD5aICDsoJo 7U7aOcE2bfY0kAuBenpXp0wVx4s7/BQw9xu3pqCe3yX37vGZCszoPbAcuL9a8ktAvibQqwjW/5Dg Qfb4KN93G3C2nv8hN0sUBl3DVkss3S5M1/y+VtIf/XHqVCz2cPEOcG2phrTBr4kOGpaWT/acuPRq wiN7tuwV5btbktvKyHz/2dXpZOiu2XfiB46knIrU8X6y6m7IC9V7N1QtfZxfxxxb4RZzibzWJomc Gnv/h5G1F8xQsNvBiAwo1IbAwpHCnEq3Hy7eM6k1vZ/C6ktXUkbagc2KtFjC8dC08x+cpSwhLzCE XFflgYv1bWQKHzwaY7+ekmvxTrKYTHGmxIs229cpoOjSwR6hvK82W48s/GB6/ebWi9RA3d7XRdkF 85V0i36CmawWPd1DcjH4qNRmo4gV6ImgBvR+CuqxZ1BmW6SN1sSU6zJjBTXhJM9i/hnf2XRcvZuc dHREbJtPt4+5oQkyKVB7IFPiZtP0XO1qiv6vYNutVd6LZe4rP4/A36qp/6G5sSB5e0B8+MN8Oe19 qoNGH/CBLd3IHvpF0kAovQNWw5WRRNOWigIUFsSQ2nRpOpbbsvCk2MG+pDmrK+ZnsiI0ByhMqTg1 Rw+KYygSImMX//4B4yv6lUWSUjGg+JM6tDUyQJyjMSRJk+NEC9nGxBvbiIOrjkH8b7kIrua6Thdt Qj11h9qv2V+iYiBAzGOKX7eEaK7uKMVpSiokqHDH+N88L8/e09L74LFKgLuNwIOY24UbenhkFWCp hDzelRPTmb6TMRM+gR9jjr++Or9hmFGNdJmIyU3sm44lVFyN3nKcaxQV2+L1MDPszaA3bceISsEl ho18ckxcGZ54C2mGg3AYEPYIC5EQekYy2D8x0Wb3afe9/zMPQPG4IjBj2yxF2JEbZ/xx9/zWodmo sw6gPVfhM7/nqMUJmE1z1HRC5JatX99umapGC3CBODiWsJrEOcu4TzON1/7ZYNHrrA4bR+ogUp+w kVDoC/VP5mZ+0HVN+9bIxT3lhMphbli1elGPth7iVq2p+6mLQcopi7MATSqMscZ2o1ZkNRUuutKH wT3vtFf48SMfDny1rAu6siAnzwItE71IgBKRWpgYd07+oFnF0Tpb4uzKHpvJ+qEqVh6DF+ZgRGLy FU/ccqNES5nO+8dpd8bmN1i+7dBhfgzXuaHxUtJY+brt983x2O00cID4hAV5cKbdotAQdBktNb4f dOJssZ+rYXcPSbXlqKQUSHpQDWbI6CeXMtp6rbjLfORZ6bp4ct4CTAMMz7+LY5Cs6ropixwEhC6w rkpvvmjQkFobepE8iO1eM+YtdIFbwwEXn/EAVykHR5jwS+DPCIaBfJ606nHLZWSvlqXpx3kLalB1 7mA6Y9NLD8KN+RxHQyHYjr9frnKgvA38iEy0XVmIBPkQIhBmAOIDdPAjmKIfiNL9VBalZBGjkqAq nK84BCMCm+NY+eReoh/8DxFqIAoojfMUu4q4q1EYeyKkEC41wORoRI6DS4bjjunRfd5Pl0H3k2A2 DBqypfOvuhcgJ6N+8MmeyonPaYw0j6GXLGOZoRdSY1Fl6I0DZ2eaPrPvP7Ukl3i1V9HCdxBjsbG+ GVgJyK9tdsUA0roC1flXNuwJzyFJNtghDbOmB3wJwBVXvFfJ6qsZGCVM+QCyprh0nri6nFSYtSgJ 1M71sySd/77ORtSma9dOLr1KTOwqwz+aY3qVfph2cTFadrg4Gtexbch51avsmc0iL00oNPux8ocW t9DUxMdBohMqys7TLtVkZXwl8gImJ7NJ/LSnbkmDfT/owBt/r1lpasd4ms7D20oYjPRtxk49h+7n fiSOlLYteY9znyP43ESUtG7Q3vrM6UaGWLjHJp2PY/CYbE+vDGhKf3+EFasJxx6kSthsI2TUa8LT SqVuYfwvqKe+KiR/lNLgU2XZ6YyBUxzLC9bKDiTdDHDNri4faxxttqZC9UfL/puWAwV2JHhN0vzb +r+pcAmXYsvlZS3qZEDc4Bhs0N0FfBX0EE1G8QNERrbM7qDOTM4rsIuhZaLmRGNx/wDsFcE4CUeN 7y2qfOckMBz8XVKvCx7wBn2K918cQFd08QMcHUfYeETPm5clnIlBnyzvQhIX97P7UzoRZC6lr+J0 NSzZeXQl0rWqHtczDzHzurF3/n3fxXJm/15nDNmK5jnjjgRscyWL2hmz39VHnY2kZPJ/KAv0ExZ8 k8Bs9Abno0jKDGJxHTyvMz1n0IsG7XLgma/r+L76JEzIScRRnVaPexWRClK3wMIAkf7YLpesUaIh hXFLT9lkIodZTzoqUWfiJTLXDttLjBgAwB/Tq56wmwsnumPSVR6xTKF4td/9UVLMf+O0pBxRUHkM yl7I82Zd8FdMnbWc9EVtSSVwCV0fvN+l+J9NKXgX0UrMQQ0DgjOxgckkXjpJYYdMGX87HxmLG2fR u0pPEZIJCvKzx12R/+0HdsZSbTJ/YTCll/n/9WP4DWnXM6BQSvEmSNJmeN7FfQQScBLc50fH8WqJ ntcrdPk7heHVbhIG4dfhOyFaqH5HApjooAPOMSIwhwWxM/JMDA5GJXeGRdnCUCSNDRn4ULLr1T6b Cd2kDs8iOmupOYFZIsKjra2igZCUZlWfz2eE1M/FNSPG5DOav6YF5JpZgaHzewbpLtflklbqVFwa nL8lOzkh/MYHjPFJnIEFbZ6EQv71Th6YYqmxqwjszzKRyXb6LOu5HBdt30r/USDYxJxbZj0BTd/j kfpEUmoD8FfORzBZbG1EuKM/3ltbPp1Wr1JwKPXZMestrylLHCfqMSDzY7ykKlisyEVML9gHdFmO 0c0HbBS+FZ4BMX2ficsx4Kbl7EDfmSNYLbpw3YE2mR5Ga0iTiwJFCjUw3t/fvJ2uN5789suNMDis epzQl7aUVGvxFVMdXYTEg9ZC9CcshZtCJ2hmxsLqeiHJMNPorAF8mGagdTyFDO2+eA4TL8PVYwab 6L2rEu5B8b1FI65MdRH+OIt0zmOsLtSHX78DFE3bwlJouCM2he382ZrlG+yV6ZGSqz1gj4SzDuLX 0lHxEdqiRfTfHvT4/L45sOprd5wL0Yl+GN4426lwUlSoktAB4APFiJwSRUbgNUFGWe5dvEy4WpLk HV3FA7s8LN5cZbx/svO5U3iEOOXB4TtGtbT9UL+QkwuoqiQYrkKmDo10D9ricyjzbKiEa9j698/x BCVzxq0OqpEPgBY0OGpaaNp+O5J+I5c2+PLUl/KzFYuTZTmAf17guuRinpTK13ni2ESx21R5fpKs +nlsorRtCog3dr451H/fACUR7Rk2xm/wHvbaOz4uK72gCaxWezO3UCpl6JAG6ycLsBX/UaHMqPAw AW0LPt/5o6zOIxj358UL6j6btkqycUpcAoiGYGFXTT8kxjyWjBuBBeirMxOUypqJl8KIO8TEZoSY ozaNc5u2gHjNGTwGy425b/79d5LiPb3tLixE34AyuR8dfBCLzwfFjdaoajtWwHDukPDAuLUxWwvc CoktXJuRdklDdcVZEjn9f6gP8hIJgXpU6Jv89A7xQvY/3ndG/ofo15wOCf1GaAVlZZzYeOJExpr+ Ksm4s3zkJyygG1hthMB3a1gjUAjUwKcIW3xtZ8mvAyZKzcMebnmvu34te/o2k9Jz58wbKIAaatH+ QsB/PYV/DkF6gg92d3/LIcfxOsAlMYWErNuX/PbMNYA51JD9YEZvadHih6DEBuR088LQH4nkKH89 C1QKBuZWnj6jc/ueQsv5RAmtDKAq+SVa9mv2x+KDV1LU+xPSn4nlrRCTIt1X+31NTnfZoKoIS2c0 8irrSgoeawfEQ7wMSmYYZvknnRuz7UIhN90/PK5wnwGiZcdhpBxoa5mwvLyBVGnB8Sr+2p3ZGsII f1a8nYxrFrckMkVMsGK6zQPN4abA/gz/SwsIjxKH33FhhODJXBLt3MLCTgUpG8piyPz91NM6TS7O Fj+Qem5r4+nNucbeu880rnimQpbzE+DQ8k3So+DW66MFp90bNd3n5+2Psc2CxwZM1eFIKlwr+FBn oUISmaoHj96hsSzwejqxdyInJlL+NJoz8ZyOizpdNXGYZi4dsiNxw3I/F8hgMEYe6+wvcTVE7Xz7 oCnWptq0dacDkrb4qM5Or5rq8Xne8n8SYRgrkYg3MMSaF35FXtsiLP5H8E+P4w2I1zM7pf5jfo8T YtWfZMIM7THjmAEey3DLjJvgGlJS0MQ9eTqBTco2Ey5qfaqSsCt4L6B/Xa5fK9GrDSW+8b1kOb6n MBpRCjz4YKYqWaYs+lG0vVVAwlLC84jBOLOBUAxEasR+DH+o8uuhZeiEnhLuG8d26zSnKzG5/cDx uw4Gn8uJL358wofZBQXxxTvd7f5A2izrdRH3H/KSuoeJMgtb/FqFN/+ePWWLj4xoaDk2QaB7esSg FsCoXvAcCPRmoHbz0AmxgP7R9POCh1rel2bhw3tQErWjySxaK/ZxOCPuyS4jMxEGvl+vB1Ef91fv yP4fPuHeWy/xKhMrvEWmA9zNEtI5eaOFXgG3tnb48TNUiDo91G+lppSX+i15JV4IUo+QGLkhAa/i Ap7U7J1smKrFStyg3pqG6H0ewwp/y5FuO0zHuhaIt81QNPl9nTul7qT5MPQkIHzcUH+vMIUMIljH 8s5jGZ1LjqbcUne5S1IPWQK43C8Lygt0SuTRnevrzHreVPXndHkuqVU0fap0g7Y6UOM0ovJRAW8l g6j0t6XmV0qE4RfrSRB93k+2GHhuKpxepSDPDz2b2F+DmZS9qnZ2+Azl6Lkzd4vhgCSS8gIMMefF KsHfItiA1Y7C9FxO1Kcb50/bsC+bSlCyUoYXIQ2iR4ngxyAmdINOBX1q0kcH9zAj/f/l5g6AybBu Od6n/oB2ZSFqVl8GjvwRaOWv1alrs+0b6Bwmqx4KX7UT8xg1atWT905LOG7YdYJ6BdCzJfj3M2Xl DMNXbncDwDHW6WXcUdcHGsje7Bz4IRRQArmd2M9QUoWr6rEFEhPsg7ZtPoVATdLgRk3OmUCoY+6e bSgKGyXYuOw0/r1LTNKYXKIIJFYQMSE3z7FT4grDJID+ICTk7QbI3wftskRGn9qUq55z/M4FLZdo nbAefnIe159LHFmlUw9jZm4pz25/ZUXTnc1Px900hA53wBuP7E8BY5/uaedwlqvzN0nc0jyq+XWC Xku0T/rCigGQa4GYPXLZrN41qpcFm0AvumxDLushERrTHfcKrlRmqoNbvAA3tsQjxPoWquPZ5LrT B6+vN9Hmsw6Rl8ieSQjd4q5wxXMprJKedIiKxbGneHgnVfzKT95AZ/UY7e3da9Oes++MJXJulZdh BGJZZq2OMZE7D6mNnN2qNi3KvwwSQGNOl79FhI2t/N+0dCKtphgcM8yAI9m042QBmIhYVA0Yd0EZ R4c2A+hNSqQ/LDPEWmWDmt3nJGgIO119v5HC0mnOkLxdFCYOqN72GBQV6LvzYZ9boyjNBtq9/c4e RXDyXstp2hfN9mLudzk0xw5T2AyR3XCDc55fpaQSP2jOsetcSkeLWRlMTd2egh5njTho9DKMXMDn l5LTPFOsAwt102pkvMo767BgBGIglbK7Lq3Rgj9cdnyLrSmXldQe32aWMDth+qJRa37WKP51lUQe FlQbgIpt+0a104bhn7hpXQ2gHXWYN7I12+CSx/zXvo9bZvWndyWCFl7COFKKl72IywxzU58cFznW Dh1Z+ch7Ho/i1mrh+WjVL45wLXvXPj3O1NUWBOitHnzJRDMwDlFtKqZKXRW+dBy3fapw8382b7QE xFPigbRObBPVIlHeXxXqw9362UiupKhnwtN3PMdj4O2TJa1D7z87hShVxqK/i5GGb3RaePCUlqfY 3tCmniEjCr/DO9C+W6DBcgi6tDn4wtqyxbtXnBmuul/lDUf+VdtM/JILUMdwPSTeMZ51JbFDYee+ a777+YztOBc/wzmqOkKngvdAHuPhyJaZN1H+OpOwCQEIZdAeONF5fnHUrCIR3UJ4fA2zU9BDOiRe 74MX3HqsxfKtTlzmpGrkSab4FXJm1WZZ2oYQ1JXeIcYn5rZWp4pR7sadpTeVybxbfYiqDCu28QZE vOktT4mbbq6CylJWl7ir8FbqGmLH40flikhLZ/ZrZBebVT0Zwzie2EYISJzGffso1wMvGVwJPrmL 72AxS+2nZq35auYxTe5HwNki9bQ19ojeoLdm7prfW0cjKsygocfKLF5eIlt9bwncwRj5QvqCi4n7 ZmRhEHyJ3n+UqJH4CVU5n68O31y1Zv70xv7udXTGES3M3EFYsiF3DWusO1HjfK9ApHmCDC1GnG7n kvreRCRpw6paFVWC8vRwFEbzKmRpseZPFIvYSw3YR27SyImISgUf032i2zwy1/kquykhKNQ1Ql0z eZ35+5gJv1bVwEJRo/H2jU0zHTkP5WA5V/3Pfi1i6O5prRfEeCYhydkiqDhUK+BQy62t+FOqc29d deGRyWrGKOUuILXWI6xoL2DABipXMkdAboOVFU3c7yJcvE2lSyLe8iRnBNTwKc3G4uMITdRdwVad HaBnPwA0RtT/ELYRim/or8/r2Bny44ir2PfVBHKYQRqn8khIGXKweT/tG+TTm00LVpdRc9I6DkkA 8MTJjkcvC/REu5k/o3hJvg55Cqmvgz8kZOmdaHCpJPqjidVBSXm0sJV3S7rLL3kuKwiyoTTCTOi+ ZtA4PQ2TaUNCocc469raGGVJSkx6zVWV/mXWVjxoQPOOLXyIzy8ACbDPMAWbt8K0BYmgEQeBnNg/ MU76fDJ5BNk3PTCEIpcI2GOvUjTpTfB45gZeXPVFT/Putusyh7SmtPk5sYcKuN1u9A52hd64gFI+ LX+p6laKRLAGhlntaHAY0D/J+lRqMUGOIjEjU9RJ5QkYqcHB274DT3jUjWb2Bs4bi1Ui2ziQsHjM 3eQdcF6+Zz4Hrj0nkHMfcF7N/mc3te4rkLcZmdRYK8BVFaix9noEFBgKHP9DZCBqdDY6dT6lyJoY rG0UlVeu3wvLg/dpL7w1juovFp9CyOaMUXC4Lis5n/tgA2hYzskQXXMUmyhy7o+BM957iQgJbl0G dnbrMjl9wFNCAw/OYMe1rS1obpBJA+s9WWWjwF3+4aO4a83wrOkB28I46m/FpAxRrTRsAGMAqEva b8KV4/CI0+yDO73FhQ8IHjXeqZI8fbIylJkItlNqV5eBuyFw3NhDUt2HzbzNTti9mFInQoqQMsmI FbUWUSRC5pqzbqPkjzWhFy0PvMA7fEImfGbdh9Pjn5iJJOy6aRydDSjGfqvrAcS9Q+WcM6KZKzpU 7KD4HQtFwFthpbQcvfbB3LhdIdzMDa0CY5sfMf6b4Qp4HUc9UYzLLUXO0vIvx0LDYf2UXMxus8vm k3s2BCcphrVCfJWSWSfMFUqSE2Ji0oytLRV61CTR45fa4eZdTURYx4rBakmaNCv+LuphlJRZKF0/ YiALs9/ffDmUmukP86Ts99KadmQwJCbfNOmy2V4c5SlMb1JxaXnlGTVAMNeBGdrWzVlLFmXV4zhn uR1tNCgSBL+QjSX0N408HUBa+EcdTbHfPafwfZjOM9EqkZSAg0ONSoEuhjSbc+wn5YXygJ/RKlC/ cpQHICUqcZeB162pXqE6f6c43R3Ij0B3UkGNEgEekmbDek0ZOkTJj6JtK8wQzZpmMh5hHzRpj2EH d/wsqxNTQmg74n92ppBr+X0RDGdj4TihwdnAlLuBrCreKbePtkU7O9iJ9QB6tDVrhudh3xoBxWKC DM2vlqxvDbNC9EGkG2YaG9SvShIVahQp0nkHHRMQMXGjOzYiXYYdX8/+L8tLRFgw8T9F72iWNTIK /UdUXESLpoocTPLz2OtsWN3zEtjFzP511fCCZRAH0zlB8enjsKV6VDcPc6H6s2IuIaNDkV/79Pjr lSecQkan5Pdh4qjnvdOtlOMIAmZP0q+8pGqkpb3+0XzR8YKRGwndDyCnhKZaxY41KZ8Rj7P8CAsr uPN9XmqzcJhMq7qBak5zRnNxR9Irn5XYWzEIm/VxU7JVsMtB71kr0/5HGG49rMl3LWf7tZH6aQOg QL9w7W4XLgMnGCxLaC+72U8iCy10i56xTpJTSciBWYfw7LCPS8CaE38oL/5O7zbgsbLemEVJN0TX SN3sSf3ov3/gC6n96eaUkAofzptXB2e+Ziwx0p22E60Ex4drgjhyUNLyWaZA7Dn8YYba1xRFCCez OhpYJJO2OD5Ixn4BjBWttsvxKLn0K5NIRVZyqKYSMrgwMCJCiJ+t5aoWuH3PT14MAYvMzOt0v9Hs nz4+mvUynfnLwHmW4u0JLwjgK1EycZhF3QvWMltjy2v/aTY5f9SkcXTQfAlwHLenZ+qEha6MCDAC jxKL9Knyk58VpdhF+GtCepeZgCbYcI438vtDgOf0BNK+Emt27/A6ffzJh81uUMQj46592CYMRkrX P3JFIVh8EGJV4O49BEIseaIPkQSXstSwFksamuX7QvUnPDW8KOlnx+PFYCIyUdvbD7lgGXPZGO0q EiN+vqQyA9vAqFWbai16mYv2Kj3xIQkCLeLvBDNdLRLpCSldPok2WPPwKdyQ/5cL16bhlheYl4lK WMvfLgLF/0c3SJ3vFizY8HvJ/gJeTRsVOLpbrvODZCjAVyAfI8SF5KYL32ynOGcOB4JLce3r9xye fXc+WxuBNNnhG7rqAHGvmyApveRnQJh4xIswyiVlkcYlf7Jy6Map7cSTJnOGRO5mlBYZ6wx2WPq/ Xpbr1f1cNmbbOFUhRZkL7upCsq9TqsfYYiTCeRvRwa2RJXI4jHvm/cPv9T5Rfv9zrW8pl1Yrcn8m klFmDncr7omuss9gL5KOTBV4LYdyJcXIqkBAJcG83+P52NqNXcu80dHfkGZENyLbGAdeuT5UDbkQ BdQPCVRk7NIbdfoyRhK4BxohAmCnQIJKLgEPdO7ZElZrs/9PnoJSvYViTCXExy/gsgj2gYGHFQ+0 20dpYZMvfGXu3UV8MA3BppVTBTbxE/C/saZGirovhoBbdYKRNuRdz37nIEGS5uM8fqQMmcRR/0AU HfCiNrnhT6aIfxX+filUxE8cJb2Jd3IFQvDzkGw5epudugswTq+7oC+gkEavPwq5FgFygpQObMuV Bq7RY/+xjQCUj0+g5sdMRfT5iPQ/H+jPbZ0ZKI092PhEYNVPmPt8AdPOl8ms7ELYirk1OV6TUVL+ C9aoQxH3SS8qTqpbQkLOeYFHg8EhYob81Tn/QR8AakA9ItzbPfy0Tm4d4qDqDbxuXzwiAkQt1dzq fWDFPAslNUKkYAEJsKAPdFB0g0dvsZeqYZ1dyoRmj5U1qWavh1pejJ7NMU5P17eYqCiQrCGlyWo4 MLgO1uYbbvgDkxjhH3+7peSfWxtFRdzNhodGCZhJpqR2djSJ3k+oq0qTM1RDBkmCbYYd59uJQ6ge EUVXJnJDb2WyawA7ssnLwtxe+EG2f1m2h3qG29P/StLmuy4Jl4JytWX3cvGasSJojwJouL/khjhe sojAfQKCW991tdQGV9SsZEItX3UCYVwk4Z7SiI5cHvwqtjN3/mkMvws2e+C8YZkPrA6CgcwqFPdn 8MSWnA0VSND32vuAFsGXZ+eXaEhGAWQcZo1WcTaydnbJ2tzP4iRQw+0E+9fbSXsoZb3RP7RjsJA5 4a5Ph6q+mNH93dJnNGsIqKnjb0SySHV9YUcVeWzzjE7Q5MsVLPMcIQP/7AgbZiaLgQYXlcWvMFIi zY77dHFjCmNpli6PRvAV9/WCyI5Hd3zWqnvguRYfSyfR1Rc3KyuisKMXcQ9LHkMCNOYm5nPAz6jV ztaDuRWycoXdQya/T3f3pc1Rr8gAmtAiefRjPI+MphQaWLdkIdwCoeLAIeSwFp1Arhz5TIkIcjM2 z6QAYXY55JmDrR2UpZFX4mUZFLXWPbBGbIanSjib6+i7/XpQ4IgAFtZGcsSKAr5aaW/dDl3U4j8w eUk47bdGUux+M/A= `protect end_protected
<reponame>datacipy/VHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity barrel is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); S : in STD_LOGIC_VECTOR (2 downto 0); Q : out STD_LOGIC_VECTOR (7 downto 0) ); end entity; architecture mmux of Barrel is component mux is port ( sel : in STD_LOGIC; in1 : in STD_LOGIC; in2 : in STD_LOGIC; y: out STD_LOGIC ); end component; signal I1: STD_LOGIC_VECTOR (7 downto 0); signal I2: STD_LOGIC_VECTOR (7 downto 0); begin m1: entity work.mux port map (S(0),A(0),'0',I1(0)); mux1_loop: for i in 1 to 7 generate mux_L1: entity work.mux port map (S(0),A(i),A(i-1),I1(i)); end generate; m2a: entity work.mux port map (S(1),I1(0),'0',I2(0)); m2b: entity work.mux port map (S(1),I1(1),'0',I2(1)); mux2_loop: for i in 2 to 7 generate mux_L2: entity work.mux port map (S(1),I1(i),I1(i-2),I2(i)); end generate; mux3_loop1: for i in 0 to 3 generate mux_L3a: entity work.mux port map (S(2),I2(i),'0',Q(i)); end generate; mux3_loop2: for i in 4 to 7 generate mux_L3b: entity work.mux port map (S(2),I2(i),I2(i-4),Q(i)); end generate; end architecture; architecture mux8r of barrel is begin mux0: entity work.mux8w port map (S,A(0),A(1),A(2),A(3),A(4),A(5),A(6),A(7),Q(0)); mux1: entity work.mux8w port map (S,A(1),A(2),A(3),A(4),A(5),A(6),A(7),'0',Q(1)); mux2: entity work.mux8w port map (S,A(2),A(3),A(4),A(5),A(6),A(7),'0','0',Q(2)); mux3: entity work.mux8w port map (S,A(3),A(4),A(5),A(6),A(7),'0','0','0',Q(3)); mux4: entity work.mux8w port map (S,A(4),A(5),A(6),A(7),'0','0','0','0',Q(4)); mux5: entity work.mux8w port map (S,A(5),A(6),A(7),'0','0','0','0','0',Q(5)); mux6: entity work.mux8w port map (S,A(6),A(7),'0','0','0','0','0','0',Q(6)); mux7: entity work.mux8w port map (S,A(7),'0','0','0','0','0','0','0',Q(7)); end architecture; architecture mux8L of barrel is begin mux0: entity work.mux8w port map (S,A(0),'0','0','0','0','0','0','0',Q(0)); mux1: entity work.mux8w port map (S,A(1),A(0),'0','0','0','0','0','0',Q(1)); mux2: entity work.mux8w port map (S,A(2),A(1),A(0),'0','0','0','0','0',Q(2)); mux3: entity work.mux8w port map (S,A(3),A(2),A(1),A(0),'0','0','0','0',Q(3)); mux4: entity work.mux8w port map (S,A(4),A(3),A(2),A(1),A(0),'0','0','0',Q(4)); mux5: entity work.mux8w port map (S,A(5),A(4),A(3),A(2),A(1),A(0),'0','0',Q(5)); mux6: entity work.mux8w port map (S,A(6),A(5),A(4),A(3),A(2),A(1),A(0),'0',Q(6)); mux7: entity work.mux8w port map (S,A(7),A(6),A(5),A(4),A(3),A(2),A(1),A(0),Q(7)); end architecture;
<filename>example/RISCV_OLD/RTL/Memory_tb.vhd -- -- Created by deutschmann on 13.02.18 -- library ieee ; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.SCAM_Model_types.all; entity Memory_tb is end entity Memory_tb; architecture sim of Memory_tb is component Memory is port ( clk : in std_logic; rst : in std_logic; CtlToMem_port : in MemoryAccess; CtlToMem_port_sync : in bool; CtlToMem_port_notify : out bool; MemToCtl_port : out Unsigned (31 downto 0); MemToCtl_port_sync : in bool; MemToCtl_port_notify : out bool; MemToDec_port : out Unsigned (31 downto 0); MemToDec_port_sync : in bool; MemToDec_port_notify : out bool ); end component; -- Input signal clk : std_logic := '0'; signal rst : std_logic; signal CtlToMem_port : MemoryAccess; signal CtlToMem_port_sync : bool; signal MemToCtl_port_sync : bool; signal MemToDec_port_sync : bool; -- Output signal MemToCtl_port : Unsigned (31 downto 0); signal MemToDec_port : Unsigned (31 downto 0); signal CtlToMem_port_notify : bool; signal MemToCtl_port_notify : bool; signal MemToDec_port_notify : bool; begin -- Clock clk <= not clk after 10 ns; -- Reset rst <= '1', '0' after 20 ns; dut: Memory port map ( clk => clk, rst => rst, CtlToMem_port => CtlToMem_port, CtlToMem_port_sync => CtlToMem_port_sync, CtlToMem_port_notify => CtlToMem_port_notify, MemToCtl_port => MemToCtl_port, MemToCtl_port_sync => MemToCtl_port_sync, MemToCtl_port_notify => MemToCtl_port_notify, MemToDec_port => MemToDec_port, MemToDec_port_sync => MemToDec_port_sync, MemToDec_port_notify => MemToDec_port_notify ); stimuli: process begin -- Write X"CD" at Address 244 (Byte) wait for 20 ns; wait for 5 ns; CtlToMem_port.addrIn <= to_unsigned(244, 32); CtlToMem_port.dataIn <= X"67890BCD"; CtlToMem_port.mask <= MT_B; CtlToMem_port.req <= MEM_STORE; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; wait for 20 ns; -- Write X"0BCD" at Address 248 (Halfword) CtlToMem_port.addrIn <= to_unsigned(248, 32); CtlToMem_port.dataIn <= X"67890BCD"; CtlToMem_port.mask <= MT_H; CtlToMem_port.req <= MEM_STORE; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; wait for 20 ns; -- Write X"67890BCD" at Address 252 (Word) CtlToMem_port.addrIn <= to_unsigned(252, 32); CtlToMem_port.dataIn <= X"67890BCD"; CtlToMem_port.mask <= MT_W; CtlToMem_port.req <= MEM_STORE; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; wait for 20 ns; -- Load Content at Address 244 CtlToMem_port.addrIn <= to_unsigned(244, 32); CtlToMem_port.dataIn <= X"00000000"; CtlToMem_port.mask <= MT_W; CtlToMem_port.req <= MEM_LOAD; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; assert MemToCtl_port = X"000000CD" report "unexpected value for MemToCtl_port" severity warning; assert MemToCtl_port_notify = true report "unexpected value for MemToCtl_port_notify" severity warning; MemToCtl_port_sync <= true; wait for 20 ns; MemToCtl_port_sync <= false; -- Load Content at Address 248 CtlToMem_port.addrIn <= to_unsigned(248, 32); CtlToMem_port.dataIn <= X"00000000"; CtlToMem_port.mask <= MT_W; CtlToMem_port.req <= MEM_LOAD; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; assert MemToCtl_port = X"00000BCD" report "unexpected value for MemToCtl_port" severity warning; assert MemToCtl_port_notify = true report "unexpected value for MemToCtl_port_notify" severity warning; MemToCtl_port_sync <= true; wait for 20 ns; MemToCtl_port_sync <= false; -- Load Content at Address 252 as Byte CtlToMem_port.addrIn <= to_unsigned(252, 32); CtlToMem_port.dataIn <= X"00000000"; CtlToMem_port.mask <= MT_B; CtlToMem_port.req <= MEM_LOAD; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; assert MemToCtl_port = X"FFFFFFCD" report "unexpected value for MemToCtl_port" severity warning; assert MemToCtl_port_notify = true report "unexpected value for MemToCtl_port_notify" severity warning; MemToCtl_port_sync <= true; wait for 20 ns; MemToCtl_port_sync <= false; -- Load Content at Address 252 as Halfword CtlToMem_port.addrIn <= to_unsigned(252, 32); CtlToMem_port.dataIn <= X"00000000"; CtlToMem_port.mask <= MT_H; CtlToMem_port.req <= MEM_LOAD; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; assert MemToCtl_port = X"00000BCD" report "unexpected value for MemToCtl_port" severity warning; assert MemToCtl_port_notify = true report "unexpected value for MemToCtl_port_notify" severity warning; MemToCtl_port_sync <= true; wait for 20 ns; MemToCtl_port_sync <= false; -- Load Content at Address 252 as Word CtlToMem_port.addrIn <= to_unsigned(252, 32); CtlToMem_port.dataIn <= X"00000000"; CtlToMem_port.mask <= MT_W; CtlToMem_port.req <= MEM_LOAD; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; assert MemToCtl_port = X"67890BCD" report "unexpected value for MemToCtl_port" severity warning; assert MemToCtl_port_notify = true report "unexpected value for MemToCtl_port_notify" severity warning; MemToCtl_port_sync <= true; wait for 20 ns; MemToCtl_port_sync <= false; -- Read Instruction at Address 252 CtlToMem_port.addrIn <= to_unsigned(252, 32); CtlToMem_port.dataIn <= X"00000000"; CtlToMem_port.mask <= MT_W; CtlToMem_port.req <= MEM_RD_I; CtlToMem_port_sync <= true; wait for 20 ns; CtlToMem_port_sync <= false; assert MemToDec_port = X"67890BCD" report "unexpected value for MemToDec_port" severity warning; assert MemToDec_port_notify = true report "unexpected value for MemToDec_port_notify" severity warning; MemToDec_port_sync <= true; wait for 20 ns; MemToDec_port_sync <= false; wait; end process stimuli; end architecture;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 13 12:45:31 2017 -- Host : WK117 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/Users/aholzer/Documents/new/Arty-BSD/src/bd/system/ip/system_auto_cc_0/system_auto_cc_0_sim_netlist.vhdl -- Design : system_auto_cc_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35ticsg324-1L -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_dmem is port ( dout_i : out STD_LOGIC_VECTOR ( 57 downto 0 ); s_aclk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); DI : in STD_LOGIC_VECTOR ( 57 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_dmem : entity is "dmem"; end system_auto_cc_0_dmem; architecture STRUCTURE of system_auto_cc_0_dmem is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_2 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_3 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_4 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_5 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_0 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_1 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_2 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_3 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_4 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_5 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_0 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_1 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_2 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_3 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_4 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_5 : STD_LOGIC; signal RAM_reg_0_15_54_57_n_0 : STD_LOGIC; signal RAM_reg_0_15_54_57_n_1 : STD_LOGIC; signal RAM_reg_0_15_54_57_n_2 : STD_LOGIC; signal RAM_reg_0_15_54_57_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_57_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_57_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_57 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(1 downto 0), DIB(1 downto 0) => DI(3 downto 2), DIC(1 downto 0) => DI(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(13 downto 12), DIB(1 downto 0) => DI(15 downto 14), DIC(1 downto 0) => DI(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(19 downto 18), DIB(1 downto 0) => DI(21 downto 20), DIC(1 downto 0) => DI(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(25 downto 24), DIB(1 downto 0) => DI(27 downto 26), DIC(1 downto 0) => DI(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(31 downto 30), DIB(1 downto 0) => DI(33 downto 32), DIC(1 downto 0) => DI(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(37 downto 36), DIB(1 downto 0) => DI(39 downto 38), DIC(1 downto 0) => DI(41 downto 40), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_41_n_0, DOA(0) => RAM_reg_0_15_36_41_n_1, DOB(1) => RAM_reg_0_15_36_41_n_2, DOB(0) => RAM_reg_0_15_36_41_n_3, DOC(1) => RAM_reg_0_15_36_41_n_4, DOC(0) => RAM_reg_0_15_36_41_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(43 downto 42), DIB(1 downto 0) => DI(45 downto 44), DIC(1 downto 0) => DI(47 downto 46), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_42_47_n_0, DOA(0) => RAM_reg_0_15_42_47_n_1, DOB(1) => RAM_reg_0_15_42_47_n_2, DOB(0) => RAM_reg_0_15_42_47_n_3, DOC(1) => RAM_reg_0_15_42_47_n_4, DOC(0) => RAM_reg_0_15_42_47_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(49 downto 48), DIB(1 downto 0) => DI(51 downto 50), DIC(1 downto 0) => DI(53 downto 52), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_48_53_n_0, DOA(0) => RAM_reg_0_15_48_53_n_1, DOB(1) => RAM_reg_0_15_48_53_n_2, DOB(0) => RAM_reg_0_15_48_53_n_3, DOC(1) => RAM_reg_0_15_48_53_n_4, DOC(0) => RAM_reg_0_15_48_53_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_54_57: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(55 downto 54), DIB(1 downto 0) => DI(57 downto 56), DIC(1 downto 0) => B"00", DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_54_57_n_0, DOA(0) => RAM_reg_0_15_54_57_n_1, DOB(1) => RAM_reg_0_15_54_57_n_2, DOB(0) => RAM_reg_0_15_54_57_n_3, DOC(1 downto 0) => NLW_RAM_reg_0_15_54_57_DOC_UNCONNECTED(1 downto 0), DOD(1 downto 0) => NLW_RAM_reg_0_15_54_57_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(7 downto 6), DIB(1 downto 0) => DI(9 downto 8), DIC(1 downto 0) => DI(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => dout_i(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => dout_i(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => dout_i(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => dout_i(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => dout_i(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => dout_i(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => dout_i(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => dout_i(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => dout_i(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => dout_i(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => dout_i(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => dout_i(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => dout_i(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => dout_i(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => dout_i(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => dout_i(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => dout_i(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => dout_i(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => dout_i(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => dout_i(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => dout_i(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => dout_i(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => dout_i(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => dout_i(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => dout_i(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => dout_i(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => dout_i(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => dout_i(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => dout_i(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_1, Q => dout_i(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_0, Q => dout_i(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_3, Q => dout_i(38), R => '0' ); \gpr1.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_2, Q => dout_i(39), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => dout_i(3), R => '0' ); \gpr1.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_5, Q => dout_i(40), R => '0' ); \gpr1.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_4, Q => dout_i(41), R => '0' ); \gpr1.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_1, Q => dout_i(42), R => '0' ); \gpr1.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_0, Q => dout_i(43), R => '0' ); \gpr1.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_3, Q => dout_i(44), R => '0' ); \gpr1.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_2, Q => dout_i(45), R => '0' ); \gpr1.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_5, Q => dout_i(46), R => '0' ); \gpr1.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_4, Q => dout_i(47), R => '0' ); \gpr1.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_1, Q => dout_i(48), R => '0' ); \gpr1.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_0, Q => dout_i(49), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => dout_i(4), R => '0' ); \gpr1.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_3, Q => dout_i(50), R => '0' ); \gpr1.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_2, Q => dout_i(51), R => '0' ); \gpr1.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_5, Q => dout_i(52), R => '0' ); \gpr1.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_4, Q => dout_i(53), R => '0' ); \gpr1.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_57_n_1, Q => dout_i(54), R => '0' ); \gpr1.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_57_n_0, Q => dout_i(55), R => '0' ); \gpr1.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_57_n_3, Q => dout_i(56), R => '0' ); \gpr1.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_57_n_2, Q => dout_i(57), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => dout_i(5), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => dout_i(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => dout_i(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => dout_i(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => dout_i(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_dmem_81 is port ( Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I123 : in STD_LOGIC_VECTOR ( 57 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_dmem_81 : entity is "dmem"; end system_auto_cc_0_dmem_81; architecture STRUCTURE of system_auto_cc_0_dmem_81 is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_2 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_3 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_4 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_5 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_0 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_1 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_2 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_3 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_4 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_5 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_0 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_1 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_2 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_3 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_4 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_5 : STD_LOGIC; signal RAM_reg_0_15_54_57_n_0 : STD_LOGIC; signal RAM_reg_0_15_54_57_n_1 : STD_LOGIC; signal RAM_reg_0_15_54_57_n_2 : STD_LOGIC; signal RAM_reg_0_15_54_57_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_57_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_57_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_57 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(1 downto 0), DIB(1 downto 0) => I123(3 downto 2), DIC(1 downto 0) => I123(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(13 downto 12), DIB(1 downto 0) => I123(15 downto 14), DIC(1 downto 0) => I123(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(19 downto 18), DIB(1 downto 0) => I123(21 downto 20), DIC(1 downto 0) => I123(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(25 downto 24), DIB(1 downto 0) => I123(27 downto 26), DIC(1 downto 0) => I123(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(31 downto 30), DIB(1 downto 0) => I123(33 downto 32), DIC(1 downto 0) => I123(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(37 downto 36), DIB(1 downto 0) => I123(39 downto 38), DIC(1 downto 0) => I123(41 downto 40), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_41_n_0, DOA(0) => RAM_reg_0_15_36_41_n_1, DOB(1) => RAM_reg_0_15_36_41_n_2, DOB(0) => RAM_reg_0_15_36_41_n_3, DOC(1) => RAM_reg_0_15_36_41_n_4, DOC(0) => RAM_reg_0_15_36_41_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(43 downto 42), DIB(1 downto 0) => I123(45 downto 44), DIC(1 downto 0) => I123(47 downto 46), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_42_47_n_0, DOA(0) => RAM_reg_0_15_42_47_n_1, DOB(1) => RAM_reg_0_15_42_47_n_2, DOB(0) => RAM_reg_0_15_42_47_n_3, DOC(1) => RAM_reg_0_15_42_47_n_4, DOC(0) => RAM_reg_0_15_42_47_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(49 downto 48), DIB(1 downto 0) => I123(51 downto 50), DIC(1 downto 0) => I123(53 downto 52), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_48_53_n_0, DOA(0) => RAM_reg_0_15_48_53_n_1, DOB(1) => RAM_reg_0_15_48_53_n_2, DOB(0) => RAM_reg_0_15_48_53_n_3, DOC(1) => RAM_reg_0_15_48_53_n_4, DOC(0) => RAM_reg_0_15_48_53_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_54_57: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(55 downto 54), DIB(1 downto 0) => I123(57 downto 56), DIC(1 downto 0) => B"00", DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_54_57_n_0, DOA(0) => RAM_reg_0_15_54_57_n_1, DOB(1) => RAM_reg_0_15_54_57_n_2, DOB(0) => RAM_reg_0_15_54_57_n_3, DOC(1 downto 0) => NLW_RAM_reg_0_15_54_57_DOC_UNCONNECTED(1 downto 0), DOD(1 downto 0) => NLW_RAM_reg_0_15_54_57_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(7 downto 6), DIB(1 downto 0) => I123(9 downto 8), DIC(1 downto 0) => I123(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_0, Q => Q(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_3, Q => Q(38), R => '0' ); \gpr1.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_2, Q => Q(39), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_5, Q => Q(40), R => '0' ); \gpr1.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_4, Q => Q(41), R => '0' ); \gpr1.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_1, Q => Q(42), R => '0' ); \gpr1.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_0, Q => Q(43), R => '0' ); \gpr1.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_3, Q => Q(44), R => '0' ); \gpr1.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_2, Q => Q(45), R => '0' ); \gpr1.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_5, Q => Q(46), R => '0' ); \gpr1.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_4, Q => Q(47), R => '0' ); \gpr1.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_1, Q => Q(48), R => '0' ); \gpr1.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_0, Q => Q(49), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_3, Q => Q(50), R => '0' ); \gpr1.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_2, Q => Q(51), R => '0' ); \gpr1.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_5, Q => Q(52), R => '0' ); \gpr1.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_4, Q => Q(53), R => '0' ); \gpr1.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_57_n_1, Q => Q(54), R => '0' ); \gpr1.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_57_n_0, Q => Q(55), R => '0' ); \gpr1.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_57_n_3, Q => Q(56), R => '0' ); \gpr1.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_57_n_2, Q => Q(57), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_dmem__parameterized0\ is port ( Q : out STD_LOGIC_VECTOR ( 144 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I115 : in STD_LOGIC_VECTOR ( 144 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_dmem__parameterized0\ : entity is "dmem"; end \system_auto_cc_0_dmem__parameterized0\; architecture STRUCTURE of \system_auto_cc_0_dmem__parameterized0\ is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_0 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_1 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_2 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_3 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_4 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_5 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_0 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_1 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_2 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_3 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_4 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_5 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_0 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_1 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_2 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_3 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_4 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_5 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_0 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_1 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_2 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_3 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_4 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_5 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_0 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_1 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_2 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_3 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_4 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_132_137_n_0 : STD_LOGIC; signal RAM_reg_0_15_132_137_n_1 : STD_LOGIC; signal RAM_reg_0_15_132_137_n_2 : STD_LOGIC; signal RAM_reg_0_15_132_137_n_3 : STD_LOGIC; signal RAM_reg_0_15_132_137_n_4 : STD_LOGIC; signal RAM_reg_0_15_132_137_n_5 : STD_LOGIC; signal RAM_reg_0_15_138_143_n_0 : STD_LOGIC; signal RAM_reg_0_15_138_143_n_1 : STD_LOGIC; signal RAM_reg_0_15_138_143_n_2 : STD_LOGIC; signal RAM_reg_0_15_138_143_n_3 : STD_LOGIC; signal RAM_reg_0_15_138_143_n_4 : STD_LOGIC; signal RAM_reg_0_15_138_143_n_5 : STD_LOGIC; signal RAM_reg_0_15_144_144_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_2 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_3 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_4 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_5 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_0 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_1 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_2 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_3 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_4 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_5 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_0 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_1 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_2 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_3 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_4 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_5 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_0 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_1 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_2 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_3 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_4 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_5 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_0 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_1 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_2 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_3 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_4 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_5 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_0 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_1 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_2 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_3 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_4 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_5 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_0 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_1 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_2 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_3 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_4 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_5 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_0 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_1 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_2 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_3 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_4 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_5 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_0 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_1 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_2 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_3 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_4 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_5 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_0 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_1 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_2 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_3 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_4 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_5 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_0 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_1 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_2 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_3 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_4 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_102_107_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_108_113_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_114_119_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_120_125_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_126_131_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_132_137_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_138_143_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_144_144_DOA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_144_144_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_144_144_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_144_144_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_60_65_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_66_71_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_72_77_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_78_83_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_84_89_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_90_95_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_96_101_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_102_107 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_108_113 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_114_119 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_120_125 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_126_131 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_132_137 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_138_143 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_144_144 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_59 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_60_65 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_66_71 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_72_77 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_78_83 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_84_89 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_90_95 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_96_101 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(1 downto 0), DIB(1 downto 0) => I115(3 downto 2), DIC(1 downto 0) => I115(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_102_107: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(103 downto 102), DIB(1 downto 0) => I115(105 downto 104), DIC(1 downto 0) => I115(107 downto 106), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_102_107_n_0, DOA(0) => RAM_reg_0_15_102_107_n_1, DOB(1) => RAM_reg_0_15_102_107_n_2, DOB(0) => RAM_reg_0_15_102_107_n_3, DOC(1) => RAM_reg_0_15_102_107_n_4, DOC(0) => RAM_reg_0_15_102_107_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_102_107_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_108_113: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(109 downto 108), DIB(1 downto 0) => I115(111 downto 110), DIC(1 downto 0) => I115(113 downto 112), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_108_113_n_0, DOA(0) => RAM_reg_0_15_108_113_n_1, DOB(1) => RAM_reg_0_15_108_113_n_2, DOB(0) => RAM_reg_0_15_108_113_n_3, DOC(1) => RAM_reg_0_15_108_113_n_4, DOC(0) => RAM_reg_0_15_108_113_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_108_113_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_114_119: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(115 downto 114), DIB(1 downto 0) => I115(117 downto 116), DIC(1 downto 0) => I115(119 downto 118), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_114_119_n_0, DOA(0) => RAM_reg_0_15_114_119_n_1, DOB(1) => RAM_reg_0_15_114_119_n_2, DOB(0) => RAM_reg_0_15_114_119_n_3, DOC(1) => RAM_reg_0_15_114_119_n_4, DOC(0) => RAM_reg_0_15_114_119_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_114_119_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_120_125: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(121 downto 120), DIB(1 downto 0) => I115(123 downto 122), DIC(1 downto 0) => I115(125 downto 124), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_120_125_n_0, DOA(0) => RAM_reg_0_15_120_125_n_1, DOB(1) => RAM_reg_0_15_120_125_n_2, DOB(0) => RAM_reg_0_15_120_125_n_3, DOC(1) => RAM_reg_0_15_120_125_n_4, DOC(0) => RAM_reg_0_15_120_125_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_120_125_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_126_131: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(127 downto 126), DIB(1 downto 0) => I115(129 downto 128), DIC(1 downto 0) => I115(131 downto 130), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_126_131_n_0, DOA(0) => RAM_reg_0_15_126_131_n_1, DOB(1) => RAM_reg_0_15_126_131_n_2, DOB(0) => RAM_reg_0_15_126_131_n_3, DOC(1) => RAM_reg_0_15_126_131_n_4, DOC(0) => RAM_reg_0_15_126_131_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_126_131_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(13 downto 12), DIB(1 downto 0) => I115(15 downto 14), DIC(1 downto 0) => I115(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_132_137: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(133 downto 132), DIB(1 downto 0) => I115(135 downto 134), DIC(1 downto 0) => I115(137 downto 136), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_132_137_n_0, DOA(0) => RAM_reg_0_15_132_137_n_1, DOB(1) => RAM_reg_0_15_132_137_n_2, DOB(0) => RAM_reg_0_15_132_137_n_3, DOC(1) => RAM_reg_0_15_132_137_n_4, DOC(0) => RAM_reg_0_15_132_137_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_132_137_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_138_143: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(139 downto 138), DIB(1 downto 0) => I115(141 downto 140), DIC(1 downto 0) => I115(143 downto 142), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_138_143_n_0, DOA(0) => RAM_reg_0_15_138_143_n_1, DOB(1) => RAM_reg_0_15_138_143_n_2, DOB(0) => RAM_reg_0_15_138_143_n_3, DOC(1) => RAM_reg_0_15_138_143_n_4, DOC(0) => RAM_reg_0_15_138_143_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_138_143_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_144_144: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1) => '0', DIA(0) => I115(144), DIB(1 downto 0) => B"00", DIC(1 downto 0) => B"00", DID(1 downto 0) => B"00", DOA(1) => NLW_RAM_reg_0_15_144_144_DOA_UNCONNECTED(1), DOA(0) => RAM_reg_0_15_144_144_n_1, DOB(1 downto 0) => NLW_RAM_reg_0_15_144_144_DOB_UNCONNECTED(1 downto 0), DOC(1 downto 0) => NLW_RAM_reg_0_15_144_144_DOC_UNCONNECTED(1 downto 0), DOD(1 downto 0) => NLW_RAM_reg_0_15_144_144_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(19 downto 18), DIB(1 downto 0) => I115(21 downto 20), DIC(1 downto 0) => I115(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(25 downto 24), DIB(1 downto 0) => I115(27 downto 26), DIC(1 downto 0) => I115(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(31 downto 30), DIB(1 downto 0) => I115(33 downto 32), DIC(1 downto 0) => I115(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(37 downto 36), DIB(1 downto 0) => I115(39 downto 38), DIC(1 downto 0) => I115(41 downto 40), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_41_n_0, DOA(0) => RAM_reg_0_15_36_41_n_1, DOB(1) => RAM_reg_0_15_36_41_n_2, DOB(0) => RAM_reg_0_15_36_41_n_3, DOC(1) => RAM_reg_0_15_36_41_n_4, DOC(0) => RAM_reg_0_15_36_41_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(43 downto 42), DIB(1 downto 0) => I115(45 downto 44), DIC(1 downto 0) => I115(47 downto 46), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_42_47_n_0, DOA(0) => RAM_reg_0_15_42_47_n_1, DOB(1) => RAM_reg_0_15_42_47_n_2, DOB(0) => RAM_reg_0_15_42_47_n_3, DOC(1) => RAM_reg_0_15_42_47_n_4, DOC(0) => RAM_reg_0_15_42_47_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(49 downto 48), DIB(1 downto 0) => I115(51 downto 50), DIC(1 downto 0) => I115(53 downto 52), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_48_53_n_0, DOA(0) => RAM_reg_0_15_48_53_n_1, DOB(1) => RAM_reg_0_15_48_53_n_2, DOB(0) => RAM_reg_0_15_48_53_n_3, DOC(1) => RAM_reg_0_15_48_53_n_4, DOC(0) => RAM_reg_0_15_48_53_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(55 downto 54), DIB(1 downto 0) => I115(57 downto 56), DIC(1 downto 0) => I115(59 downto 58), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_54_59_n_0, DOA(0) => RAM_reg_0_15_54_59_n_1, DOB(1) => RAM_reg_0_15_54_59_n_2, DOB(0) => RAM_reg_0_15_54_59_n_3, DOC(1) => RAM_reg_0_15_54_59_n_4, DOC(0) => RAM_reg_0_15_54_59_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_60_65: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(61 downto 60), DIB(1 downto 0) => I115(63 downto 62), DIC(1 downto 0) => I115(65 downto 64), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_60_65_n_0, DOA(0) => RAM_reg_0_15_60_65_n_1, DOB(1) => RAM_reg_0_15_60_65_n_2, DOB(0) => RAM_reg_0_15_60_65_n_3, DOC(1) => RAM_reg_0_15_60_65_n_4, DOC(0) => RAM_reg_0_15_60_65_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_60_65_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_66_71: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(67 downto 66), DIB(1 downto 0) => I115(69 downto 68), DIC(1 downto 0) => I115(71 downto 70), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_66_71_n_0, DOA(0) => RAM_reg_0_15_66_71_n_1, DOB(1) => RAM_reg_0_15_66_71_n_2, DOB(0) => RAM_reg_0_15_66_71_n_3, DOC(1) => RAM_reg_0_15_66_71_n_4, DOC(0) => RAM_reg_0_15_66_71_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_66_71_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(7 downto 6), DIB(1 downto 0) => I115(9 downto 8), DIC(1 downto 0) => I115(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_72_77: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(73 downto 72), DIB(1 downto 0) => I115(75 downto 74), DIC(1 downto 0) => I115(77 downto 76), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_72_77_n_0, DOA(0) => RAM_reg_0_15_72_77_n_1, DOB(1) => RAM_reg_0_15_72_77_n_2, DOB(0) => RAM_reg_0_15_72_77_n_3, DOC(1) => RAM_reg_0_15_72_77_n_4, DOC(0) => RAM_reg_0_15_72_77_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_72_77_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_78_83: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(79 downto 78), DIB(1 downto 0) => I115(81 downto 80), DIC(1 downto 0) => I115(83 downto 82), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_78_83_n_0, DOA(0) => RAM_reg_0_15_78_83_n_1, DOB(1) => RAM_reg_0_15_78_83_n_2, DOB(0) => RAM_reg_0_15_78_83_n_3, DOC(1) => RAM_reg_0_15_78_83_n_4, DOC(0) => RAM_reg_0_15_78_83_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_78_83_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_84_89: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(85 downto 84), DIB(1 downto 0) => I115(87 downto 86), DIC(1 downto 0) => I115(89 downto 88), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_84_89_n_0, DOA(0) => RAM_reg_0_15_84_89_n_1, DOB(1) => RAM_reg_0_15_84_89_n_2, DOB(0) => RAM_reg_0_15_84_89_n_3, DOC(1) => RAM_reg_0_15_84_89_n_4, DOC(0) => RAM_reg_0_15_84_89_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_84_89_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_90_95: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(91 downto 90), DIB(1 downto 0) => I115(93 downto 92), DIC(1 downto 0) => I115(95 downto 94), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_90_95_n_0, DOA(0) => RAM_reg_0_15_90_95_n_1, DOB(1) => RAM_reg_0_15_90_95_n_2, DOB(0) => RAM_reg_0_15_90_95_n_3, DOC(1) => RAM_reg_0_15_90_95_n_4, DOC(0) => RAM_reg_0_15_90_95_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_90_95_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_96_101: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(97 downto 96), DIB(1 downto 0) => I115(99 downto 98), DIC(1 downto 0) => I115(101 downto 100), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_96_101_n_0, DOA(0) => RAM_reg_0_15_96_101_n_1, DOB(1) => RAM_reg_0_15_96_101_n_2, DOB(0) => RAM_reg_0_15_96_101_n_3, DOC(1) => RAM_reg_0_15_96_101_n_4, DOC(0) => RAM_reg_0_15_96_101_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_96_101_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[100]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_5, Q => Q(100), R => '0' ); \gpr1.dout_i_reg[101]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_4, Q => Q(101), R => '0' ); \gpr1.dout_i_reg[102]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_1, Q => Q(102), R => '0' ); \gpr1.dout_i_reg[103]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_0, Q => Q(103), R => '0' ); \gpr1.dout_i_reg[104]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_3, Q => Q(104), R => '0' ); \gpr1.dout_i_reg[105]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_2, Q => Q(105), R => '0' ); \gpr1.dout_i_reg[106]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_5, Q => Q(106), R => '0' ); \gpr1.dout_i_reg[107]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_4, Q => Q(107), R => '0' ); \gpr1.dout_i_reg[108]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_1, Q => Q(108), R => '0' ); \gpr1.dout_i_reg[109]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_0, Q => Q(109), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[110]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_3, Q => Q(110), R => '0' ); \gpr1.dout_i_reg[111]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_2, Q => Q(111), R => '0' ); \gpr1.dout_i_reg[112]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_5, Q => Q(112), R => '0' ); \gpr1.dout_i_reg[113]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_4, Q => Q(113), R => '0' ); \gpr1.dout_i_reg[114]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_1, Q => Q(114), R => '0' ); \gpr1.dout_i_reg[115]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_0, Q => Q(115), R => '0' ); \gpr1.dout_i_reg[116]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_3, Q => Q(116), R => '0' ); \gpr1.dout_i_reg[117]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_2, Q => Q(117), R => '0' ); \gpr1.dout_i_reg[118]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_5, Q => Q(118), R => '0' ); \gpr1.dout_i_reg[119]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_4, Q => Q(119), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[120]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_1, Q => Q(120), R => '0' ); \gpr1.dout_i_reg[121]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_0, Q => Q(121), R => '0' ); \gpr1.dout_i_reg[122]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_3, Q => Q(122), R => '0' ); \gpr1.dout_i_reg[123]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_2, Q => Q(123), R => '0' ); \gpr1.dout_i_reg[124]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_5, Q => Q(124), R => '0' ); \gpr1.dout_i_reg[125]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_4, Q => Q(125), R => '0' ); \gpr1.dout_i_reg[126]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_1, Q => Q(126), R => '0' ); \gpr1.dout_i_reg[127]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_0, Q => Q(127), R => '0' ); \gpr1.dout_i_reg[128]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_3, Q => Q(128), R => '0' ); \gpr1.dout_i_reg[129]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_2, Q => Q(129), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[130]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_5, Q => Q(130), R => '0' ); \gpr1.dout_i_reg[131]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_4, Q => Q(131), R => '0' ); \gpr1.dout_i_reg[132]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_132_137_n_1, Q => Q(132), R => '0' ); \gpr1.dout_i_reg[133]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_132_137_n_0, Q => Q(133), R => '0' ); \gpr1.dout_i_reg[134]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_132_137_n_3, Q => Q(134), R => '0' ); \gpr1.dout_i_reg[135]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_132_137_n_2, Q => Q(135), R => '0' ); \gpr1.dout_i_reg[136]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_132_137_n_5, Q => Q(136), R => '0' ); \gpr1.dout_i_reg[137]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_132_137_n_4, Q => Q(137), R => '0' ); \gpr1.dout_i_reg[138]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_138_143_n_1, Q => Q(138), R => '0' ); \gpr1.dout_i_reg[139]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_138_143_n_0, Q => Q(139), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[140]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_138_143_n_3, Q => Q(140), R => '0' ); \gpr1.dout_i_reg[141]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_138_143_n_2, Q => Q(141), R => '0' ); \gpr1.dout_i_reg[142]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_138_143_n_5, Q => Q(142), R => '0' ); \gpr1.dout_i_reg[143]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_138_143_n_4, Q => Q(143), R => '0' ); \gpr1.dout_i_reg[144]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_144_144_n_1, Q => Q(144), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_0, Q => Q(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_3, Q => Q(38), R => '0' ); \gpr1.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_2, Q => Q(39), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_5, Q => Q(40), R => '0' ); \gpr1.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_4, Q => Q(41), R => '0' ); \gpr1.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_1, Q => Q(42), R => '0' ); \gpr1.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_0, Q => Q(43), R => '0' ); \gpr1.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_3, Q => Q(44), R => '0' ); \gpr1.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_2, Q => Q(45), R => '0' ); \gpr1.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_5, Q => Q(46), R => '0' ); \gpr1.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_4, Q => Q(47), R => '0' ); \gpr1.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_1, Q => Q(48), R => '0' ); \gpr1.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_0, Q => Q(49), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_3, Q => Q(50), R => '0' ); \gpr1.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_2, Q => Q(51), R => '0' ); \gpr1.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_5, Q => Q(52), R => '0' ); \gpr1.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_4, Q => Q(53), R => '0' ); \gpr1.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_1, Q => Q(54), R => '0' ); \gpr1.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_0, Q => Q(55), R => '0' ); \gpr1.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_3, Q => Q(56), R => '0' ); \gpr1.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_2, Q => Q(57), R => '0' ); \gpr1.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_5, Q => Q(58), R => '0' ); \gpr1.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_4, Q => Q(59), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_1, Q => Q(60), R => '0' ); \gpr1.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_0, Q => Q(61), R => '0' ); \gpr1.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_3, Q => Q(62), R => '0' ); \gpr1.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_2, Q => Q(63), R => '0' ); \gpr1.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_5, Q => Q(64), R => '0' ); \gpr1.dout_i_reg[65]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_4, Q => Q(65), R => '0' ); \gpr1.dout_i_reg[66]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_1, Q => Q(66), R => '0' ); \gpr1.dout_i_reg[67]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_0, Q => Q(67), R => '0' ); \gpr1.dout_i_reg[68]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_3, Q => Q(68), R => '0' ); \gpr1.dout_i_reg[69]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_2, Q => Q(69), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[70]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_5, Q => Q(70), R => '0' ); \gpr1.dout_i_reg[71]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_4, Q => Q(71), R => '0' ); \gpr1.dout_i_reg[72]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_1, Q => Q(72), R => '0' ); \gpr1.dout_i_reg[73]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_0, Q => Q(73), R => '0' ); \gpr1.dout_i_reg[74]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_3, Q => Q(74), R => '0' ); \gpr1.dout_i_reg[75]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_2, Q => Q(75), R => '0' ); \gpr1.dout_i_reg[76]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_5, Q => Q(76), R => '0' ); \gpr1.dout_i_reg[77]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_4, Q => Q(77), R => '0' ); \gpr1.dout_i_reg[78]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_1, Q => Q(78), R => '0' ); \gpr1.dout_i_reg[79]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_0, Q => Q(79), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[80]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_3, Q => Q(80), R => '0' ); \gpr1.dout_i_reg[81]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_2, Q => Q(81), R => '0' ); \gpr1.dout_i_reg[82]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_5, Q => Q(82), R => '0' ); \gpr1.dout_i_reg[83]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_4, Q => Q(83), R => '0' ); \gpr1.dout_i_reg[84]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_1, Q => Q(84), R => '0' ); \gpr1.dout_i_reg[85]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_0, Q => Q(85), R => '0' ); \gpr1.dout_i_reg[86]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_3, Q => Q(86), R => '0' ); \gpr1.dout_i_reg[87]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_2, Q => Q(87), R => '0' ); \gpr1.dout_i_reg[88]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_5, Q => Q(88), R => '0' ); \gpr1.dout_i_reg[89]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_4, Q => Q(89), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[90]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_1, Q => Q(90), R => '0' ); \gpr1.dout_i_reg[91]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_0, Q => Q(91), R => '0' ); \gpr1.dout_i_reg[92]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_3, Q => Q(92), R => '0' ); \gpr1.dout_i_reg[93]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_2, Q => Q(93), R => '0' ); \gpr1.dout_i_reg[94]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_5, Q => Q(94), R => '0' ); \gpr1.dout_i_reg[95]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_4, Q => Q(95), R => '0' ); \gpr1.dout_i_reg[96]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_1, Q => Q(96), R => '0' ); \gpr1.dout_i_reg[97]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_0, Q => Q(97), R => '0' ); \gpr1.dout_i_reg[98]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_3, Q => Q(98), R => '0' ); \gpr1.dout_i_reg[99]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_2, Q => Q(99), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_dmem__parameterized1\ is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_dmem__parameterized1\ : entity is "dmem"; end \system_auto_cc_0_dmem__parameterized1\; architecture STRUCTURE of \system_auto_cc_0_dmem__parameterized1\ is signal RAM_reg_0_15_0_2_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_2_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_2_n_3 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_2_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_0_2_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_0_2_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_2 : label is ""; begin RAM_reg_0_15_0_2: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => m_axi_bresp(1 downto 0), DIB(1) => '0', DIB(0) => m_axi_bid(0), DIC(1 downto 0) => B"00", DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_2_n_0, DOA(0) => RAM_reg_0_15_0_2_n_1, DOB(1) => NLW_RAM_reg_0_15_0_2_DOB_UNCONNECTED(1), DOB(0) => RAM_reg_0_15_0_2_n_3, DOC(1 downto 0) => NLW_RAM_reg_0_15_0_2_DOC_UNCONNECTED(1 downto 0), DOD(1 downto 0) => NLW_RAM_reg_0_15_0_2_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_2_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_2_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_2_n_3, Q => Q(2), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_dmem__parameterized2\ is port ( Q : out STD_LOGIC_VECTOR ( 131 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I127 : in STD_LOGIC_VECTOR ( 131 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_dmem__parameterized2\ : entity is "dmem"; end \system_auto_cc_0_dmem__parameterized2\; architecture STRUCTURE of \system_auto_cc_0_dmem__parameterized2\ is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_0 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_1 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_2 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_3 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_4 : STD_LOGIC; signal RAM_reg_0_15_102_107_n_5 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_0 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_1 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_2 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_3 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_4 : STD_LOGIC; signal RAM_reg_0_15_108_113_n_5 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_0 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_1 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_2 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_3 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_4 : STD_LOGIC; signal RAM_reg_0_15_114_119_n_5 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_0 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_1 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_2 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_3 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_4 : STD_LOGIC; signal RAM_reg_0_15_120_125_n_5 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_0 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_1 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_2 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_3 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_4 : STD_LOGIC; signal RAM_reg_0_15_126_131_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_2 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_3 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_4 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_5 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_0 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_1 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_2 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_3 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_4 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_5 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_0 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_1 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_2 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_3 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_4 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_5 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_0 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_1 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_2 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_3 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_4 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_5 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_0 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_1 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_2 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_3 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_4 : STD_LOGIC; signal RAM_reg_0_15_60_65_n_5 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_0 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_1 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_2 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_3 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_4 : STD_LOGIC; signal RAM_reg_0_15_66_71_n_5 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_0 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_1 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_2 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_3 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_4 : STD_LOGIC; signal RAM_reg_0_15_72_77_n_5 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_0 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_1 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_2 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_3 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_4 : STD_LOGIC; signal RAM_reg_0_15_78_83_n_5 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_0 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_1 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_2 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_3 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_4 : STD_LOGIC; signal RAM_reg_0_15_84_89_n_5 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_0 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_1 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_2 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_3 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_4 : STD_LOGIC; signal RAM_reg_0_15_90_95_n_5 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_0 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_1 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_2 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_3 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_4 : STD_LOGIC; signal RAM_reg_0_15_96_101_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_102_107_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_108_113_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_114_119_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_120_125_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_126_131_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_60_65_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_66_71_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_72_77_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_78_83_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_84_89_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_90_95_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_96_101_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_102_107 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_108_113 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_114_119 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_120_125 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_126_131 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_59 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_60_65 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_66_71 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_72_77 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_78_83 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_84_89 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_90_95 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_96_101 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(1 downto 0), DIB(1 downto 0) => I127(3 downto 2), DIC(1 downto 0) => I127(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_102_107: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(103 downto 102), DIB(1 downto 0) => I127(105 downto 104), DIC(1 downto 0) => I127(107 downto 106), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_102_107_n_0, DOA(0) => RAM_reg_0_15_102_107_n_1, DOB(1) => RAM_reg_0_15_102_107_n_2, DOB(0) => RAM_reg_0_15_102_107_n_3, DOC(1) => RAM_reg_0_15_102_107_n_4, DOC(0) => RAM_reg_0_15_102_107_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_102_107_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_108_113: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(109 downto 108), DIB(1 downto 0) => I127(111 downto 110), DIC(1 downto 0) => I127(113 downto 112), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_108_113_n_0, DOA(0) => RAM_reg_0_15_108_113_n_1, DOB(1) => RAM_reg_0_15_108_113_n_2, DOB(0) => RAM_reg_0_15_108_113_n_3, DOC(1) => RAM_reg_0_15_108_113_n_4, DOC(0) => RAM_reg_0_15_108_113_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_108_113_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_114_119: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(115 downto 114), DIB(1 downto 0) => I127(117 downto 116), DIC(1 downto 0) => I127(119 downto 118), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_114_119_n_0, DOA(0) => RAM_reg_0_15_114_119_n_1, DOB(1) => RAM_reg_0_15_114_119_n_2, DOB(0) => RAM_reg_0_15_114_119_n_3, DOC(1) => RAM_reg_0_15_114_119_n_4, DOC(0) => RAM_reg_0_15_114_119_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_114_119_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_120_125: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(121 downto 120), DIB(1 downto 0) => I127(123 downto 122), DIC(1 downto 0) => I127(125 downto 124), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_120_125_n_0, DOA(0) => RAM_reg_0_15_120_125_n_1, DOB(1) => RAM_reg_0_15_120_125_n_2, DOB(0) => RAM_reg_0_15_120_125_n_3, DOC(1) => RAM_reg_0_15_120_125_n_4, DOC(0) => RAM_reg_0_15_120_125_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_120_125_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_126_131: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(127 downto 126), DIB(1 downto 0) => I127(129 downto 128), DIC(1 downto 0) => I127(131 downto 130), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_126_131_n_0, DOA(0) => RAM_reg_0_15_126_131_n_1, DOB(1) => RAM_reg_0_15_126_131_n_2, DOB(0) => RAM_reg_0_15_126_131_n_3, DOC(1) => RAM_reg_0_15_126_131_n_4, DOC(0) => RAM_reg_0_15_126_131_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_126_131_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(13 downto 12), DIB(1 downto 0) => I127(15 downto 14), DIC(1 downto 0) => I127(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(19 downto 18), DIB(1 downto 0) => I127(21 downto 20), DIC(1 downto 0) => I127(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(25 downto 24), DIB(1 downto 0) => I127(27 downto 26), DIC(1 downto 0) => I127(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(31 downto 30), DIB(1 downto 0) => I127(33 downto 32), DIC(1 downto 0) => I127(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(37 downto 36), DIB(1 downto 0) => I127(39 downto 38), DIC(1 downto 0) => I127(41 downto 40), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_41_n_0, DOA(0) => RAM_reg_0_15_36_41_n_1, DOB(1) => RAM_reg_0_15_36_41_n_2, DOB(0) => RAM_reg_0_15_36_41_n_3, DOC(1) => RAM_reg_0_15_36_41_n_4, DOC(0) => RAM_reg_0_15_36_41_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(43 downto 42), DIB(1 downto 0) => I127(45 downto 44), DIC(1 downto 0) => I127(47 downto 46), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_42_47_n_0, DOA(0) => RAM_reg_0_15_42_47_n_1, DOB(1) => RAM_reg_0_15_42_47_n_2, DOB(0) => RAM_reg_0_15_42_47_n_3, DOC(1) => RAM_reg_0_15_42_47_n_4, DOC(0) => RAM_reg_0_15_42_47_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(49 downto 48), DIB(1 downto 0) => I127(51 downto 50), DIC(1 downto 0) => I127(53 downto 52), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_48_53_n_0, DOA(0) => RAM_reg_0_15_48_53_n_1, DOB(1) => RAM_reg_0_15_48_53_n_2, DOB(0) => RAM_reg_0_15_48_53_n_3, DOC(1) => RAM_reg_0_15_48_53_n_4, DOC(0) => RAM_reg_0_15_48_53_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(55 downto 54), DIB(1 downto 0) => I127(57 downto 56), DIC(1 downto 0) => I127(59 downto 58), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_54_59_n_0, DOA(0) => RAM_reg_0_15_54_59_n_1, DOB(1) => RAM_reg_0_15_54_59_n_2, DOB(0) => RAM_reg_0_15_54_59_n_3, DOC(1) => RAM_reg_0_15_54_59_n_4, DOC(0) => RAM_reg_0_15_54_59_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_60_65: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(61 downto 60), DIB(1 downto 0) => I127(63 downto 62), DIC(1 downto 0) => I127(65 downto 64), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_60_65_n_0, DOA(0) => RAM_reg_0_15_60_65_n_1, DOB(1) => RAM_reg_0_15_60_65_n_2, DOB(0) => RAM_reg_0_15_60_65_n_3, DOC(1) => RAM_reg_0_15_60_65_n_4, DOC(0) => RAM_reg_0_15_60_65_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_60_65_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_66_71: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(67 downto 66), DIB(1 downto 0) => I127(69 downto 68), DIC(1 downto 0) => I127(71 downto 70), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_66_71_n_0, DOA(0) => RAM_reg_0_15_66_71_n_1, DOB(1) => RAM_reg_0_15_66_71_n_2, DOB(0) => RAM_reg_0_15_66_71_n_3, DOC(1) => RAM_reg_0_15_66_71_n_4, DOC(0) => RAM_reg_0_15_66_71_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_66_71_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(7 downto 6), DIB(1 downto 0) => I127(9 downto 8), DIC(1 downto 0) => I127(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_72_77: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(73 downto 72), DIB(1 downto 0) => I127(75 downto 74), DIC(1 downto 0) => I127(77 downto 76), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_72_77_n_0, DOA(0) => RAM_reg_0_15_72_77_n_1, DOB(1) => RAM_reg_0_15_72_77_n_2, DOB(0) => RAM_reg_0_15_72_77_n_3, DOC(1) => RAM_reg_0_15_72_77_n_4, DOC(0) => RAM_reg_0_15_72_77_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_72_77_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_78_83: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(79 downto 78), DIB(1 downto 0) => I127(81 downto 80), DIC(1 downto 0) => I127(83 downto 82), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_78_83_n_0, DOA(0) => RAM_reg_0_15_78_83_n_1, DOB(1) => RAM_reg_0_15_78_83_n_2, DOB(0) => RAM_reg_0_15_78_83_n_3, DOC(1) => RAM_reg_0_15_78_83_n_4, DOC(0) => RAM_reg_0_15_78_83_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_78_83_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_84_89: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(85 downto 84), DIB(1 downto 0) => I127(87 downto 86), DIC(1 downto 0) => I127(89 downto 88), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_84_89_n_0, DOA(0) => RAM_reg_0_15_84_89_n_1, DOB(1) => RAM_reg_0_15_84_89_n_2, DOB(0) => RAM_reg_0_15_84_89_n_3, DOC(1) => RAM_reg_0_15_84_89_n_4, DOC(0) => RAM_reg_0_15_84_89_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_84_89_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_90_95: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(91 downto 90), DIB(1 downto 0) => I127(93 downto 92), DIC(1 downto 0) => I127(95 downto 94), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_90_95_n_0, DOA(0) => RAM_reg_0_15_90_95_n_1, DOB(1) => RAM_reg_0_15_90_95_n_2, DOB(0) => RAM_reg_0_15_90_95_n_3, DOC(1) => RAM_reg_0_15_90_95_n_4, DOC(0) => RAM_reg_0_15_90_95_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_90_95_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_96_101: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(97 downto 96), DIB(1 downto 0) => I127(99 downto 98), DIC(1 downto 0) => I127(101 downto 100), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_96_101_n_0, DOA(0) => RAM_reg_0_15_96_101_n_1, DOB(1) => RAM_reg_0_15_96_101_n_2, DOB(0) => RAM_reg_0_15_96_101_n_3, DOC(1) => RAM_reg_0_15_96_101_n_4, DOC(0) => RAM_reg_0_15_96_101_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_96_101_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[100]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_5, Q => Q(100), R => '0' ); \gpr1.dout_i_reg[101]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_4, Q => Q(101), R => '0' ); \gpr1.dout_i_reg[102]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_1, Q => Q(102), R => '0' ); \gpr1.dout_i_reg[103]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_0, Q => Q(103), R => '0' ); \gpr1.dout_i_reg[104]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_3, Q => Q(104), R => '0' ); \gpr1.dout_i_reg[105]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_2, Q => Q(105), R => '0' ); \gpr1.dout_i_reg[106]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_5, Q => Q(106), R => '0' ); \gpr1.dout_i_reg[107]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_102_107_n_4, Q => Q(107), R => '0' ); \gpr1.dout_i_reg[108]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_1, Q => Q(108), R => '0' ); \gpr1.dout_i_reg[109]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_0, Q => Q(109), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[110]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_3, Q => Q(110), R => '0' ); \gpr1.dout_i_reg[111]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_2, Q => Q(111), R => '0' ); \gpr1.dout_i_reg[112]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_5, Q => Q(112), R => '0' ); \gpr1.dout_i_reg[113]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_108_113_n_4, Q => Q(113), R => '0' ); \gpr1.dout_i_reg[114]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_1, Q => Q(114), R => '0' ); \gpr1.dout_i_reg[115]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_0, Q => Q(115), R => '0' ); \gpr1.dout_i_reg[116]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_3, Q => Q(116), R => '0' ); \gpr1.dout_i_reg[117]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_2, Q => Q(117), R => '0' ); \gpr1.dout_i_reg[118]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_5, Q => Q(118), R => '0' ); \gpr1.dout_i_reg[119]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_114_119_n_4, Q => Q(119), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[120]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_1, Q => Q(120), R => '0' ); \gpr1.dout_i_reg[121]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_0, Q => Q(121), R => '0' ); \gpr1.dout_i_reg[122]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_3, Q => Q(122), R => '0' ); \gpr1.dout_i_reg[123]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_2, Q => Q(123), R => '0' ); \gpr1.dout_i_reg[124]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_5, Q => Q(124), R => '0' ); \gpr1.dout_i_reg[125]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_120_125_n_4, Q => Q(125), R => '0' ); \gpr1.dout_i_reg[126]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_1, Q => Q(126), R => '0' ); \gpr1.dout_i_reg[127]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_0, Q => Q(127), R => '0' ); \gpr1.dout_i_reg[128]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_3, Q => Q(128), R => '0' ); \gpr1.dout_i_reg[129]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_2, Q => Q(129), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[130]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_5, Q => Q(130), R => '0' ); \gpr1.dout_i_reg[131]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_126_131_n_4, Q => Q(131), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_0, Q => Q(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_3, Q => Q(38), R => '0' ); \gpr1.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_2, Q => Q(39), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_5, Q => Q(40), R => '0' ); \gpr1.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_4, Q => Q(41), R => '0' ); \gpr1.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_1, Q => Q(42), R => '0' ); \gpr1.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_0, Q => Q(43), R => '0' ); \gpr1.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_3, Q => Q(44), R => '0' ); \gpr1.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_2, Q => Q(45), R => '0' ); \gpr1.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_5, Q => Q(46), R => '0' ); \gpr1.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_4, Q => Q(47), R => '0' ); \gpr1.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_1, Q => Q(48), R => '0' ); \gpr1.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_0, Q => Q(49), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_3, Q => Q(50), R => '0' ); \gpr1.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_2, Q => Q(51), R => '0' ); \gpr1.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_5, Q => Q(52), R => '0' ); \gpr1.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_4, Q => Q(53), R => '0' ); \gpr1.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_1, Q => Q(54), R => '0' ); \gpr1.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_0, Q => Q(55), R => '0' ); \gpr1.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_3, Q => Q(56), R => '0' ); \gpr1.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_2, Q => Q(57), R => '0' ); \gpr1.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_5, Q => Q(58), R => '0' ); \gpr1.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_4, Q => Q(59), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_1, Q => Q(60), R => '0' ); \gpr1.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_0, Q => Q(61), R => '0' ); \gpr1.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_3, Q => Q(62), R => '0' ); \gpr1.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_2, Q => Q(63), R => '0' ); \gpr1.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_5, Q => Q(64), R => '0' ); \gpr1.dout_i_reg[65]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_65_n_4, Q => Q(65), R => '0' ); \gpr1.dout_i_reg[66]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_1, Q => Q(66), R => '0' ); \gpr1.dout_i_reg[67]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_0, Q => Q(67), R => '0' ); \gpr1.dout_i_reg[68]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_3, Q => Q(68), R => '0' ); \gpr1.dout_i_reg[69]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_2, Q => Q(69), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[70]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_5, Q => Q(70), R => '0' ); \gpr1.dout_i_reg[71]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_66_71_n_4, Q => Q(71), R => '0' ); \gpr1.dout_i_reg[72]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_1, Q => Q(72), R => '0' ); \gpr1.dout_i_reg[73]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_0, Q => Q(73), R => '0' ); \gpr1.dout_i_reg[74]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_3, Q => Q(74), R => '0' ); \gpr1.dout_i_reg[75]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_2, Q => Q(75), R => '0' ); \gpr1.dout_i_reg[76]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_5, Q => Q(76), R => '0' ); \gpr1.dout_i_reg[77]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_72_77_n_4, Q => Q(77), R => '0' ); \gpr1.dout_i_reg[78]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_1, Q => Q(78), R => '0' ); \gpr1.dout_i_reg[79]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_0, Q => Q(79), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[80]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_3, Q => Q(80), R => '0' ); \gpr1.dout_i_reg[81]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_2, Q => Q(81), R => '0' ); \gpr1.dout_i_reg[82]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_5, Q => Q(82), R => '0' ); \gpr1.dout_i_reg[83]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_78_83_n_4, Q => Q(83), R => '0' ); \gpr1.dout_i_reg[84]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_1, Q => Q(84), R => '0' ); \gpr1.dout_i_reg[85]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_0, Q => Q(85), R => '0' ); \gpr1.dout_i_reg[86]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_3, Q => Q(86), R => '0' ); \gpr1.dout_i_reg[87]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_2, Q => Q(87), R => '0' ); \gpr1.dout_i_reg[88]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_5, Q => Q(88), R => '0' ); \gpr1.dout_i_reg[89]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_84_89_n_4, Q => Q(89), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[90]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_1, Q => Q(90), R => '0' ); \gpr1.dout_i_reg[91]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_0, Q => Q(91), R => '0' ); \gpr1.dout_i_reg[92]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_3, Q => Q(92), R => '0' ); \gpr1.dout_i_reg[93]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_2, Q => Q(93), R => '0' ); \gpr1.dout_i_reg[94]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_5, Q => Q(94), R => '0' ); \gpr1.dout_i_reg[95]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_90_95_n_4, Q => Q(95), R => '0' ); \gpr1.dout_i_reg[96]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_1, Q => Q(96), R => '0' ); \gpr1.dout_i_reg[97]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_0, Q => Q(97), R => '0' ); \gpr1.dout_i_reg[98]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_3, Q => Q(98), R => '0' ); \gpr1.dout_i_reg[99]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_96_101_n_2, Q => Q(99), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_bin_cntr : entity is "rd_bin_cntr"; end system_auto_cc_0_rd_bin_cntr; architecture STRUCTURE of system_auto_cc_0_rd_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__6\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__2_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__2\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__2\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__2\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__2\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__2\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__2\ : label is "soft_lutpair27"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__6\(0) ); \gc0.count[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__6\(1) ); \gc0.count[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__6\(2) ); \gc0.count[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__6\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__6\(0), PRE => AR(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__6\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__6\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__6\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__2_n_0\, I1 => \ram_empty_i_i_3__2_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__2_n_0\ ); \ram_empty_i_i_3__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_bin_cntr_20 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_bin_cntr_20 : entity is "rd_bin_cntr"; end system_auto_cc_0_rd_bin_cntr_20; architecture STRUCTURE of system_auto_cc_0_rd_bin_cntr_20 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__0_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__0\ : label is "soft_lutpair21"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__0\(0) ); \gc0.count[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__0\(1) ); \gc0.count[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__0\(2) ); \gc0.count[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__0\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__0\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__0_n_0\, I1 => \ram_empty_i_i_3__0_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__0_n_0\ ); \ram_empty_i_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_bin_cntr_41 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; \gnxpm_cdc.rd_pntr_gc_reg[2]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_bin_cntr_41 : entity is "rd_bin_cntr"; end system_auto_cc_0_rd_bin_cntr_41; architecture STRUCTURE of system_auto_cc_0_rd_bin_cntr_41 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_empty_i_i_2_n_0 : STD_LOGIC; signal ram_empty_i_i_3_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of ram_empty_i_i_2 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of ram_empty_i_i_3 : label is "soft_lutpair15"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => plusOp(0) ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => plusOp(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => plusOp(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(2) ); ram_empty_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => ram_empty_i_i_2_n_0, I1 => ram_empty_i_i_3_n_0, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); ram_empty_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => ram_empty_i_i_2_n_0 ); ram_empty_i_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => ram_empty_i_i_3_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_bin_cntr_62 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_bin_cntr_62 : entity is "rd_bin_cntr"; end system_auto_cc_0_rd_bin_cntr_62; architecture STRUCTURE of system_auto_cc_0_rd_bin_cntr_62 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__8\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__3_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__3\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__3\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__3\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__3\ : label is "soft_lutpair9"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__8\(0) ); \gc0.count[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__8\(1) ); \gc0.count[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__8\(2) ); \gc0.count[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__8\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__8\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__3_n_0\, I1 => \ram_empty_i_i_3__3_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__3\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__3_n_0\ ); \ram_empty_i_i_3__3\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__3_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_bin_cntr_86 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_bin_cntr_86 : entity is "rd_bin_cntr"; end system_auto_cc_0_rd_bin_cntr_86; architecture STRUCTURE of system_auto_cc_0_rd_bin_cntr_86 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__2\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__1_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__1\ : label is "soft_lutpair3"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__2\(0) ); \gc0.count[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__2\(1) ); \gc0.count[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__2\(2) ); \gc0.count[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__2\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__2\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__1_n_0\, I1 => \ram_empty_i_i_3__1_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__1_n_0\ ); \ram_empty_i_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__1_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_fwft is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_fwft : entity is "rd_fwft"; end system_auto_cc_0_rd_fwft; architecture STRUCTURE of system_auto_cc_0_rd_fwft is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \out\(1 downto 0) <= curr_fwft_state(1 downto 0); \aempty_fwft_fb_i_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => AR(0), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => AR(0), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_bready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => AR(0), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_bready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => AR(0), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => AR(0), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \gpregsm1.curr_fwft_state[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => s_axi_bready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => next_fwft_state(0), Q => user_valid ); \ram_empty_i_i_5__2\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); s_axi_bvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_fwft_18 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[144]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_fwft_18 : entity is "rd_fwft"; end system_auto_cc_0_rd_fwft_18; architecture STRUCTURE of system_auto_cc_0_rd_fwft_18 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[144]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => \goreg_dm.dout_i_reg[144]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_wready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_wvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_wvalid ); \ram_empty_i_i_5__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_fwft_39 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[57]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_fwft_39 : entity is "rd_fwft"; end system_auto_cc_0_rd_fwft_39; architecture STRUCTURE of system_auto_cc_0_rd_fwft_39 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin aempty_fwft_fb_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); empty_fwft_fb_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); empty_fwft_fb_o_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[57]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => \goreg_dm.dout_i_reg[57]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_awready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_awvalid ); ram_empty_i_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_fwft_60 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[131]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_fwft_60 : entity is "rd_fwft"; end system_auto_cc_0_rd_fwft_60; architecture STRUCTURE of system_auto_cc_0_rd_fwft_60 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[131]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => \goreg_dm.dout_i_reg[131]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => s_axi_rready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); \ram_empty_i_i_5__3\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); s_axi_rvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_fwft_84 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[57]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_fwft_84 : entity is "rd_fwft"; end system_auto_cc_0_rd_fwft_84; architecture STRUCTURE of system_auto_cc_0_rd_fwft_84 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[57]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => \goreg_dm.dout_i_reg[57]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_arready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_arvalid ); \ram_empty_i_i_5__1\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_status_flags_as is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_status_flags_as : entity is "rd_status_flags_as"; end system_auto_cc_0_rd_status_flags_as; architecture STRUCTURE of system_auto_cc_0_rd_status_flags_as is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => AR(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => AR(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_status_flags_as_19 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_status_flags_as_19 : entity is "rd_status_flags_as"; end system_auto_cc_0_rd_status_flags_as_19; architecture STRUCTURE of system_auto_cc_0_rd_status_flags_as_19 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_status_flags_as_40 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_status_flags_as_40 : entity is "rd_status_flags_as"; end system_auto_cc_0_rd_status_flags_as_40; architecture STRUCTURE of system_auto_cc_0_rd_status_flags_as_40 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_status_flags_as_61 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_status_flags_as_61 : entity is "rd_status_flags_as"; end system_auto_cc_0_rd_status_flags_as_61; architecture STRUCTURE of system_auto_cc_0_rd_status_flags_as_61 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_status_flags_as_85 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_status_flags_as_85 : entity is "rd_status_flags_as"; end system_auto_cc_0_rd_status_flags_as_85; architecture STRUCTURE of system_auto_cc_0_rd_status_flags_as_85 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_1 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_1 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_1; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_1 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_10 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_10 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_10; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_10 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_11 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_11 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_11; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_11 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_12 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_12 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_12; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_12 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_13 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_13 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_13; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_13 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_14 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_14 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_14; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_14 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_15 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_15 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_15; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_15 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_2 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_2 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_2; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_2 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_3 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_3 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_3; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_3 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_31 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_31 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_31; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_31 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_32 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_32 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_32; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_32 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_33 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_33 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_33; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_33 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_34 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_34 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_34; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_34 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_35 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_35 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_35; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_35 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_36 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_36 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_36; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_36 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_4 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_4 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_4; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_4 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_5 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_5 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_5; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_5 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_52 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_52 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_52; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_52 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_53 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_53 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_53; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_53 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_54 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_54 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_54; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_54 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_55 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_55 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_55; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_55 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_56 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_56 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_56; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_56 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_57 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_57 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_57; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_57 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_75 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_75 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_75; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_75 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_76 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_76 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_76; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_76 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_77 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_77 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_77; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_77 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_78 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_78 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_78; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_78 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_79 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_79 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_79; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_79 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_synchronizer_ff_80 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_synchronizer_ff_80 : entity is "synchronizer_ff"; end system_auto_cc_0_synchronizer_ff_80; architecture STRUCTURE of system_auto_cc_0_synchronizer_ff_80 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized0\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized0\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized0\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized0\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized0_21\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized0_21\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized0_21\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized0_21\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized0_42\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized0_42\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized0_42\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized0_42\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized0_63\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized0_63\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized0_63\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized0_63\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized0_87\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized0_87\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized0_87\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized0_87\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized1\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized1\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized1\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized1\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized1_22\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized1_22\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized1_22\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized1_22\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized1_43\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized1_43\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized1_43\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized1_43\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized1_64\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized1_64\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized1_64\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized1_64\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized1_88\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized1_88\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized1_88\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized1_88\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized2\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized2\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized2\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized2\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized2_23\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized2_23\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized2_23\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized2_23\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized2_44\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized2_44\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized2_44\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized2_44\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized2_65\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized2_65\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized2_65\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized2_65\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized2_89\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized2_89\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized2_89\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized2_89\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized3\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized3\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized3\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized3\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized3_24\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized3_24\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized3_24\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized3_24\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized3_45\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized3_45\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized3_45\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized3_45\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized3_66\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized3_66\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized3_66\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized3_66\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized3_90\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized3_90\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized3_90\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized3_90\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized4\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized4\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized4\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized4\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized4_25\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized4_25\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized4_25\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized4_25\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized4_46\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized4_46\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized4_46\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized4_46\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized4_67\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized4_67\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized4_67\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized4_67\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized4_91\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized4_91\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized4_91\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized4_91\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized5\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized5\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized5\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized5\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized5_26\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized5_26\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized5_26\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized5_26\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized5_47\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized5_47\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized5_47\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized5_47\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized5_68\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized5_68\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized5_68\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized5_68\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_synchronizer_ff__parameterized5_92\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_synchronizer_ff__parameterized5_92\ : entity is "synchronizer_ff"; end \system_auto_cc_0_synchronizer_ff__parameterized5_92\; architecture STRUCTURE of \system_auto_cc_0_synchronizer_ff__parameterized5_92\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_bin_cntr : entity is "wr_bin_cntr"; end system_auto_cc_0_wr_bin_cntr; architecture STRUCTURE of system_auto_cc_0_wr_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__1\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair29"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__1\(0) ); \gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__1\(1) ); \gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__1\(2) ); \gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__1\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__1\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_bin_cntr_17 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_bin_cntr_17 : entity is "wr_bin_cntr"; end system_auto_cc_0_wr_bin_cntr_17; architecture STRUCTURE of system_auto_cc_0_wr_bin_cntr_17 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__5\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__2\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__2\ : label is "soft_lutpair23"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__5\(0) ); \gic0.gc0.count[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__5\(1) ); \gic0.gc0.count[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__5\(2) ); \gic0.gc0.count[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__5\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__5\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_bin_cntr_38 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_bin_cntr_38 : entity is "wr_bin_cntr"; end system_auto_cc_0_wr_bin_cntr_38; architecture STRUCTURE of system_auto_cc_0_wr_bin_cntr_38 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__4\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__1\ : label is "soft_lutpair17"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__4\(0) ); \gic0.gc0.count[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__4\(1) ); \gic0.gc0.count[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__4\(2) ); \gic0.gc0.count[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__4\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__4\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_bin_cntr_59 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_bin_cntr_59 : entity is "wr_bin_cntr"; end system_auto_cc_0_wr_bin_cntr_59; architecture STRUCTURE of system_auto_cc_0_wr_bin_cntr_59 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__0\ : label is "soft_lutpair11"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__3\(0) ); \gic0.gc0.count[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__3\(1) ); \gic0.gc0.count[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__3\(2) ); \gic0.gc0.count[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__3\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__3\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_bin_cntr_83 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_bin_cntr_83 : entity is "wr_bin_cntr"; end system_auto_cc_0_wr_bin_cntr_83; architecture STRUCTURE of system_auto_cc_0_wr_bin_cntr_83 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__7\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__3\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__3\ : label is "soft_lutpair5"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__7\(0) ); \gic0.gc0.count[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__7\(1) ); \gic0.gc0.count[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__7\(2) ); \gic0.gc0.count[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__7\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__7\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_status_flags_as is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_status_flags_as : entity is "wr_status_flags_as"; end system_auto_cc_0_wr_status_flags_as; architecture STRUCTURE of system_auto_cc_0_wr_status_flags_as is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => m_axi_bvalid, I1 => ram_full_fb_i, O => E(0) ); m_axi_bready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => m_axi_bready ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); ram_full_i_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => m_axi_bvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_status_flags_as_16 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_status_flags_as_16 : entity is "wr_status_flags_as"; end system_auto_cc_0_wr_status_flags_as_16; architecture STRUCTURE of system_auto_cc_0_wr_status_flags_as_16 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_wvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__2\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_wvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_wready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_wready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_status_flags_as_37 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_status_flags_as_37 : entity is "wr_status_flags_as"; end system_auto_cc_0_wr_status_flags_as_37; architecture STRUCTURE of system_auto_cc_0_wr_status_flags_as_37 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_awvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_awvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_awready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_awready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_status_flags_as_58 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_status_flags_as_58 : entity is "wr_status_flags_as"; end system_auto_cc_0_wr_status_flags_as_58; architecture STRUCTURE of system_auto_cc_0_wr_status_flags_as_58 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => m_axi_rvalid, I1 => ram_full_fb_i, O => E(0) ); m_axi_rready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => m_axi_rready ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => m_axi_rvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_status_flags_as_82 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_status_flags_as_82 : entity is "wr_status_flags_as"; end system_auto_cc_0_wr_status_flags_as_82; architecture STRUCTURE of system_auto_cc_0_wr_status_flags_as_82 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_arvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__3\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_arvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_arready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_arready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_clk_x_pntrs is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i_reg : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_clk_x_pntrs : entity is "clk_x_pntrs"; end system_auto_cc_0_clk_x_pntrs; architecture STRUCTURE of system_auto_cc_0_clk_x_pntrs is signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_empty_i_reg_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal ram_full_i_i_2_n_0 : STD_LOGIC; signal ram_full_i_i_4_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[2]_i_1\ : label is "soft_lutpair25"; begin \out\(3 downto 0) <= \^out\(3 downto 0); ram_empty_i_reg_0(3 downto 0) <= \^ram_empty_i_reg_0\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized0\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized1\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized2\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized3\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized4\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized5\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), m_aclk => m_aclk, \out\(3 downto 0) => p_8_out(3 downto 0) ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^ram_empty_i_reg_0\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^ram_empty_i_reg_0\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^ram_empty_i_reg_0\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^ram_empty_i_reg_0\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^ram_empty_i_reg_0\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^ram_empty_i_reg_0\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^ram_empty_i_reg_0\(0), O => ram_empty_i_reg ); ram_full_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => ram_full_i_i_2_n_0, I1 => ram_full_fb_i_reg_1, I2 => Q(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => ram_full_i_i_4_n_0, O => ram_full_fb_i_reg ); ram_full_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => ram_full_i_i_2_n_0 ); ram_full_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => Q(2), I2 => p_23_out(1), I3 => Q(1), I4 => Q(0), I5 => p_23_out(0), O => ram_full_i_i_4_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_clk_x_pntrs_27 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_clk_x_pntrs_27 : entity is "clk_x_pntrs"; end system_auto_cc_0_clk_x_pntrs_27; architecture STRUCTURE of system_auto_cc_0_clk_x_pntrs_27 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal gray2bin : STD_LOGIC_VECTOR ( 1 to 1 ); signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_0_out : STD_LOGIC; signal p_23_out_1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__1_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__1_n_0\ : STD_LOGIC; signal rd_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 ); signal wr_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[0]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair13"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => gray2bin(1) ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized0_42\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3 downto 0) => wr_pntr_gc(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized1_43\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3 downto 0) => rd_pntr_gc(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized2_44\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized3_45\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized4_46\ port map ( D(0) => p_0_out, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized5_47\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out_1(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out_1(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out_1(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(0), Q => rd_pntr_gc(0) ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(1), Q => rd_pntr_gc(1) ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(2), Q => rd_pntr_gc(2) ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => rd_pntr_gc(3) ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => gray2bin(1), Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_out, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => wr_pntr_gc(0) ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => wr_pntr_gc(1) ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => wr_pntr_gc(2) ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => wr_pntr_gc(3) ); ram_empty_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__1_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__1_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out_1(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out_1(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out_1(0), O => \ram_full_i_i_2__1_n_0\ ); \ram_full_i_i_4__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out_1(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out_1(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out_1(0), O => \ram_full_i_i_4__1_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_clk_x_pntrs_48 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i_reg : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_clk_x_pntrs_48 : entity is "clk_x_pntrs"; end system_auto_cc_0_clk_x_pntrs_48; architecture STRUCTURE of system_auto_cc_0_clk_x_pntrs_48 is signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_empty_i_reg_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__0_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[2]_i_1\ : label is "soft_lutpair7"; begin \out\(3 downto 0) <= \^out\(3 downto 0); ram_empty_i_reg_0(3 downto 0) <= \^ram_empty_i_reg_0\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized0_63\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized1_64\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized2_65\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized3_66\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized4_67\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized5_68\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), m_aclk => m_aclk, \out\(3 downto 0) => p_8_out(3 downto 0) ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^ram_empty_i_reg_0\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^ram_empty_i_reg_0\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^ram_empty_i_reg_0\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^ram_empty_i_reg_0\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^ram_empty_i_reg_0\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^ram_empty_i_reg_0\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^ram_empty_i_reg_0\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__0_n_0\, I1 => ram_full_fb_i_reg_1, I2 => Q(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__0_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__0_n_0\ ); \ram_full_i_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => Q(2), I2 => p_23_out(1), I3 => Q(1), I4 => Q(0), I5 => p_23_out(0), O => \ram_full_i_i_4__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_clk_x_pntrs_6 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_clk_x_pntrs_6 : entity is "clk_x_pntrs"; end system_auto_cc_0_clk_x_pntrs_6; architecture STRUCTURE of system_auto_cc_0_clk_x_pntrs_6 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__2_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[0]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair19"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized0_21\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized1_22\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized2_23\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized3_24\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized4_25\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized5_26\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__2_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__2_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__2_n_0\ ); \ram_full_i_i_4__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out(0), O => \ram_full_i_i_4__2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_clk_x_pntrs_70 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_clk_x_pntrs_70 : entity is "clk_x_pntrs"; end system_auto_cc_0_clk_x_pntrs_70; architecture STRUCTURE of system_auto_cc_0_clk_x_pntrs_70 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__3_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[0]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair1"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized0_87\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized1_88\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized2_89\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized3_90\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized4_91\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\system_auto_cc_0_synchronizer_ff__parameterized5_92\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__3_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__3_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__3_n_0\ ); \ram_full_i_i_4__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out(0), O => \ram_full_i_i_4__3_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_memory is port ( Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); DI : in STD_LOGIC_VECTOR ( 57 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_memory : entity is "memory"; end system_auto_cc_0_memory; architecture STRUCTURE of system_auto_cc_0_memory is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_39\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_40\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_41\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_42\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_43\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_44\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_45\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_46\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_47\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_48\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_49\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_50\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_51\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_52\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_53\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_54\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_55\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_56\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_57\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.system_auto_cc_0_dmem port map ( DI(57 downto 0) => DI(57 downto 0), dout_i(57) => \gdm.dm_gen.dm_n_0\, dout_i(56) => \gdm.dm_gen.dm_n_1\, dout_i(55) => \gdm.dm_gen.dm_n_2\, dout_i(54) => \gdm.dm_gen.dm_n_3\, dout_i(53) => \gdm.dm_gen.dm_n_4\, dout_i(52) => \gdm.dm_gen.dm_n_5\, dout_i(51) => \gdm.dm_gen.dm_n_6\, dout_i(50) => \gdm.dm_gen.dm_n_7\, dout_i(49) => \gdm.dm_gen.dm_n_8\, dout_i(48) => \gdm.dm_gen.dm_n_9\, dout_i(47) => \gdm.dm_gen.dm_n_10\, dout_i(46) => \gdm.dm_gen.dm_n_11\, dout_i(45) => \gdm.dm_gen.dm_n_12\, dout_i(44) => \gdm.dm_gen.dm_n_13\, dout_i(43) => \gdm.dm_gen.dm_n_14\, dout_i(42) => \gdm.dm_gen.dm_n_15\, dout_i(41) => \gdm.dm_gen.dm_n_16\, dout_i(40) => \gdm.dm_gen.dm_n_17\, dout_i(39) => \gdm.dm_gen.dm_n_18\, dout_i(38) => \gdm.dm_gen.dm_n_19\, dout_i(37) => \gdm.dm_gen.dm_n_20\, dout_i(36) => \gdm.dm_gen.dm_n_21\, dout_i(35) => \gdm.dm_gen.dm_n_22\, dout_i(34) => \gdm.dm_gen.dm_n_23\, dout_i(33) => \gdm.dm_gen.dm_n_24\, dout_i(32) => \gdm.dm_gen.dm_n_25\, dout_i(31) => \gdm.dm_gen.dm_n_26\, dout_i(30) => \gdm.dm_gen.dm_n_27\, dout_i(29) => \gdm.dm_gen.dm_n_28\, dout_i(28) => \gdm.dm_gen.dm_n_29\, dout_i(27) => \gdm.dm_gen.dm_n_30\, dout_i(26) => \gdm.dm_gen.dm_n_31\, dout_i(25) => \gdm.dm_gen.dm_n_32\, dout_i(24) => \gdm.dm_gen.dm_n_33\, dout_i(23) => \gdm.dm_gen.dm_n_34\, dout_i(22) => \gdm.dm_gen.dm_n_35\, dout_i(21) => \gdm.dm_gen.dm_n_36\, dout_i(20) => \gdm.dm_gen.dm_n_37\, dout_i(19) => \gdm.dm_gen.dm_n_38\, dout_i(18) => \gdm.dm_gen.dm_n_39\, dout_i(17) => \gdm.dm_gen.dm_n_40\, dout_i(16) => \gdm.dm_gen.dm_n_41\, dout_i(15) => \gdm.dm_gen.dm_n_42\, dout_i(14) => \gdm.dm_gen.dm_n_43\, dout_i(13) => \gdm.dm_gen.dm_n_44\, dout_i(12) => \gdm.dm_gen.dm_n_45\, dout_i(11) => \gdm.dm_gen.dm_n_46\, dout_i(10) => \gdm.dm_gen.dm_n_47\, dout_i(9) => \gdm.dm_gen.dm_n_48\, dout_i(8) => \gdm.dm_gen.dm_n_49\, dout_i(7) => \gdm.dm_gen.dm_n_50\, dout_i(6) => \gdm.dm_gen.dm_n_51\, dout_i(5) => \gdm.dm_gen.dm_n_52\, dout_i(4) => \gdm.dm_gen.dm_n_53\, dout_i(3) => \gdm.dm_gen.dm_n_54\, dout_i(2) => \gdm.dm_gen.dm_n_55\, dout_i(1) => \gdm.dm_gen.dm_n_56\, dout_i(0) => \gdm.dm_gen.dm_n_57\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0), s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_57\, Q => Q(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_47\, Q => Q(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_46\, Q => Q(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_45\, Q => Q(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_44\, Q => Q(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_43\, Q => Q(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_42\, Q => Q(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_41\, Q => Q(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_40\, Q => Q(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_39\, Q => Q(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_38\, Q => Q(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_56\, Q => Q(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_37\, Q => Q(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_36\, Q => Q(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_35\, Q => Q(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_34\, Q => Q(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_33\, Q => Q(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_32\, Q => Q(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_31\, Q => Q(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_30\, Q => Q(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_29\, Q => Q(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_28\, Q => Q(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_55\, Q => Q(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_27\, Q => Q(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_26\, Q => Q(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_25\, Q => Q(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_24\, Q => Q(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_23\, Q => Q(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_22\, Q => Q(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_21\, Q => Q(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_20\, Q => Q(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_19\, Q => Q(38), R => '0' ); \goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_18\, Q => Q(39), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_54\, Q => Q(3), R => '0' ); \goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_17\, Q => Q(40), R => '0' ); \goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_16\, Q => Q(41), R => '0' ); \goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_15\, Q => Q(42), R => '0' ); \goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_14\, Q => Q(43), R => '0' ); \goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_13\, Q => Q(44), R => '0' ); \goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_12\, Q => Q(45), R => '0' ); \goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_11\, Q => Q(46), R => '0' ); \goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_10\, Q => Q(47), R => '0' ); \goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_9\, Q => Q(48), R => '0' ); \goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_8\, Q => Q(49), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_53\, Q => Q(4), R => '0' ); \goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_7\, Q => Q(50), R => '0' ); \goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_6\, Q => Q(51), R => '0' ); \goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_5\, Q => Q(52), R => '0' ); \goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_4\, Q => Q(53), R => '0' ); \goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_3\, Q => Q(54), R => '0' ); \goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_2\, Q => Q(55), R => '0' ); \goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_1\, Q => Q(56), R => '0' ); \goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_0\, Q => Q(57), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_52\, Q => Q(5), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_51\, Q => Q(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_50\, Q => Q(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_49\, Q => Q(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_48\, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_memory_73 is port ( \m_axi_arid[0]\ : out STD_LOGIC_VECTOR ( 57 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I123 : in STD_LOGIC_VECTOR ( 57 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_memory_73 : entity is "memory"; end system_auto_cc_0_memory_73; architecture STRUCTURE of system_auto_cc_0_memory_73 is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_39\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_40\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_41\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_42\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_43\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_44\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_45\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_46\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_47\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_48\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_49\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_50\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_51\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_52\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_53\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_54\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_55\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_56\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_57\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.system_auto_cc_0_dmem_81 port map ( E(0) => E(0), I123(57 downto 0) => I123(57 downto 0), Q(57) => \gdm.dm_gen.dm_n_0\, Q(56) => \gdm.dm_gen.dm_n_1\, Q(55) => \gdm.dm_gen.dm_n_2\, Q(54) => \gdm.dm_gen.dm_n_3\, Q(53) => \gdm.dm_gen.dm_n_4\, Q(52) => \gdm.dm_gen.dm_n_5\, Q(51) => \gdm.dm_gen.dm_n_6\, Q(50) => \gdm.dm_gen.dm_n_7\, Q(49) => \gdm.dm_gen.dm_n_8\, Q(48) => \gdm.dm_gen.dm_n_9\, Q(47) => \gdm.dm_gen.dm_n_10\, Q(46) => \gdm.dm_gen.dm_n_11\, Q(45) => \gdm.dm_gen.dm_n_12\, Q(44) => \gdm.dm_gen.dm_n_13\, Q(43) => \gdm.dm_gen.dm_n_14\, Q(42) => \gdm.dm_gen.dm_n_15\, Q(41) => \gdm.dm_gen.dm_n_16\, Q(40) => \gdm.dm_gen.dm_n_17\, Q(39) => \gdm.dm_gen.dm_n_18\, Q(38) => \gdm.dm_gen.dm_n_19\, Q(37) => \gdm.dm_gen.dm_n_20\, Q(36) => \gdm.dm_gen.dm_n_21\, Q(35) => \gdm.dm_gen.dm_n_22\, Q(34) => \gdm.dm_gen.dm_n_23\, Q(33) => \gdm.dm_gen.dm_n_24\, Q(32) => \gdm.dm_gen.dm_n_25\, Q(31) => \gdm.dm_gen.dm_n_26\, Q(30) => \gdm.dm_gen.dm_n_27\, Q(29) => \gdm.dm_gen.dm_n_28\, Q(28) => \gdm.dm_gen.dm_n_29\, Q(27) => \gdm.dm_gen.dm_n_30\, Q(26) => \gdm.dm_gen.dm_n_31\, Q(25) => \gdm.dm_gen.dm_n_32\, Q(24) => \gdm.dm_gen.dm_n_33\, Q(23) => \gdm.dm_gen.dm_n_34\, Q(22) => \gdm.dm_gen.dm_n_35\, Q(21) => \gdm.dm_gen.dm_n_36\, Q(20) => \gdm.dm_gen.dm_n_37\, Q(19) => \gdm.dm_gen.dm_n_38\, Q(18) => \gdm.dm_gen.dm_n_39\, Q(17) => \gdm.dm_gen.dm_n_40\, Q(16) => \gdm.dm_gen.dm_n_41\, Q(15) => \gdm.dm_gen.dm_n_42\, Q(14) => \gdm.dm_gen.dm_n_43\, Q(13) => \gdm.dm_gen.dm_n_44\, Q(12) => \gdm.dm_gen.dm_n_45\, Q(11) => \gdm.dm_gen.dm_n_46\, Q(10) => \gdm.dm_gen.dm_n_47\, Q(9) => \gdm.dm_gen.dm_n_48\, Q(8) => \gdm.dm_gen.dm_n_49\, Q(7) => \gdm.dm_gen.dm_n_50\, Q(6) => \gdm.dm_gen.dm_n_51\, Q(5) => \gdm.dm_gen.dm_n_52\, Q(4) => \gdm.dm_gen.dm_n_53\, Q(3) => \gdm.dm_gen.dm_n_54\, Q(2) => \gdm.dm_gen.dm_n_55\, Q(1) => \gdm.dm_gen.dm_n_56\, Q(0) => \gdm.dm_gen.dm_n_57\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_57\, Q => \m_axi_arid[0]\(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_47\, Q => \m_axi_arid[0]\(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_46\, Q => \m_axi_arid[0]\(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_45\, Q => \m_axi_arid[0]\(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_44\, Q => \m_axi_arid[0]\(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_43\, Q => \m_axi_arid[0]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_42\, Q => \m_axi_arid[0]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_41\, Q => \m_axi_arid[0]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_40\, Q => \m_axi_arid[0]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_39\, Q => \m_axi_arid[0]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_38\, Q => \m_axi_arid[0]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_56\, Q => \m_axi_arid[0]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_37\, Q => \m_axi_arid[0]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \m_axi_arid[0]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \m_axi_arid[0]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \m_axi_arid[0]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \m_axi_arid[0]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \m_axi_arid[0]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \m_axi_arid[0]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \m_axi_arid[0]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \m_axi_arid[0]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \m_axi_arid[0]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_55\, Q => \m_axi_arid[0]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \m_axi_arid[0]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \m_axi_arid[0]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \m_axi_arid[0]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \m_axi_arid[0]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \m_axi_arid[0]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \m_axi_arid[0]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \m_axi_arid[0]\(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \m_axi_arid[0]\(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \m_axi_arid[0]\(38), R => '0' ); \goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \m_axi_arid[0]\(39), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_54\, Q => \m_axi_arid[0]\(3), R => '0' ); \goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \m_axi_arid[0]\(40), R => '0' ); \goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \m_axi_arid[0]\(41), R => '0' ); \goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \m_axi_arid[0]\(42), R => '0' ); \goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \m_axi_arid[0]\(43), R => '0' ); \goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \m_axi_arid[0]\(44), R => '0' ); \goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \m_axi_arid[0]\(45), R => '0' ); \goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \m_axi_arid[0]\(46), R => '0' ); \goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \m_axi_arid[0]\(47), R => '0' ); \goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \m_axi_arid[0]\(48), R => '0' ); \goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \m_axi_arid[0]\(49), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_53\, Q => \m_axi_arid[0]\(4), R => '0' ); \goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \m_axi_arid[0]\(50), R => '0' ); \goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \m_axi_arid[0]\(51), R => '0' ); \goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \m_axi_arid[0]\(52), R => '0' ); \goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \m_axi_arid[0]\(53), R => '0' ); \goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \m_axi_arid[0]\(54), R => '0' ); \goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \m_axi_arid[0]\(55), R => '0' ); \goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \m_axi_arid[0]\(56), R => '0' ); \goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \m_axi_arid[0]\(57), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_52\, Q => \m_axi_arid[0]\(5), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_51\, Q => \m_axi_arid[0]\(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_50\, Q => \m_axi_arid[0]\(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_49\, Q => \m_axi_arid[0]\(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_48\, Q => \m_axi_arid[0]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_memory__parameterized0\ is port ( \m_axi_wdata[127]\ : out STD_LOGIC_VECTOR ( 144 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I115 : in STD_LOGIC_VECTOR ( 144 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_memory__parameterized0\ : entity is "memory"; end \system_auto_cc_0_memory__parameterized0\; architecture STRUCTURE of \system_auto_cc_0_memory__parameterized0\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_100\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_101\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_102\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_103\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_104\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_105\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_106\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_107\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_108\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_109\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_110\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_111\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_112\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_113\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_114\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_115\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_116\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_117\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_118\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_119\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_120\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_121\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_122\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_123\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_124\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_125\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_126\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_127\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_128\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_129\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_130\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_131\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_132\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_133\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_134\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_135\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_136\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_137\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_138\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_139\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_140\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_141\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_142\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_143\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_144\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_39\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_40\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_41\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_42\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_43\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_44\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_45\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_46\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_47\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_48\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_49\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_50\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_51\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_52\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_53\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_54\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_55\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_56\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_57\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_58\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_59\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_60\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_61\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_62\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_63\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_64\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_65\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_66\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_67\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_68\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_69\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_70\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_71\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_72\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_73\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_74\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_75\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_76\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_77\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_78\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_79\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_80\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_81\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_82\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_83\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_84\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_85\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_86\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_87\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_88\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_89\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_90\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_91\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_92\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_93\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_94\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_95\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_96\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_97\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_98\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_99\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.\system_auto_cc_0_dmem__parameterized0\ port map ( E(0) => E(0), I115(144 downto 0) => I115(144 downto 0), Q(144) => \gdm.dm_gen.dm_n_0\, Q(143) => \gdm.dm_gen.dm_n_1\, Q(142) => \gdm.dm_gen.dm_n_2\, Q(141) => \gdm.dm_gen.dm_n_3\, Q(140) => \gdm.dm_gen.dm_n_4\, Q(139) => \gdm.dm_gen.dm_n_5\, Q(138) => \gdm.dm_gen.dm_n_6\, Q(137) => \gdm.dm_gen.dm_n_7\, Q(136) => \gdm.dm_gen.dm_n_8\, Q(135) => \gdm.dm_gen.dm_n_9\, Q(134) => \gdm.dm_gen.dm_n_10\, Q(133) => \gdm.dm_gen.dm_n_11\, Q(132) => \gdm.dm_gen.dm_n_12\, Q(131) => \gdm.dm_gen.dm_n_13\, Q(130) => \gdm.dm_gen.dm_n_14\, Q(129) => \gdm.dm_gen.dm_n_15\, Q(128) => \gdm.dm_gen.dm_n_16\, Q(127) => \gdm.dm_gen.dm_n_17\, Q(126) => \gdm.dm_gen.dm_n_18\, Q(125) => \gdm.dm_gen.dm_n_19\, Q(124) => \gdm.dm_gen.dm_n_20\, Q(123) => \gdm.dm_gen.dm_n_21\, Q(122) => \gdm.dm_gen.dm_n_22\, Q(121) => \gdm.dm_gen.dm_n_23\, Q(120) => \gdm.dm_gen.dm_n_24\, Q(119) => \gdm.dm_gen.dm_n_25\, Q(118) => \gdm.dm_gen.dm_n_26\, Q(117) => \gdm.dm_gen.dm_n_27\, Q(116) => \gdm.dm_gen.dm_n_28\, Q(115) => \gdm.dm_gen.dm_n_29\, Q(114) => \gdm.dm_gen.dm_n_30\, Q(113) => \gdm.dm_gen.dm_n_31\, Q(112) => \gdm.dm_gen.dm_n_32\, Q(111) => \gdm.dm_gen.dm_n_33\, Q(110) => \gdm.dm_gen.dm_n_34\, Q(109) => \gdm.dm_gen.dm_n_35\, Q(108) => \gdm.dm_gen.dm_n_36\, Q(107) => \gdm.dm_gen.dm_n_37\, Q(106) => \gdm.dm_gen.dm_n_38\, Q(105) => \gdm.dm_gen.dm_n_39\, Q(104) => \gdm.dm_gen.dm_n_40\, Q(103) => \gdm.dm_gen.dm_n_41\, Q(102) => \gdm.dm_gen.dm_n_42\, Q(101) => \gdm.dm_gen.dm_n_43\, Q(100) => \gdm.dm_gen.dm_n_44\, Q(99) => \gdm.dm_gen.dm_n_45\, Q(98) => \gdm.dm_gen.dm_n_46\, Q(97) => \gdm.dm_gen.dm_n_47\, Q(96) => \gdm.dm_gen.dm_n_48\, Q(95) => \gdm.dm_gen.dm_n_49\, Q(94) => \gdm.dm_gen.dm_n_50\, Q(93) => \gdm.dm_gen.dm_n_51\, Q(92) => \gdm.dm_gen.dm_n_52\, Q(91) => \gdm.dm_gen.dm_n_53\, Q(90) => \gdm.dm_gen.dm_n_54\, Q(89) => \gdm.dm_gen.dm_n_55\, Q(88) => \gdm.dm_gen.dm_n_56\, Q(87) => \gdm.dm_gen.dm_n_57\, Q(86) => \gdm.dm_gen.dm_n_58\, Q(85) => \gdm.dm_gen.dm_n_59\, Q(84) => \gdm.dm_gen.dm_n_60\, Q(83) => \gdm.dm_gen.dm_n_61\, Q(82) => \gdm.dm_gen.dm_n_62\, Q(81) => \gdm.dm_gen.dm_n_63\, Q(80) => \gdm.dm_gen.dm_n_64\, Q(79) => \gdm.dm_gen.dm_n_65\, Q(78) => \gdm.dm_gen.dm_n_66\, Q(77) => \gdm.dm_gen.dm_n_67\, Q(76) => \gdm.dm_gen.dm_n_68\, Q(75) => \gdm.dm_gen.dm_n_69\, Q(74) => \gdm.dm_gen.dm_n_70\, Q(73) => \gdm.dm_gen.dm_n_71\, Q(72) => \gdm.dm_gen.dm_n_72\, Q(71) => \gdm.dm_gen.dm_n_73\, Q(70) => \gdm.dm_gen.dm_n_74\, Q(69) => \gdm.dm_gen.dm_n_75\, Q(68) => \gdm.dm_gen.dm_n_76\, Q(67) => \gdm.dm_gen.dm_n_77\, Q(66) => \gdm.dm_gen.dm_n_78\, Q(65) => \gdm.dm_gen.dm_n_79\, Q(64) => \gdm.dm_gen.dm_n_80\, Q(63) => \gdm.dm_gen.dm_n_81\, Q(62) => \gdm.dm_gen.dm_n_82\, Q(61) => \gdm.dm_gen.dm_n_83\, Q(60) => \gdm.dm_gen.dm_n_84\, Q(59) => \gdm.dm_gen.dm_n_85\, Q(58) => \gdm.dm_gen.dm_n_86\, Q(57) => \gdm.dm_gen.dm_n_87\, Q(56) => \gdm.dm_gen.dm_n_88\, Q(55) => \gdm.dm_gen.dm_n_89\, Q(54) => \gdm.dm_gen.dm_n_90\, Q(53) => \gdm.dm_gen.dm_n_91\, Q(52) => \gdm.dm_gen.dm_n_92\, Q(51) => \gdm.dm_gen.dm_n_93\, Q(50) => \gdm.dm_gen.dm_n_94\, Q(49) => \gdm.dm_gen.dm_n_95\, Q(48) => \gdm.dm_gen.dm_n_96\, Q(47) => \gdm.dm_gen.dm_n_97\, Q(46) => \gdm.dm_gen.dm_n_98\, Q(45) => \gdm.dm_gen.dm_n_99\, Q(44) => \gdm.dm_gen.dm_n_100\, Q(43) => \gdm.dm_gen.dm_n_101\, Q(42) => \gdm.dm_gen.dm_n_102\, Q(41) => \gdm.dm_gen.dm_n_103\, Q(40) => \gdm.dm_gen.dm_n_104\, Q(39) => \gdm.dm_gen.dm_n_105\, Q(38) => \gdm.dm_gen.dm_n_106\, Q(37) => \gdm.dm_gen.dm_n_107\, Q(36) => \gdm.dm_gen.dm_n_108\, Q(35) => \gdm.dm_gen.dm_n_109\, Q(34) => \gdm.dm_gen.dm_n_110\, Q(33) => \gdm.dm_gen.dm_n_111\, Q(32) => \gdm.dm_gen.dm_n_112\, Q(31) => \gdm.dm_gen.dm_n_113\, Q(30) => \gdm.dm_gen.dm_n_114\, Q(29) => \gdm.dm_gen.dm_n_115\, Q(28) => \gdm.dm_gen.dm_n_116\, Q(27) => \gdm.dm_gen.dm_n_117\, Q(26) => \gdm.dm_gen.dm_n_118\, Q(25) => \gdm.dm_gen.dm_n_119\, Q(24) => \gdm.dm_gen.dm_n_120\, Q(23) => \gdm.dm_gen.dm_n_121\, Q(22) => \gdm.dm_gen.dm_n_122\, Q(21) => \gdm.dm_gen.dm_n_123\, Q(20) => \gdm.dm_gen.dm_n_124\, Q(19) => \gdm.dm_gen.dm_n_125\, Q(18) => \gdm.dm_gen.dm_n_126\, Q(17) => \gdm.dm_gen.dm_n_127\, Q(16) => \gdm.dm_gen.dm_n_128\, Q(15) => \gdm.dm_gen.dm_n_129\, Q(14) => \gdm.dm_gen.dm_n_130\, Q(13) => \gdm.dm_gen.dm_n_131\, Q(12) => \gdm.dm_gen.dm_n_132\, Q(11) => \gdm.dm_gen.dm_n_133\, Q(10) => \gdm.dm_gen.dm_n_134\, Q(9) => \gdm.dm_gen.dm_n_135\, Q(8) => \gdm.dm_gen.dm_n_136\, Q(7) => \gdm.dm_gen.dm_n_137\, Q(6) => \gdm.dm_gen.dm_n_138\, Q(5) => \gdm.dm_gen.dm_n_139\, Q(4) => \gdm.dm_gen.dm_n_140\, Q(3) => \gdm.dm_gen.dm_n_141\, Q(2) => \gdm.dm_gen.dm_n_142\, Q(1) => \gdm.dm_gen.dm_n_143\, Q(0) => \gdm.dm_gen.dm_n_144\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_144\, Q => \m_axi_wdata[127]\(0), R => '0' ); \goreg_dm.dout_i_reg[100]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_44\, Q => \m_axi_wdata[127]\(100), R => '0' ); \goreg_dm.dout_i_reg[101]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_43\, Q => \m_axi_wdata[127]\(101), R => '0' ); \goreg_dm.dout_i_reg[102]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_42\, Q => \m_axi_wdata[127]\(102), R => '0' ); \goreg_dm.dout_i_reg[103]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_41\, Q => \m_axi_wdata[127]\(103), R => '0' ); \goreg_dm.dout_i_reg[104]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_40\, Q => \m_axi_wdata[127]\(104), R => '0' ); \goreg_dm.dout_i_reg[105]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_39\, Q => \m_axi_wdata[127]\(105), R => '0' ); \goreg_dm.dout_i_reg[106]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_38\, Q => \m_axi_wdata[127]\(106), R => '0' ); \goreg_dm.dout_i_reg[107]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_37\, Q => \m_axi_wdata[127]\(107), R => '0' ); \goreg_dm.dout_i_reg[108]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \m_axi_wdata[127]\(108), R => '0' ); \goreg_dm.dout_i_reg[109]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \m_axi_wdata[127]\(109), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_134\, Q => \m_axi_wdata[127]\(10), R => '0' ); \goreg_dm.dout_i_reg[110]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \m_axi_wdata[127]\(110), R => '0' ); \goreg_dm.dout_i_reg[111]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \m_axi_wdata[127]\(111), R => '0' ); \goreg_dm.dout_i_reg[112]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \m_axi_wdata[127]\(112), R => '0' ); \goreg_dm.dout_i_reg[113]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \m_axi_wdata[127]\(113), R => '0' ); \goreg_dm.dout_i_reg[114]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \m_axi_wdata[127]\(114), R => '0' ); \goreg_dm.dout_i_reg[115]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \m_axi_wdata[127]\(115), R => '0' ); \goreg_dm.dout_i_reg[116]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \m_axi_wdata[127]\(116), R => '0' ); \goreg_dm.dout_i_reg[117]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \m_axi_wdata[127]\(117), R => '0' ); \goreg_dm.dout_i_reg[118]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \m_axi_wdata[127]\(118), R => '0' ); \goreg_dm.dout_i_reg[119]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \m_axi_wdata[127]\(119), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_133\, Q => \m_axi_wdata[127]\(11), R => '0' ); \goreg_dm.dout_i_reg[120]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \m_axi_wdata[127]\(120), R => '0' ); \goreg_dm.dout_i_reg[121]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \m_axi_wdata[127]\(121), R => '0' ); \goreg_dm.dout_i_reg[122]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \m_axi_wdata[127]\(122), R => '0' ); \goreg_dm.dout_i_reg[123]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \m_axi_wdata[127]\(123), R => '0' ); \goreg_dm.dout_i_reg[124]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \m_axi_wdata[127]\(124), R => '0' ); \goreg_dm.dout_i_reg[125]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \m_axi_wdata[127]\(125), R => '0' ); \goreg_dm.dout_i_reg[126]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \m_axi_wdata[127]\(126), R => '0' ); \goreg_dm.dout_i_reg[127]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \m_axi_wdata[127]\(127), R => '0' ); \goreg_dm.dout_i_reg[128]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \m_axi_wdata[127]\(128), R => '0' ); \goreg_dm.dout_i_reg[129]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \m_axi_wdata[127]\(129), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_132\, Q => \m_axi_wdata[127]\(12), R => '0' ); \goreg_dm.dout_i_reg[130]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \m_axi_wdata[127]\(130), R => '0' ); \goreg_dm.dout_i_reg[131]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \m_axi_wdata[127]\(131), R => '0' ); \goreg_dm.dout_i_reg[132]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \m_axi_wdata[127]\(132), R => '0' ); \goreg_dm.dout_i_reg[133]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \m_axi_wdata[127]\(133), R => '0' ); \goreg_dm.dout_i_reg[134]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \m_axi_wdata[127]\(134), R => '0' ); \goreg_dm.dout_i_reg[135]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \m_axi_wdata[127]\(135), R => '0' ); \goreg_dm.dout_i_reg[136]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \m_axi_wdata[127]\(136), R => '0' ); \goreg_dm.dout_i_reg[137]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \m_axi_wdata[127]\(137), R => '0' ); \goreg_dm.dout_i_reg[138]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \m_axi_wdata[127]\(138), R => '0' ); \goreg_dm.dout_i_reg[139]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \m_axi_wdata[127]\(139), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_131\, Q => \m_axi_wdata[127]\(13), R => '0' ); \goreg_dm.dout_i_reg[140]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \m_axi_wdata[127]\(140), R => '0' ); \goreg_dm.dout_i_reg[141]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \m_axi_wdata[127]\(141), R => '0' ); \goreg_dm.dout_i_reg[142]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \m_axi_wdata[127]\(142), R => '0' ); \goreg_dm.dout_i_reg[143]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \m_axi_wdata[127]\(143), R => '0' ); \goreg_dm.dout_i_reg[144]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \m_axi_wdata[127]\(144), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_130\, Q => \m_axi_wdata[127]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_129\, Q => \m_axi_wdata[127]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_128\, Q => \m_axi_wdata[127]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_127\, Q => \m_axi_wdata[127]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_126\, Q => \m_axi_wdata[127]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_125\, Q => \m_axi_wdata[127]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_143\, Q => \m_axi_wdata[127]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_124\, Q => \m_axi_wdata[127]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_123\, Q => \m_axi_wdata[127]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_122\, Q => \m_axi_wdata[127]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_121\, Q => \m_axi_wdata[127]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_120\, Q => \m_axi_wdata[127]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_119\, Q => \m_axi_wdata[127]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_118\, Q => \m_axi_wdata[127]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_117\, Q => \m_axi_wdata[127]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_116\, Q => \m_axi_wdata[127]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_115\, Q => \m_axi_wdata[127]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_142\, Q => \m_axi_wdata[127]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_114\, Q => \m_axi_wdata[127]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_113\, Q => \m_axi_wdata[127]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_112\, Q => \m_axi_wdata[127]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_111\, Q => \m_axi_wdata[127]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_110\, Q => \m_axi_wdata[127]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_109\, Q => \m_axi_wdata[127]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_108\, Q => \m_axi_wdata[127]\(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_107\, Q => \m_axi_wdata[127]\(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_106\, Q => \m_axi_wdata[127]\(38), R => '0' ); \goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_105\, Q => \m_axi_wdata[127]\(39), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_141\, Q => \m_axi_wdata[127]\(3), R => '0' ); \goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_104\, Q => \m_axi_wdata[127]\(40), R => '0' ); \goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_103\, Q => \m_axi_wdata[127]\(41), R => '0' ); \goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_102\, Q => \m_axi_wdata[127]\(42), R => '0' ); \goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_101\, Q => \m_axi_wdata[127]\(43), R => '0' ); \goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_100\, Q => \m_axi_wdata[127]\(44), R => '0' ); \goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_99\, Q => \m_axi_wdata[127]\(45), R => '0' ); \goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_98\, Q => \m_axi_wdata[127]\(46), R => '0' ); \goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_97\, Q => \m_axi_wdata[127]\(47), R => '0' ); \goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_96\, Q => \m_axi_wdata[127]\(48), R => '0' ); \goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_95\, Q => \m_axi_wdata[127]\(49), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_140\, Q => \m_axi_wdata[127]\(4), R => '0' ); \goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_94\, Q => \m_axi_wdata[127]\(50), R => '0' ); \goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_93\, Q => \m_axi_wdata[127]\(51), R => '0' ); \goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_92\, Q => \m_axi_wdata[127]\(52), R => '0' ); \goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_91\, Q => \m_axi_wdata[127]\(53), R => '0' ); \goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_90\, Q => \m_axi_wdata[127]\(54), R => '0' ); \goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_89\, Q => \m_axi_wdata[127]\(55), R => '0' ); \goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_88\, Q => \m_axi_wdata[127]\(56), R => '0' ); \goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_87\, Q => \m_axi_wdata[127]\(57), R => '0' ); \goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_86\, Q => \m_axi_wdata[127]\(58), R => '0' ); \goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_85\, Q => \m_axi_wdata[127]\(59), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_139\, Q => \m_axi_wdata[127]\(5), R => '0' ); \goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_84\, Q => \m_axi_wdata[127]\(60), R => '0' ); \goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_83\, Q => \m_axi_wdata[127]\(61), R => '0' ); \goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_82\, Q => \m_axi_wdata[127]\(62), R => '0' ); \goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_81\, Q => \m_axi_wdata[127]\(63), R => '0' ); \goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_80\, Q => \m_axi_wdata[127]\(64), R => '0' ); \goreg_dm.dout_i_reg[65]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_79\, Q => \m_axi_wdata[127]\(65), R => '0' ); \goreg_dm.dout_i_reg[66]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_78\, Q => \m_axi_wdata[127]\(66), R => '0' ); \goreg_dm.dout_i_reg[67]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_77\, Q => \m_axi_wdata[127]\(67), R => '0' ); \goreg_dm.dout_i_reg[68]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_76\, Q => \m_axi_wdata[127]\(68), R => '0' ); \goreg_dm.dout_i_reg[69]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_75\, Q => \m_axi_wdata[127]\(69), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_138\, Q => \m_axi_wdata[127]\(6), R => '0' ); \goreg_dm.dout_i_reg[70]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_74\, Q => \m_axi_wdata[127]\(70), R => '0' ); \goreg_dm.dout_i_reg[71]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_73\, Q => \m_axi_wdata[127]\(71), R => '0' ); \goreg_dm.dout_i_reg[72]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_72\, Q => \m_axi_wdata[127]\(72), R => '0' ); \goreg_dm.dout_i_reg[73]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_71\, Q => \m_axi_wdata[127]\(73), R => '0' ); \goreg_dm.dout_i_reg[74]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_70\, Q => \m_axi_wdata[127]\(74), R => '0' ); \goreg_dm.dout_i_reg[75]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_69\, Q => \m_axi_wdata[127]\(75), R => '0' ); \goreg_dm.dout_i_reg[76]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_68\, Q => \m_axi_wdata[127]\(76), R => '0' ); \goreg_dm.dout_i_reg[77]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_67\, Q => \m_axi_wdata[127]\(77), R => '0' ); \goreg_dm.dout_i_reg[78]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_66\, Q => \m_axi_wdata[127]\(78), R => '0' ); \goreg_dm.dout_i_reg[79]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_65\, Q => \m_axi_wdata[127]\(79), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_137\, Q => \m_axi_wdata[127]\(7), R => '0' ); \goreg_dm.dout_i_reg[80]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_64\, Q => \m_axi_wdata[127]\(80), R => '0' ); \goreg_dm.dout_i_reg[81]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_63\, Q => \m_axi_wdata[127]\(81), R => '0' ); \goreg_dm.dout_i_reg[82]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_62\, Q => \m_axi_wdata[127]\(82), R => '0' ); \goreg_dm.dout_i_reg[83]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_61\, Q => \m_axi_wdata[127]\(83), R => '0' ); \goreg_dm.dout_i_reg[84]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_60\, Q => \m_axi_wdata[127]\(84), R => '0' ); \goreg_dm.dout_i_reg[85]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_59\, Q => \m_axi_wdata[127]\(85), R => '0' ); \goreg_dm.dout_i_reg[86]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_58\, Q => \m_axi_wdata[127]\(86), R => '0' ); \goreg_dm.dout_i_reg[87]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_57\, Q => \m_axi_wdata[127]\(87), R => '0' ); \goreg_dm.dout_i_reg[88]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_56\, Q => \m_axi_wdata[127]\(88), R => '0' ); \goreg_dm.dout_i_reg[89]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_55\, Q => \m_axi_wdata[127]\(89), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_136\, Q => \m_axi_wdata[127]\(8), R => '0' ); \goreg_dm.dout_i_reg[90]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_54\, Q => \m_axi_wdata[127]\(90), R => '0' ); \goreg_dm.dout_i_reg[91]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_53\, Q => \m_axi_wdata[127]\(91), R => '0' ); \goreg_dm.dout_i_reg[92]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_52\, Q => \m_axi_wdata[127]\(92), R => '0' ); \goreg_dm.dout_i_reg[93]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_51\, Q => \m_axi_wdata[127]\(93), R => '0' ); \goreg_dm.dout_i_reg[94]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_50\, Q => \m_axi_wdata[127]\(94), R => '0' ); \goreg_dm.dout_i_reg[95]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_49\, Q => \m_axi_wdata[127]\(95), R => '0' ); \goreg_dm.dout_i_reg[96]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_48\, Q => \m_axi_wdata[127]\(96), R => '0' ); \goreg_dm.dout_i_reg[97]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_47\, Q => \m_axi_wdata[127]\(97), R => '0' ); \goreg_dm.dout_i_reg[98]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_46\, Q => \m_axi_wdata[127]\(98), R => '0' ); \goreg_dm.dout_i_reg[99]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_45\, Q => \m_axi_wdata[127]\(99), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_135\, Q => \m_axi_wdata[127]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_memory__parameterized1\ is port ( s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gpregsm1.curr_fwft_state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_memory__parameterized1\ : entity is "memory"; end \system_auto_cc_0_memory__parameterized1\; architecture STRUCTURE of \system_auto_cc_0_memory__parameterized1\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \goreg_dm.dout_i[0]_i_1_n_0\ : STD_LOGIC; signal \goreg_dm.dout_i[1]_i_1_n_0\ : STD_LOGIC; signal \goreg_dm.dout_i[2]_i_1_n_0\ : STD_LOGIC; signal \^s_axi_bid\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); begin s_axi_bid(0) <= \^s_axi_bid\(0); s_axi_bresp(1 downto 0) <= \^s_axi_bresp\(1 downto 0); \gdm.dm_gen.dm\: entity work.\system_auto_cc_0_dmem__parameterized1\ port map ( E(0) => E(0), Q(2) => \gdm.dm_gen.dm_n_0\, Q(1) => \gdm.dm_gen.dm_n_1\, Q(0) => \gdm.dm_gen.dm_n_2\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, m_axi_bid(0) => m_axi_bid(0), m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), s_aclk => s_aclk ); \goreg_dm.dout_i[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"EFEFFFEF20200020" ) port map ( I0 => \gdm.dm_gen.dm_n_2\, I1 => \out\(0), I2 => \gpregsm1.curr_fwft_state_reg[1]_0\(1), I3 => \gpregsm1.curr_fwft_state_reg[1]_0\(0), I4 => s_axi_bready, I5 => \^s_axi_bresp\(0), O => \goreg_dm.dout_i[0]_i_1_n_0\ ); \goreg_dm.dout_i[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"EFEFFFEF20200020" ) port map ( I0 => \gdm.dm_gen.dm_n_1\, I1 => \out\(0), I2 => \gpregsm1.curr_fwft_state_reg[1]_0\(1), I3 => \gpregsm1.curr_fwft_state_reg[1]_0\(0), I4 => s_axi_bready, I5 => \^s_axi_bresp\(1), O => \goreg_dm.dout_i[1]_i_1_n_0\ ); \goreg_dm.dout_i[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"EFEFFFEF20200020" ) port map ( I0 => \gdm.dm_gen.dm_n_0\, I1 => \out\(0), I2 => \gpregsm1.curr_fwft_state_reg[1]_0\(1), I3 => \gpregsm1.curr_fwft_state_reg[1]_0\(0), I4 => s_axi_bready, I5 => \^s_axi_bid\(0), O => \goreg_dm.dout_i[2]_i_1_n_0\ ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \goreg_dm.dout_i[0]_i_1_n_0\, Q => \^s_axi_bresp\(0), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \goreg_dm.dout_i[1]_i_1_n_0\, Q => \^s_axi_bresp\(1), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \goreg_dm.dout_i[2]_i_1_n_0\, Q => \^s_axi_bid\(0), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_memory__parameterized2\ is port ( \s_axi_rid[0]\ : out STD_LOGIC_VECTOR ( 131 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I127 : in STD_LOGIC_VECTOR ( 131 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_memory__parameterized2\ : entity is "memory"; end \system_auto_cc_0_memory__parameterized2\; architecture STRUCTURE of \system_auto_cc_0_memory__parameterized2\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_100\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_101\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_102\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_103\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_104\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_105\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_106\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_107\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_108\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_109\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_110\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_111\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_112\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_113\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_114\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_115\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_116\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_117\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_118\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_119\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_120\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_121\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_122\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_123\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_124\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_125\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_126\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_127\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_128\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_129\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_130\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_131\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_39\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_40\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_41\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_42\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_43\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_44\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_45\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_46\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_47\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_48\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_49\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_50\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_51\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_52\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_53\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_54\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_55\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_56\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_57\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_58\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_59\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_60\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_61\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_62\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_63\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_64\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_65\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_66\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_67\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_68\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_69\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_70\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_71\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_72\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_73\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_74\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_75\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_76\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_77\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_78\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_79\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_80\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_81\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_82\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_83\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_84\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_85\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_86\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_87\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_88\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_89\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_90\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_91\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_92\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_93\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_94\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_95\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_96\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_97\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_98\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_99\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.\system_auto_cc_0_dmem__parameterized2\ port map ( E(0) => E(0), I127(131 downto 0) => I127(131 downto 0), Q(131) => \gdm.dm_gen.dm_n_0\, Q(130) => \gdm.dm_gen.dm_n_1\, Q(129) => \gdm.dm_gen.dm_n_2\, Q(128) => \gdm.dm_gen.dm_n_3\, Q(127) => \gdm.dm_gen.dm_n_4\, Q(126) => \gdm.dm_gen.dm_n_5\, Q(125) => \gdm.dm_gen.dm_n_6\, Q(124) => \gdm.dm_gen.dm_n_7\, Q(123) => \gdm.dm_gen.dm_n_8\, Q(122) => \gdm.dm_gen.dm_n_9\, Q(121) => \gdm.dm_gen.dm_n_10\, Q(120) => \gdm.dm_gen.dm_n_11\, Q(119) => \gdm.dm_gen.dm_n_12\, Q(118) => \gdm.dm_gen.dm_n_13\, Q(117) => \gdm.dm_gen.dm_n_14\, Q(116) => \gdm.dm_gen.dm_n_15\, Q(115) => \gdm.dm_gen.dm_n_16\, Q(114) => \gdm.dm_gen.dm_n_17\, Q(113) => \gdm.dm_gen.dm_n_18\, Q(112) => \gdm.dm_gen.dm_n_19\, Q(111) => \gdm.dm_gen.dm_n_20\, Q(110) => \gdm.dm_gen.dm_n_21\, Q(109) => \gdm.dm_gen.dm_n_22\, Q(108) => \gdm.dm_gen.dm_n_23\, Q(107) => \gdm.dm_gen.dm_n_24\, Q(106) => \gdm.dm_gen.dm_n_25\, Q(105) => \gdm.dm_gen.dm_n_26\, Q(104) => \gdm.dm_gen.dm_n_27\, Q(103) => \gdm.dm_gen.dm_n_28\, Q(102) => \gdm.dm_gen.dm_n_29\, Q(101) => \gdm.dm_gen.dm_n_30\, Q(100) => \gdm.dm_gen.dm_n_31\, Q(99) => \gdm.dm_gen.dm_n_32\, Q(98) => \gdm.dm_gen.dm_n_33\, Q(97) => \gdm.dm_gen.dm_n_34\, Q(96) => \gdm.dm_gen.dm_n_35\, Q(95) => \gdm.dm_gen.dm_n_36\, Q(94) => \gdm.dm_gen.dm_n_37\, Q(93) => \gdm.dm_gen.dm_n_38\, Q(92) => \gdm.dm_gen.dm_n_39\, Q(91) => \gdm.dm_gen.dm_n_40\, Q(90) => \gdm.dm_gen.dm_n_41\, Q(89) => \gdm.dm_gen.dm_n_42\, Q(88) => \gdm.dm_gen.dm_n_43\, Q(87) => \gdm.dm_gen.dm_n_44\, Q(86) => \gdm.dm_gen.dm_n_45\, Q(85) => \gdm.dm_gen.dm_n_46\, Q(84) => \gdm.dm_gen.dm_n_47\, Q(83) => \gdm.dm_gen.dm_n_48\, Q(82) => \gdm.dm_gen.dm_n_49\, Q(81) => \gdm.dm_gen.dm_n_50\, Q(80) => \gdm.dm_gen.dm_n_51\, Q(79) => \gdm.dm_gen.dm_n_52\, Q(78) => \gdm.dm_gen.dm_n_53\, Q(77) => \gdm.dm_gen.dm_n_54\, Q(76) => \gdm.dm_gen.dm_n_55\, Q(75) => \gdm.dm_gen.dm_n_56\, Q(74) => \gdm.dm_gen.dm_n_57\, Q(73) => \gdm.dm_gen.dm_n_58\, Q(72) => \gdm.dm_gen.dm_n_59\, Q(71) => \gdm.dm_gen.dm_n_60\, Q(70) => \gdm.dm_gen.dm_n_61\, Q(69) => \gdm.dm_gen.dm_n_62\, Q(68) => \gdm.dm_gen.dm_n_63\, Q(67) => \gdm.dm_gen.dm_n_64\, Q(66) => \gdm.dm_gen.dm_n_65\, Q(65) => \gdm.dm_gen.dm_n_66\, Q(64) => \gdm.dm_gen.dm_n_67\, Q(63) => \gdm.dm_gen.dm_n_68\, Q(62) => \gdm.dm_gen.dm_n_69\, Q(61) => \gdm.dm_gen.dm_n_70\, Q(60) => \gdm.dm_gen.dm_n_71\, Q(59) => \gdm.dm_gen.dm_n_72\, Q(58) => \gdm.dm_gen.dm_n_73\, Q(57) => \gdm.dm_gen.dm_n_74\, Q(56) => \gdm.dm_gen.dm_n_75\, Q(55) => \gdm.dm_gen.dm_n_76\, Q(54) => \gdm.dm_gen.dm_n_77\, Q(53) => \gdm.dm_gen.dm_n_78\, Q(52) => \gdm.dm_gen.dm_n_79\, Q(51) => \gdm.dm_gen.dm_n_80\, Q(50) => \gdm.dm_gen.dm_n_81\, Q(49) => \gdm.dm_gen.dm_n_82\, Q(48) => \gdm.dm_gen.dm_n_83\, Q(47) => \gdm.dm_gen.dm_n_84\, Q(46) => \gdm.dm_gen.dm_n_85\, Q(45) => \gdm.dm_gen.dm_n_86\, Q(44) => \gdm.dm_gen.dm_n_87\, Q(43) => \gdm.dm_gen.dm_n_88\, Q(42) => \gdm.dm_gen.dm_n_89\, Q(41) => \gdm.dm_gen.dm_n_90\, Q(40) => \gdm.dm_gen.dm_n_91\, Q(39) => \gdm.dm_gen.dm_n_92\, Q(38) => \gdm.dm_gen.dm_n_93\, Q(37) => \gdm.dm_gen.dm_n_94\, Q(36) => \gdm.dm_gen.dm_n_95\, Q(35) => \gdm.dm_gen.dm_n_96\, Q(34) => \gdm.dm_gen.dm_n_97\, Q(33) => \gdm.dm_gen.dm_n_98\, Q(32) => \gdm.dm_gen.dm_n_99\, Q(31) => \gdm.dm_gen.dm_n_100\, Q(30) => \gdm.dm_gen.dm_n_101\, Q(29) => \gdm.dm_gen.dm_n_102\, Q(28) => \gdm.dm_gen.dm_n_103\, Q(27) => \gdm.dm_gen.dm_n_104\, Q(26) => \gdm.dm_gen.dm_n_105\, Q(25) => \gdm.dm_gen.dm_n_106\, Q(24) => \gdm.dm_gen.dm_n_107\, Q(23) => \gdm.dm_gen.dm_n_108\, Q(22) => \gdm.dm_gen.dm_n_109\, Q(21) => \gdm.dm_gen.dm_n_110\, Q(20) => \gdm.dm_gen.dm_n_111\, Q(19) => \gdm.dm_gen.dm_n_112\, Q(18) => \gdm.dm_gen.dm_n_113\, Q(17) => \gdm.dm_gen.dm_n_114\, Q(16) => \gdm.dm_gen.dm_n_115\, Q(15) => \gdm.dm_gen.dm_n_116\, Q(14) => \gdm.dm_gen.dm_n_117\, Q(13) => \gdm.dm_gen.dm_n_118\, Q(12) => \gdm.dm_gen.dm_n_119\, Q(11) => \gdm.dm_gen.dm_n_120\, Q(10) => \gdm.dm_gen.dm_n_121\, Q(9) => \gdm.dm_gen.dm_n_122\, Q(8) => \gdm.dm_gen.dm_n_123\, Q(7) => \gdm.dm_gen.dm_n_124\, Q(6) => \gdm.dm_gen.dm_n_125\, Q(5) => \gdm.dm_gen.dm_n_126\, Q(4) => \gdm.dm_gen.dm_n_127\, Q(3) => \gdm.dm_gen.dm_n_128\, Q(2) => \gdm.dm_gen.dm_n_129\, Q(1) => \gdm.dm_gen.dm_n_130\, Q(0) => \gdm.dm_gen.dm_n_131\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_131\, Q => \s_axi_rid[0]\(0), R => '0' ); \goreg_dm.dout_i_reg[100]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \s_axi_rid[0]\(100), R => '0' ); \goreg_dm.dout_i_reg[101]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \s_axi_rid[0]\(101), R => '0' ); \goreg_dm.dout_i_reg[102]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \s_axi_rid[0]\(102), R => '0' ); \goreg_dm.dout_i_reg[103]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \s_axi_rid[0]\(103), R => '0' ); \goreg_dm.dout_i_reg[104]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \s_axi_rid[0]\(104), R => '0' ); \goreg_dm.dout_i_reg[105]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \s_axi_rid[0]\(105), R => '0' ); \goreg_dm.dout_i_reg[106]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \s_axi_rid[0]\(106), R => '0' ); \goreg_dm.dout_i_reg[107]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \s_axi_rid[0]\(107), R => '0' ); \goreg_dm.dout_i_reg[108]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \s_axi_rid[0]\(108), R => '0' ); \goreg_dm.dout_i_reg[109]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \s_axi_rid[0]\(109), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_121\, Q => \s_axi_rid[0]\(10), R => '0' ); \goreg_dm.dout_i_reg[110]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \s_axi_rid[0]\(110), R => '0' ); \goreg_dm.dout_i_reg[111]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \s_axi_rid[0]\(111), R => '0' ); \goreg_dm.dout_i_reg[112]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \s_axi_rid[0]\(112), R => '0' ); \goreg_dm.dout_i_reg[113]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \s_axi_rid[0]\(113), R => '0' ); \goreg_dm.dout_i_reg[114]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \s_axi_rid[0]\(114), R => '0' ); \goreg_dm.dout_i_reg[115]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \s_axi_rid[0]\(115), R => '0' ); \goreg_dm.dout_i_reg[116]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \s_axi_rid[0]\(116), R => '0' ); \goreg_dm.dout_i_reg[117]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \s_axi_rid[0]\(117), R => '0' ); \goreg_dm.dout_i_reg[118]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \s_axi_rid[0]\(118), R => '0' ); \goreg_dm.dout_i_reg[119]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \s_axi_rid[0]\(119), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_120\, Q => \s_axi_rid[0]\(11), R => '0' ); \goreg_dm.dout_i_reg[120]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \s_axi_rid[0]\(120), R => '0' ); \goreg_dm.dout_i_reg[121]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \s_axi_rid[0]\(121), R => '0' ); \goreg_dm.dout_i_reg[122]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \s_axi_rid[0]\(122), R => '0' ); \goreg_dm.dout_i_reg[123]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \s_axi_rid[0]\(123), R => '0' ); \goreg_dm.dout_i_reg[124]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \s_axi_rid[0]\(124), R => '0' ); \goreg_dm.dout_i_reg[125]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \s_axi_rid[0]\(125), R => '0' ); \goreg_dm.dout_i_reg[126]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \s_axi_rid[0]\(126), R => '0' ); \goreg_dm.dout_i_reg[127]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \s_axi_rid[0]\(127), R => '0' ); \goreg_dm.dout_i_reg[128]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \s_axi_rid[0]\(128), R => '0' ); \goreg_dm.dout_i_reg[129]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \s_axi_rid[0]\(129), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_119\, Q => \s_axi_rid[0]\(12), R => '0' ); \goreg_dm.dout_i_reg[130]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \s_axi_rid[0]\(130), R => '0' ); \goreg_dm.dout_i_reg[131]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \s_axi_rid[0]\(131), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_118\, Q => \s_axi_rid[0]\(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_117\, Q => \s_axi_rid[0]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_116\, Q => \s_axi_rid[0]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_115\, Q => \s_axi_rid[0]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_114\, Q => \s_axi_rid[0]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_113\, Q => \s_axi_rid[0]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_112\, Q => \s_axi_rid[0]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_130\, Q => \s_axi_rid[0]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_111\, Q => \s_axi_rid[0]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_110\, Q => \s_axi_rid[0]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_109\, Q => \s_axi_rid[0]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_108\, Q => \s_axi_rid[0]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_107\, Q => \s_axi_rid[0]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_106\, Q => \s_axi_rid[0]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_105\, Q => \s_axi_rid[0]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_104\, Q => \s_axi_rid[0]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_103\, Q => \s_axi_rid[0]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_102\, Q => \s_axi_rid[0]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_129\, Q => \s_axi_rid[0]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_101\, Q => \s_axi_rid[0]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_100\, Q => \s_axi_rid[0]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_99\, Q => \s_axi_rid[0]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_98\, Q => \s_axi_rid[0]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_97\, Q => \s_axi_rid[0]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_96\, Q => \s_axi_rid[0]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_95\, Q => \s_axi_rid[0]\(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_94\, Q => \s_axi_rid[0]\(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_93\, Q => \s_axi_rid[0]\(38), R => '0' ); \goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_92\, Q => \s_axi_rid[0]\(39), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_128\, Q => \s_axi_rid[0]\(3), R => '0' ); \goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_91\, Q => \s_axi_rid[0]\(40), R => '0' ); \goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_90\, Q => \s_axi_rid[0]\(41), R => '0' ); \goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_89\, Q => \s_axi_rid[0]\(42), R => '0' ); \goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_88\, Q => \s_axi_rid[0]\(43), R => '0' ); \goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_87\, Q => \s_axi_rid[0]\(44), R => '0' ); \goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_86\, Q => \s_axi_rid[0]\(45), R => '0' ); \goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_85\, Q => \s_axi_rid[0]\(46), R => '0' ); \goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_84\, Q => \s_axi_rid[0]\(47), R => '0' ); \goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_83\, Q => \s_axi_rid[0]\(48), R => '0' ); \goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_82\, Q => \s_axi_rid[0]\(49), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_127\, Q => \s_axi_rid[0]\(4), R => '0' ); \goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_81\, Q => \s_axi_rid[0]\(50), R => '0' ); \goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_80\, Q => \s_axi_rid[0]\(51), R => '0' ); \goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_79\, Q => \s_axi_rid[0]\(52), R => '0' ); \goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_78\, Q => \s_axi_rid[0]\(53), R => '0' ); \goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_77\, Q => \s_axi_rid[0]\(54), R => '0' ); \goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_76\, Q => \s_axi_rid[0]\(55), R => '0' ); \goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_75\, Q => \s_axi_rid[0]\(56), R => '0' ); \goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_74\, Q => \s_axi_rid[0]\(57), R => '0' ); \goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_73\, Q => \s_axi_rid[0]\(58), R => '0' ); \goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_72\, Q => \s_axi_rid[0]\(59), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_126\, Q => \s_axi_rid[0]\(5), R => '0' ); \goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_71\, Q => \s_axi_rid[0]\(60), R => '0' ); \goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_70\, Q => \s_axi_rid[0]\(61), R => '0' ); \goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_69\, Q => \s_axi_rid[0]\(62), R => '0' ); \goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_68\, Q => \s_axi_rid[0]\(63), R => '0' ); \goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_67\, Q => \s_axi_rid[0]\(64), R => '0' ); \goreg_dm.dout_i_reg[65]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_66\, Q => \s_axi_rid[0]\(65), R => '0' ); \goreg_dm.dout_i_reg[66]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_65\, Q => \s_axi_rid[0]\(66), R => '0' ); \goreg_dm.dout_i_reg[67]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_64\, Q => \s_axi_rid[0]\(67), R => '0' ); \goreg_dm.dout_i_reg[68]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_63\, Q => \s_axi_rid[0]\(68), R => '0' ); \goreg_dm.dout_i_reg[69]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_62\, Q => \s_axi_rid[0]\(69), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_125\, Q => \s_axi_rid[0]\(6), R => '0' ); \goreg_dm.dout_i_reg[70]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_61\, Q => \s_axi_rid[0]\(70), R => '0' ); \goreg_dm.dout_i_reg[71]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_60\, Q => \s_axi_rid[0]\(71), R => '0' ); \goreg_dm.dout_i_reg[72]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_59\, Q => \s_axi_rid[0]\(72), R => '0' ); \goreg_dm.dout_i_reg[73]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_58\, Q => \s_axi_rid[0]\(73), R => '0' ); \goreg_dm.dout_i_reg[74]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_57\, Q => \s_axi_rid[0]\(74), R => '0' ); \goreg_dm.dout_i_reg[75]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_56\, Q => \s_axi_rid[0]\(75), R => '0' ); \goreg_dm.dout_i_reg[76]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_55\, Q => \s_axi_rid[0]\(76), R => '0' ); \goreg_dm.dout_i_reg[77]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_54\, Q => \s_axi_rid[0]\(77), R => '0' ); \goreg_dm.dout_i_reg[78]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_53\, Q => \s_axi_rid[0]\(78), R => '0' ); \goreg_dm.dout_i_reg[79]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_52\, Q => \s_axi_rid[0]\(79), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_124\, Q => \s_axi_rid[0]\(7), R => '0' ); \goreg_dm.dout_i_reg[80]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_51\, Q => \s_axi_rid[0]\(80), R => '0' ); \goreg_dm.dout_i_reg[81]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_50\, Q => \s_axi_rid[0]\(81), R => '0' ); \goreg_dm.dout_i_reg[82]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_49\, Q => \s_axi_rid[0]\(82), R => '0' ); \goreg_dm.dout_i_reg[83]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_48\, Q => \s_axi_rid[0]\(83), R => '0' ); \goreg_dm.dout_i_reg[84]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_47\, Q => \s_axi_rid[0]\(84), R => '0' ); \goreg_dm.dout_i_reg[85]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_46\, Q => \s_axi_rid[0]\(85), R => '0' ); \goreg_dm.dout_i_reg[86]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_45\, Q => \s_axi_rid[0]\(86), R => '0' ); \goreg_dm.dout_i_reg[87]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_44\, Q => \s_axi_rid[0]\(87), R => '0' ); \goreg_dm.dout_i_reg[88]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_43\, Q => \s_axi_rid[0]\(88), R => '0' ); \goreg_dm.dout_i_reg[89]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_42\, Q => \s_axi_rid[0]\(89), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_123\, Q => \s_axi_rid[0]\(8), R => '0' ); \goreg_dm.dout_i_reg[90]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_41\, Q => \s_axi_rid[0]\(90), R => '0' ); \goreg_dm.dout_i_reg[91]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_40\, Q => \s_axi_rid[0]\(91), R => '0' ); \goreg_dm.dout_i_reg[92]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_39\, Q => \s_axi_rid[0]\(92), R => '0' ); \goreg_dm.dout_i_reg[93]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_38\, Q => \s_axi_rid[0]\(93), R => '0' ); \goreg_dm.dout_i_reg[94]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_37\, Q => \s_axi_rid[0]\(94), R => '0' ); \goreg_dm.dout_i_reg[95]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \s_axi_rid[0]\(95), R => '0' ); \goreg_dm.dout_i_reg[96]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \s_axi_rid[0]\(96), R => '0' ); \goreg_dm.dout_i_reg[97]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \s_axi_rid[0]\(97), R => '0' ); \goreg_dm.dout_i_reg[98]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \s_axi_rid[0]\(98), R => '0' ); \goreg_dm.dout_i_reg[99]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \s_axi_rid[0]\(99), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_122\, Q => \s_axi_rid[0]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_logic is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_logic : entity is "rd_logic"; end system_auto_cc_0_rd_logic; architecture STRUCTURE of system_auto_cc_0_rd_logic is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_2\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.system_auto_cc_0_rd_fwft port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_2\, s_aclk => s_aclk, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); \gras.rsts\: entity work.system_auto_cc_0_rd_status_flags_as port map ( AR(0) => AR(0), \gc0.count_d1_reg[2]\ => rpntr_n_4, \out\ => p_2_out, s_aclk => s_aclk ); rpntr: entity work.system_auto_cc_0_rd_bin_cntr port map ( AR(0) => AR(0), D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_2\, ram_empty_i_reg => rpntr_n_4, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_logic_28 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[57]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_gc_reg[2]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_logic_28 : entity is "rd_logic"; end system_auto_cc_0_rd_logic_28; architecture STRUCTURE of system_auto_cc_0_rd_logic_28 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.system_auto_cc_0_rd_fwft_39 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[57]\(0) => \goreg_dm.dout_i_reg[57]\(0), m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.system_auto_cc_0_rd_status_flags_as_40 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.system_auto_cc_0_rd_bin_cntr_41 port map ( E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[2]\(2 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[2]\(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_logic_49 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[131]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_logic_49 : entity is "rd_logic"; end system_auto_cc_0_rd_logic_49; architecture STRUCTURE of system_auto_cc_0_rd_logic_49 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.system_auto_cc_0_rd_fwft_60 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[131]\(0) => \goreg_dm.dout_i_reg[131]\(0), \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\, s_aclk => s_aclk, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gras.rsts\: entity work.system_auto_cc_0_rd_status_flags_as_61 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out, s_aclk => s_aclk ); rpntr: entity work.system_auto_cc_0_rd_bin_cntr_62 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_logic_7 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[144]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_logic_7 : entity is "rd_logic"; end system_auto_cc_0_rd_logic_7; architecture STRUCTURE of system_auto_cc_0_rd_logic_7 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.system_auto_cc_0_rd_fwft_18 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[144]\(0) => \goreg_dm.dout_i_reg[144]\(0), m_aclk => m_aclk, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.system_auto_cc_0_rd_status_flags_as_19 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.system_auto_cc_0_rd_bin_cntr_20 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_rd_logic_71 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[57]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_rd_logic_71 : entity is "rd_logic"; end system_auto_cc_0_rd_logic_71; architecture STRUCTURE of system_auto_cc_0_rd_logic_71 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.system_auto_cc_0_rd_fwft_84 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[57]\(0) => \goreg_dm.dout_i_reg[57]\(0), m_aclk => m_aclk, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.system_auto_cc_0_rd_status_flags_as_85 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.system_auto_cc_0_rd_bin_cntr_86 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_reset_blk_ramfifo is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_reset_blk_ramfifo : entity is "reset_blk_ramfifo"; end system_auto_cc_0_reset_blk_ramfifo; architecture STRUCTURE of system_auto_cc_0_reset_blk_ramfifo is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff port map ( in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_1 port map ( in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_2 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_3 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_4 port map ( \Q_reg_reg[0]_0\ => p_7_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_5 port map ( \Q_reg_reg[0]_0\ => p_8_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_reset_blk_ramfifo_30 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_reset_blk_ramfifo_30 : entity is "reset_blk_ramfifo"; end system_auto_cc_0_reset_blk_ramfifo_30; architecture STRUCTURE of system_auto_cc_0_reset_blk_ramfifo_30 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_31 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_32 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_33 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_34 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_35 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_36 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_reset_blk_ramfifo_51 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ : out STD_LOGIC; s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_reset_blk_ramfifo_51 : entity is "reset_blk_ramfifo"; end system_auto_cc_0_reset_blk_ramfifo_51; architecture STRUCTURE of system_auto_cc_0_reset_blk_ramfifo_51 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ <= \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_52 port map ( in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_53 port map ( in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_54 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_55 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_56 port map ( \Q_reg_reg[0]_0\ => p_7_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_57 port map ( \Q_reg_reg[0]_0\ => p_8_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_rd_reg1, PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_aresetn, O => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_wr_reg1, PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_reset_blk_ramfifo_74 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_reset_blk_ramfifo_74 : entity is "reset_blk_ramfifo"; end system_auto_cc_0_reset_blk_ramfifo_74; architecture STRUCTURE of system_auto_cc_0_reset_blk_ramfifo_74 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_75 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_76 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_77 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_78 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_79 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_80 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_reset_blk_ramfifo_9 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_reset_blk_ramfifo_9 : entity is "reset_blk_ramfifo"; end system_auto_cc_0_reset_blk_ramfifo_9; architecture STRUCTURE of system_auto_cc_0_reset_blk_ramfifo_9 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_10 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_11 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_12 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_13 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_14 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.system_auto_cc_0_synchronizer_ff_15 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_logic is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_logic : entity is "wr_logic"; end system_auto_cc_0_wr_logic; architecture STRUCTURE of system_auto_cc_0_wr_logic is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.system_auto_cc_0_wr_status_flags_as port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), m_aclk => m_aclk, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg ); wpntr: entity work.system_auto_cc_0_wr_bin_cntr port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), m_aclk => m_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_logic_29 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_logic_29 : entity is "wr_logic"; end system_auto_cc_0_wr_logic_29; architecture STRUCTURE of system_auto_cc_0_wr_logic_29 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.system_auto_cc_0_wr_status_flags_as_37 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); wpntr: entity work.system_auto_cc_0_wr_bin_cntr_38 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_logic_50 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_logic_50 : entity is "wr_logic"; end system_auto_cc_0_wr_logic_50; architecture STRUCTURE of system_auto_cc_0_wr_logic_50 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.system_auto_cc_0_wr_status_flags_as_58 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg ); wpntr: entity work.system_auto_cc_0_wr_bin_cntr_59 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), m_aclk => m_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_logic_72 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_logic_72 : entity is "wr_logic"; end system_auto_cc_0_wr_logic_72; architecture STRUCTURE of system_auto_cc_0_wr_logic_72 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.system_auto_cc_0_wr_status_flags_as_82 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); wpntr: entity work.system_auto_cc_0_wr_bin_cntr_83 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_wr_logic_8 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_wr_logic_8 : entity is "wr_logic"; end system_auto_cc_0_wr_logic_8; architecture STRUCTURE of system_auto_cc_0_wr_logic_8 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.system_auto_cc_0_wr_status_flags_as_16 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); wpntr: entity work.system_auto_cc_0_wr_bin_cntr_17 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_fifo_generator_ramfifo is port ( s_axi_awready : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; DI : in STD_LOGIC_VECTOR ( 57 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo"; end system_auto_cc_0_fifo_generator_ramfifo; architecture STRUCTURE of system_auto_cc_0_fifo_generator_ramfifo is signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal gray2bin : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_0_out_0 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC; signal p_23_out_1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.system_auto_cc_0_clk_x_pntrs_27 port map ( AR(0) => wr_rst_i(0), D(0) => gray2bin(0), Q(3 downto 0) => p_22_out(3 downto 0), \gc0.count_d1_reg[2]\(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, \gc0.count_d1_reg[2]\(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, \gc0.count_d1_reg[2]\(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, \gc0.count_d1_reg[3]\(0) => p_0_out_0(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => p_23_out, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out_1(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => gray2bin(0) ); \gntv_or_sync_fifo.gl0.rd\: entity work.system_auto_cc_0_rd_logic_28 port map ( E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[2]\(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, \gnxpm_cdc.rd_pntr_gc_reg[2]\(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, \gnxpm_cdc.rd_pntr_gc_reg[2]\(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out_0(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[57]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.system_auto_cc_0_wr_logic_29 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out_1(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); \gntv_or_sync_fifo.mem\: entity work.system_auto_cc_0_memory port map ( DI(57 downto 0) => DI(57 downto 0), E(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, Q(57 downto 0) => Q(57 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out_0(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, ram_full_fb_i_reg(0) => p_18_out, s_aclk => s_aclk ); rstblk: entity work.system_auto_cc_0_reset_blk_ramfifo_30 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => p_23_out, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_fifo_generator_ramfifo_69 is port ( s_axi_arready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_axi_arid[0]\ : out STD_LOGIC_VECTOR ( 57 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; I123 : in STD_LOGIC_VECTOR ( 57 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_fifo_generator_ramfifo_69 : entity is "fifo_generator_ramfifo"; end system_auto_cc_0_fifo_generator_ramfifo_69; architecture STRUCTURE of system_auto_cc_0_fifo_generator_ramfifo_69 is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_rach : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.system_auto_cc_0_clk_x_pntrs_70 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_22_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_rach, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.system_auto_cc_0_rd_logic_71 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[57]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.system_auto_cc_0_wr_logic_72 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); \gntv_or_sync_fifo.mem\: entity work.system_auto_cc_0_memory_73 port map ( E(0) => p_18_out, I123(57 downto 0) => I123(57 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \m_axi_arid[0]\(57 downto 0) => \m_axi_arid[0]\(57 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk ); rstblk: entity work.system_auto_cc_0_reset_blk_ramfifo_74 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_rach, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_fifo_generator_ramfifo__parameterized0\ is port ( s_axi_wready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; \m_axi_wdata[127]\ : out STD_LOGIC_VECTOR ( 144 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_wready : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 144 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_fifo_generator_ramfifo__parameterized0\ : entity is "fifo_generator_ramfifo"; end \system_auto_cc_0_fifo_generator_ramfifo__parameterized0\; architecture STRUCTURE of \system_auto_cc_0_fifo_generator_ramfifo__parameterized0\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_15_out : STD_LOGIC; signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.system_auto_cc_0_clk_x_pntrs_6 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_22_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => p_15_out, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.system_auto_cc_0_rd_logic_7 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[144]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.system_auto_cc_0_wr_logic_8 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); \gntv_or_sync_fifo.mem\: entity work.\system_auto_cc_0_memory__parameterized0\ port map ( E(0) => p_18_out, I115(144 downto 0) => I115(144 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \m_axi_wdata[127]\(144 downto 0) => \m_axi_wdata[127]\(144 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk ); rstblk: entity work.system_auto_cc_0_reset_blk_ramfifo_9 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => p_15_out, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_fifo_generator_ramfifo__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_fifo_generator_ramfifo__parameterized1\ : entity is "fifo_generator_ramfifo"; end \system_auto_cc_0_fifo_generator_ramfifo__parameterized1\; architecture STRUCTURE of \system_auto_cc_0_fifo_generator_ramfifo__parameterized1\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_8\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal \gr1.gr1_int.rfwft/p_0_in\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_wrch : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.system_auto_cc_0_clk_x_pntrs port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_7\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_8\, Q(3 downto 0) => p_13_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_wrch, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_6\, ram_empty_i_reg_0(3 downto 0) => p_22_out(3 downto 0), ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.system_auto_cc_0_rd_logic port map ( AR(0) => rd_rst_i(2), D(2) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_7\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_8\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_6\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \out\(1) => \gntv_or_sync_fifo.gl0.rd_n_0\, \out\(0) => \gr1.gr1_int.rfwft/p_0_in\(0), s_aclk => s_aclk, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); \gntv_or_sync_fifo.gl0.wr\: entity work.system_auto_cc_0_wr_logic port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), m_aclk => m_aclk, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\ ); \gntv_or_sync_fifo.mem\: entity work.\system_auto_cc_0_memory__parameterized1\ port map ( E(0) => p_18_out, \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, \gpregsm1.curr_fwft_state_reg[1]_0\(1) => \gntv_or_sync_fifo.gl0.rd_n_0\, \gpregsm1.curr_fwft_state_reg[1]_0\(0) => \gr1.gr1_int.rfwft/p_0_in\(0), m_aclk => m_aclk, m_axi_bid(0) => m_axi_bid(0), m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), \out\(0) => rd_rst_i(0), s_aclk => s_aclk, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0) ); rstblk: entity work.system_auto_cc_0_reset_blk_ramfifo port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_wrch, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_fifo_generator_ramfifo__parameterized2\ is port ( \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \s_axi_rid[0]\ : out STD_LOGIC_VECTOR ( 131 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC; I127 : in STD_LOGIC_VECTOR ( 131 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_fifo_generator_ramfifo__parameterized2\ : entity is "fifo_generator_ramfifo"; end \system_auto_cc_0_fifo_generator_ramfifo__parameterized2\; architecture STRUCTURE of \system_auto_cc_0_fifo_generator_ramfifo__parameterized2\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_rdch : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.system_auto_cc_0_clk_x_pntrs_48 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_13_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_rdch, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_6\, ram_empty_i_reg_0(3 downto 0) => p_22_out(3 downto 0), ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.system_auto_cc_0_rd_logic_49 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_6\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[131]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0), s_aclk => s_aclk, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gntv_or_sync_fifo.gl0.wr\: entity work.system_auto_cc_0_wr_logic_50 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\ ); \gntv_or_sync_fifo.mem\: entity work.\system_auto_cc_0_memory__parameterized2\ port map ( E(0) => p_18_out, I127(131 downto 0) => I127(131 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk, \s_axi_rid[0]\(131 downto 0) => \s_axi_rid[0]\(131 downto 0) ); rstblk: entity work.system_auto_cc_0_reset_blk_ramfifo_51 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ => \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_rdch, s_aclk => s_aclk, s_aresetn => s_aresetn ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_fifo_generator_top is port ( s_axi_arready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_axi_arid[0]\ : out STD_LOGIC_VECTOR ( 57 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; I123 : in STD_LOGIC_VECTOR ( 57 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_fifo_generator_top : entity is "fifo_generator_top"; end system_auto_cc_0_fifo_generator_top; architecture STRUCTURE of system_auto_cc_0_fifo_generator_top is begin \grf.rf\: entity work.system_auto_cc_0_fifo_generator_ramfifo_69 port map ( I123(57 downto 0) => I123(57 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_arid[0]\(57 downto 0) => \m_axi_arid[0]\(57 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_fifo_generator_top_0 is port ( s_axi_awready : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; DI : in STD_LOGIC_VECTOR ( 57 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_fifo_generator_top_0 : entity is "fifo_generator_top"; end system_auto_cc_0_fifo_generator_top_0; architecture STRUCTURE of system_auto_cc_0_fifo_generator_top_0 is begin \grf.rf\: entity work.system_auto_cc_0_fifo_generator_ramfifo port map ( DI(57 downto 0) => DI(57 downto 0), Q(57 downto 0) => Q(57 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_fifo_generator_top__parameterized0\ is port ( s_axi_wready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; \m_axi_wdata[127]\ : out STD_LOGIC_VECTOR ( 144 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_wready : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 144 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_fifo_generator_top__parameterized0\ : entity is "fifo_generator_top"; end \system_auto_cc_0_fifo_generator_top__parameterized0\; architecture STRUCTURE of \system_auto_cc_0_fifo_generator_top__parameterized0\ is begin \grf.rf\: entity work.\system_auto_cc_0_fifo_generator_ramfifo__parameterized0\ port map ( I115(144 downto 0) => I115(144 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_wdata[127]\(144 downto 0) => \m_axi_wdata[127]\(144 downto 0), m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_fifo_generator_top__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_fifo_generator_top__parameterized1\ : entity is "fifo_generator_top"; end \system_auto_cc_0_fifo_generator_top__parameterized1\; architecture STRUCTURE of \system_auto_cc_0_fifo_generator_top__parameterized1\ is begin \grf.rf\: entity work.\system_auto_cc_0_fifo_generator_ramfifo__parameterized1\ port map ( inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_bid(0) => m_axi_bid(0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, s_aclk => s_aclk, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_auto_cc_0_fifo_generator_top__parameterized2\ is port ( inverted_reset : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \s_axi_rid[0]\ : out STD_LOGIC_VECTOR ( 131 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC; I127 : in STD_LOGIC_VECTOR ( 131 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_auto_cc_0_fifo_generator_top__parameterized2\ : entity is "fifo_generator_top"; end \system_auto_cc_0_fifo_generator_top__parameterized2\; architecture STRUCTURE of \system_auto_cc_0_fifo_generator_top__parameterized2\ is begin \grf.rf\: entity work.\system_auto_cc_0_fifo_generator_ramfifo__parameterized2\ port map ( I127(131 downto 0) => I127(131 downto 0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ => inverted_reset, s_aclk => s_aclk, s_aresetn => s_aresetn, \s_axi_rid[0]\(131 downto 0) => \s_axi_rid[0]\(131 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_fifo_generator_v13_1_3_synth is port ( Q : out STD_LOGIC_VECTOR ( 57 downto 0 ); \m_axi_wdata[127]\ : out STD_LOGIC_VECTOR ( 144 downto 0 ); s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); \m_axi_arid[0]\ : out STD_LOGIC_VECTOR ( 57 downto 0 ); \s_axi_rid[0]\ : out STD_LOGIC_VECTOR ( 131 downto 0 ); s_axi_awready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 144 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bready : in STD_LOGIC; I123 : in STD_LOGIC_VECTOR ( 57 downto 0 ); I127 : in STD_LOGIC_VECTOR ( 131 downto 0 ); DI : in STD_LOGIC_VECTOR ( 57 downto 0 ); m_axi_awready : in STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_fifo_generator_v13_1_3_synth : entity is "fifo_generator_v13_1_3_synth"; end system_auto_cc_0_fifo_generator_v13_1_3_synth; architecture STRUCTURE of system_auto_cc_0_fifo_generator_v13_1_3_synth is signal inverted_reset : STD_LOGIC; begin \gaxi_full_lite.gread_ch.grach2.axi_rach\: entity work.system_auto_cc_0_fifo_generator_top port map ( I123(57 downto 0) => I123(57 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_arid[0]\(57 downto 0) => \m_axi_arid[0]\(57 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); \gaxi_full_lite.gread_ch.grdch2.axi_rdch\: entity work.\system_auto_cc_0_fifo_generator_top__parameterized2\ port map ( I127(131 downto 0) => I127(131 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_aclk => s_aclk, s_aresetn => s_aresetn, \s_axi_rid[0]\(131 downto 0) => \s_axi_rid[0]\(131 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gaxi_full_lite.gwrite_ch.gwach2.axi_wach\: entity work.system_auto_cc_0_fifo_generator_top_0 port map ( DI(57 downto 0) => DI(57 downto 0), Q(57 downto 0) => Q(57 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); \gaxi_full_lite.gwrite_ch.gwdch2.axi_wdch\: entity work.\system_auto_cc_0_fifo_generator_top__parameterized0\ port map ( I115(144 downto 0) => I115(144 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_wdata[127]\(144 downto 0) => \m_axi_wdata[127]\(144 downto 0), m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); \gaxi_full_lite.gwrite_ch.gwrch2.axi_wrch\: entity work.\system_auto_cc_0_fifo_generator_top__parameterized1\ port map ( inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_bid(0) => m_axi_bid(0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, s_aclk => s_aclk, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_fifo_generator_v13_1_3 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 17 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 27 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 27 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 27 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 27 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 28; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 128; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 18; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 58; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 132; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 58; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 145; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 3; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 18; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 11; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 2; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "4kx4"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1021; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1022; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1021; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 3; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of system_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_fifo_generator_v13_1_3 : entity is "fifo_generator_v13_1_3"; end system_auto_cc_0_fifo_generator_v13_1_3; architecture STRUCTURE of system_auto_cc_0_fifo_generator_v13_1_3 is signal \<const0>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const0>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const0>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const0>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const0>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const0>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const0>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(9) <= \<const0>\; data_count(8) <= \<const0>\; data_count(7) <= \<const0>\; data_count(6) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; dout(17) <= \<const0>\; dout(16) <= \<const0>\; dout(15) <= \<const0>\; dout(14) <= \<const0>\; dout(13) <= \<const0>\; dout(12) <= \<const0>\; dout(11) <= \<const0>\; dout(10) <= \<const0>\; dout(9) <= \<const0>\; dout(8) <= \<const0>\; dout(7) <= \<const0>\; dout(6) <= \<const0>\; dout(5) <= \<const0>\; dout(4) <= \<const0>\; dout(3) <= \<const0>\; dout(2) <= \<const0>\; dout(1) <= \<const0>\; dout(0) <= \<const0>\; empty <= \<const0>\; full <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_data_count(9) <= \<const0>\; rd_data_count(8) <= \<const0>\; rd_data_count(7) <= \<const0>\; rd_data_count(6) <= \<const0>\; rd_data_count(5) <= \<const0>\; rd_data_count(4) <= \<const0>\; rd_data_count(3) <= \<const0>\; rd_data_count(2) <= \<const0>\; rd_data_count(1) <= \<const0>\; rd_data_count(0) <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_data_count(9) <= \<const0>\; wr_data_count(8) <= \<const0>\; wr_data_count(7) <= \<const0>\; wr_data_count(6) <= \<const0>\; wr_data_count(5) <= \<const0>\; wr_data_count(4) <= \<const0>\; wr_data_count(3) <= \<const0>\; wr_data_count(2) <= \<const0>\; wr_data_count(1) <= \<const0>\; wr_data_count(0) <= \<const0>\; wr_rst_busy <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_fifo_gen: entity work.system_auto_cc_0_fifo_generator_v13_1_3_synth port map ( DI(57) => s_axi_awid(0), DI(56 downto 29) => s_axi_awaddr(27 downto 0), DI(28 downto 21) => s_axi_awlen(7 downto 0), DI(20 downto 18) => s_axi_awsize(2 downto 0), DI(17 downto 16) => s_axi_awburst(1 downto 0), DI(15) => s_axi_awlock(0), DI(14 downto 11) => s_axi_awcache(3 downto 0), DI(10 downto 8) => s_axi_awprot(2 downto 0), DI(7 downto 4) => s_axi_awqos(3 downto 0), DI(3 downto 0) => s_axi_awregion(3 downto 0), I115(144 downto 17) => s_axi_wdata(127 downto 0), I115(16 downto 1) => s_axi_wstrb(15 downto 0), I115(0) => s_axi_wlast, I123(57) => s_axi_arid(0), I123(56 downto 29) => s_axi_araddr(27 downto 0), I123(28 downto 21) => s_axi_arlen(7 downto 0), I123(20 downto 18) => s_axi_arsize(2 downto 0), I123(17 downto 16) => s_axi_arburst(1 downto 0), I123(15) => s_axi_arlock(0), I123(14 downto 11) => s_axi_arcache(3 downto 0), I123(10 downto 8) => s_axi_arprot(2 downto 0), I123(7 downto 4) => s_axi_arqos(3 downto 0), I123(3 downto 0) => s_axi_arregion(3 downto 0), I127(131) => m_axi_rid(0), I127(130 downto 3) => m_axi_rdata(127 downto 0), I127(2 downto 1) => m_axi_rresp(1 downto 0), I127(0) => m_axi_rlast, Q(57) => m_axi_awid(0), Q(56 downto 29) => m_axi_awaddr(27 downto 0), Q(28 downto 21) => m_axi_awlen(7 downto 0), Q(20 downto 18) => m_axi_awsize(2 downto 0), Q(17 downto 16) => m_axi_awburst(1 downto 0), Q(15) => m_axi_awlock(0), Q(14 downto 11) => m_axi_awcache(3 downto 0), Q(10 downto 8) => m_axi_awprot(2 downto 0), Q(7 downto 4) => m_axi_awqos(3 downto 0), Q(3 downto 0) => m_axi_awregion(3 downto 0), m_aclk => m_aclk, \m_axi_arid[0]\(57) => m_axi_arid(0), \m_axi_arid[0]\(56 downto 29) => m_axi_araddr(27 downto 0), \m_axi_arid[0]\(28 downto 21) => m_axi_arlen(7 downto 0), \m_axi_arid[0]\(20 downto 18) => m_axi_arsize(2 downto 0), \m_axi_arid[0]\(17 downto 16) => m_axi_arburst(1 downto 0), \m_axi_arid[0]\(15) => m_axi_arlock(0), \m_axi_arid[0]\(14 downto 11) => m_axi_arcache(3 downto 0), \m_axi_arid[0]\(10 downto 8) => m_axi_arprot(2 downto 0), \m_axi_arid[0]\(7 downto 4) => m_axi_arqos(3 downto 0), \m_axi_arid[0]\(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bid(0) => m_axi_bid(0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \m_axi_wdata[127]\(144 downto 17) => m_axi_wdata(127 downto 0), \m_axi_wdata[127]\(16 downto 1) => m_axi_wstrb(15 downto 0), \m_axi_wdata[127]\(0) => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_aresetn => s_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, \s_axi_rid[0]\(131) => s_axi_rid(0), \s_axi_rid[0]\(130 downto 3) => s_axi_rdata(127 downto 0), \s_axi_rid[0]\(2 downto 1) => s_axi_rresp(1 downto 0), \s_axi_rid[0]\(0) => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 27 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 27 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_aclk : in STD_LOGIC; m_axi_aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 27 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 27 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_ARADDR_RIGHT : integer; attribute C_ARADDR_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 29; attribute C_ARADDR_WIDTH : integer; attribute C_ARADDR_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 28; attribute C_ARBURST_RIGHT : integer; attribute C_ARBURST_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 16; attribute C_ARBURST_WIDTH : integer; attribute C_ARBURST_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_ARCACHE_RIGHT : integer; attribute C_ARCACHE_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 11; attribute C_ARCACHE_WIDTH : integer; attribute C_ARCACHE_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARID_RIGHT : integer; attribute C_ARID_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 57; attribute C_ARID_WIDTH : integer; attribute C_ARID_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_ARLEN_RIGHT : integer; attribute C_ARLEN_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 21; attribute C_ARLEN_WIDTH : integer; attribute C_ARLEN_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_ARLOCK_RIGHT : integer; attribute C_ARLOCK_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 15; attribute C_ARLOCK_WIDTH : integer; attribute C_ARLOCK_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_ARPROT_RIGHT : integer; attribute C_ARPROT_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_ARPROT_WIDTH : integer; attribute C_ARPROT_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_ARQOS_RIGHT : integer; attribute C_ARQOS_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_ARQOS_WIDTH : integer; attribute C_ARQOS_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARREGION_RIGHT : integer; attribute C_ARREGION_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARREGION_WIDTH : integer; attribute C_ARREGION_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARSIZE_RIGHT : integer; attribute C_ARSIZE_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 18; attribute C_ARSIZE_WIDTH : integer; attribute C_ARSIZE_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_ARUSER_RIGHT : integer; attribute C_ARUSER_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_ARUSER_WIDTH : integer; attribute C_ARUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AR_WIDTH : integer; attribute C_AR_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 58; attribute C_AWADDR_RIGHT : integer; attribute C_AWADDR_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 29; attribute C_AWADDR_WIDTH : integer; attribute C_AWADDR_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 28; attribute C_AWBURST_RIGHT : integer; attribute C_AWBURST_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 16; attribute C_AWBURST_WIDTH : integer; attribute C_AWBURST_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_AWCACHE_RIGHT : integer; attribute C_AWCACHE_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 11; attribute C_AWCACHE_WIDTH : integer; attribute C_AWCACHE_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWID_RIGHT : integer; attribute C_AWID_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 57; attribute C_AWID_WIDTH : integer; attribute C_AWID_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AWLEN_RIGHT : integer; attribute C_AWLEN_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 21; attribute C_AWLEN_WIDTH : integer; attribute C_AWLEN_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_AWLOCK_RIGHT : integer; attribute C_AWLOCK_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 15; attribute C_AWLOCK_WIDTH : integer; attribute C_AWLOCK_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AWPROT_RIGHT : integer; attribute C_AWPROT_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_AWPROT_WIDTH : integer; attribute C_AWPROT_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_AWQOS_RIGHT : integer; attribute C_AWQOS_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AWQOS_WIDTH : integer; attribute C_AWQOS_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWREGION_RIGHT : integer; attribute C_AWREGION_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWREGION_WIDTH : integer; attribute C_AWREGION_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWSIZE_RIGHT : integer; attribute C_AWSIZE_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 18; attribute C_AWSIZE_WIDTH : integer; attribute C_AWSIZE_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_AWUSER_RIGHT : integer; attribute C_AWUSER_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AWUSER_WIDTH : integer; attribute C_AWUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AW_WIDTH : integer; attribute C_AW_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 58; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 28; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 128; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_IS_ACLK_ASYNC : integer; attribute C_AXI_IS_ACLK_ASYNC of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_BID_RIGHT : integer; attribute C_BID_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_BID_WIDTH : integer; attribute C_BID_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_BRESP_RIGHT : integer; attribute C_BRESP_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_BRESP_WIDTH : integer; attribute C_BRESP_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_BUSER_RIGHT : integer; attribute C_BUSER_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_BUSER_WIDTH : integer; attribute C_BUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_FAMILY : string; attribute C_FAMILY of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "artix7"; attribute C_FIFO_AR_WIDTH : integer; attribute C_FIFO_AR_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 58; attribute C_FIFO_AW_WIDTH : integer; attribute C_FIFO_AW_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 58; attribute C_FIFO_B_WIDTH : integer; attribute C_FIFO_B_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_FIFO_R_WIDTH : integer; attribute C_FIFO_R_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 132; attribute C_FIFO_W_WIDTH : integer; attribute C_FIFO_W_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 145; attribute C_M_AXI_ACLK_RATIO : integer; attribute C_M_AXI_ACLK_RATIO of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_RDATA_RIGHT : integer; attribute C_RDATA_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_RDATA_WIDTH : integer; attribute C_RDATA_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 128; attribute C_RID_RIGHT : integer; attribute C_RID_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 131; attribute C_RID_WIDTH : integer; attribute C_RID_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_RLAST_RIGHT : integer; attribute C_RLAST_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_RLAST_WIDTH : integer; attribute C_RLAST_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_RRESP_RIGHT : integer; attribute C_RRESP_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_RRESP_WIDTH : integer; attribute C_RRESP_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_RUSER_RIGHT : integer; attribute C_RUSER_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_RUSER_WIDTH : integer; attribute C_RUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_R_WIDTH : integer; attribute C_R_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 132; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_S_AXI_ACLK_RATIO : integer; attribute C_S_AXI_ACLK_RATIO of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WDATA_RIGHT : integer; attribute C_WDATA_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 17; attribute C_WDATA_WIDTH : integer; attribute C_WDATA_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 128; attribute C_WID_RIGHT : integer; attribute C_WID_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 145; attribute C_WID_WIDTH : integer; attribute C_WID_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WLAST_RIGHT : integer; attribute C_WLAST_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WLAST_WIDTH : integer; attribute C_WLAST_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WSTRB_RIGHT : integer; attribute C_WSTRB_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WSTRB_WIDTH : integer; attribute C_WSTRB_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 16; attribute C_WUSER_RIGHT : integer; attribute C_WUSER_RIGHT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WUSER_WIDTH : integer; attribute C_WUSER_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_W_WIDTH : integer; attribute C_W_WIDTH of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 145; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "yes"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "axi_clock_converter_v2_1_10_axi_clock_converter"; attribute P_ACLK_RATIO : integer; attribute P_ACLK_RATIO of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute P_AXI3 : integer; attribute P_AXI3 of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute P_FULLY_REG : integer; attribute P_FULLY_REG of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute P_LIGHT_WT : integer; attribute P_LIGHT_WT of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_LUTRAM_ASYNC : integer; attribute P_LUTRAM_ASYNC of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 12; attribute P_ROUNDING_OFFSET : integer; attribute P_ROUNDING_OFFSET of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_SI_LT_MI : string; attribute P_SI_LT_MI of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "1'b1"; end system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter; architecture STRUCTURE of system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter is signal \<const0>\ : STD_LOGIC; signal async_conv_reset_n : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tlast_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tvalid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_rst_busy_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axis_tready_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_ack_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_rst_busy_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dout_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_aruser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_awuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdata_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdest_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tkeep_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tstrb_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_buser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_ruser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_AXI_ADDR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 28; attribute C_AXI_ARUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_AWUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_BUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_DATA_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 128; attribute C_AXI_ID_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_RUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_WUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 18; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 58; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 132; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 58; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 145; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 3; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 18; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_FAMILY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 11; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 2; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "4kx4"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1021; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1022; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1021; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_SYNCHRONIZER_STAGE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 3; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; begin m_axi_aruser(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gen_clock_conv.gen_async_conv.asyncfifo_axi\: entity work.system_auto_cc_0_fifo_generator_v13_1_3 port map ( almost_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_empty_UNCONNECTED\, almost_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_full_UNCONNECTED\, axi_ar_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_data_count_UNCONNECTED\(4 downto 0), axi_ar_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_dbiterr_UNCONNECTED\, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_overflow_UNCONNECTED\, axi_ar_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_empty_UNCONNECTED\, axi_ar_prog_empty_thresh(3 downto 0) => B"0000", axi_ar_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_full_UNCONNECTED\, axi_ar_prog_full_thresh(3 downto 0) => B"0000", axi_ar_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_rd_data_count_UNCONNECTED\(4 downto 0), axi_ar_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_sbiterr_UNCONNECTED\, axi_ar_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_underflow_UNCONNECTED\, axi_ar_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_wr_data_count_UNCONNECTED\(4 downto 0), axi_aw_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_data_count_UNCONNECTED\(4 downto 0), axi_aw_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_dbiterr_UNCONNECTED\, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_overflow_UNCONNECTED\, axi_aw_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_empty_UNCONNECTED\, axi_aw_prog_empty_thresh(3 downto 0) => B"0000", axi_aw_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_full_UNCONNECTED\, axi_aw_prog_full_thresh(3 downto 0) => B"0000", axi_aw_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_rd_data_count_UNCONNECTED\(4 downto 0), axi_aw_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_sbiterr_UNCONNECTED\, axi_aw_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_underflow_UNCONNECTED\, axi_aw_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_wr_data_count_UNCONNECTED\(4 downto 0), axi_b_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_data_count_UNCONNECTED\(4 downto 0), axi_b_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_dbiterr_UNCONNECTED\, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_overflow_UNCONNECTED\, axi_b_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_empty_UNCONNECTED\, axi_b_prog_empty_thresh(3 downto 0) => B"0000", axi_b_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_full_UNCONNECTED\, axi_b_prog_full_thresh(3 downto 0) => B"0000", axi_b_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_rd_data_count_UNCONNECTED\(4 downto 0), axi_b_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_sbiterr_UNCONNECTED\, axi_b_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_underflow_UNCONNECTED\, axi_b_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_wr_data_count_UNCONNECTED\(4 downto 0), axi_r_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_data_count_UNCONNECTED\(4 downto 0), axi_r_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_dbiterr_UNCONNECTED\, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_overflow_UNCONNECTED\, axi_r_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_empty_UNCONNECTED\, axi_r_prog_empty_thresh(3 downto 0) => B"0000", axi_r_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_full_UNCONNECTED\, axi_r_prog_full_thresh(3 downto 0) => B"0000", axi_r_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_rd_data_count_UNCONNECTED\(4 downto 0), axi_r_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_sbiterr_UNCONNECTED\, axi_r_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_underflow_UNCONNECTED\, axi_r_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_wr_data_count_UNCONNECTED\(4 downto 0), axi_w_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_data_count_UNCONNECTED\(4 downto 0), axi_w_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_dbiterr_UNCONNECTED\, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_overflow_UNCONNECTED\, axi_w_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_empty_UNCONNECTED\, axi_w_prog_empty_thresh(3 downto 0) => B"0000", axi_w_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_full_UNCONNECTED\, axi_w_prog_full_thresh(3 downto 0) => B"0000", axi_w_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_rd_data_count_UNCONNECTED\(4 downto 0), axi_w_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_sbiterr_UNCONNECTED\, axi_w_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_underflow_UNCONNECTED\, axi_w_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_wr_data_count_UNCONNECTED\(4 downto 0), axis_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_data_count_UNCONNECTED\(10 downto 0), axis_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_dbiterr_UNCONNECTED\, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_overflow_UNCONNECTED\, axis_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_empty_UNCONNECTED\, axis_prog_empty_thresh(9 downto 0) => B"0000000000", axis_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_full_UNCONNECTED\, axis_prog_full_thresh(9 downto 0) => B"0000000000", axis_rd_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_rd_data_count_UNCONNECTED\(10 downto 0), axis_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_sbiterr_UNCONNECTED\, axis_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_underflow_UNCONNECTED\, axis_wr_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_wr_data_count_UNCONNECTED\(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_data_count_UNCONNECTED\(9 downto 0), dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dbiterr_UNCONNECTED\, din(17 downto 0) => B"000000000000000000", dout(17 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dout_UNCONNECTED\(17 downto 0), empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_empty_UNCONNECTED\, full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_full_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => m_axi_aclk, m_aclk_en => '1', m_axi_araddr(27 downto 0) => m_axi_araddr(27 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(0) => m_axi_arid(0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_aruser_UNCONNECTED\(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(27 downto 0) => m_axi_awaddr(27 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(0) => m_axi_awid(0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_awuser_UNCONNECTED\(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(0) => m_axi_bid(0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rid(0) => m_axi_rid(0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(127 downto 0) => m_axi_wdata(127 downto 0), m_axi_wid(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wid_UNCONNECTED\(0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(15 downto 0) => m_axi_wstrb(15 downto 0), m_axi_wuser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wuser_UNCONNECTED\(0), m_axi_wvalid => m_axi_wvalid, m_axis_tdata(7 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdata_UNCONNECTED\(7 downto 0), m_axis_tdest(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdest_UNCONNECTED\(0), m_axis_tid(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tid_UNCONNECTED\(0), m_axis_tkeep(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tkeep_UNCONNECTED\(0), m_axis_tlast => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tlast_UNCONNECTED\, m_axis_tready => '0', m_axis_tstrb(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tstrb_UNCONNECTED\(0), m_axis_tuser(3 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tuser_UNCONNECTED\(3 downto 0), m_axis_tvalid => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tvalid_UNCONNECTED\, overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_overflow_UNCONNECTED\, prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_empty_UNCONNECTED\, prog_empty_thresh(9 downto 0) => B"0000000000", prog_empty_thresh_assert(9 downto 0) => B"0000000000", prog_empty_thresh_negate(9 downto 0) => B"0000000000", prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_full_UNCONNECTED\, prog_full_thresh(9 downto 0) => B"0000000000", prog_full_thresh_assert(9 downto 0) => B"0000000000", prog_full_thresh_negate(9 downto 0) => B"0000000000", rd_clk => '0', rd_data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_data_count_UNCONNECTED\(9 downto 0), rd_en => '0', rd_rst => '0', rd_rst_busy => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_rst_busy_UNCONNECTED\, rst => '0', s_aclk => s_axi_aclk, s_aclk_en => '1', s_aresetn => async_conv_reset_n, s_axi_araddr(27 downto 0) => s_axi_araddr(27 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(0) => s_axi_arid(0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(27 downto 0) => s_axi_awaddr(27 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(0) => s_axi_awid(0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_buser_UNCONNECTED\(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(127 downto 0) => s_axi_rdata(127 downto 0), s_axi_rid(0) => s_axi_rid(0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_ruser_UNCONNECTED\(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(127 downto 0) => s_axi_wdata(127 downto 0), s_axi_wid(0) => '0', s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(15 downto 0) => s_axi_wstrb(15 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid, s_axis_tdata(7 downto 0) => B"00000000", s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axis_tready_UNCONNECTED\, s_axis_tstrb(0) => '0', s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => '0', sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_sbiterr_UNCONNECTED\, sleep => '0', srst => '0', underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_underflow_UNCONNECTED\, valid => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_valid_UNCONNECTED\, wr_ack => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_ack_UNCONNECTED\, wr_clk => '0', wr_data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_data_count_UNCONNECTED\(9 downto 0), wr_en => '0', wr_rst => '0', wr_rst_busy => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_rst_busy_UNCONNECTED\ ); \gen_clock_conv.gen_async_conv.asyncfifo_axi_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => s_axi_aresetn, I1 => m_axi_aresetn, O => async_conv_reset_n ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_auto_cc_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 27 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 27 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_aclk : in STD_LOGIC; m_axi_aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 27 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 15 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 27 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 127 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_auto_cc_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_auto_cc_0 : entity is "system_auto_cc_0,axi_clock_converter_v2_1_10_axi_clock_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of system_auto_cc_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of system_auto_cc_0 : entity is "axi_clock_converter_v2_1_10_axi_clock_converter,Vivado 2016.4"; end system_auto_cc_0; architecture STRUCTURE of system_auto_cc_0 is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_ARADDR_RIGHT : integer; attribute C_ARADDR_RIGHT of inst : label is 29; attribute C_ARADDR_WIDTH : integer; attribute C_ARADDR_WIDTH of inst : label is 28; attribute C_ARBURST_RIGHT : integer; attribute C_ARBURST_RIGHT of inst : label is 16; attribute C_ARBURST_WIDTH : integer; attribute C_ARBURST_WIDTH of inst : label is 2; attribute C_ARCACHE_RIGHT : integer; attribute C_ARCACHE_RIGHT of inst : label is 11; attribute C_ARCACHE_WIDTH : integer; attribute C_ARCACHE_WIDTH of inst : label is 4; attribute C_ARID_RIGHT : integer; attribute C_ARID_RIGHT of inst : label is 57; attribute C_ARID_WIDTH : integer; attribute C_ARID_WIDTH of inst : label is 1; attribute C_ARLEN_RIGHT : integer; attribute C_ARLEN_RIGHT of inst : label is 21; attribute C_ARLEN_WIDTH : integer; attribute C_ARLEN_WIDTH of inst : label is 8; attribute C_ARLOCK_RIGHT : integer; attribute C_ARLOCK_RIGHT of inst : label is 15; attribute C_ARLOCK_WIDTH : integer; attribute C_ARLOCK_WIDTH of inst : label is 1; attribute C_ARPROT_RIGHT : integer; attribute C_ARPROT_RIGHT of inst : label is 8; attribute C_ARPROT_WIDTH : integer; attribute C_ARPROT_WIDTH of inst : label is 3; attribute C_ARQOS_RIGHT : integer; attribute C_ARQOS_RIGHT of inst : label is 0; attribute C_ARQOS_WIDTH : integer; attribute C_ARQOS_WIDTH of inst : label is 4; attribute C_ARREGION_RIGHT : integer; attribute C_ARREGION_RIGHT of inst : label is 4; attribute C_ARREGION_WIDTH : integer; attribute C_ARREGION_WIDTH of inst : label is 4; attribute C_ARSIZE_RIGHT : integer; attribute C_ARSIZE_RIGHT of inst : label is 18; attribute C_ARSIZE_WIDTH : integer; attribute C_ARSIZE_WIDTH of inst : label is 3; attribute C_ARUSER_RIGHT : integer; attribute C_ARUSER_RIGHT of inst : label is 0; attribute C_ARUSER_WIDTH : integer; attribute C_ARUSER_WIDTH of inst : label is 0; attribute C_AR_WIDTH : integer; attribute C_AR_WIDTH of inst : label is 58; attribute C_AWADDR_RIGHT : integer; attribute C_AWADDR_RIGHT of inst : label is 29; attribute C_AWADDR_WIDTH : integer; attribute C_AWADDR_WIDTH of inst : label is 28; attribute C_AWBURST_RIGHT : integer; attribute C_AWBURST_RIGHT of inst : label is 16; attribute C_AWBURST_WIDTH : integer; attribute C_AWBURST_WIDTH of inst : label is 2; attribute C_AWCACHE_RIGHT : integer; attribute C_AWCACHE_RIGHT of inst : label is 11; attribute C_AWCACHE_WIDTH : integer; attribute C_AWCACHE_WIDTH of inst : label is 4; attribute C_AWID_RIGHT : integer; attribute C_AWID_RIGHT of inst : label is 57; attribute C_AWID_WIDTH : integer; attribute C_AWID_WIDTH of inst : label is 1; attribute C_AWLEN_RIGHT : integer; attribute C_AWLEN_RIGHT of inst : label is 21; attribute C_AWLEN_WIDTH : integer; attribute C_AWLEN_WIDTH of inst : label is 8; attribute C_AWLOCK_RIGHT : integer; attribute C_AWLOCK_RIGHT of inst : label is 15; attribute C_AWLOCK_WIDTH : integer; attribute C_AWLOCK_WIDTH of inst : label is 1; attribute C_AWPROT_RIGHT : integer; attribute C_AWPROT_RIGHT of inst : label is 8; attribute C_AWPROT_WIDTH : integer; attribute C_AWPROT_WIDTH of inst : label is 3; attribute C_AWQOS_RIGHT : integer; attribute C_AWQOS_RIGHT of inst : label is 0; attribute C_AWQOS_WIDTH : integer; attribute C_AWQOS_WIDTH of inst : label is 4; attribute C_AWREGION_RIGHT : integer; attribute C_AWREGION_RIGHT of inst : label is 4; attribute C_AWREGION_WIDTH : integer; attribute C_AWREGION_WIDTH of inst : label is 4; attribute C_AWSIZE_RIGHT : integer; attribute C_AWSIZE_RIGHT of inst : label is 18; attribute C_AWSIZE_WIDTH : integer; attribute C_AWSIZE_WIDTH of inst : label is 3; attribute C_AWUSER_RIGHT : integer; attribute C_AWUSER_RIGHT of inst : label is 0; attribute C_AWUSER_WIDTH : integer; attribute C_AWUSER_WIDTH of inst : label is 0; attribute C_AW_WIDTH : integer; attribute C_AW_WIDTH of inst : label is 58; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 28; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 128; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 1; attribute C_AXI_IS_ACLK_ASYNC : integer; attribute C_AXI_IS_ACLK_ASYNC of inst : label is 1; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of inst : label is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_BID_RIGHT : integer; attribute C_BID_RIGHT of inst : label is 2; attribute C_BID_WIDTH : integer; attribute C_BID_WIDTH of inst : label is 1; attribute C_BRESP_RIGHT : integer; attribute C_BRESP_RIGHT of inst : label is 0; attribute C_BRESP_WIDTH : integer; attribute C_BRESP_WIDTH of inst : label is 2; attribute C_BUSER_RIGHT : integer; attribute C_BUSER_RIGHT of inst : label is 0; attribute C_BUSER_WIDTH : integer; attribute C_BUSER_WIDTH of inst : label is 0; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of inst : label is 3; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "artix7"; attribute C_FIFO_AR_WIDTH : integer; attribute C_FIFO_AR_WIDTH of inst : label is 58; attribute C_FIFO_AW_WIDTH : integer; attribute C_FIFO_AW_WIDTH of inst : label is 58; attribute C_FIFO_B_WIDTH : integer; attribute C_FIFO_B_WIDTH of inst : label is 3; attribute C_FIFO_R_WIDTH : integer; attribute C_FIFO_R_WIDTH of inst : label is 132; attribute C_FIFO_W_WIDTH : integer; attribute C_FIFO_W_WIDTH of inst : label is 145; attribute C_M_AXI_ACLK_RATIO : integer; attribute C_M_AXI_ACLK_RATIO of inst : label is 2; attribute C_RDATA_RIGHT : integer; attribute C_RDATA_RIGHT of inst : label is 3; attribute C_RDATA_WIDTH : integer; attribute C_RDATA_WIDTH of inst : label is 128; attribute C_RID_RIGHT : integer; attribute C_RID_RIGHT of inst : label is 131; attribute C_RID_WIDTH : integer; attribute C_RID_WIDTH of inst : label is 1; attribute C_RLAST_RIGHT : integer; attribute C_RLAST_RIGHT of inst : label is 0; attribute C_RLAST_WIDTH : integer; attribute C_RLAST_WIDTH of inst : label is 1; attribute C_RRESP_RIGHT : integer; attribute C_RRESP_RIGHT of inst : label is 1; attribute C_RRESP_WIDTH : integer; attribute C_RRESP_WIDTH of inst : label is 2; attribute C_RUSER_RIGHT : integer; attribute C_RUSER_RIGHT of inst : label is 0; attribute C_RUSER_WIDTH : integer; attribute C_RUSER_WIDTH of inst : label is 0; attribute C_R_WIDTH : integer; attribute C_R_WIDTH of inst : label is 132; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of inst : label is 3; attribute C_S_AXI_ACLK_RATIO : integer; attribute C_S_AXI_ACLK_RATIO of inst : label is 1; attribute C_WDATA_RIGHT : integer; attribute C_WDATA_RIGHT of inst : label is 17; attribute C_WDATA_WIDTH : integer; attribute C_WDATA_WIDTH of inst : label is 128; attribute C_WID_RIGHT : integer; attribute C_WID_RIGHT of inst : label is 145; attribute C_WID_WIDTH : integer; attribute C_WID_WIDTH of inst : label is 0; attribute C_WLAST_RIGHT : integer; attribute C_WLAST_RIGHT of inst : label is 0; attribute C_WLAST_WIDTH : integer; attribute C_WLAST_WIDTH of inst : label is 1; attribute C_WSTRB_RIGHT : integer; attribute C_WSTRB_RIGHT of inst : label is 1; attribute C_WSTRB_WIDTH : integer; attribute C_WSTRB_WIDTH of inst : label is 16; attribute C_WUSER_RIGHT : integer; attribute C_WUSER_RIGHT of inst : label is 0; attribute C_WUSER_WIDTH : integer; attribute C_WUSER_WIDTH of inst : label is 0; attribute C_W_WIDTH : integer; attribute C_W_WIDTH of inst : label is 145; attribute P_ACLK_RATIO : integer; attribute P_ACLK_RATIO of inst : label is 2; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_FULLY_REG : integer; attribute P_FULLY_REG of inst : label is 1; attribute P_LIGHT_WT : integer; attribute P_LIGHT_WT of inst : label is 0; attribute P_LUTRAM_ASYNC : integer; attribute P_LUTRAM_ASYNC of inst : label is 12; attribute P_ROUNDING_OFFSET : integer; attribute P_ROUNDING_OFFSET of inst : label is 0; attribute P_SI_LT_MI : string; attribute P_SI_LT_MI of inst : label is "1'b1"; attribute downgradeipidentifiedwarnings of inst : label is "yes"; begin inst: entity work.system_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter port map ( m_axi_aclk => m_axi_aclk, m_axi_araddr(27 downto 0) => m_axi_araddr(27 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_aresetn => m_axi_aresetn, m_axi_arid(0) => m_axi_arid(0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(27 downto 0) => m_axi_awaddr(27 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(0) => m_axi_awid(0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(0) => m_axi_bid(0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(127 downto 0) => m_axi_rdata(127 downto 0), m_axi_rid(0) => m_axi_rid(0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(127 downto 0) => m_axi_wdata(127 downto 0), m_axi_wid(0) => NLW_inst_m_axi_wid_UNCONNECTED(0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(15 downto 0) => m_axi_wstrb(15 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_aclk => s_axi_aclk, s_axi_araddr(27 downto 0) => s_axi_araddr(27 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(0) => s_axi_arid(0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(27 downto 0) => s_axi_awaddr(27 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(0) => s_axi_awid(0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(0) => s_axi_bid(0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(127 downto 0) => s_axi_rdata(127 downto 0), s_axi_rid(0) => s_axi_rid(0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(127 downto 0) => s_axi_wdata(127 downto 0), s_axi_wid(0) => '0', s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(15 downto 0) => s_axi_wstrb(15 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
---------------------------------------------------------------------------- -- GPIO_demo.vhd -- Nexys3 GPIO/UART Demonstration Project ---------------------------------------------------------------------------- -- Author: <NAME> -- Copyright 2011 Digilent, Inc. ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- The GPIO/UART Demo project demonstrates a simple usage of the Nexys3's -- GPIO and UART in an ISE design. The behavior is as follows: -- -- *The 8 User LEDs are tied to the 8 User Switches. While the center -- User button is pressed, the LEDs are instead tied to GND -- *The 7-Segment display counts from 0 to 9 on each of it 4 -- digits. This count is reset when the center button is pressed. -- Also, single anodes of the 7-Segment display are blanked by -- holding BTNU, BTNL, BTND, or BTNR. Holding the center button -- blanks all the 7-Segment anodes. -- *An introduction message is sent across the UART when the device -- is finished being configured, and after the center User button -- is pressed. -- *A message is sent over UART whenever BTNU, BTNL, BTND, or BTNR is -- pressed. -- *Note that the center user button behaves as a user reset button -- and is referred to as such in the code comments below -- -- All UART communication can be captured by attaching the UART port to a -- computer running a Terminal program with 9600 Baud Rate, 8 data bits, no -- parity, and 1 stop bit. ---------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- Revision History: -- 08/08/2011(SamB): Created using Xilinx Tools 13.2 ---------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; --The IEEE.std_logic_unsigned contains definitions that allow --std_logic_vector types to be used with the + operator to instantiate a --counter. use IEEE.std_logic_unsigned.all; entity GPIO_demo is Port ( SW : in STD_LOGIC_VECTOR (7 downto 0); BTN : in STD_LOGIC_VECTOR (4 downto 0); CLK : in STD_LOGIC; LED : out STD_LOGIC_VECTOR (7 downto 0); SSEG_CA : out STD_LOGIC_VECTOR (7 downto 0); SSEG_AN : out STD_LOGIC_VECTOR (3 downto 0); UART_TXD : out STD_LOGIC; PMODBT_RST : out STD_LOGIC; PMODBT_CTS : out STD_LOGIC); end GPIO_demo; architecture Behavioral of GPIO_demo is component UART_TX_CTRL Port( SEND : in std_logic; DATA : in std_logic_vector(7 downto 0); CLK : in std_logic; READY : out std_logic; UART_TX : out std_logic ); end component; component btn_debounce Port( BTN_I : in std_logic_vector(4 downto 0); CLK : in std_logic; BTN_O : out std_logic_vector(4 downto 0) ); end component; --The type definition for the UART state machine type. Here is a description of what --occurs during each state: -- RST_REG -- Do Nothing. This state is entered after configuration or a user reset. -- The state is set to LD_INIT_STR. -- LD_INIT_STR -- The Welcome String is loaded into the sendStr variable and the strIndex -- variable is set to zero. The welcome string length is stored in the StrEnd -- variable. The state is set to SEND_CHAR. -- SEND_CHAR -- uartSend is set high for a single clock cycle, signaling the character -- data at sendStr(strIndex) to be registered by the UART_TX_CTRL at the next -- cycle. Also, strIndex is incremented (behaves as if it were post -- incremented after reading the sendStr data). The state is set to RDY_LOW. -- RDY_LOW -- Do nothing. Wait for the READY signal from the UART_TX_CTRL to go low, -- indicating a send operation has begun. State is set to WAIT_RDY. -- WAIT_RDY -- Do nothing. Wait for the READY signal from the UART_TX_CTRL to go high, -- indicating a send operation has finished. If READY is high and strEnd = -- StrIndex then state is set to WAIT_BTN, else if READY is high and strEnd /= -- StrIndex then state is set to SEND_CHAR. -- WAIT_BTN -- Do nothing. Wait for a button press on BTNU, BTNL, BTND, or BTNR. If a -- button press is detected, set the state to LD_BTN_STR. -- LD_BTN_STR -- The Button String is loaded into the sendStr variable and the strIndex -- variable is set to zero. The button string length is stored in the StrEnd -- variable. The state is set to SEND_CHAR. type UART_STATE_TYPE is (RST_REG, LD_INIT_STR, SEND_CHAR, RDY_LOW, WAIT_RDY, WAIT_BTN, LD_BTN_STR); --The CHAR_ARRAY type is a variable length array of 8 bit std_logic_vectors. --Each std_logic_vector contains an ASCII value and represents a character in --a string. The character at index 0 is meant to represent the first --character of the string, the character at index 1 is meant to represent the --second character of the string, and so on. type CHAR_ARRAY is array (integer range<>) of std_logic_vector(7 downto 0); constant TMR_CNTR_MAX : std_logic_vector(26 downto 0) := "101111101011110000100000000"; --"100,000,000 = clk cycles per second constant TMR_VAL_MAX : std_logic_vector(3 downto 0) := "1001"; --9 constant MAX_STR_LEN : integer := 27; constant WELCOME_STR_LEN : natural := 27; constant BTN_STR_LEN : natural := 24; --Welcome string definition. Note that the values stored at each index --are the ASCII values of the indicated character. constant WELCOME_STR : CHAR_ARRAY(0 to 26) := (X"0A", --\n X"0D", --\r X"4E", --N X"45", --E X"58", --X X"59", --Y X"53", --S X"33", --3 X"20", -- X"47", --G X"50", --P X"49", --I X"4F", --O X"2F", --/ X"55", --U X"41", --A X"52", --R X"54", --T X"20", -- X"44", --D X"45", --E X"4D", --M X"4F", --O X"21", --! X"0A", --\n X"0A", --\n X"0D"); --\r --Button press string definition. constant BTN_STR : CHAR_ARRAY(0 to 23) := (X"42", --B X"75", --u X"74", --t X"74", --t X"6F", --o X"6E", --n X"20", -- X"70", --p X"72", --r X"65", --e X"73", --s X"73", --s X"20", -- X"64", --d X"65", --e X"74", --t X"65", --e X"63", --c X"74", --t X"65", --e X"64", --d X"21", --! X"0A", --\n X"0D"); --\r --This is used to determine when the 7-segment display should be --incremented signal tmrCntr : std_logic_vector(26 downto 0) := (others => '0'); --This counter keeps track of which number is currently being displayed --on the 7-segment. signal tmrVal : std_logic_vector(3 downto 0) := (others => '0'); --Contains the current string being sent over uart. signal sendStr : CHAR_ARRAY(0 to (MAX_STR_LEN - 1)); --Contains the length of the current string being sent over uart. signal strEnd : natural; --Contains the index of the next character to be sent over uart --within the sendStr variable. signal strIndex : natural; --Used to determine when a button press has occured signal btnReg : std_logic_vector (3 downto 0) := "0000"; signal btnDetect : std_logic; --UART_TX_CTRL control signals signal uartRdy : std_logic; signal uartSend : std_logic := '0'; signal uartData : std_logic_vector (7 downto 0):= "00000000"; signal uartTX : std_logic; --Current uart state signal signal uartState : UART_STATE_TYPE := RST_REG; --Debounced btn signals used to prevent single button presses --from being interpreted as multiple button presses. signal btnDeBnc : std_logic_vector(4 downto 0); begin PMODBT_RST <= '1'; PMODBT_CTS <= '0'; with BTN(4) select LED <= SW when '0', "00000000" when others; with BTN(4) select SSEG_AN <= btnDeBnc(3 downto 0) when '0', "1111" when others; --This process controls the counter that triggers the 7-segment --to be incremented. It counts 100,000,000 and then resets. timer_counter_process : process (CLK) begin if (rising_edge(CLK)) then if ((tmrCntr = TMR_CNTR_MAX) or (BTN(4) = '1')) then tmrCntr <= (others => '0'); else tmrCntr <= tmrCntr + 1; end if; end if; end process; --This process increments the digit being displayed on the --7-segment display every second. timer_inc_process : process (CLK) begin if (rising_edge(CLK)) then if (BTN(4) = '1') then tmrVal <= (others => '0'); elsif (tmrCntr = TMR_CNTR_MAX) then if (tmrVal = TMR_VAL_MAX) then tmrVal <= (others => '0'); else tmrVal <= tmrVal + 1; end if; end if; end if; end process; --This select statement encodes the value of tmrVal to the necessary --cathode signals to display it on the 7-segment with tmrVal select SSEG_CA <= "11000000" when "0000", "11111001" when "0001", "10100100" when "0010", "10110000" when "0011", "10011001" when "0100", "10010010" when "0101", "10000010" when "0110", "11111000" when "0111", "10000000" when "1000", "10010000" when "1001", "11111111" when others; btn_reg_process : process (CLK) begin if (rising_edge(CLK)) then btnReg <= btnDeBnc(3 downto 0); end if; end process; --btnDetect goes high for a single clock cycle when a btn press is --detected. This triggers a UART message to begin being sent. btnDetect <= '1' when ((btnReg(0)='0' and btnDeBnc(0)='1') or (btnReg(1)='0' and btnDeBnc(1)='1') or (btnReg(2)='0' and btnDeBnc(2)='1') or (btnReg(3)='0' and btnDeBnc(3)='1') ) else '0'; --Next Uart state logic (states described above) next_uartState_process : process (CLK) begin if (rising_edge(CLK)) then if (btnDeBnc(4) = '1') then uartState <= RST_REG; else case uartState is when RST_REG => uartState <= LD_INIT_STR; when LD_INIT_STR => uartState <= SEND_CHAR; when SEND_CHAR => uartState <= RDY_LOW; when RDY_LOW => uartState <= WAIT_RDY; when WAIT_RDY => if (uartRdy = '1') then if (strEnd = strIndex) then uartState <= WAIT_BTN; else uartState <= SEND_CHAR; end if; end if; when WAIT_BTN => if (btnDetect = '1') then uartState <= LD_BTN_STR; end if; when LD_BTN_STR => uartState <= SEND_CHAR; when others=> --should never be reached uartState <= RST_REG; end case; end if ; end if; end process; --Loads the sendStr and strEnd signals when a LD state is --is reached. string_load_process : process (CLK) begin if (rising_edge(CLK)) then if (uartState = LD_INIT_STR) then sendStr <= WELCOME_STR; strEnd <= WELCOME_STR_LEN; elsif (uartState = LD_BTN_STR) then sendStr(0 to 23) <= BTN_STR; strEnd <= BTN_STR_LEN; end if; end if; end process; --Conrols the strIndex signal so that it contains the index --of the next character that needs to be sent over uart char_count_process : process (CLK) begin if (rising_edge(CLK)) then if (uartState = LD_INIT_STR or uartState = LD_BTN_STR) then strIndex <= 0; elsif (uartState = SEND_CHAR) then strIndex <= strIndex + 1; end if; end if; end process; --Controls the UART_TX_CTRL signals char_load_process : process (CLK) begin if (rising_edge(CLK)) then if (uartState = SEND_CHAR) then uartSend <= '1'; uartData <= sendStr(strIndex); else uartSend <= '0'; end if; end if; end process; --Debounces btn signals Inst_btn_debounce: btn_debounce port map( BTN_I => BTN, CLK => CLK, BTN_O => btnDeBnc ); --Component used to send a byte of data over a UART line. Inst_UART_TX_CTRL: UART_TX_CTRL port map( SEND => uartSend, DATA => uartData, CLK => CLK, READY => uartRdy, UART_TX => uartTX ); UART_TXD <= uartTX; end Behavioral;
------------------------------------------------------------------------------ -- file with the vhdl_descriptions of the cells used in the -- -- 'ontwerppracticum'. -- ------------------------------------------------------------------------------ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mir_nin IS PORT(I: INOUT STD_LOGIC; G: OUT STD_LOGIC); END mir_nin; ARCHITECTURE dataflow OF mir_nin IS BEGIN END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mir_pin IS PORT(I: INOUT STD_LOGIC; G: OUT STD_LOGIC); END mir_pin; ARCHITECTURE dataflow OF mir_pin IS BEGIN END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mir_nout IS PORT(O: INOUT STD_LOGIC; I: IN STD_LOGIC; G: IN STD_LOGIC); END mir_nout; ARCHITECTURE dataflow OF mir_nout IS BEGIN END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mir_pout IS PORT(O: INOUT STD_LOGIC; I: IN STD_LOGIC; G: IN STD_LOGIC); END mir_pout; ARCHITECTURE dataflow OF mir_pout IS BEGIN END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ln3x3 IS PORT(G: IN STD_LOGIC; D: INOUT STD_LOGIC; S: IN STD_LOGIC); END ln3x3; ARCHITECTURE dataflow OF ln3x3 IS BEGIN END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY lp3x3 IS PORT(G: IN STD_LOGIC; D: INOUT STD_LOGIC; S: IN STD_LOGIC); END lp3x3; ARCHITECTURE dataflow OF lp3x3 IS BEGIN END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY osc10 IS PORT(e: IN STD_LOGIC; f: OUT STD_LOGIC; xi: IN STD_LOGIC; xo: INOUT STD_LOGIC := 'Z'); END osc10; ARCHITECTURE dataflow OF osc10 IS BEGIN lbl1: process(e, xo, xi) begin if (e /= '1') then f <= '1'; elsif (xo = 'Z') then f <= xi; else f <= NOT xo; end if; end process; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY iv110 IS PORT (A: IN STD_LOGIC; Y: OUT STD_LOGIC); END iv110; ARCHITECTURE dataflow OF iv110 IS BEGIN Y <= NOT(A) after 1.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY no210 IS PORT (A: IN STD_LOGIC; B: IN STD_LOGIC; Y: OUT STD_LOGIC); END no210; ARCHITECTURE dataflow OF no210 IS BEGIN Y <= A NOR B after 1.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY no310 IS PORT (A: IN STD_LOGIC; B: IN STD_LOGIC; C: IN STD_LOGIC; Y: OUT STD_LOGIC); END no310; ARCHITECTURE dataflow OF no310 IS BEGIN Y <= NOT(A OR B OR C) after 2.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY na210 IS PORT (A: IN STD_LOGIC; B: IN STD_LOGIC; Y: OUT STD_LOGIC); END na210; ARCHITECTURE dataflow OF na210 IS BEGIN Y <= A NAND B after 1.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY na310 IS PORT (A: IN STD_LOGIC; B: IN STD_LOGIC; C: IN STD_LOGIC; Y: OUT STD_LOGIC); END na310; ARCHITECTURE dataflow OF na310 IS BEGIN Y <= NOT(A AND B AND C) after 2.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY ex210 IS PORT (A: IN STD_LOGIC; B: IN STD_LOGIC; Y: OUT STD_LOGIC); END ex210; ARCHITECTURE dataflow OF ex210 IS BEGIN Y <= A XOR B after 2.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY buf40 IS PORT (A: IN STD_LOGIC; Y: OUT STD_LOGIC); END buf40; ARCHITECTURE dataflow OF buf40 IS BEGIN Y <= A after 2.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY tbuf10 IS PORT (A: IN STD_LOGIC; E: IN STD_LOGIC; Y: OUT STD_LOGIC); END tbuf10; ARCHITECTURE dataflow OF tbuf10 IS BEGIN Y <= A after 2.5 ns when (E = '1') else 'Z' after 2.5 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY tinv10 IS PORT (A: IN STD_LOGIC; E: IN STD_LOGIC; Y: OUT STD_LOGIC); END tinv10; ARCHITECTURE dataflow OF tinv10 IS BEGIN Y <= not A after 1.5 ns when (E = '1') else 'Z' after 1.5 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mu111 IS PORT (A: IN STD_LOGIC; B: IN STD_LOGIC; S: IN STD_LOGIC; Y: OUT STD_LOGIC); END mu111; ARCHITECTURE dataflow OF mu111 IS BEGIN Y <= (NOT(S) AND A) OR (S AND B) after 2.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mu210 IS PORT (S1:IN STD_LOGIC; S2:IN STD_LOGIC; A: IN STD_LOGIC; B: IN STD_LOGIC; C: IN STD_LOGIC; D: IN STD_LOGIC; Y: OUT STD_LOGIC); END mu210; ARCHITECTURE dataflow OF mu210 IS BEGIN Y <= (NOT(S1) AND NOT(S2) AND A) OR ( S1 AND NOT(S2) AND B) OR (NOT(S1) AND S2 AND C) OR ( S1 AND S2 And D) after 4.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY de211 IS PORT (A: IN STD_LOGIC; B: IN STD_LOGIC; Y0: OUT STD_LOGIC; Y1: OUT STD_LOGIC; Y2: OUT STD_LOGIC; Y3: OUT STD_LOGIC); END de211; ARCHITECTURE dataflow OF de211 IS BEGIN Y0 <= NOT(A) AND NOT(B) after 4.0 ns; Y1 <= A AND NOT(B) after 4.0 ns; Y2 <= NOT(A) AND B after 4.0 ns; Y3 <= A AND B after 4.0 ns; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY dfn10 IS PORT (D: IN STD_LOGIC; CK: IN STD_LOGIC; Q: OUT STD_LOGIC); END dfn10; ARCHITECTURE dataflow OF dfn10 IS SIGNAL Q_INT: std_logic; BEGIN Q <= Q_INT; PROCESS(CK, D) BEGIN IF(CK'event AND CK='1') THEN -- pragma translate_off assert D'quiet(2.0 ns) report "setup_violation" severity warning; -- pragma translate_on Q_INT <= D after 4.0 ns; END IF; -- pragma translate_off IF (D'event AND CK = '1' AND (D = Q_INT)) THEN assert CK'quiet(1.0 ns) report "hold_violation" severity warning; END IF; -- pragma translate_on END PROCESS; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY dfr11 IS PORT (D: IN STD_LOGIC; R: IN STD_LOGIC; CK: IN STD_LOGIC; Q: OUT STD_LOGIC); END dfr11; ARCHITECTURE dataflow OF dfr11 IS SIGNAL Q_INT: std_logic; BEGIN Q <= Q_INT; PROCESS(CK, D, R) BEGIN IF(CK'event AND CK='1') THEN -- pragma translate_off assert D'quiet(2.0 ns) report "setup_violation of D" severity warning; -- pragma translate_on IF (R='1') THEN -- pragma translate_off assert R'quiet(2.0 ns) report "setup_violation of R" severity warning; -- pragma translate_on Q_INT <= '0' after 4.0 ns; ELSE Q_INT <= D after 4.0 ns; END IF; END IF; -- pragma translate_off IF (D'event AND CK = '1' AND (D = Q_INT)) THEN assert CK'quiet(1.0 ns) report "hold_violation of D" severity warning; END IF; IF (R'event AND R = '0' AND CK = '1' AND (Q_INT /= '0')) THEN assert CK'quiet(1.0 ns) report "hold_violation of R" severity warning; END IF; -- pragma translate_on END PROCESS; END dataflow; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY dfa11 IS PORT (D: IN STD_LOGIC; R: IN STD_LOGIC; CK: IN STD_LOGIC; Q: OUT STD_LOGIC); END dfa11; ARCHITECTURE dataflow OF dfa11 IS SIGNAL Q_INT: std_logic; BEGIN Q <= Q_INT; PROCESS(CK, D, R) BEGIN IF (R='1') THEN Q_INT <= '0' after 4.0 ns; ELSIF(CK'event AND CK='1') THEN -- pragma translate_off assert D'quiet(2.0 ns) report "setup_violation of D" severity warning; -- pragma translate_on Q_INT <= D after 4.0 ns; END IF; -- pragma translate_off IF (D'event AND CK = '1' AND (D = Q_INT)) THEN assert CK'quiet(1.0 ns) report "hold_violation of D" severity warning; END IF; -- pragma translate_on END PROCESS; END dataflow;
-- Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2019.1 (win64) Build 2552052 Fri May 24 14:49:42 MDT 2019 -- Date : Thu Dec 9 13:46:09 2021 -- Host : F210-27 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ start_rom_sim_netlist.vhdl -- Design : start_rom -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_mux is port ( \^douta\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); DOADO : in STD_LOGIC_VECTOR ( 3 downto 0 ); ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 5 downto 0 ); clka : in STD_LOGIC; DOUTA : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[1]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[2]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[2]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \douta[3]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_mux; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_mux is signal \douta[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \douta[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \douta[2]_INST_0_i_1_n_0\ : STD_LOGIC; signal \douta[3]_INST_0_i_1_n_0\ : STD_LOGIC; signal sel_pipe : STD_LOGIC_VECTOR ( 5 downto 0 ); signal sel_pipe_d1 : STD_LOGIC_VECTOR ( 5 downto 0 ); begin \douta[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \douta[0]_INST_0_i_1_n_0\, I1 => DOUTA(0), I2 => sel_pipe_d1(5), I3 => \douta[0]\(0), I4 => sel_pipe_d1(4), I5 => \douta[0]_0\(0), O => \^douta\(0) ); \douta[0]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => sel_pipe_d1(2), I1 => sel_pipe_d1(0), I2 => DOADO(0), I3 => sel_pipe_d1(1), I4 => sel_pipe_d1(3), O => \douta[0]_INST_0_i_1_n_0\ ); \douta[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \douta[1]_INST_0_i_1_n_0\, I1 => \douta[1]\(0), I2 => sel_pipe_d1(5), I3 => \douta[1]_0\(0), I4 => sel_pipe_d1(4), I5 => \douta[1]_1\(0), O => \^douta\(1) ); \douta[1]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => sel_pipe_d1(2), I1 => sel_pipe_d1(0), I2 => DOADO(1), I3 => sel_pipe_d1(1), I4 => sel_pipe_d1(3), O => \douta[1]_INST_0_i_1_n_0\ ); \douta[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \douta[2]_INST_0_i_1_n_0\, I1 => \douta[2]\(0), I2 => sel_pipe_d1(5), I3 => \douta[2]_0\(0), I4 => sel_pipe_d1(4), I5 => \douta[2]_1\(0), O => \^douta\(2) ); \douta[2]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => sel_pipe_d1(2), I1 => sel_pipe_d1(0), I2 => DOADO(2), I3 => sel_pipe_d1(1), I4 => sel_pipe_d1(3), O => \douta[2]_INST_0_i_1_n_0\ ); \douta[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \douta[3]_INST_0_i_1_n_0\, I1 => \douta[3]\(0), I2 => sel_pipe_d1(5), I3 => \douta[3]_0\(0), I4 => sel_pipe_d1(4), I5 => \douta[3]_1\(0), O => \^douta\(3) ); \douta[3]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => sel_pipe_d1(2), I1 => sel_pipe_d1(0), I2 => DOADO(3), I3 => sel_pipe_d1(1), I4 => sel_pipe_d1(3), O => \douta[3]_INST_0_i_1_n_0\ ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => sel_pipe(0), Q => sel_pipe_d1(0), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => sel_pipe(1), Q => sel_pipe_d1(1), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => sel_pipe(2), Q => sel_pipe_d1(2), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => sel_pipe(3), Q => sel_pipe_d1(3), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => sel_pipe(4), Q => sel_pipe_d1(4), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => sel_pipe(5), Q => sel_pipe_d1(5), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => addra(0), Q => sel_pipe(0), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => addra(1), Q => sel_pipe(1), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => addra(2), Q => sel_pipe(2), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => addra(3), Q => sel_pipe(3), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => addra(4), Q => sel_pipe(4), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clka, CE => ena, D => addra(5), Q => sel_pipe(5), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF0000", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFE80000001EFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000BFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFE000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00003FFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFD0001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0005FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF001FFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFC007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE007FFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFE03FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"C1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF87FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8FFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFE3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFF3FFFFFF9FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FF7FFFFFFFFFFFE3FFFFFFFFFFFFFFFFFFF9FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FF9FFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_46 => X"FF7FFDF7FF77FFFFFF7FFFCFFF7FFFFFFFFD41FFF7EA17FEFFFFFF7FFFC7FFDF", INIT_47 => X"7FFF8FFFBFBFFFFFF7FFFFFF7EFF82FFFFFFFFFFE83FFFFFFFFFEFFFFFFFDC17", INIT_48 => X"17FE0300FFC000001FFFA00007FFC0000FFFFFFFFFFFFFFFFFFFFFFFF7FFFFFF", INIT_49 => X"FFFC017FC0000003FFFFFE0700FFF0003E001E0000000FFFE0001FFFFFFFE060", INIT_4A => X"FFFFFFFFFFFFFFFFFFFE0000000FFF40000FF800007E0000000FE0001FFFFFFF", INIT_4B => X"C0000001FFA00003FFFFFFFC0000FFC0000FF8000003FFE00000FFC00001FFFF", INIT_4C => X"00000FC0000001FC0000FFFFFFFFFF0007F80000007FFFFFC0C00FFE00078003", INIT_4D => X"0000007FE800001FC000003FFFFFFFFFFFFFFFFFFFFFFFC0000001FFC00001FF", INIT_4E => X"00000FFFFFF80000FFC000F800780000003FF000007FFFFFFF80001FF80000FF", INIT_4F => X"FFFFFFFFF80000003FF000003FE00001F80000003F80000FFFFFFFFFC000FF00", INIT_50 => X"00000FFFFFFFF00000FF00000FE000000FF8000003F0000007FFFFFFFFFFFFFF", INIT_51 => X"0007F00003FFFFFFFFF0001FE0000001FFFFFF00000FF8001E000F00000007FC", INIT_52 => X"00007C000000FFFFFFFFFFFFFFFFFFFFFFFF00000007F0000007FC00003F0000", INIT_53 => X"E00001FF00038001E0000000FE000001FFFFFFFE00003FE00001FC000001FE00", INIT_54 => X"000000FE000000FF802007E0000000FE00001FFFFFFFFC0000FC0000003FFFFF", INIT_55 => X"FFC01803FC00C01F8000003FC000000F8000001FFFFFFFFFFFFFFFFFFFFFFFE0", INIT_56 => X"FFFFFFFF80001F81E01F07FFFFFC00001FE00078003C0F00F81F8000003FFFFF", INIT_57 => X"7FFFFFFFFFFFFFFFFFFFFFFFFC0F00F81FC000001FF00200FC0F80781FC01807", INIT_58 => X"FF003B81F01E03F801C07BFFFFFFF803C07F803E03F01FC07BF800E01EF001C0", INIT_59 => X"C03DFDC041FF81F01F03F80F007FFFFFFFE01401F03E01C0FFFFFF801003FB80", INIT_5A => X"07803E03F80FFE00BC03FC00780FFFFFFFFFFFFFFFFFFFFFFFFF81F01E03F001", INIT_5B => X"807E07C0381FFFFFF007C07FF81FC007F03E03C07C01780FFFFFFFFF01F80FF0", INIT_5C => X"FFFFFFFFFFFFFFF03E03C07C017807FFF8183FF03E03E07F01F80FFFFFFFFC07", INIT_5D => X"780FC03F01FFFFFFFFE03F81FE01F80FC07F01FFC03F807F807F01FFFFFFFFFF", INIT_5E => X"FE07C07C0FE07E00FFFFFFFF80FC07C0F80703FFFFFE00FC07FF03F800FE07C0", INIT_5F => X"3FF807F00FE00FE03FFFFFFFFFFFFFFFFFFFFFFFFE07C0780F007F00FFFF0307", INIT_60 => X"7FFFFFC03F807FE07F001FC0F80F01F00FE03FFFFFFFFC07F01FC07F01F80FE0", INIT_61 => X"FFFFC0F80F01F00FE01FFFE060FFC0F80F81FC0FC01FFFFFFFE03F80F81F00E0", INIT_62 => X"FFFFFFFF80FE03F80FE03F01FC07FE01FE01FC03FC07FFFFFFFFFFFFFFFFFFFF", INIT_63 => X"80F803FFFFFFFC07F01F03E01C0FFFFFF80FE01FFC0FC003F81F01E03E01FC07", INIT_64 => X"007F80FFFFFFFFFFFFFFFFFFFFFFFFF81F01E03C03FC03FFF8080FF81F01F03F", INIT_65 => X"03FF81F8007F03E03C07803F80FFFFFFFFF03FC07F01FC07E03F80FF803FC03F", INIT_66 => X"07803F807FFF8301FF03E03E07F01F807FFFFFFF807E01E07C0381FFFFFF00FE", INIT_67 => X"F00FE03F00FC07F01FF007F807F00FF01FFFFFFFFFFFFFFFFFFFFFFFFF03E03C", INIT_68 => X"FFF01FC01C0F80703FFFFFE03FC03FF03F000FE07C0780F00FF01FFFFFFFFE07", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFE07C0780E00FF00FFFF0703FE07C07C0FE03F00FFFFF", INIT_6A => X"FC0F80F01F01FE03FFFFFFFFC0FC01FC07F01F80FE03FF00FF00FE03FE03FFFF", INIT_6B => X"FE0E03FC0F80F81FC07C01FFFFFFFC03FC0781F00E07FFFFFC07F807FE07C081", INIT_6C => X"F01FC07FE01FE01FC07FC07FFFFFFFFFFFFFFFFFFFFFFFFC0F80F01C01FE01FF", INIT_6D => X"3E01C0FFFFFF80FF007FC0F0103F81F01E03E03FC07FFFFFFFF81FC07F80FC03", INIT_6E => X"FFFFFFFFFF81F01E03C03FC03FFF81C0FF81F01F03F80F007FFFFFFF007F80F0", INIT_6F => X"07F80FFFFFFFFF03F00FF01F803F07F80FFC03FC03F00FF80FFFFFFFFFFFFFFF", INIT_70 => X"03E07F01F00FFFFFFFF00FF01E07C0381FFFFFF03FE01FF81F0207F03E03C078", INIT_71 => X"7F807E01FF01FFFFFFFFFFFFFFFFFFFFFFFFF03E03C07807F807FFF0381FF03E", INIT_72 => X"FE07FC03FF03C0C0FE07C0780F00FF01FFFFFFFFE03E00FF03E00FFFFF01FF80", INIT_73 => X"07C0780E00FF00FFFE0383FE07C07C0FC07803FFFFFFFE03FE01C0F80703FFFF", INIT_74 => X"FFFFFF003FFFFC03FFFFE03FE00FF00FC03FE03FFFFFFFFFFFFFFFFFFFFFFFFE", INIT_75 => X"7FFFFFFFC07FC0381F00E07FFFFFFFFFC07FE070181FC0F80F01F00FE03FFFFF", INIT_76 => X"07FFFFFFFFFFFFFFFFFFFFFFFFC0F80F01C00FE01FFF80703FC0F80F81FFFF80", INIT_77 => X"0F0103F81F01E03F01FC07FFFFFFFFFFE00FFFFE007FFFFC07FE00FE01FC07FC", INIT_78 => X"FC03FFF81E07F81F01F03FFFE00FFFFFFFF80FF80703E01C0FFFFFFFFFF80FFC", INIT_79 => X"FFC00FFFFF80FFC03FC03F80FF80FFFFFFFFFFFFFFFFFFFFFFFFF81F01E03C03", INIT_7A => X"FF0060FC0381FFFFFFFFFF01FF81C0607F03E03C0FE01F80FFFFFFFFFFFC00FF", INIT_7B => X"FFFFFFFFFFFFFFFF07E03C0FC03F807FFF03C0FF83E03E0FFFF003FFFFFFFF01", INIT_7C => X"07FFFC03F01FFFFFFFFFFF007FFFF803FFFFF01FFC01F807F01FF01FFFFFFFFF", INIT_7D => X"0FFFFC07FFFFFC003FFFFFFFC03FC00FFF807FFFFFFFFFFFE01FF0300C0FFFFC", INIT_7E => X"03FF001F00FE01FE03FFFFFFFFFFFFFFFFFFFFFFFFFFFC07FFF807F00FFFE070", INIT_7F => X"FFFFFFFFFFFC03FE070381FFFF80FFFFC07E03FFFFFFFFFF8007FFFE007FFFFE", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFF80FFFF007E01FFF80E03FFFF80FFFFFF801FFFFFFFF807F801FFF00F", INIT_01 => X"7FFFFFFFFFE003FFFF800FFFFFC07FF803601F801FC07FFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFF003FFFFFFFF00FF007FFE01FFFFFFFFFFFF807FC0E0303FFFF01FFFFC03C0", INIT_03 => X"F807F80FFFFFFFFFFFFFFFFFFFFFFFFFFFF01FFFF002C03FFF03E07FFFF01FFF", INIT_04 => X"F00FF8180E07FFFE03FFFFC0000FFFFFFFFFFC007FFFC007FFFE000FFE000003", INIT_05 => X"FFFE000007FFE07C0FFFFE03FFFFFC007FFFFFFFE01FE00FFFC03FFFFFFFFFFF", INIT_06 => X"800FFFF800FFFFC001FFF000007F803F01FFFFFFFFFFFFFFFFFFFFFFFFFFFE03", INIT_07 => X"FFFC03FC01FFF807FFFFFFFFFFFE01FF0301C0FFFFC07FFFFC0001FFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFC07FFFC00000FFF80F80FFFFC07FFFFE003FFFFF", INIT_09 => X"1FFFF80FFFFFD0003FFFFFFFFFE007FFFF001FFFF8003FFF00000FE003E03FFF", INIT_0A => X"FF81F01FFFF80FFFFFC00FFFFFFFFF807F803FFF00FFFFFFFFFFFFC03FE0C078", INIT_0B => X"FFFF0007FFF00001FE006407FFFFFFFFFFFFFFFFFFFFFFFFFFF80FFFFE00001F", INIT_0C => X"FFE01FFFFFFFFFFFF807FC1C0703FFFF01FFFFE80007FFFFFFFFF001FFFFC00F", INIT_0D => X"FFFFFFFFFFFFFF01FFFFE00003FFF01F03FFFF01FFFFF800FFFFFFFFF00FF007", INIT_0E => X"FC0000FFFFFFFFFE003FFFE003FFFFE000FFFE00003FC00000FFFFFFFFFFFFFF", INIT_0F => X"E03FFFFE003FFFFFFFFE01FE00FFFC03FFFFFFFFFFFF00FF8381E07FFFE03FFF", INIT_10 => X"C00007FE00001FFFFFFFFFFFFFFFFFFFFFFFFFFFE03FFFFC00007FFE03E03FFF", INIT_11 => X"FFFFFFE01FF060780FFFFC07FFFF00001FFFFFFFFFC007FFFE007FFFFC001FFF", INIT_12 => X"FFFC07FFFFC0000FFF80040FFFFC07FFFF001FFFFFFFFFC03FC01FFF807FFFFF", INIT_13 => X"FFFFE003FFFF800FFFFFFC03FFFC0700FFE00003FFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFF807F803FFF00FFFFFFFFFFFFC03FE080F01FFFF80FFFFC01E03FFFF", INIT_15 => X"007FFFFFFFFFFFFFFFFFFFFFFFFFFF80FFFFF80E01FFF00001FFFF80FFFFF007", INIT_16 => X"C180E03FFFF01FFFE00FC07FFFFFFFFE00FFFFE003FFFFFFC07FFF81E01FFE00", INIT_17 => X"01C03FFC00003FFFF01FFFF800FFFFFFFFFF00FF007FFE01FFFFFFFFFFFF807F", INIT_18 => X"FC01FFFFFFF80FFFE03C03FFC0000FFFFFFFFFFFFFFFFFFFFFFFFFFFF01FFFFF", INIT_19 => X"1FE00FFFC03FFFFFFFFFFFF00FF8303C07FFFE03FFFC03F80FFFFFFFFF003FFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFE03FFFFC07807FF800003FFFE03FFFF801FFFFFFFFFE0", INIT_1B => X"C07FFF80FF01FFFFFFFFF003FFFF007FFFFFFF01FFFC03807FFE8001FFFFFFFF", INIT_1C => X"007FFFC07FFFC00FFFFFFFFFFC03FC00FFF807FFFFFFFFFFFE01FF040F80FFFF", INIT_1D => X"E03FFF80F00FFFFDE03FFFFFFFFFFFFFFFFFFFFFFFFFFFC07FFFF00700FFF800", INIT_1E => X"FFFFFFFFFFFFC03FE081F01FFFF80FFFF00FE03FFFFFFFF800FFFFE007FFFFFF", INIT_1F => X"FFFFFFFFF80FFFFF01E01FFF000007FFF80FFFFC03FFFFFFFFFF807F801FFF00", INIT_20 => X"07FFFFFFFF807FFFFC01FFFFFFFC07FFE03E01FFFFFC07FFFFFFFFFFFFFFFFFF", INIT_21 => X"FF007FFFFFFFFFF00FF003FFE01FFFFFFFFFFFF007FC103E03FFFF01FFFC03FC", INIT_22 => X"3FFFFF80FFFFFFFFFFFFFFFFFFFFFFFFFFFF01FFFFC07C03FFE00000FFFF01FF", INIT_23 => X"FE01FF800FC07FFFE03FFF007F80FFFFFFFFE00F807E00FC07FFFF80FFF807C0", INIT_24 => X"3FFFF00F807FF807E03FFFE03FFFE01F80FFFFFFFF01FF00FFFC03FFFFFFFFEF", INIT_25 => X"03F81FE00FC07FFFF01FFF807807FFFFF01FFFFFFFFFFFFFFFFFFFFFFFFFFFE0", INIT_26 => X"FFFFE03FE01FFF807FFFFFFFF87FC03FF001F80FFFFC07FFF01FF01FFFFFFFF8", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFC07FFFF00F00FFF01FC07FFFC07FFFC03F01FFF", INIT_28 => X"01FFFF80FFFE03FE03FFFFFFFF807F03FC07F80F80FE03FFE01F00FFFFFE03FF", INIT_29 => X"FFC03F80FFFF80FFFF00FE03FFFFFFFC07FC03FFF00FFFFFFFFC0FF807FE003F", INIT_2A => X"01F01FC07FFC07E01FFFFFC07FFFFFFFFFFFFFFFFFFFFFFFFFFF80FFFFE03E01", INIT_2B => X"7FFE01FFFFFFFF81FF00FFC00FE03FFFF01FFFC07FC07FFFFFFFF01FE07F00FF", INIT_2C => X"FFFFFFFFFFFFFFF01FFFF80FC03FF803F80FFFF01FFFC01FC07FFFFFFF807F80", INIT_2D => X"F80FF80FFFFFFFFE03FC0FE01FE03E03F80FFF807C03FFFFF80FFFFFFFFFFFFF", INIT_2E => X"FE03FFFC03F80FFFFFFFF00FF01FFFC03FFFFFFFF03FE00FF801FC07FFFE03FF", INIT_2F => X"F01F807FFFFF01FFFFFFFFFFFFFFFFFFFFFFFFFFFE03FFFE01F807FF807F01FF", INIT_30 => X"FFFE07FC03FF001F80FFFFC07FFF01FF01FFFFFFFF803F81F803FC07C07F01FF", INIT_31 => X"FFFFC07FFFE01F00FFF01FE01FFFC07FFF80FF01FFFFFFFC01FE03FFF807FFFF", INIT_32 => X"FFFFF00FF03F00FF80F80FE03FFC07F00FFFFFE03FFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"E03FFFFFFFC03FC07FFF00FFFFFFFF80FF007FE007F01FFFF80FFFE03FE03FFF", INIT_34 => X"FC07FFFFFFFFFFFFFFFFFFFFFFFFFFF80FFFF807E01FFE03FC03FFF80FFFF01F", INIT_35 => X"FC01FE03FFFF01FFFC03FC07FFFFFFFE01FE07E01FF01F01FC07FF00FE01FFFF", INIT_36 => X"01FC03FF807F00FFFF01FFFE01FC07FFFFFFFC03F007FFE01FFFFFFFF80FE01F", INIT_37 => X"FC03FC03E03F80FFF00FC03FFFFF80FFFFFFFFFFFFFFFFFFFFFFFFFFFF01FFFE", INIT_38 => X"80FE01FFFC03FFFFFFFF01FE03FF801FC07FFFE03FFF003F80FFFFFFFFC07FC0", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFE03FFFE03F807FF01FE01FFFE03FFFC03F00FFFFFFFF", INIT_3A => X"FC07FFF00FF01FFFFFFFF807F81F807F807C07F01FFC03F807FFFFF01FFFFFFF", INIT_3B => X"FE03FFFC07FFF807E01FFFFFFFF01F807FFF807FFFFFFFF01FC03FF007F80FFF", INIT_3C => X"FE03FF00FF00FFFFFE03FFFFFFFFFFFFFFFFFFFFFFFFFFFC07FFFC07F00FFC03", INIT_3D => X"0FFFFFFFFE07F00FFE01FF01FFFF80FFFF007E03FFFFFFFF80FE03F80FF00F80", INIT_3E => X"FFFFFFFFFF80FFFF00FE01FF807FC03FFF80FFFF01FE03FFFFFFFE01F80FFFF0", INIT_3F => X"C07FFFFFFFF01F807F007E01F01FC07FF01FE01FFFFFC07FFFFFFFFFFFFFFFFF", INIT_40 => X"FFC03FC07FFFFFFFE01E00FFFE01FFFFFFFF803E03FFC01FE03FFFF01FFFC007", INIT_41 => X"03FFFFF80FFFFFFFFFFFFFFFFFFFFFFFFFFFF01FFFE03FC03FF80FF807FFF01F", INIT_42 => X"03007FF807FC07FFFE03FFFE00D80FFFFFFFFC00F80FF01F803E03F80FFE01FC", INIT_43 => X"03FFFC03F807FF00FF807FFE03FFFC03E00FFFFFFFFC01C03FFFC03FFFFFFFF8", INIT_44 => X"C01601FC01A007C000001800700007FFE0000FFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_45 => X"FFFFFF80000FFF0000FFFFFFFF80000FFF01FC001FF80007FF8000001FFFFFFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFFF80007F800F0000E00060003FC0007FFC02401FF", INIT_47 => X"8003FF0000FFFC000003FFFFFFFC00003FC00000F8000003001E0000FFFC0001", INIT_48 => X"01C000C0007F80007FF000003FFFFFFFF80001FFE0001FFFFFFFF00003FFE01F", INIT_49 => X"001F0000006003C0001FFF80003FFFFFFFFFFFFFFFFFFFFFFFFF0000FF003E00", INIT_4A => X"7FFC0003FFFFFFFF00007FFC07F0007FE0001FFFC000007FFFFFFF800007F800", INIT_4B => X"FFFFFFFFFFFFFFE0001FE007C000380018000FF0000FFF800007FFFFFFFF0000", INIT_4C => X"FFFC00000FFFFFFFF80000FFC00003E000000C00780003FFF00007FFFFFFFFFF", INIT_4D => X"FE0001FFF00000FFFFFFFFE0001FFF80007FFFFFFFE0000FFF81FE000FFC0003", INIT_4E => X"800F00007FFE0000FFFFFFFFFFFFFFFFFFFFFFFFFC0003FC0078000700030001", INIT_4F => X"FFFFFC0007FFF01FC001FF80007FFFA00001FFFFFFFF80001FF800007C000001", INIT_50 => X"FFFF80007F801F0000E00060003FC0003FFE00001FFFFFFFFF0003FFF0000FFF", INIT_51 => X"FFFFFFF80103FF00080F8000003003E0000FFFC0001FFFFFFFFFFFFFFFFFFFFF", INIT_52 => X"0203FFFFFFFFF001FFFE0001FFFFFFFFC000FFFE07F8003FF0000FFFFD00003F", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000FF007E0001C000C0007F80007FFE0", INIT_54 => X"FFFFFFFFFFFFFFFFFFFFF7FFFFFFFFFFFF809FBFFC07FFFFFFFFFEFFBFFFFFFF", INIT_55 => X"FF7FFFFFFFFFFFFFFFFFFFFFFE80FFFFFFFFFFFE807FFFFFFFFFFFFFFFFF00BF", INIT_56 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_57 => X"FFF7DFFFFFFFFFFFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBDF", INIT_58 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7BFFFFFFFFF", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_61 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_62 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_66 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_71 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_73 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFC7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_47 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_48 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_49 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0007FFFFFFFFFFF", INIT_4A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4B => X"FFFFFFFFFFF00001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000FFFFFFFFFFFFFFFFFFFFF", INIT_4F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_50 => X"0000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_51 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_52 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFF00000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_54 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_55 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_56 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000007FFF", INIT_57 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_58 => X"FFFFFFFFFFFFFFF000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000003FFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5D => X"FFFF0000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000000000FFFFFFFFFFFFFFFFFFFFFFFF", INIT_61 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_62 => X"000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"FFFFFFFFFFFFFFFFFFFE0000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_66 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000001FFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6A => X"FFFFFFFFF80000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFF", INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6F => X"0000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0", INIT_71 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFF80000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_73 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000F", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"FFFFFFFFFFFFFF800000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7C => X"FFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"00001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"00000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"0000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_47 => X"FFFB800000000000000000000000003BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_48 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_49 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000000000000000000003FFFFF", INIT_4B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4C => X"00000000000000000000017FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD00000000", INIT_4E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4F => X"FFFFFFFFFFFFFFFFFF8000000000000000000000000000000FFFFFFFFFFFFFFF", INIT_50 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_51 => X"0000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_52 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000000000040400", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_54 => X"FFFFFFF000000000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFF", INIT_55 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_56 => X"007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_57 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000000000000000000000000000", INIT_58 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_59 => X"000000000100000400000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFF80000000000000000000000000000000003FFFFFFF", INIT_5D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5E => X"00000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000000000", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_61 => X"FFFFFFFFFFFE0000000000000000000000000000000000FFFFFFFFFFFFFFFFFF", INIT_62 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000000001000000040000", INIT_65 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_66 => X"E00000000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000000000000000000000000001F", INIT_6A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6B => X"00020000000080000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000000", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6E => X"FFFFFFFFFFFFFFFFE000000000000000000000000000000000003FFFFFFFFFFF", INIT_6F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"0000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_71 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000000000000", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_73 => X"FFFFFF800000000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"00000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000000000000000000000000", INIT_77 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_78 => X"00000000000000000000000000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000001FFFFF", INIT_7C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7D => X"0000000100000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000000040", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFE000000000000080000000020000000000000FFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000000001000000000400", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"F8000000000000200000000080000000000003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFE000000000000000000000000000000000000", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000000000", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFC000000000000000000000000000000000001FFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"00000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000000000000000", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFF800000000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000000000000000000000000", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"000000000040000000100000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFE00000000000000000000000000000000000FFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"0000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000000", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFF800000000000008000000200000000000003FFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000000000000000", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFF80000000000000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"000000080000200000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFF800000000000000000000000000000000FFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"0800000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000000200", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFF00000000000000080800000000000001FFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"00007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000000000000000000", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"E000000000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000000000000000000000003FFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"0000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFF", INIT_47 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_48 => X"FFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_49 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFF", INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4D => X"000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000", INIT_4F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_50 => X"FFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_51 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_52 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFF", INIT_54 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_55 => X"FFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_56 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_57 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_58 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFF", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"C00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"07FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000000000", INIT_61 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_62 => X"FFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFF", INIT_66 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFF", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"0000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000", INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6F => X"FFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_71 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFF", INIT_73 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFF", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFF", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000007FF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFF80000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000FFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFF00000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000FFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFF80000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000001FFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFF8000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000000007FFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"00000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFF80000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000001FFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFC000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000007FFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFE00003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBFE", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_47 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_48 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_49 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDFFFFFFFFFFFFFF", INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4D => X"FFFFFFFFFFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_50 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_51 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_52 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_54 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_55 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_56 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_57 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_58 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FFFFFFFF", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"FFFFFFFFFFFFFFFFFF3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7FFFFFFFFFFFFFFFFFF", INIT_5E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"FFFFFFF87FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_61 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_62 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0FF", INIT_66 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFFFFFFFFFFFFFFFFFFF01FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF801FFFFFFFFFFFF", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"FFFFFFFFFFFF800FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE003FFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_71 => X"E8003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_73 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFC0002FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00001FFFFF", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FFFFFFFFFFFFFFFF800001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4000000FFFFFFFFFFFFFFF", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"FFFDE00000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized10\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized10\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized10\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized10\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"00000000000000000000003FF800000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000003FFFE00000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"00000000001FFFFF000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000FFFFFF800000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"FFFFFF8000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000003", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"00000000000000000000000001FFFFFFFC000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"00000000000000000000000000000000000000000000000000007FFFFFFFC000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"000000000000001FFFFFFFFC0000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"000000000000000000000000000000000000000003FFFFFFFF80000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000FFFFFFFFF800000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000003FFFFFFFFF800000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"FFF8000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"000000000000000000000000000000000000000000000000000000000FFFFFFF", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"00000000000000000001FFFFFFFFFF0000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"00000000000000000000000000000000000000000000007FFFFFFFFFF0000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"000000000FFFFFFFFFFE00000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"000000000000000000000000000000000001FFFFFFFFFFC00000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"FFFFFFFFFC000000000000000000000000000000000000000000000000000000", INIT_70 => X"000000000000000000000000000000000000000000000000000000000000007F", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000FFFFFFFFFFF8000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000001FFFFFFFFFFF0", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"000000000000003FFFFFFFFFFE00000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"00000000000000000000000000000000000000000FFFFFFFFFFFE00000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0001FFFFFFFFFFFC000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000003FFFFFFFFFFF8000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"FFFFF00000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000007FFFFFF", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"00000000000000000000FFFFFFFFFFFE00000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"00000000000000000000000000000000000000000000001FFFFFFFFFFFC00000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000003FFFFFFFFFFF8000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000007FFFFFFFFFFF0000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"FFFFFFFFFFE00000000000000000000000000000000000000000000000000000", INIT_0F => X"000000000000000000000000000000000000000000000000000000000000000F", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"00000000000000000000000001FFFFFFFFFFFC00000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"8000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"00000000000000000000000000000000000000000000000000003FFFFFFFFFFF", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000007FFFFFFFFFFF0000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"000000000000000000000000000000000000000000FFFFFFFFFFFE0000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"00001FFFFFFFFFFFC00000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"00000000000000000000000000000003FFFFFFFFFFF800000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"FFFFFF0000000000000000000000000000000000000000000000000000000000", INIT_21 => X"00000000000000000000000000000000000000000000000000000000007FFFFF", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"000000000000000000000FFFFFFFFFFFE0000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"000000000000000000000000000000000000000000000001FFFFFFFFFFFC0000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"00000000003FFFFFFFFFFF800000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"00000000000000000000000000000000000007FFFFFFFFFFF000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"FFFFFFFFFFFE0000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"000000000000000000000000001FFFFFFFFFFFC0000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"F800000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"000000000000000000000000000000000000000000000000000003FFFFFFFFFF", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"00000000000000007FFFFFFFFFFF000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000FFFFFFFFFFFE000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"000001FFFFFFFFFFFC0000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"000000000000000000000000000000003FFFFFFFFFFF80000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"FFFFFFF000000000000000000000000000000000000000000000000000000000", INIT_40 => X"000000000000000000000000000000000000000000000000000000000007FFFF", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000FFFFFFFFFFFE000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000001FFFFFFFFFFFC000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0003FFFFFFFFFFFFFFFFFFFFFFFFFFF800000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"000000000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFF000000000000000000000000000000000000000000", INIT_4D => X"00000000000000000000000000000000000000000000000000000001FFFFFFFF", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"FFFFFFFFFFFF8000000000000000000000000000000000000000000000000000", INIT_52 => X"000000000000000000000000000000000000000000003FFFFFFFFFFFFFFFFFFF", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"FFC0000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000000000000000000000000000000", INIT_5A => X"000000000000000000000000000000000000000000000000000000000001FFFF", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"00000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"FFFFFFFFFFFFFFFFFFF800000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000FFFFFFFFFFFFFFF", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"FFFFFFFFF8000000000000000000000000000000000000000000000000000000", INIT_64 => X"00000000000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFE00000000000000000000000000000000000000", INIT_6C => X"000000000000000000000000000000000000000000000000000000FFFFFFFFFF", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"00000000000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"FFFFFFFFFFFFFFFC000000000000000000000000000000000000000000000000", INIT_71 => X"00000000000000000000000000000000000000000007FFFFFFFFFFFFFFFFFFFF", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"FFFFF00000000000000000000000000000000000000000000000000000000000", INIT_76 => X"000000000000000000000000000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000000000000000000000000", INIT_79 => X"000000000000000000000000000000000000000000000000000000000003FFFF", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"00000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFC000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000001FFFFFFFFFFFFFFF", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized11\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized11\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized11\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized11\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"FFFFFFFFFFF00000000000000000000000000000000000000000000000000000", INIT_03 => X"000000000000000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"8000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000001FFFFFFFFF", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"FFFFFFFFFFFFFFFFC00000000000000000000000000000000000000000000000", INIT_10 => X"000000000000000000000000000000000000000000007FFFFFFFFFFFFFFFFFFF", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"FFFFFE0000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000001FFF", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFE000000000000000000000000000000000000000000", INIT_1D => X"000000000000000000000000000000000000000000000000003FFFFFFFFFFFFF", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"00000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"FFFFFFFFFFF00000000000000000000000000000000000000000000000000000", INIT_22 => X"00000000000000000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000000000000000000000000000000", INIT_2A => X"000000000000000000000000000000000000000000000000000000000FFFFFFF", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"00000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"FFFFFFFFFFFFFFFE000000000000000000000000000000000000000000000000", INIT_2F => X"000000000000000000000000000000000000000000000007FFFFFFFFFFFFFFFF", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"00000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"FFFFC00000000000000000000000000000000000000000000000000000000000", INIT_34 => X"00000000000000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"FFFFFFFFFFFFFFFFFFF000000000000000000000000000000000000000000000", INIT_3C => X"00000000000000000000000000000000000000000000000000000007FFFFFFFF", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"00000000000000000000000000FFFFFFFFFFFE00000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"C000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"00000000000000000000000000000000000000000000000000001FFFFFFFFFFF", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000003FFFFFFFFFFF8000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000007FFFFFFFFFFF0000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"00000FFFFFFFFFFFE00000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"00000000000000000000000000000001FFFFFFFFFFFC00000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"FFFFFF8000000000000000000000000000000000000000000000000000000000", INIT_4E => X"00000000000000000000000000000000000000000000000000000000003FFFFF", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000007FFFFFFFFFFF0000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"000000000000000000000000000000000000000000000000FFFFFFFFFFFE0000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"00000000001FFFFFFFFFFFC00000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"00000000000000000000000000000000000003FFFFFFFFFFF800000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"7FFFFFFFFFFF0000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"000000000000000000000000000FFFFFFFFFFFE0000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"FC00000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"000000000000000000000000000000000000000000000000000001FFFFFFFFFF", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"00000000000000003FFFFFFFFFFF800000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"00000000000000000000000000000000000000000007FFFFFFFFFFF000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"000000FFFFFFFFFFFE0000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"000000000000000000000000000000001FFFFFFFFFFFC0000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"FFFFFFF800000000000000000000000000000000000000000000000000000000", INIT_6D => X"000000000000000000000000000000000000000000000000000000000003FFFF", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"00000000000000000000007FFFFFFFFFFF000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000FFFFFFFFFFFE000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"000000000001FFFFFFFFFFFC0000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"000000000000000000000000000000000000003FFFFFFFFFFF80000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"07FFFFFFFFFFF000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000FFFFFFFFFFFE000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"FFC0000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000001FFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"000000000000000003FFFFFFFFFFF80000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"000000000000000000000000000000000000000000007FFFFFFFFFFF00000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000FFFFFFFFFFFE000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000001FFFFFFFFFFFC000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"FFFFFFFF00000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000001FFF", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"000000000000000000000003FFFFFFFFFFE00000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"000000000000000000000000000000000000000000000000007FFFFFFFFFFC00", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000FFFFFFFFFFF8000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000FFFFFFFFFFE0000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"001FFFFFFFFFFC00000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"000000000000000000000000000003FFFFFFFFFF800000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"FFE0000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"000000000000000000000000000000000000000000000000000000003FFFFFFF", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"00000000000000000003FFFFFFFFF80000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"00000000000000000000000000000000000000000000007FFFFFFFFF00000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000007FFFFFFFFC000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000007FFFFFFFF0000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"FFFFFFFE00000000000000000000000000000000000000000000000000000000", INIT_2B => X"000000000000000000000000000000000000000000000000000000000000000F", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"00000000000000000000000000FFFFFFFF800000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"000000000000000000000000000000000000000000000000000007FFFFFFC000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"00000000000000007FFFFFF00000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"00000000000000000000000000000000000000000007FFFFFC00000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000003FFFFE0000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000001FFFF00000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"00000000000000000000000000000000000000000000000000000000000003FE", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized2\ is port ( DOADO : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized2\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized2\ is signal \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_i_2_n_0\ : STD_LOGIC; signal ena_array : STD_LOGIC_VECTOR ( 48 to 48 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 4 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 1, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"3333333333333333333333333333333333333333333333333333333333333333", INIT_01 => X"3333333333333333333333333333333333333333333333333333333333333333", INIT_02 => X"3333333333333333333333333333333333333333333333333333333333333333", INIT_03 => X"3333333333333333333333333333333333333333333333333333333333333333", INIT_04 => X"3333333333333333333333333333333333333333333333333333333333333333", INIT_05 => X"0000000000000000000000000000001111233333333333333333333333333333", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(13 downto 2) => addra(11 downto 0), ADDRARDADDR(1 downto 0) => B"00", ADDRBWRADDR(13 downto 0) => B"00000000000000", CLKARDCLK => clka, CLKBWRCLK => clka, DIADI(15 downto 0) => B"0000000000000000", DIBDI(15 downto 0) => B"0000000000000000", DIPADIP(1 downto 0) => B"00", DIPBDIP(1 downto 0) => B"00", DOADO(15 downto 4) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 4), DOADO(3 downto 0) => DOADO(3 downto 0), DOBDO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 0), DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0), DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0), ENARDEN => ena_array(48), ENBWREN => '0', REGCEAREGCE => ena, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1 downto 0) => B"00", WEBWE(3 downto 0) => B"0000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_i_2_n_0\, I1 => addra(12), O => ena_array(48) ); \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000004000" ) port map ( I0 => addra(14), I1 => ena, I2 => addra(16), I3 => addra(17), I4 => addra(15), I5 => addra(13), O => \DEVICE_7SERIES.NO_BMM_INFO.SP.SIMPLE_PRIM18.ram_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized3\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized3\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized3\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized3\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFF000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000007FFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFC000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00001FFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFE00007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0003FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000FFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFE003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00FFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFC01FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC07FFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"81FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF83FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0FFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFF1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7FFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFE0007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_46 => X"FF8003F800F8000000FFFFF000FFFFFFFF03C0FFF81E1FFF000000FFFFF8003F", INIT_47 => X"FFFFF0007FC00003F8000000FF0303FFFFFFFFFFF82FFE0000001FFFFFF0381F", INIT_48 => X"1FFF01007FC000000FFFE00003FF40000FFFFFFFFFFFFFFFFFFFFFFFF0000000", INIT_49 => X"FFF801FFC0000003FFFFFE07007FE0007E001E0000000FFFA0001FFFFFFFE040", INIT_4A => X"FFFFFFFFFFFFFFFFFFFE0000001FFFA00007F000007E0000000FE0400FFFFFFF", INIT_4B => X"C0000001FFC00003FFFFFFFC0000FFE0000FF8000001FFC000007F800001FFFF", INIT_4C => X"00000FC0000001FC0001FFFFFFFFFF000FF80000007FFFFFC0C007FC000FC003", INIT_4D => X"0000003FF800000FF000003FFFFFFFFFFFFFFFFFFFFFFFC0000003FF800000FE", INIT_4E => X"00000FFFFFF800007F8001F000780000003FE000007FFFFFFF80001FFC00007F", INIT_4F => X"FFFFFFFFF80000007FF000001FC00001F80000003F80001FFFFFFFFFC000FF00", INIT_50 => X"00000FFFFFFFF00001FF80000FE0000007FC000001F8000007FFFFFFFFFFFFFF", INIT_51 => X"0007F00003FFFFFFFFE0001FE0000001FFFFFF00000FF0003E000F00000007F8", INIT_52 => X"00003E000000FFFFFFFFFFFFFFFFFFFFFFFF0000000FF8000003F800003F0000", INIT_53 => X"E00000FE0007C001E0000000FF000001FFFFFFFE00003FF00000FC000000FF00", INIT_54 => X"000001FE0000007F002007E0000000FE00003FFFFFFFFC0001FC0000003FFFFF", INIT_55 => X"FFC01803FE00C03F8000001FE0000007C000001FFFFFFFFFFFFFFFFFFFFFFFE0", INIT_56 => X"FFFFFFFF00001F81E01F07FFFFFC00001FC000F0003C0F00F81FC000003FFFFF", INIT_57 => X"43FFFFFFFFFFFFFFFFFFFFFFFC0F00F83FC000000FE00600FC0F80F81FC01803", INIT_58 => X"0F000781E00F03F8034047FFFFFFF807803FC07E03F0004047F0008001E00140", INIT_59 => X"0003FE00C11F81E00F03F80780FFFFFFFFE00803F07E03E0FFFFFF800801FC00", INIT_5A => X"0FC07E07F007FE00FC03FC01F007FFFFFFFFFFFFFFFFFFFFFFFF81F00F07E001", INIT_5B => X"C07E0FC07C1FFFFFF007C07FF81FC007F03C01E07E01F007FFFFFFFF00F80FF8", INIT_5C => X"FFFFFFFFFFFFFFF03E01E0FC01F807FFFC101FF03C01E07F01F00FFFFFFFF803", INIT_5D => X"3C0F803E00FFFFFFFFE03F81FF01F807C0FE00FF801F807F007E00FFFFFFFFFF", INIT_5E => X"FE07803C0FE03F01FFFFFFFF80FC07C1F80F83FFFFFE01FC07FF03F000FE0780", INIT_5F => X"1FF80FF00FF00FC01FFFFFFFFFFFFFFFFFFFFFFFFE07C03C1F807F00FFFF0203", INIT_60 => X"7FFFFFC07F00FFE07F001FC0F00781F807C01FFFFFFFFC07F01FE07F00F81FC0", INIT_61 => X"FFFFC0F80783F00FE01FFFE040FFC0F00781FC07E03FFFFFFFE03F00783F01F0", INIT_62 => X"FFFFFFFF80FE03FC0FE03F03F803FE00FE01FC01F803FFFFFFFFFFFFFFFFFFFF", INIT_63 => X"81FC07FFFFFFF803F01F07E03E0FFFFFF807F00FFC0FC003F81E00F03E01F803", INIT_64 => X"807F007FFFFFFFFFFFFFFFFFFFFFFFF81F00F07C01FC03FFFC0C1FF81E00F03F", INIT_65 => X"03FF81F0007F03C01E07C03F007FFFFFFFF03FC07F80FC07E07F007FC03FC03F", INIT_66 => X"0F807F807FFF0381FF03C01E07F03F00FFFFFFFF00FE01E0FC07C1FFFFFF01FE", INIT_67 => X"F00FF01F80FC0FE00FF807F807E01FE00FFFFFFFFFFFFFFFFFFFFFFFFF03E01E", INIT_68 => X"FFF01FE03C1F80F83FFFFFE03FC03FF03F000FE07803C0F80FE00FFFFFFFFE07", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFE07C03C1F00FF00FFFE0703FE07803C0FE07E01FFFFF", INIT_6A => X"FC0F00781E01FC01FFFFFFFFC0FE03FE03E00F81FC01FE00FF00FE03FC01FFFF", INIT_6B => X"FE0607FC0F00781FC0FC03FFFFFFFC03FC0383F01F07FFFFFC07F807FE07C081", INIT_6C => X"F03F803FC03FE01FC03F803FFFFFFFFFFFFFFFFFFFFFFFFC0F80783E01FE01FF", INIT_6D => X"7E03E0FFFFFF81FF00FFC0F8003F81E00F03C03F803FFFFFFFF81F807FC07C01", INIT_6E => X"FFFFFFFFFF81F00F07803FC03FFFC0C07F81E00F03F81F807FFFFFFF807F8070", INIT_6F => X"07F007FFFFFFFF03F007F80F007F07F007F803FC03F807F007FFFFFFFFFFFFFF", INIT_70 => X"01E07F03F00FFFFFFFE00FE01E0FC07C1FFFFFF03FE00FF81F0007F03C01E07C", INIT_71 => X"7F807E00FE00FFFFFFFFFFFFFFFFFFFFFFFFF03E01E0F007F807FFF01C0FF03C", INIT_72 => X"FE07FE01FF03C080FE07803C0F80FE00FFFFFFFFFFFC01FFFFE00FFFFE00FF00", INIT_73 => X"07C03C1F00FF00FFFE0783FE07803C0FFFFC03FFFFFFFC03FC03C1F80F83FFFF", INIT_74 => X"FFFFFF803FFFF803FFFFC01FF00FF00FC01FC01FFFFFFFFFFFFFFFFFFFFFFFFE", INIT_75 => X"3FFFFFFF807F80383F01F07FFFFFFF7FC03FE078001FC0F00781F01FC01FFFFF", INIT_76 => X"03FFFFFFFFFFFFFFFFFFFFFFFFC0F80783E01FE01FFFC0F07FC0F00781FFFF80", INIT_77 => X"0F0203F81E00F03F01F803FFFFFFFFFFE00FFFFF003FFFF803FE01FE01FC03F8", INIT_78 => X"FC03FFF01C07F81E00F03FFFC00FFFFFFFF80FF00707E03E0FFFFFFFFFF80FFC", INIT_79 => X"FFC00FFFFF007FC03FC03F807F007FFFFFFFFFFFFFFFFFFFFFFFF81F00F07C01", INIT_7A => X"FE00E0FC07C0FFFFFFFFFF01FF81C0407F87C01E0FC03F007FFFFFFFFFFC01FF", INIT_7B => X"FFFFFFFFFFFFFFFF87E01E0FC07F807FFE0380FF83C01E0FFFF803FFFFFFFF01", INIT_7C => X"03FFFC03E00FFFFFFFFFFE007FFFF801FFFFE00FF803F807F01FE00FFFFFFFFF", INIT_7D => X"1FFFF803FFFFFE007FFFFFFFC03FE01FFF80FFFFFFFFFFFFE03FF038180FFFF8", INIT_7E => X"01FF801F00FC01FC01FFFFFFFFFFFFFFFFFFFFFFFFFFFC03FFF003F00FFFE078", INIT_7F => X"FFFFFFFFFFFC03FE060181FFFF007FFF807C01FFFFFFFFFFC00FFFFC007FFFFC", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFF807FFF003E01FFF81F01FFFF007FFFFFC00FFFFFFFF807FC03FFF01F", INIT_01 => X"3FFFFFFFFFF001FFFF001FFFFF803FF802E01FC03F803FFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFF003FFFFFFFF00FF803FFE03FFFFFFFFFFFF807FC0E0703FFFE00FFFFC0340", INIT_03 => X"F807F007FFFFFFFFFFFFFFFFFFFFFFFFFFF00FFFF005C03FFF03E03FFFE00FFF", INIT_04 => X"F00FF8180E07FFFC01FFFFC00007FFFFFFFFFC00FFFFE003FFFE0007FF000003", INIT_05 => X"FFFE000007FFE07C0FFFFC01FFFFF800FFFFFFFFE01FF007FFC07FFFFFFFFFFF", INIT_06 => X"801FFFF801FFFFC000FFE000007F807E00FFFFFFFFFFFFFFFFFFFFFFFFFFFE01", INIT_07 => X"FFFC03FE00FFF80FFFFFFFFFFFFE01FF0303C0FFFF803FFFF80000FFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFC03FFFE00000FFFC0780FFFF803FFFFF001FFFFF", INIT_09 => X"1FFFF007FFFFF0001FFFFFFFFFC003FFFF003FFFF8001FFE00000FF007C01FFF", INIT_0A => X"FF00F01FFFF007FFFFC00FFFFFFFFF803FC01FFF01FFFFFFFFFFFFC03FE0E038", INIT_0B => X"FFFF0003FFE00001FF005803FFFFFFFFFFFFFFFFFFFFFFFFFFF807FFFC00001F", INIT_0C => X"FFE03FFFFFFFFFFFF807FC180F03FFFE00FFFFF80003FFFFFFFFF801FFFF8007", INIT_0D => X"FFFFFFFFFFFFFF00FFFFC00003FFE03F03FFFE00FFFFF801FFFFFFFFF007F803", INIT_0E => X"F800007FFFFFFFFF003FFFF003FFFFE0007FFF00003FE000007FFFFFFFFFFFFF", INIT_0F => X"C01FFFFC007FFFFFFFFE00FF007FFC07FFFFFFFFFFFF00FF8381E07FFFC01FFF", INIT_10 => X"E00007FC00000FFFFFFFFFFFFFFFFFFFFFFFFFFFE01FFFFE00007FFE07E07FFF", INIT_11 => X"FFFFFFE01FF0607C0FFFF803FFFF00000FFFFFFFFF800FFFFE007FFFFC000FFF", INIT_12 => X"FFFC03FFFFC0000FFF80FC07FFF803FFFF800FFFFFFFFFC01FE00FFF80FFFFFF", INIT_13 => X"FFFFF001FFFF001FFFFFFC01FFF80700FFE00001FFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFF803FC01FFF01FFFFFFFFFFFFC03FE040701FFFF007FFF801201FFFF", INIT_15 => X"003FFFFFFFFFFFFFFFFFFFFFFFFFFF807FFFF00E01FFF00000FFFF007FFFF007", INIT_16 => X"C001E03FFFE00FFFF007803FFFFFFFFE007FFFE007FFFFFF803FFF81E01FFE00", INIT_17 => X"01C03FFE00003FFFE00FFFFC00FFFFFFFFFF00FF803FFE03FFFFFFFFFFFF807F", INIT_18 => X"F800FFFFFFF007FFE01C03FFE00007FFFFFFFFFFFFFFFFFFFFFFFFFFF00FFFFF", INIT_19 => X"1FF007FFC07FFFFFFFFFFFF00FF8103C07FFFC01FFFC01F007FFFFFFFF803FFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFE01FFFFC03807FFC00003FFFC01FFFF803FFFFFFFFFE0", INIT_1B => X"803FFF00FE00FFFFFFFFF007FFFF007FFFFFFE00FFF807807FFF8000FFFFFFFF", INIT_1C => X"007FFF803FFFE007FFFFFFFFFC03FE01FFF80FFFFFFFFFFFFE01FF000F80FFFF", INIT_1D => X"C01FFF80F00FFFFE201FFFFFFFFFFFFFFFFFFFFFFFFFFFC03FFFF80F00FFF000", INIT_1E => X"FFFFFFFFFFFFC03FE000F01FFFF007FFF01FC01FFFFFFFFC01FFFFC00FFFFFFF", INIT_1F => X"FFFFFFFFF807FFFE01E01FFE00000FFFF007FFFC03FFFFFFFFFF807FC03FFF01", INIT_20 => X"03FFFFFFFF007FFFFC03FFFFFFF803FFE03E01FFFFF803FFFFFFFFFFFFFFFFFF", INIT_21 => X"FF007FFFFFFFFFF00FF807FFE03FFFFFFFFFFFF80FFC103E03FFFE00FFFC03F8", INIT_22 => X"3FFFFF007FFFFFFFFFFFFFFFFFFFFFFFFFFF00FFFFC07C03FFE00001FFFE00FF", INIT_23 => X"FF01FF800FC07FFFC01FFF80FF007FFFFFFFE00FFFFF00FFFFFFFF007FFC03C0", INIT_24 => X"1FFFF807807FF807E01FFFC01FFFC00FFFFFFFFFFF01FE00FFFC07FFFFFFFFF5", INIT_25 => X"01F00FC01FC0FFFFE00FFF00F807FFFFE00FFFFFFFFFFFFFFFFFFFFFFFFFFFE0", INIT_26 => X"FFFFE03FC01FFF80FFFFFFFFE83FC03FF000F80FFFF803FFE01FE00FFFFFFFFC", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFC03FFFE01F00FFF00FC07FFF803FFFC03F03FFF", INIT_28 => X"01FFFF007FFC03FC01FFFFFFFF007E01FC07F81FFFFC01FFF01F00FFFFFC01FF", INIT_29 => X"FFE01FC0FFFF007FFF007E07FFFFFFF807F803FFF01FFFFFFFF807F803FE003F", INIT_2A => X"03F03F803FFC07E01FFFFF803FFFFFFFFFFFFFFFFFFFFFFFFFFF807FFFE03E01", INIT_2B => X"7FFE03FFFFFFFF00FF007FC00FE03FFFE00FFFC03F803FFFFFFFF01FC03F007F", INIT_2C => X"FFFFFFFFFFFFFFF00FFFF80FC03FFC07F80FFFE00FFFE01FC0FFFFFFFF00FF00", INIT_2D => X"F807F007FFFFFFFE01F807E01FE07E07F007FF00FC03FFFFF007FFFFFFFFFFFF", INIT_2E => X"FC01FFF807F81FFFFFFFE00FE01FFFC07FFFFFFFE01FE01FF800FC07FFFC01FF", INIT_2F => X"F01F807FFFFE00FFFFFFFFFFFFFFFFFFFFFFFFFFFE01FFFF00F807FF00FF01FF", INIT_30 => X"FFFC07FC03FF003F80FFFF803FFF01FE00FFFFFFFF807F00FC03FC0FC0FE00FF", INIT_31 => X"FFFFC03FFFE03F00FFF01FC03FFF803FFF007F03FFFFFFFE01FE01FFF80FFFFF", INIT_32 => X"FFFFF00FE01F80FF81F81FC01FFC07F00FFFFFC01FFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"E07FFFFFFFC03FC03FFF01FFFFFFFFC0FF807FE00FF01FFFF007FFC03FC01FFF", INIT_34 => X"F803FFFFFFFFFFFFFFFFFFFFFFFFFFF807FFF807E01FFE03F807FFF007FFE00F", INIT_35 => X"FC00FE03FFFE00FFF803F803FFFFFFFE01FC03F00FF03F03F803FF807E01FFFF", INIT_36 => X"01FC03FF807F807FFE00FFFE03FC0FFFFFFFFC07F00FFFE03FFFFFFFF80FF01F", INIT_37 => X"7E01FC07E07F007FE01FC03FFFFF007FFFFFFFFFFFFFFFFFFFFFFFFFFF00FFFF", INIT_38 => X"007E01FFFC07FFFFFFFF01FC01FF803FC07FFFC01FFF807F007FFFFFFFC07F80", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFE01FFFC01F807FF01FF01FFFC01FFF807F81FFFFFFFF", INIT_3A => X"F803FFF00FE00FFFFFFFF807F80FC03F80FC0FE00FFC03F807FFFFE00FFFFFFF", INIT_3B => X"FE03FFF803FFF00FF03FFFFFFFE00FC07FFF80FFFFFFFFF03FC07FF007F80FFF", INIT_3C => X"FC01FF80FF00FFFFFC01FFFFFFFFFFFFFFFFFFFFFFFFFFFC03FFFC07F00FFE03", INIT_3D => X"1FFFFFFFFC07F00FFE00FF01FFFF007FFF00FC01FFFFFFFF80FF01F80FF01F81", INIT_3E => X"FFFFFFFFFF807FFF01FE01FFC03FC03FFF007FFE00FC07FFFFFFFE01F007FFF0", INIT_3F => X"803FFFFFFFE00FC03F80FC03F03F803FE00FE01FFFFF803FFFFFFFFFFFFFFFFF", INIT_40 => X"FFE03FC0FFFFFFFFE03E01FFFE03FFFFFFFFC07C03FFC03FE03FFFE00FFFE00F", INIT_41 => X"03FFFFF007FFFFFFFFFFFFFFFFFFFFFFFFFFF00FFFC01FC03FF007F807FFE00F", INIT_42 => X"07803FF807FC07FFFC01FFFE00B007FFFFFFFE01F807F01F807E07F007FE03FC", INIT_43 => X"01FFFC07F807FF01FF80FFFC01FFFC01F01FFFFFFFF803C07FFFC07FFFFFFFF8", INIT_44 => X"C00A00FE01400FC000000C00780003FFE0000FFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_45 => X"FFFFFF802007FF00007FFFFFFF00400FFF00F8001FF80007FFC000001FFFFFFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFFF80007F800F0000600070003FC0007FF803803FF", INIT_47 => X"8003FF0000FFF8000003FFFFFFF800001FE00001F8000001801F00007FFC0001", INIT_48 => X"00C000E0007F80007FF800007FFFFFFFF00001FFE0000FFFFFFFF00001FFE03F", INIT_49 => X"003F0000003001E0000FFF80003FFFFFFFFFFFFFFFFFFFFFFFFF0000FF003E00", INIT_4A => X"3FFC0001FFFFFFFE00007FFC07F0007FE0001FFF8000007FFFFFFF800003FC00", INIT_4B => X"FFFFFFFFFFFFFFE0001FE003C00018001C000FF0000FFF80000FFFFFFFFF0000", INIT_4C => X"FFF800000FFFFFFFF000007FC00007E0000006007C0001FFF00007FFFFFFFFFF", INIT_4D => X"FE0001FFF00001FFFFFFFFF0001FFF80003FFFFFFFF0001FFF81FE000FFC0003", INIT_4E => X"C01F80003FFE0000FFFFFFFFFFFFFFFFFFFFFFFFFC0003FC00F8000300038001", INIT_4F => X"FFFFFE0003FFF03FC001FF80007FFFE00001FFFFFFFF80000FF80000FC000000", INIT_50 => X"FFFF80007F801F0000600070003FC0003FFF00003FFFFFFFFE0007FFF00007FF", INIT_51 => X"FFFFFFF80001FF80181F8000001801F00007FFC0001FFFFFFFFFFFFFFFFFFFFF", INIT_52 => X"0007FFFFFFFFE001FFFE0000FFFFFFFFE000FFFE07F8003FF0000FFFFF00003F", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000FF007E0000C000E0007F80007FFF0", INIT_54 => X"FFBEFEFFFBFFFFFEFFFFF9FFFBFFFFFFFF00FFFFF802FFFFFFFFFBFFFFFFFEFF", INIT_55 => X"FFFFFFFDFFFEFFFF7EFFFFFFFF807F7FFFFFFFFF803FFFFFFFDFFFFFFFFE00FF", INIT_56 => X"FFFFC9FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFF", INIT_57 => X"FFF9BFFFFFFFFFFFFFFFFFFB3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDBF", INIT_58 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF97FFFFFFFFF", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_61 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_62 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_66 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_71 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_73 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized4\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized4\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized4\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized4\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFC7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_47 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_48 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_49 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0007FFFFFFFFFFF", INIT_4A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4B => X"FFFFFFFFFFF00001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000FFFFFFFFFFFFFFFFFFFFF", INIT_4F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_50 => X"0000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_51 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC", INIT_52 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFF00000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_54 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_55 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_56 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000007FFF", INIT_57 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_58 => X"FFFFFFFFFFFFFFF000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000007FFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5D => X"FFFF0000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000000000FFFFFFFFFFFFFFFFFFFFFFFF", INIT_61 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_62 => X"000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"FFFFFFFFFFFFFFFFFFFE0000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_66 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000001FFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6A => X"FFFFFFFFF80000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000003FFFFFFFFFFFFFFFFF", INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6F => X"0000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0", INIT_71 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFF80000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_73 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000F", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"FFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7C => X"FFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"00001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"00000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"0000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_47 => X"FFFF800000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_48 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_49 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000000000000000000003FFFFF", INIT_4B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4C => X"0000000000000000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000", INIT_4E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4F => X"FFFFFFFFFFFFFFFFFF8000000000000000000000000000000FFFFFFFFFFFFFFF", INIT_50 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_51 => X"0000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_52 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000000000020800", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_54 => X"FFFFFFF000000000000004001000000000000007FFFFFFFFFFFFFFFFFFFFFFFF", INIT_55 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_56 => X"007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_57 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000000000020000800000000000", INIT_58 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_59 => X"000000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFC0000000000000400000400000000000007FFFFFFF", INIT_5D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5E => X"00000400000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000000010", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_61 => X"FFFFFFFFFFFE0000000000000400000040000000000000FFFFFFFFFFFFFFFFFF", INIT_62 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000000000000000000000", INIT_65 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_66 => X"E00000000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000000000000000000000000001F", INIT_6A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6B => X"00000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000000", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6E => X"FFFFFFFFFFFFFFFFE000000000000000000000000000000000003FFFFFFFFFFF", INIT_6F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"0000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_71 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000000000000", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_73 => X"FFFFFF800000000000020000000020000000000000FFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"00000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000000000000000000000000", INIT_77 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_78 => X"00000000000000000000000000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFF8000000000000000000000000000000000003FFFFF", INIT_7C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7D => X"0000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000000000", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized5\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized5\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized5\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized5\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFE000000000000000000000000000000000000FFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000000000000000000000", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"F8000000000000000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000000000000000000000000000000", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"000000000000000000000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000000000", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFC000000000000000000000000000000000001FFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"00100000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000000001000000", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFF800000000000000000000000000000000000FFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000000000000000000000000", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"000000000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFE00000000000000000000000000000000000FFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"0000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000000", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFC00000000000000000000000000000000007FFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000008000000800", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFF80000000000000800000200000000000003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000000000080000080000000000000", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"000000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFF800000000000004000100000000000000FFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"0000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000000000000", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFF80000000000000063000000000000001FFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"00007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000000000000000000000", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"E000000000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000000000000000000000003FFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"0000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000000", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFF", INIT_47 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_48 => X"FFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_49 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFF", INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4D => X"000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000", INIT_4F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_50 => X"FFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_51 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_52 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFF", INIT_54 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_55 => X"FFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_56 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_57 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_58 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFF", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"C00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"07FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000000000", INIT_61 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_62 => X"FFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFF", INIT_66 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFF", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"0000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000", INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6F => X"FFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_71 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFF", INIT_73 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFF", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFF", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFE00000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFF800000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000007FFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"00000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFC00000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000000007FF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFF80000000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00000000001FFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFF00000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0000000000FFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFC0000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000001FFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFF8000000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000000FFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"00000003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFF80000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000003FFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFC000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000007FFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFE00003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_40 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_41 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_42 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_43 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_44 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_45 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_46 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_47 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_48 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_49 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4D => X"FFFFFFFFFFFFBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_4F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_50 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FFFFFFFFFFFFFFFFFFFFFFFF", INIT_51 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_52 => X"FE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_53 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_54 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_55 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_56 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_57 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_58 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9FFFFFFFF", INIT_59 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5A => X"FFFFFFFFFFFFFFFFFE1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFFFFFFFFFFFFFFFF", INIT_5E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_5F => X"FFFFFFF07FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_60 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_61 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_62 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE07FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_63 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_64 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_65 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80FF", INIT_66 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_67 => X"FFFFFFFFFFFFFFFFFFFFFFE00FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_68 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_69 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00FFFFFFFFFFFF", INIT_6B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6C => X"FFFFFFFFFFFF001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_6F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC001FFFFFFFFFFFFFFFFFFFFFF", INIT_70 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_71 => X"F0001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_72 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_73 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_74 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF80001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_75 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_76 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_77 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000FFFFF", INIT_78 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_79 => X"FFFFFFFFFFFFFFFF000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000007FFFFFFFFFFFFFF", INIT_7D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7E => X"FFFE000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_7F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized6\ is port ( ENA : out STD_LOGIC; DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 17 downto 0 ); \^ena\ : in STD_LOGIC; clka : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized6\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized6\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized6\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \^ena_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin ENA <= \^ena_1\; \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"003FFF8000000000000000000000000000000000000000000000000000000000", INIT_46 => X"007FFE0FFF8FFFFFFF80001FFF80000000FE410007E61801FFFFFF00001FFFC0", INIT_47 => X"80003FFF803FFFFC0FFFFFFF81FC820000000000183001FFFFFFF000000FE418", INIT_48 => X"1001030000000000100060000400C0000000000000000000000000000FFFFFFF", INIT_49 => X"0000010000000002000000050000100000001000000000006000100000000060", INIT_4A => X"0000000000000000000000000000008000000800000000000000204010000000", INIT_4B => X"0000000000000002000000000000002000080000000200200000804000000000", INIT_4C => X"00000000000000040001000000000100000000000040000000C0000200000002", INIT_4D => X"0000004008000010000000000000000000000000000000000000000040000001", INIT_4E => X"0000080000000000804000000040000000001000004000000000001004000080", INIT_4F => X"0000000000000000001000000020000000000000008000000000000040000000", INIT_50 => X"0000080000000000010080000000000008000000020000000000000000000000", INIT_51 => X"0000100002000000001000100000000100000000000008000000080000000004", INIT_52 => X"0000400000000000000000000000000000000000000000000000040000000000", INIT_53 => X"0000000100000001000000000100000100000000000020100001000000010000", INIT_54 => X"0000000000000000802000000000000200000000000000000100000000200000", INIT_55 => X"000018000200C000000000200000000800000000000000000000000000000000", INIT_56 => X"0000000000000001E01F0400000000000020000800200F00F800000000200000", INIT_57 => X"7C0000000000000000000000000F00F80040000000100600000F807800401804", INIT_58 => X"F1003C000010000801407C00000000004040400200001FC07C0800A01F100140", INIT_59 => X"403E03C0C1E00010100008000000000000000C02000200208000000014020780", INIT_5A => X"0000400008080000C00000008800000000000000000000000000001010001001", INIT_5B => X"0040004004100000000000400800000000000200000108080000000001000808", INIT_5C => X"0000000000000000020200000180000000002000020200010000000000000404", INIT_5D => X"4000000101000000000000810100000800010100400000008041000000000000", INIT_5E => X"0000404000200100000000008004000008008200000001040001000800000000", INIT_5F => X"2008000000000020000000000000000000000000000040400080400000000100", INIT_60 => X"4000000000808020010000000008000008202000000000001000204000000020", INIT_61 => X"0000000808001000000000002080000808000408002000000000208080010010", INIT_62 => X"0000000000020004080020000404000100000002040000000000000000000000", INIT_63 => X"8104040000000404001000200208000000081000040000000000010000000404", INIT_64 => X"8000800000000000000000000000000001010000020000000404000001010000", INIT_65 => X"0200800800000000200000008080000000002040008000040000808000000000", INIT_66 => X"0000400000008200000020200010008080000000008000000400410000000100", INIT_67 => X"0000102080800010100800000000001000000000000000000000000000002020", INIT_68 => X"0010000000008008200000000000001001000000000400080810100000000004", INIT_69 => X"0000000000000000000000040400100000000000400000040400020000100000", INIT_6A => X"0000008001010202000000000082000204000000020201000000020202000000", INIT_6B => X"0200040000808000400000000000000004040010010400000000000002000080", INIT_6C => X"0000404020000000400040000000000000000000000000000080800200000000", INIT_6D => X"0200208000000000000040080000000010002020404000000000100040408002", INIT_6E => X"0000000000001010004000000000010080001010000800000000000000008000", INIT_6F => X"0408080000000002000008108041040808040000000008080000000000000000", INIT_70 => X"0200010010000000001000001000400410000000200010080102000000020004", INIT_71 => X"0000000101000000000000000000000000000002020008000000000020000002", INIT_72 => X"00040002010000C0000000400000810100000000000001000000000001010080", INIT_73 => X"0040400100000000000482000040400000000200000002020200000800820000", INIT_74 => X"0000008000000402000020201000000000202000000000000000000000000000", INIT_75 => X"40000000004040000100104000003F8040002008080000000800000020200000", INIT_76 => X"0000000000000000000000000000080800000000000040100000080800000080", INIT_77 => X"0103000000010001000404000000000000080001004000040400010000040404", INIT_78 => X"0000000800000001010000000000000000080808000020020800000000080804", INIT_79 => X"0000000000808000200000800080000000000000000000000000000101000002", INIT_7A => X"0100008400400000000001010080000000000020082020808000000000040100", INIT_7B => X"0000000000000000042020084000000000004000802020080008020000000101", INIT_7C => X"0400000010100000000001004000080200001010000200001010100000000000", INIT_7D => X"1000040400000000000000000020201000800000000000002000100000000000", INIT_7E => X"0200002000020002000000000000000000000000000004040008040000002008", INIT_7F => X"0000000000040002010080000000800040420200000000004000000000000002", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena_1\, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000080800000400000000102000080800000000000000000040402001000", INIT_01 => X"4000000000000000008010000040400803000040004000000000000000000000", INIT_02 => X"0010000000000000808040020000000000000080004020500000001000040340", INIT_03 => X"0004080000000000000000000000000000101000100300000002000000101000", INIT_04 => X"1000080002000000020000400008000000000000000000000000000800000000", INIT_05 => X"0000000000000040080002020000040080000000001010080040000000000000", INIT_06 => X"8000000000000000010010000000804100000000000000000000000000000202", INIT_07 => X"0000020201000800000000000002000100004000000040000400010000000000", INIT_08 => X"0000000000000000000000004040002000000004000000004040000100200000", INIT_09 => X"0000000800003000200000000020040001000000000020010000001004200000", INIT_0A => X"008100000008080000000800000000000040200100000000000000400020A008", INIT_0B => X"000000040010000000007C000000000000000000000000000008080002000000", INIT_0C => X"0020000000000000080004100900000001000008000400000000000100004008", INIT_0D => X"0000000000000101000020000000002100000101000008000000000000000004", INIT_0E => X"0400008000000000000000000200000000800100000020000000000000000000", INIT_0F => X"2020000200400000000000000080040000000000000100008280200000002000", INIT_10 => X"0000000000000000000000000000000000000000202000000000000204204000", INIT_11 => X"0000002000104040000000040001000010000000004000000200000000001000", INIT_12 => X"0004040000000000000004080004040000000000000000000000100080000000", INIT_13 => X"000000020000800000007C020004070000200000000000000000000000000000", INIT_14 => X"0000000000000402001000000000000004000204080000000080000016020000", INIT_15 => X"000000000000000000000000000000808000080E000000000000008080001004", INIT_16 => X"4080000000001000100840400000000200000000040000004040008100000200", INIT_17 => X"0000000000002000101000040000000000000080804002000000000000008000", INIT_18 => X"0400000000080800002000002000000000000000000000000000000010100001", INIT_19 => X"1010080040000000000000100008300000000002000002080800000000002000", INIT_1A => X"0000000000000000000202000000000000400000000202000080200000000000", INIT_1B => X"0040008081010000000010000000004000000101000400000001800000000000", INIT_1C => X"0000004040002008000000000002020100080000000000000200010408000000", INIT_1D => X"2020008000000003200000000000000000000000000000404000080800000800", INIT_1E => X"0000000000004000208100000000080010102020000000000100002000000000", INIT_1F => X"0000000008080000000000000000000008080004020000000000004040200100", INIT_20 => X"0400000000804000040200000004040000200000000400000000000000000000", INIT_21 => X"0000000000000000080804002000000000000000000410000000000100000004", INIT_22 => X"0000008000000000000000000000000000010100000040000020000100010100", INIT_23 => X"00010080080000000020008000808000000000003F000081F800008080040400", INIT_24 => X"2000000800000007E0200020200020107F00000001010100000400000000000E", INIT_25 => X"0208102000400000101000000000000010000000000000000000000000000020", INIT_26 => X"0000202020000080000000001840002010010000000004001010101000000000", INIT_27 => X"0000000000000000000000000404000101000000010004000404000400000000", INIT_28 => X"00000000800002020200000000800102040408007F0202000000000000020000", INIT_29 => X"0000200080008080000080000000000004040000100000000000080004020000", INIT_2A => X"0000004040000400000000400000000000000000000000000000808000200000", INIT_2B => X"0002000000000081000080400800000000100040004040000000101020400081", INIT_2C => X"0000000000000010100000080000040408000010100020000000000000800080", INIT_2D => X"0800080800000002020408000020000008080080800000000800000000000000", INIT_2E => X"0202000400000000000010000010004000000000100000100801000000000200", INIT_2F => X"1000000000010000000000000000000000000000020200010100000080010000", INIT_30 => X"0002040000010000000000004001010101000000000000810000040000010100", INIT_31 => X"0000404000200000001000200000404000000000000000000002000008000000", INIT_32 => X"0000000010208080800000202000040000000020000000000000000000000000", INIT_33 => X"0000000000000040400100000000004080000020000000000008000020202000", INIT_34 => X"0400000000000000000000000000000808000000000002000404000808000010", INIT_35 => X"0401000000000100040004040000000000000410001000000404008000000000", INIT_36 => X"0100000000008080010100020200000000000400000000200000000000001010", INIT_37 => X"8000000000008080001000000000800000000000000000000000000001010000", INIT_38 => X"0080000004000000000000020000800000000000200080408080000000004000", INIT_39 => X"0000000000000000000020200020200000001000100020200000000000000000", INIT_3A => X"0004000008101000000000000810000000000010100000000000001000000000", INIT_3B => X"0002000404000808100000000010000040008000000000102040401000000000", INIT_3C => X"0202000080000000020000000000000000000000000000040400040000000002", INIT_3D => X"0000000000040000020000000000008001008202000000008000020008000000", INIT_3E => X"0000000000808000000000004000000000808001010200000000000008080010", INIT_3F => X"4040000000001000400002000000404010100000000040000000000000000000", INIT_40 => X"0000204000000000202000000200000000000002020040000000000010002008", INIT_41 => X"0000000800000000000000000000000000001010002000000008080000001010", INIT_42 => X"00804008000000000002000200E8080000000200080810100000000808020000", INIT_43 => X"0200040400000101008000020200000210000000000400400000400000000000", INIT_44 => X"001E010201E00000000010000000040000000000000000000000000000000002", INIT_45 => X"0000000000080000000000000080000001000000100000040040000010000000", INIT_46 => X"0000000000000000000000000000040000000000000400020400040000380000", INIT_47 => X"8002000000800400000200000000000020000000000000020010000080000000", INIT_48 => X"0000008000408000000000000000000008000000000000000000000002002020", INIT_49 => X"0000000000400200001000000000000000000000000000000000000080002000", INIT_4A => X"4000000000000001000000040010004000001000000000400000000000040400", INIT_4B => X"0000000000000000001000040000000010000810000000800000000000000000", INIT_4C => X"0004000008000000080000804000000000000800000002000000000000000000", INIT_4D => X"0200000000000000000000100010000000000000000000100081020008000002", INIT_4E => X"0000000040000000000000000000000000000000000002000000000000020001", INIT_4F => X"0000000004001020400100000040006000010000000080001000000000000001", INIT_50 => X"0000000040000000000000400020400000010000000000000100000000000000", INIT_51 => X"0000000800020000080000000020000000080000000000000000000000000000", INIT_52 => X"0000000000001001000000000000000000010002000800200000080003000020", INIT_53 => X"07FFFC0000000000000000000000000000080004000000000800040800000010", INIT_54 => X"007F01FFFC01FFFF00000DFFFC0000000080BFC00001FC0FFFFFFCFFC1FFFF00", INIT_55 => X"FF83FFFE7FFE3FFF80FFFF000080BF00000000008040003FFFE0000000000080", INIT_56 => X"00002A0000000000000000000000000000000000000000000000000001FFFF01", INIT_57 => X"0005E000000000000000000B40000000000000000000000000000000000005C0", INIT_58 => X"0000000000000000000000000000000000000000000000000000054000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena_1\, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"10" ) port map ( I0 => addra(17), I1 => addra(16), I2 => \^ena\, O => \^ena_1\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized7\ is port ( ENA : out STD_LOGIC; DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 17 downto 0 ); \^ena\ : in STD_LOGIC; clka : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized7\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized7\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized7\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \^ena_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin ENA <= \^ena_1\; \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000038000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000FFF800000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"00000000000FFFFE000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"00000000000000000000000000000000000007FFFFF000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"FFFFFF8000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000003", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"00000000000000000000000000FFFFFFF8000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"00000000000000000000000000000000000000000000000000003FFFFFFF8000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"000000000000000FFFFFFFF80000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"000000000000000000000000000000000000000003FFFFFFFF80000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000FFFFFFFFF800000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000001FFFFFFFFF000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"FFF0000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000007FFFFFF", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"00000000000000000001FFFFFFFFFF0000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"00000000000000000000000000000000000000000000003FFFFFFFFFE0000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000007FFFFFFFFFC00000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"000000000000000000000000000000000001FFFFFFFFFFC00000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"FFFFFFFFF8000000000000000000000000000000000000000000000000000000", INIT_70 => X"000000000000000000000000000000000000000000000000000000000000003F", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"00000000000000000000000007FFFFFFFFFF0000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000001FFFFFFFFFFF0", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"000000000000003FFFFFFFFFFE00000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"000000000000000000000000000000000000000007FFFFFFFFFFC00000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000FFFFFFFFFFF8000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000001FFFFFFFFFFF0000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena_1\, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"FFFFE00000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000003FFFFFF", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"000000000000000000007FFFFFFFFFFC00000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"00000000000000000000000000000000000000000000000FFFFFFFFFFF800000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000001FFFFFFFFFFF0000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000003FFFFFFFFFFE0000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"FFFFFFFFFFC00000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000007", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"00000000000000000000000000FFFFFFFFFFF800000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"00000000000000000000000000000000000000000000000000001FFFFFFFFFFF", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000003FFFFFFFFFFE0000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000007FFFFFFFFFFC0000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"00000FFFFFFFFFFF800000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"00000000000000000000000000000001FFFFFFFFFFF000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"FFFFFE0000000000000000000000000000000000000000000000000000000000", INIT_21 => X"00000000000000000000000000000000000000000000000000000000003FFFFF", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000007FFFFFFFFFFC0000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"000000000000000000000000000000000000000000000000FFFFFFFFFFF80000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"00000000001FFFFFFFFFFF000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"00000000000000000000000000000000000003FFFFFFFFFFE000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"7FFFFFFFFFFC0000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"000000000000000000000000000FFFFFFFFFFF80000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"F000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"000000000000000000000000000000000000000000000000000001FFFFFFFFFF", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"00000000000000003FFFFFFFFFFE000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"00000000000000000000000000000000000000000007FFFFFFFFFFC000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"000000FFFFFFFFFFF80000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"000000000000000000000000000000001FFFFFFFFFFF00000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"FFFFFFE000000000000000000000000000000000000000000000000000000000", INIT_40 => X"000000000000000000000000000000000000000000000000000000000003FFFF", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"00000000000000000000007FFFFFFFFFFC000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000FFFFFFFFFFF8000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"00007FFFFFFFFFFFFFFFFFFFFFFFFFC000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"000000000000000000000000000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"FFFFFFFFFFFFFFFFFFFFFE000000000000000000000000000000000000000000", INIT_4D => X"00000000000000000000000000000000000000000000000000000000FFFFFFFF", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"FFFFFFFFFFFF8000000000000000000000000000000000000000000000000000", INIT_52 => X"000000000000000000000000000000000000000000003FFFFFFFFFFFFFFC07FF", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000FFFFFFFFFFFFFF8000FFFFFFFFFFFFFF8000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"FF80000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000003FFFFFFFFFFFFFC00007FFFFFFFFFFF", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"FFFFFFFFFE000003FFFFFFFFFFFFF80000000000000000000000000000000000", INIT_5A => X"000000000000000000000000000000000000000000000000000000000000FFFF", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"00000000000000000000003FFFFFFFFFFFFF8000003FFFFFFFFFFFFF80000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"000003FFFFFFFFFFFFF800000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000FFFFFFFFFFFFFE0", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"000000000001FFFFFFFFFFFFF80000003FFFFFFFFFFFFF000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"FFFFFFFFF0000000000000000000000000000000000000000000000000000000", INIT_64 => X"000000000000000000000000000000000000007FFFFFFFFFFFFF00000007FFFF", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"1FFFFFFFFFFFFFC00000007FFFFFFFFFFFFF0000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000003FFFFFFFFFFFFF000000007FFFFFFFFFFFFE0", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"FFFC000000007FFFFFFFFFFFFC00000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000007FFFFFFFFF", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"00000000000000001FFFFFFFFFFFFF800000000FFFFFFFFFFFFFC00000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"01FFFFFFFFFFFFF8000000000000000000000000000000000000000000000000", INIT_71 => X"00000000000000000000000000000000000000000003FFFFFFFFFFFFF0000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000007FFFFFFFFFFFFC000000001FFFFFFFFFFFFF0000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"FFFFF00000000000000000000000000000000000000000000000000000000000", INIT_76 => X"000000000000000000000000000000001FFFFFFFFFFFFF8000000003FFFFFFFF", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"FFFFFFFFF0000000007FFFFFFFFFFFFE00000000000000000000000000000000", INIT_79 => X"000000000000000000000000000000000000000000000000000000000003FFFF", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"00000000000000000000007FFFFFFFFFFFFE000000000FFFFFFFFFFFFFC00000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"00000000FFFFFFFFFFFFF8000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000FFFFFFFFFFFFF80", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena_1\, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => addra(17), I1 => addra(16), I2 => \^ena\, O => \^ena_1\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized8\ is port ( ENA : out STD_LOGIC; DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 17 downto 0 ); \^ena\ : in STD_LOGIC; clka : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized8\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized8\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized8\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \^ena_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin ENA <= \^ena_1\; \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"000000000001FFFFFFFFFFFFF0000000001FFFFFFFFFFFFF0000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"FFFFFFFFFFE00000000000000000000000000000000000000000000000000000", INIT_03 => X"000000000000000000000000000000000000003FFFFFFFFFFFFE0000000003FF", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"07FFFFFFFFFFFFC0000000007FFFFFFFFFFFFC00000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"8000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000FFFFFFFFFFFFFC000000001FFFFFFFFFFFFF", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"FFFF8000000003FFFFFFFFFFFFF0000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000001FFFFFFFFF", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"000000000000000003FFFFFFFFFFFFF0000000007FFFFFFFFFFFFE0000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"000FFFFFFFFFFFFF800000000000000000000000000000000000000000000000", INIT_10 => X"000000000000000000000000000000000000000000003FFFFFFFFFFFFE000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"00000007FFFFFFFFFFFFE000000003FFFFFFFFFFFFF000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"FFFFFE0000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000FFFFFFFFFFFFFC000000007FFFFFFF", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"FFFFFFFFFF800000000FFFFFFFFFFFFF80000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000FFF", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"000000000000000000000001FFFFFFFFFFFFF800000003FFFFFFFFFFFFF00000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"80000000FFFFFFFFFFFFFC000000000000000000000000000000000000000000", INIT_1D => X"000000000000000000000000000000000000000000000000001FFFFFFFFFFFFF", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"00000000000003FFFFFFFFFFFFF80000003FFFFFFFFFFFFF8000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"FFFFFFFFFFE00000000000000000000000000000000000000000000000000000", INIT_22 => X"00000000000000000000000000000000000000003FFFFFFFFFFFFF00000007FF", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0007FFFFFFFFFFFFF0000001FFFFFFFFFFFFFC00000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000007FFFFFFFFFFFFF0000007FFFFFFFFFFFFF", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"FFFFFFF000001FFFFFFFFFFFFFC0000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000007FFFFFF", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"000000000000000000007FFFFFFFFFFFFF80000FFFFFFFFFFFFFF00000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0FFFFFFFFFFFFFFC000000000000000000000000000000000000000000000000", INIT_2F => X"000000000000000000000000000000000000000000000007FFFFFFFFFFFFFE00", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"00000000007FFFFFFFFFFFFFF80FFFFFFFFFFFFFFE0000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"FFFF800000000000000000000000000000000000000000000000000000000000", INIT_34 => X"00000000000000000000000000000000000003FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"00000000000000000000000000007FFFFFFFFFFFFFFFFFFFFFFFFFFFC0000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"FFFFFFFFFFFFFFFFFF8000000000000000000000000000000000000000000000", INIT_3C => X"00000000000000000000000000000000000000000000000000000000FFFFFFFF", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"000000000000000000000000007FFFFFFFFFFC00000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"8000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"00000000000000000000000000000000000000000000000000000FFFFFFFFFFF", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000001FFFFFFFFFFF0000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000003FFFFFFFFFFE0000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"000007FFFFFFFFFFC00000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"00000000000000000000000000000000FFFFFFFFFFF800000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"FFFFFF0000000000000000000000000000000000000000000000000000000000", INIT_4E => X"00000000000000000000000000000000000000000000000000000000001FFFFF", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000003FFFFFFFFFFE0000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000007FFFFFFFFFFC0000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"00000000000FFFFFFFFFFF800000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"00000000000000000000000000000000000001FFFFFFFFFFF000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"3FFFFFFFFFFE0000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000007FFFFFFFFFFC0000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"F800000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"000000000000000000000000000000000000000000000000000000FFFFFFFFFF", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"00000000000000001FFFFFFFFFFF000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"00000000000000000000000000000000000000000003FFFFFFFFFFE000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000007FFFFFFFFFFC0000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"000000000000000000000000000000000FFFFFFFFFFF80000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"FFFFFFF000000000000000000000000000000000000000000000000000000000", INIT_6D => X"000000000000000000000000000000000000000000000000000000000001FFFF", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"00000000000000000000003FFFFFFFFFFE000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"00000000000000000000000000000000000000000000000007FFFFFFFFFFC000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"000000000000FFFFFFFFFFF80000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"000000000000000000000000000000000000001FFFFFFFFFFF00000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"03FFFFFFFFFFE000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"00000000000000000000000000007FFFFFFFFFFC000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"FF80000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000FFFFFFFFF", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena_1\, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"000000000000000001FFFFFFFFFFF00000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"000000000000000000000000000000000000000000003FFFFFFFFFFE00000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"00000007FFFFFFFFFFC000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000FFFFFFFFFFF8000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"FFFFFFFF00000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000001FFF", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"000000000000000000000003FFFFFFFFFFE00000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"000000000000000000000000000000000000000000000000003FFFFFFFFFF800", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"00000000000007FFFFFFFFFF0000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000FFFFFFFFFFE0000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"000FFFFFFFFFF800000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"000000000000000000000000000001FFFFFFFFFF000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"FFE0000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"000000000000000000000000000000000000000000000000000000003FFFFFFF", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"00000000000000000003FFFFFFFFF80000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"00000000000000000000000000000000000000000000003FFFFFFFFE00000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000007FFFFFFFFC000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000007FFFFFFFF0000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"FFFFFFFC00000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000007", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"000000000000000000000000007FFFFFFF000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"000000000000000000000000000000000000000000000000000007FFFFFFC000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"00000000000000003FFFFFE00000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"00000000000000000000000000000000000000000003FFFFF800000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000001FFFFC0000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"00000000000000000000000000000000007FFC00000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena_1\, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => addra(16), I1 => addra(17), I2 => \^ena\, O => \^ena_1\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized9\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized9\ : entity is "blk_mem_gen_prim_wrapper_init"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized9\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized9\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000003E000001E0000000000000000000", INIT_47 => X"00000000000000000000000000007C000000000007C0000000000000000003E0", INIT_48 => X"E000FCFF803FFFFFE0001FFFF8003FFFF0000000000000000000000000000000", INIT_49 => X"0007FE003FFFFFFC000001F8FF800FFFC1FFE1FFFFFFF0001FFFE00000001F9F", INIT_4A => X"00000000000000000001FFFFFFF0007FFFF807FFFF81FFFFFFF01FBFE0000000", INIT_4B => X"3FFFFFFE003FFFFC00000003FFFF001FFFF007FFFFFC001FFFFF003FFFFE0000", INIT_4C => X"FFFFF03FFFFFFE03FFFE0000000000FFF007FFFFFF8000003F3FF801FFF83FFC", INIT_4D => X"FFFFFF8007FFFFE01FFFFFC000000000000000000000003FFFFFFE003FFFFF00", INIT_4E => X"FFFFF0000007FFFF003FFF0FFF87FFFFFFC00FFFFF800000007FFFE003FFFF00", INIT_4F => X"0000000007FFFFFFC00FFFFFE01FFFFE07FFFFFFC07FFFE0000000003FFF00FF", INIT_50 => X"FFFFF00000000FFFFE007FFFF01FFFFFF003FFFFFC07FFFFF800000000000000", INIT_51 => X"FFF80FFFFC000000000FFFE01FFFFFFE000000FFFFF007FFE1FFF0FFFFFFF803", INIT_52 => X"FFFF81FFFFFF000000000000000000000000FFFFFFF807FFFFFC03FFFFC0FFFF", INIT_53 => X"1FFFFF00FFFC3FFE1FFFFFFF00FFFFFE00000001FFFFC00FFFFE03FFFFFE00FF", INIT_54 => X"FFFFFF01FFFFFF807FDFF81FFFFFFF01FFFFC000000003FFFE03FFFFFFC00000", INIT_55 => X"003FE7FC01FF3FE07FFFFFC03FFFFFF07FFFFFE000000000000000000000001F", INIT_56 => X"00000000FFFFE07E1FE0F8000003FFFFE01FFF87FFC3F0FF07E03FFFFFC00000", INIT_57 => X"80000000000000000000000003F0FF07E03FFFFFF00FF9FF03F07F87E03FE7F8", INIT_58 => X"00FFC07E1FE0FC07FEBF8000000007F83F803FC1FC0FE03F8007FF5FE00FFEBF", INIT_59 => X"BFC0003F3E007E0FE0FC07F87F800000001FF3FC0FC1FC1F0000007FE3FC007F", INIT_5A => X"F03F81FC07F001FF03FC03FF07F80000000000000000000000007E0FE0FC0FFE", INIT_5B => X"3F81F83F83E000000FF83F8007E03FF80FC3FC1F81FE07F000000000FE07F007", INIT_5C => X"000000000000000FC1FC1F83FE07F80007EFC00FC1FC1F80FE0FF000000003F8", INIT_5D => X"83F07FC0FE000000001FC07E00FE07F03F80FE003FE07F807F80FF0000000000", INIT_5E => X"01F83F83F01FC0FE000000007F03F83F07F07C000001FE03F800FC07FF01F87F", INIT_5F => X"C007F80FF01FF01FE0000000000000000000000001F83F83F07F80FF0000FCFC", INIT_60 => X"8000003FC07F001F80FFE03F0FF07E0FF01FC000000003F80FE01F80FF07F01F", INIT_61 => X"00003F07F07E0FF01FE0001F9F003F07F07E03F01FC00000001FC07F07E0FE0F", INIT_62 => X"000000007F01FC03F01FC0FE03F801FE01FE03FC03FC00000000000000000000", INIT_63 => X"7E03F800000003F80FE0FC1FC1F0000007F00FF003F03FFC07E1FE0FC1FE03F8", INIT_64 => X"7F807F80000000000000000000000007E0FE0FC3FC03FC0003F3F007E0FE0FC0", INIT_65 => X"FC007E07FF80FC3FC1F83FC07F000000000FC03F807F03F81FC07F003FC03FC0", INIT_66 => X"F87F807F80007C7E00FC1FC1F80FC07F00000000FF01FE1F83F83E000000FE01", INIT_67 => X"0FF00FC07F03F80FE007F807F81FF00FF0000000000000000000000000FC1FC1", INIT_68 => X"000FE03FC3F07F07C000001FC03FC00FC0FFF01F87F83F07F00FE000000001F8", INIT_69 => X"000000000000000000001F83F83F0FF00FF0001F8FC01F83F83F01F81FE00000", INIT_6A => X"03F0FF07E0FE01FC000000003F01FE01F81FF07F01FC00FF00FF01FC01FE0000", INIT_6B => X"01F9F803F07F07E03F03FC00000003FC03F87E0FE0F8000003F807F801F83F7E", INIT_6C => X"0FE03F801FE01FE03FC03FC0000000000000000000000003F07F07E1FE01FE00", INIT_6D => X"C1FC1F0000007F00FF003F07FFC07E1FE0FC1FC03F8000000007E07F803F03FC", INIT_6E => X"00000000007E0FE0FC3FC03FC0007E3F007E0FE0FC07E07F800000007F807F8F", INIT_6F => X"F807F000000000FC0FF807E07F80F807F003FC03FC0FF007F800000000000000", INIT_70 => X"FC1F80FC0FF00000000FF01FE1F83F83E000000FC01FE007E0FDF80FC3FC1F83", INIT_71 => X"807F81FE00FF0000000000000000000000000FC1FC1F87F807F8000FC7F00FC1", INIT_72 => X"01F803FC00FC3F3F01F87F83F07F00FE000000000003FE00001FF00000FE007F", INIT_73 => X"F83F83F0FF00FF0001F87C01F83F83F00003FC00000001FC01FE3F07F07C0000", INIT_74 => X"0000007FC00003FC00001FC00FF00FF03FC01FE0000000000000000000000001", INIT_75 => X"800000007F803FC7E0FE0F80000000003FC01F87F7E03F0FF07E0FF01FC00000", INIT_76 => X"FC0000000000000000000000003F07F07E1FE01FE0003F0FC03F07F07E00007F", INIT_77 => X"F0FCFC07E1FE0FC0FE03F800000000001FF00000FF800003F801FE01FE03F803", INIT_78 => X"03FC0007E3F807E0FE0FC0003FF000000007F007F8FC1FC1F00000000007F003", INIT_79 => X"003FF000007F003FC03FC07F807F80000000000000000000000007E0FE0FC3FC", INIT_7A => X"00FF1F03F83F0000000000FE007E3FBF80FC3FC1F01FC07F000000000003FE00", INIT_7B => X"0000000000000000F81FC1F03FC07F8001FC3F007C1FC1F00007FC00000000FE", INIT_7C => X"F80003FC0FE00000000000FF800007FC00000FE007FC07F80FE00FF000000000", INIT_7D => X"E00003F8000001FF800000003FC01FE0007F0000000000001FE00FC7F7F00007", INIT_7E => X"FC007FC0FF01FE01FE0000000000000000000000000003F80007F80FF0001F87", INIT_7F => X"000000000003FC01F8FE7E0000FF00003F81FC00000000003FF00003FF800001", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); \DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"000000007F0000FF81FE0007F0FC00007F0000007FF000000007F803FC000FE0", INIT_01 => X"80000000000FFE00007FE000003F8007FC1FE03FC03FC0000000000000000000", INIT_02 => X"000FFC00000000FF007F8001FC0000000000007F803F1F8FC0001FE00003FCBF", INIT_03 => X"07F807F8000000000000000000000000000FE0000FFC3FC000FC1FC0000FE000", INIT_04 => X"0FF007E7F1F80003FC00003FFFF00000000003FF80001FFC0001FFF000FFFFFC", INIT_05 => X"0001FFFFF8001F83F00001FC000003FF000000001FE00FF0003F800000000000", INIT_06 => X"7FE00007FF00003FFE000FFFFF807F80FF0000000000000000000000000001FC", INIT_07 => X"0003FC01FE0007F0000000000001FE00FCFE3F00007F800003FFFE0000000000", INIT_08 => X"0000000000000000000000003F80001FFFFF0003F87F00003F800000FFC00000", INIT_09 => X"E0000FF000000FFFC0000000001FF80000FFC00007FFC000FFFFF00FF81FE000", INIT_0A => X"007E0FE00007F000003FF0000000007FC03FC000FE0000000000003FC01F1FC7", INIT_0B => X"0000FFF8000FFFFE01FF83FC0000000000000000000000000007F00001FFFFE0", INIT_0C => X"001FC0000000000007F803E7F0FC0001FE000007FFF80000000007FE00003FF0", INIT_0D => X"00000000000000FE00001FFFFC001FC0FC0000FE000007FE000000000FF807F8", INIT_0E => X"03FFFF0000000001FFC0000FFC00001FFF0000FFFFC01FFFFF80000000000000", INIT_0F => X"1FC00001FF8000000001FF00FF0003F8000000000000FF007C7E1F80003FC000", INIT_10 => X"1FFFF803FFFFF0000000000000000000000000001FC00001FFFF8001F81F8000", INIT_11 => X"0000001FE00F9F87F00007F80000FFFFE0000000003FF00001FF800003FFE000", INIT_12 => X"0003F800003FFFF0007F03F00003F800007FF0000000003FE01FE0007F000000", INIT_13 => X"00000FFC00007FE0000003FC0003F8FF001FFFFE000000000000000000000000", INIT_14 => X"0000000007FC03FC000FE0000000000003FC01FBF0FE0000FF00007FE9FC0000", INIT_15 => X"FFC0000000000000000000000000007F000007F1FE000FFFFF00007F00000FF8", INIT_16 => X"3F7E1FC0001FE0000FF03F8000000001FF80001FF80000003F80007E1FE001FF", INIT_17 => X"FE3FC001FFFFC0000FE00003FF0000000000FF007F8001FC0000000000007F80", INIT_18 => X"03FF00000007F0001FC3FC001FFFF8000000000000000000000000000FE00000", INIT_19 => X"E00FF0003F8000000000000FF007CFC3F80003FC0003FC07F0000000007FC000", INIT_1A => X"00000000000000000001FC00003FC7F8003FFFFC0001FC00007FC0000000001F", INIT_1B => X"7F80007F00FE000000000FF80000FF80000000FE0003F87F80007FFF00000000", INIT_1C => X"FF80003F80001FF00000000003FC01FE0007F0000000000001FE00FBF07F0000", INIT_1D => X"1FC0007F0FF00000DFE0000000000000000000000000003F800007F0FF0007FF", INIT_1E => X"0000000000003FC01F7E0FE0000FF0000FE01FC000000003FE00001FF0000000", INIT_1F => X"0000000007F00001FE1FE001FFFFF00007F00003FC00000000007F803FC000FE", INIT_20 => X"F8000000007F800003FC00000003F8001FC1FE000003FC000000000000000000", INIT_21 => X"00FF80000000000FF007F8001FC000000000000FF803EFC1FC0001FE0003FC03", INIT_22 => X"C000007F8000000000000000000000000000FE00003F83FC001FFFFE0000FE00", INIT_23 => X"01FE007FF03F80003FC0007F807F000000001FF00000FF000000007F0003F83F", INIT_24 => X"C00007F07F8007F81FC0001FC0001FE00000000000FE00FF0003F80000000000", INIT_25 => X"FC07E01FE03F00000FE000FF07F800000FF0000000000000000000000000001F", INIT_26 => X"00001FC01FE0007F0000000007803FC00FFE07F00007F8000FE00FE000000003", INIT_27 => X"00000000000000000000000003F80000FE0FF000FE03F80003F80003FC0FE000", INIT_28 => X"FE0000FF0003FC01FC000000007F80FC03F807E00001FC001FE0FF000001FE00", INIT_29 => X"001FC07F00007F0000FF01FC00000007F803FC000FE000000007F007F801FFC0", INIT_2A => X"FC0FE03F8003F81FE000003FC0000000000000000000000000007F00001FC1FE", INIT_2B => X"8001FC000000007E00FF003FF01FC0001FE0003FC03F800000000FE01F80FF00", INIT_2C => X"000000000000000FE00007F03FC003F807F0000FE0001FE03F800000007F807F", INIT_2D => X"07F807F000000001FC03F01FE01F81FC07F0007F03FC000007F8000000000000", INIT_2E => X"01FC0003FC07F00000000FF01FE0003F800000000FE01FE007FE03F80003FC00", INIT_2F => X"0FE07F800000FF0000000000000000000000000001FC0000FE07F8007F00FE00", INIT_30 => X"0001F803FC00FFC07F00007F8000FE00FE000000007F807E03FC03F03F80FE00", INIT_31 => X"00003F80001FC0FF000FE01FC0003F8000FF80FE00000001FE01FE0007F00000", INIT_32 => X"00000FF00FC07F007E07F01FC003F80FF000001FE00000000000000000000000", INIT_33 => X"1FC00000003FC03F8000FE000000003F007F801FF80FE0000FF0003FC01FC000", INIT_34 => X"03FC0000000000000000000000000007F00007F81FE001FC03F80007F0001FE0", INIT_35 => X"03FE01FC0001FE0003FC03F800000001FE03F80FF00FC0FE03F8007F81FE0000", INIT_36 => X"FE03FC007F807F0000FE0001FC03F800000003F80FF0001FC000000007F00FE0", INIT_37 => X"01FE03F81FC07F001FE03FC000007F8000000000000000000000000000FE0000", INIT_38 => X"FF01FE0003F800000000FE01FE007FC03F80003FC0007F807F000000003F807F", INIT_39 => X"000000000000000000001FC0001FC07F800FE00FE0001FC0007F807F00000000", INIT_3A => X"07F8000FF00FE000000007F807E03FC07F03F80FE003FC07F800000FF0000000", INIT_3B => X"01FC0003F80007F00FE00000000FF03F80007F000000000FC03F800FF807F000", INIT_3C => X"01FC007F00FF000001FE0000000000000000000000000003F80003F80FF001FC", INIT_3D => X"E000000003F80FF001FF00FE0000FF0000FF01FC000000007F01FC07F00FE07F", INIT_3E => X"00000000007F0000FF01FE003FC03FC0007F0000FE01FC00000001FE07F0000F", INIT_3F => X"3F800000001FE03F80FF01FC0FE03F800FE01FE000003FC00000000000000000", INIT_40 => X"001FC03F800000001FC1FE0001FC000000003F81FC003FC01FC0001FE0001FF0", INIT_41 => X"FC000007F8000000000000000000000000000FE0001FE03FC007F007F8000FE0", INIT_42 => X"F87F8007F803F80003FC0001FF07F000000001FE07F00FE07F81FC07F001FC03", INIT_43 => X"FC0003F807F800FE007F0001FC0003FC0FF000000003FC3FC0003F8000000007", INIT_44 => X"3FE1FE01FE1FF03FFFFFE3FF87FFF8001FFFF000000000000000000000000001", INIT_45 => X"0000007FFFF000FFFF800000007FFFF000FF07FFE007FFF8003FFFFFE0000000", INIT_46 => X"00000000000000000000000007FFF807FF0FFFF9FFF8FFFC03FFF8007FC7FE00", INIT_47 => X"7FFC00FFFF0003FFFFFC00000007FFFFC03FFFFE07FFFFFC7FE0FFFF0003FFFE", INIT_48 => X"FF3FFF1FFF807FFF8007FFFFC000000007FFFE001FFFF00000000FFFFC001FC0", INIT_49 => X"FFC0FFFFFF8FFC1FFFE0007FFFC0000000000000000000000000FFFF00FFC1FF", INIT_4A => X"8003FFFE00000000FFFF8003F80FFF801FFFE0007FFFFF800000007FFFF803FF", INIT_4B => X"000000000000001FFFE01FF83FFFE7FFE3FFF00FFFF0007FFFF800000000FFFF", INIT_4C => X"0003FFFFF000000007FFFF003FFFF81FFFFFF1FF83FFFC000FFFF80000000000", INIT_4D => X"01FFFE000FFFFF000000000FFFE0007FFFC00000001FFFE0007E01FFF003FFFC", INIT_4E => X"3FF07FFF8001FFFF00000000000000000000000003FFFC03FF07FFFCFFFC7FFE", INIT_4F => X"000001FFF8000FC03FFE007FFF80001FFFFE000000007FFFE007FFFF03FFFFFE", INIT_50 => X"00007FFF807FE0FFFF9FFF8FFFC03FFFC000FFFFE000000000FFFC000FFFF800", INIT_51 => X"00000007FFFC007FF7E07FFFFFC7FE0FFFF0003FFFE000000000000000000000", INIT_52 => X"FFFC000000000FFE0001FFFF000000001FFE0001F807FFC00FFFF00000FFFFC0", INIT_53 => X"0000000000000000000000000000000FFFF00FF81FFFF3FFF1FFF807FFF8000F", INIT_54 => X"00000000000000000000020000000000007F000007FC00000000000000000000", INIT_55 => X"000000000001000001000000007F0080000000007F800000000000000001FF00", INIT_56 => X"0000140000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0002000000000000000000048000000000000000000000000000000000000200", INIT_58 => X"0000000000000000000000000000000000000000000000000000028000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => B"0000000000000000", CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => B"00000000000000000000000000000000", DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 1), DOADO(0) => DOUTA(0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => '0', INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => \^ena\, REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3 downto 0) => B"0000", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is begin \prim_init.ram\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized0\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized1\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized10\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized10\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized10\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized10\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized10\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized11\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized11\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized11\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized11\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized11\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\ is port ( DOADO : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized2\ port map ( DOADO(3 downto 0) => DOADO(3 downto 0), addra(17 downto 0) => addra(17 downto 0), clka => clka, ena => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized3\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized3\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized3\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized3\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized3\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized4\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized4\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized4\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized4\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized4\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized5\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized5\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized5\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized5\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized5\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized6\ is port ( ENA : out STD_LOGIC; DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 17 downto 0 ); \^ena\ : in STD_LOGIC; clka : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized6\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized6\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized6\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized6\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(17 downto 0) => addra(17 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized7\ is port ( ENA : out STD_LOGIC; DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 17 downto 0 ); \^ena\ : in STD_LOGIC; clka : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized7\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized7\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized7\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized7\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(17 downto 0) => addra(17 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized8\ is port ( ENA : out STD_LOGIC; DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 17 downto 0 ); \^ena\ : in STD_LOGIC; clka : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized8\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized8\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized8\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized8\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(17 downto 0) => addra(17 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized9\ is port ( DOUTA : out STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; ENA : in STD_LOGIC; \^ena\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized9\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized9\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized9\ is begin \prim_init.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper_init__parameterized9\ port map ( DOUTA(0) => DOUTA(0), ENA => ENA, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => \^ena\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is signal ram_douta : STD_LOGIC; signal \ramloop[10].ram.r_n_0\ : STD_LOGIC; signal \ramloop[11].ram.r_n_0\ : STD_LOGIC; signal \ramloop[12].ram.r_n_0\ : STD_LOGIC; signal \ramloop[1].ram.r_n_0\ : STD_LOGIC; signal \ramloop[2].ram.r_n_0\ : STD_LOGIC; signal \ramloop[3].ram.r_n_0\ : STD_LOGIC; signal \ramloop[3].ram.r_n_1\ : STD_LOGIC; signal \ramloop[3].ram.r_n_2\ : STD_LOGIC; signal \ramloop[3].ram.r_n_3\ : STD_LOGIC; signal \ramloop[4].ram.r_n_0\ : STD_LOGIC; signal \ramloop[5].ram.r_n_0\ : STD_LOGIC; signal \ramloop[6].ram.r_n_0\ : STD_LOGIC; signal \ramloop[7].ram.r_n_0\ : STD_LOGIC; signal \ramloop[7].ram.r_n_1\ : STD_LOGIC; signal \ramloop[8].ram.r_n_0\ : STD_LOGIC; signal \ramloop[8].ram.r_n_1\ : STD_LOGIC; signal \ramloop[9].ram.r_n_0\ : STD_LOGIC; signal \ramloop[9].ram.r_n_1\ : STD_LOGIC; begin \has_mux_a.A\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_mux port map ( DOADO(3) => \ramloop[3].ram.r_n_0\, DOADO(2) => \ramloop[3].ram.r_n_1\, DOADO(1) => \ramloop[3].ram.r_n_2\, DOADO(0) => \ramloop[3].ram.r_n_3\, DOUTA(0) => \ramloop[2].ram.r_n_0\, addra(5 downto 0) => addra(17 downto 12), clka => clka, \^douta\(3 downto 0) => douta(3 downto 0), \douta[0]\(0) => \ramloop[1].ram.r_n_0\, \douta[0]_0\(0) => ram_douta, \douta[1]\(0) => \ramloop[6].ram.r_n_0\, \douta[1]_0\(0) => \ramloop[5].ram.r_n_0\, \douta[1]_1\(0) => \ramloop[4].ram.r_n_0\, \douta[2]\(0) => \ramloop[9].ram.r_n_1\, \douta[2]_0\(0) => \ramloop[8].ram.r_n_1\, \douta[2]_1\(0) => \ramloop[7].ram.r_n_1\, \douta[3]\(0) => \ramloop[12].ram.r_n_0\, \douta[3]_0\(0) => \ramloop[11].ram.r_n_0\, \douta[3]_1\(0) => \ramloop[10].ram.r_n_0\, ena => ena ); \ramloop[0].ram.r\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width port map ( DOUTA(0) => ram_douta, ENA => \ramloop[7].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[10].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized9\ port map ( DOUTA(0) => \ramloop[10].ram.r_n_0\, ENA => \ramloop[7].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[11].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized10\ port map ( DOUTA(0) => \ramloop[11].ram.r_n_0\, ENA => \ramloop[8].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[12].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized11\ port map ( DOUTA(0) => \ramloop[12].ram.r_n_0\, ENA => \ramloop[9].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[1].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ port map ( DOUTA(0) => \ramloop[1].ram.r_n_0\, ENA => \ramloop[8].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[2].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ port map ( DOUTA(0) => \ramloop[2].ram.r_n_0\, ENA => \ramloop[9].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[3].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\ port map ( DOADO(3) => \ramloop[3].ram.r_n_0\, DOADO(2) => \ramloop[3].ram.r_n_1\, DOADO(1) => \ramloop[3].ram.r_n_2\, DOADO(0) => \ramloop[3].ram.r_n_3\, addra(17 downto 0) => addra(17 downto 0), clka => clka, ena => ena ); \ramloop[4].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized3\ port map ( DOUTA(0) => \ramloop[4].ram.r_n_0\, ENA => \ramloop[7].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[5].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized4\ port map ( DOUTA(0) => \ramloop[5].ram.r_n_0\, ENA => \ramloop[8].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[6].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized5\ port map ( DOUTA(0) => \ramloop[6].ram.r_n_0\, ENA => \ramloop[9].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), clka => clka, \^ena\ => ena ); \ramloop[7].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized6\ port map ( DOUTA(0) => \ramloop[7].ram.r_n_1\, ENA => \ramloop[7].ram.r_n_0\, addra(17 downto 0) => addra(17 downto 0), clka => clka, \^ena\ => ena ); \ramloop[8].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized7\ port map ( DOUTA(0) => \ramloop[8].ram.r_n_1\, ENA => \ramloop[8].ram.r_n_0\, addra(17 downto 0) => addra(17 downto 0), clka => clka, \^ena\ => ena ); \ramloop[9].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized8\ port map ( DOUTA(0) => \ramloop[9].ram.r_n_1\, ENA => \ramloop[9].ram.r_n_0\, addra(17 downto 0) => addra(17 downto 0), clka => clka, \^ena\ => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is begin \valid.cstr\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr port map ( addra(17 downto 0) => addra(17 downto 0), clka => clka, douta(3 downto 0) => douta(3 downto 0), ena => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3_synth is port ( douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3_synth; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3_synth is begin \gnbram.gnativebmg.native_blk_mem_gen\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top port map ( addra(17 downto 0) => addra(17 downto 0), clka => clka, douta(3 downto 0) => douta(3 downto 0), ena => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 17 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 17 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; eccpipece : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; rdaddrecc : out STD_LOGIC_VECTOR ( 17 downto 0 ); sleep : in STD_LOGIC; deepsleep : in STD_LOGIC; shutdown : in STD_LOGIC; rsta_busy : out STD_LOGIC; rstb_busy : out STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_injectsbiterr : in STD_LOGIC; s_axi_injectdbiterr : in STD_LOGIC; s_axi_sbiterr : out STD_LOGIC; s_axi_dbiterr : out STD_LOGIC; s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 17 downto 0 ) ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 18; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 18; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "24"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "Estimated Power for IP : 8.585074 mW"; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "artix7"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "start_rom.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "start_rom.mif"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 3; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 196978; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 196978; attribute C_READ_LATENCY_A : integer; attribute C_READ_LATENCY_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_READ_LATENCY_B : integer; attribute C_READ_LATENCY_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 4; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 4; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 196978; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 196978; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 4; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is 4; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "artix7"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 : entity is "yes"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 is signal \<const0>\ : STD_LOGIC; begin dbiterr <= \<const0>\; doutb(3) <= \<const0>\; doutb(2) <= \<const0>\; doutb(1) <= \<const0>\; doutb(0) <= \<const0>\; rdaddrecc(17) <= \<const0>\; rdaddrecc(16) <= \<const0>\; rdaddrecc(15) <= \<const0>\; rdaddrecc(14) <= \<const0>\; rdaddrecc(13) <= \<const0>\; rdaddrecc(12) <= \<const0>\; rdaddrecc(11) <= \<const0>\; rdaddrecc(10) <= \<const0>\; rdaddrecc(9) <= \<const0>\; rdaddrecc(8) <= \<const0>\; rdaddrecc(7) <= \<const0>\; rdaddrecc(6) <= \<const0>\; rdaddrecc(5) <= \<const0>\; rdaddrecc(4) <= \<const0>\; rdaddrecc(3) <= \<const0>\; rdaddrecc(2) <= \<const0>\; rdaddrecc(1) <= \<const0>\; rdaddrecc(0) <= \<const0>\; rsta_busy <= \<const0>\; rstb_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(3) <= \<const0>\; s_axi_bid(2) <= \<const0>\; s_axi_bid(1) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_dbiterr <= \<const0>\; s_axi_rdaddrecc(17) <= \<const0>\; s_axi_rdaddrecc(16) <= \<const0>\; s_axi_rdaddrecc(15) <= \<const0>\; s_axi_rdaddrecc(14) <= \<const0>\; s_axi_rdaddrecc(13) <= \<const0>\; s_axi_rdaddrecc(12) <= \<const0>\; s_axi_rdaddrecc(11) <= \<const0>\; s_axi_rdaddrecc(10) <= \<const0>\; s_axi_rdaddrecc(9) <= \<const0>\; s_axi_rdaddrecc(8) <= \<const0>\; s_axi_rdaddrecc(7) <= \<const0>\; s_axi_rdaddrecc(6) <= \<const0>\; s_axi_rdaddrecc(5) <= \<const0>\; s_axi_rdaddrecc(4) <= \<const0>\; s_axi_rdaddrecc(3) <= \<const0>\; s_axi_rdaddrecc(2) <= \<const0>\; s_axi_rdaddrecc(1) <= \<const0>\; s_axi_rdaddrecc(0) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(3) <= \<const0>\; s_axi_rid(2) <= \<const0>\; s_axi_rid(1) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_sbiterr <= \<const0>\; s_axi_wready <= \<const0>\; sbiterr <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_blk_mem_gen: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3_synth port map ( addra(17 downto 0) => addra(17 downto 0), clka => clka, douta(3 downto 0) => douta(3 downto 0), ena => ena ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( clka : in STD_LOGIC; ena : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 17 downto 0 ); douta : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "start_rom,blk_mem_gen_v8_4_3,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "blk_mem_gen_v8_4_3,Vivado 2019.1"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_doutb_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 18; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 18; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "1"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of U0 : label is "24"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of U0 : label is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of U0 : label is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of U0 : label is 0; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 8.585074 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 1; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 1; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "start_rom.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "start_rom.mif"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 1; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 3; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 196978; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 196978; attribute C_READ_LATENCY_A : integer; attribute C_READ_LATENCY_A of U0 : label is 1; attribute C_READ_LATENCY_B : integer; attribute C_READ_LATENCY_B of U0 : label is 1; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 4; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 4; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 196978; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 196978; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 4; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 4; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "artix7"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; attribute x_interface_info : string; attribute x_interface_info of clka : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; attribute x_interface_parameter : string; attribute x_interface_parameter of clka : signal is "XIL_INTERFACENAME BRAM_PORTA, MEM_SIZE 8192, MEM_WIDTH 32, MEM_ECC NONE, MASTER_TYPE OTHER, READ_LATENCY 1"; attribute x_interface_info of ena : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; attribute x_interface_info of addra : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; attribute x_interface_info of douta : signal is "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_4_3 port map ( addra(17 downto 0) => addra(17 downto 0), addrb(17 downto 0) => B"000000000000000000", clka => clka, clkb => '0', dbiterr => NLW_U0_dbiterr_UNCONNECTED, deepsleep => '0', dina(3 downto 0) => B"0000", dinb(3 downto 0) => B"0000", douta(3 downto 0) => douta(3 downto 0), doutb(3 downto 0) => NLW_U0_doutb_UNCONNECTED(3 downto 0), eccpipece => '0', ena => ena, enb => '0', injectdbiterr => '0', injectsbiterr => '0', rdaddrecc(17 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(17 downto 0), regcea => '0', regceb => '0', rsta => '0', rsta_busy => NLW_U0_rsta_busy_UNCONNECTED, rstb => '0', rstb_busy => NLW_U0_rstb_busy_UNCONNECTED, s_aclk => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arid(3 downto 0) => B"0000", s_axi_arlen(7 downto 0) => B"00000000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2 downto 0) => B"000", s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awid(3 downto 0) => B"0000", s_axi_awlen(7 downto 0) => B"00000000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2 downto 0) => B"000", s_axi_awvalid => '0', s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', s_axi_rdaddrecc(17 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(17 downto 0), s_axi_rdata(3 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(3 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(3 downto 0) => B"0000", s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(0) => '0', s_axi_wvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, shutdown => '0', sleep => '0', wea(0) => '0', web(0) => '0' ); end STRUCTURE;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; entity LPF_HB_LAB is port(CLK : in STD_LOGIC; RST : in STD_LOGIC; X : in STD_LOGIC_VECTOR(13 downto 0); Y : out STD_LOGIC_VECTOR(13 downto 0) ); end LPF_HB_LAB; architecture synt of LPF_HB_LAB is constant a : signed(11 downto 0) := to_signed(integer(-0.156*2.0**11),12); constant b : signed(11 downto 0) := to_signed(integer(0.727*2.0**11),12); constant c : signed(11 downto 0) := to_signed(integer(-0.269*2.0**11),12); signal yi : signed(13 downto 0); signal xi, xi_1, xi_2 : signed(19 downto 0); signal qi_1, qi_2, pi, pi_1 : signed(31 downto 0); begin LPF: process(CLK,RST) variable yt : signed(19 downto 0); variable sm_2 : signed(19 downto 0); variable qi : signed(19 downto 0); begin if RST = '1' then xi <= (others => '0'); pi <= (others => '0'); xi_1 <= (others => '0'); xi_2 <= (others => '0'); qi_1 <= (others => '0'); qi_2 <= (others => '0'); pi_1 <= (others => '0'); yi <= (others => '0'); elsif CLK = '1' and CLK'event then xi <= RESIZE(signed(X&"0000"), 20); xi_1 <= xi; xi_2 <= xi_1; qi := xi- qi_2(30 downto 11) - pi_1(30 downto 11); qi_1 <= qi * b; qi_2 <= qi_1; pi_1 <= qi * c; sm_2 := xi_2 + qi_1(30 downto 11) + pi_1(30 downto 11); yt := sm_2 + xi_1; yi <= yt(16 downto 3); end if; end process; Y <= std_logic_vector(yi); end synt;
<gh_stars>1-10 library verilog; use verilog.vl_types.all; entity Coherent_Coff is port( clk : in vl_logic; Data_A : in vl_logic_vector(11 downto 0); Data_B : in vl_logic_vector(11 downto 0); Data_C : in vl_logic_vector(11 downto 0); Data_D : in vl_logic_vector(11 downto 0); Data_E : in vl_logic_vector(11 downto 0); Data_F : in vl_logic_vector(11 downto 0); Data_G : in vl_logic_vector(11 downto 0); Data_H : in vl_logic_vector(11 downto 0); Coff : out vl_logic_vector(7 downto 0) ); end Coherent_Coff;
library verilog; use verilog.vl_types.all; entity final_design is port( clk : in vl_logic; pc_reset : in vl_logic; flags : out vl_logic_vector(3 downto 0) ); end final_design;
-- clkctrl_c5.vhd -- Generated using ACDS version 16.1 200 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity clkctrl_c5 is port ( inclk : in std_logic := '0'; -- altclkctrl_input.inclk ena : in std_logic := '0'; -- .ena outclk : out std_logic -- altclkctrl_output.outclk ); end entity clkctrl_c5; architecture rtl of clkctrl_c5 is component clkctrl_c5_altclkctrl_0 is port ( inclk : in std_logic := 'X'; -- inclk ena : in std_logic := 'X'; -- ena outclk : out std_logic -- outclk ); end component clkctrl_c5_altclkctrl_0; begin altclkctrl_0 : component clkctrl_c5_altclkctrl_0 port map ( inclk => inclk, -- altclkctrl_input.inclk ena => ena, -- .ena outclk => outclk -- altclkctrl_output.outclk ); end architecture rtl; -- of clkctrl_c5
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library ghdlex; use ghdlex.ghpi_netpp.all; library work; use work.global_config.all; entity tb_versa_ecp5 is end entity; architecture sim of tb_versa_ecp5 is constant UCLK_PERIOD : time := real(500000000) / real(50100000) * (1 ns); constant SYSCLK_PERIOD : time := real(500000000) / real(CONFIG_SYSCLK) * (1 ns); constant MACCLK_PERIOD : time := 8000 ps; signal clk : std_logic := '0'; signal uclk : std_logic := '0'; signal reset_n : std_logic := '1'; -- Alternative LED on versa_ecp5 board: signal led : std_logic_vector(7 downto 0); signal tck, tms, tdi, tdo : std_logic := '0'; -- I2C bus: signal i2c_scl : std_logic; signal i2c_sda : std_logic; -- Internal Slave (bridge) bus: signal slv_scl : std_logic; signal slv_sda : std_logic; signal spi_miso : std_logic; signal spi_mosi : std_logic; signal spi_sclk : std_logic; signal spi_cs : std_logic; signal macio_miim_clk : std_logic; --! MIIM clk signal macio_rgmii_tx : std_logic; --! RGMII TX ctr pin signal macio_rgmii_rx : std_logic; --! signal macio_mdc : std_logic; --! signal macio_mdio : std_logic; --! signal mac_mclk : std_logic := '0'; signal macio_mii_txclk : std_logic; signal macio_mii_txen : std_logic; signal macio_mii_txd : std_logic_vector(3 downto 0); signal macio_mii_txerr : std_logic; signal macio_mii_rxclk : std_logic; signal macio_mii_rxd : std_logic_vector(3 downto 0); signal macio_rgmii_rc : std_logic; signal macio_rgmii_tc : std_logic; signal uart_tx : std_logic; signal uart_rx : std_logic; begin initialize: process variable retval : integer; begin retval := netpp_init("VersaECP5Sim"); wait; end process; -- Loopback UART: -- uart_rx <= uart_tx; vuart: entity work.VirtualUART generic map ( DIVIDER => CONFIG_SYSCLK / CONFIG_DEFAULT_UART_BAUDRATE / 16 - 1 ) port map ( rxi => uart_tx, rxirq => open, txo => uart_rx, clk => uclk ); uut: entity work.versa_ecp5_top generic map ( SIMULATION => true) port map ( tck => tck, tms => tms, tdi => tdi, tdo => tdo, -- clk_out => open, spi_miso => spi_miso, spi_mosi => spi_mosi, -- spi_sclk => spi_sclk, spi_cs => spi_cs, twi_scl => i2c_scl, twi_sda => i2c_sda, txd_uart => uart_rx, rxd_uart => uart_tx, oled => led, dip_sw => "00110001", -- phy_rgmii_txclk => macio_mii_txclk, -- phy_rgmii_txctl => macio_rgmii_tc, -- phy_rgmii_txd => macio_mii_txd, -- phy_rgmii_rxclk => macio_mii_rxclk, -- phy_rgmii_rxctl => macio_rgmii_rc, -- phy_rgmii_rxd => macio_mii_rxd, -- ts_mac_coremdc => macio_mdc, -- ts_mac_coremdio => macio_mdio, reset_n => reset_n, clk_in => clk ); -- Loopback: -- We need to supply an external rxclk: macio_mii_rxclk <= mac_mclk; process (mac_mclk) begin if rising_edge(mac_mclk) then macio_mii_rxd <= macio_mii_txd; macio_rgmii_rc <= macio_rgmii_tc; elsif falling_edge(mac_mclk) then macio_mii_rxd <= macio_mii_txd; macio_rgmii_rc <= macio_rgmii_tc; end if; end process; clkgen: clk <= not clk after SYSCLK_PERIOD; uclk <= not uclk after UCLK_PERIOD; -- Only for GMII simulation: mac_mclk <= not mac_mclk after 40 ns; -- Pull to (weak) H: i2c_scl <= 'H'; i2c_sda <= 'H'; macio_mdio <= 'L'; -- To have a defined input for simulation process begin global_throttle <= '0'; wait for 6 us; reset_n <= '0'; wait for 100 ns; reset_n <= '1'; wait; end process; end sim;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block <KEY> `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect key_keyowner = "Synopsys", key_keyname= "<KEY>", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Xilinx", key_keyname= "xilinxt_2017_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12208) `protect data_block <KEY> `protect end_protected
<reponame>synvue/generic-fifo<filename>hw/tester/os-vvm/CoveragePkg.vhd -- -- File Name: CoveragePkg.vhd -- Design Unit Name: CoveragePkg -- Revision: STANDARD VERSION, revision 2013.05 -- -- Maintainer: <NAME> email: <EMAIL> -- Contributor(s): -- <NAME> SynthWorks -- <NAME> Creonic. Inspired GetMinBinVal, GetMinPoint, GetCov -- <NAME> Aldec. Inspired GetBin function -- -- -- Package Defines -- Functional coverage modeling utilities and data structure -- -- Developed for: -- SynthWorks Design Inc. -- VHDL Training Classes -- 11898 SW 128th Ave. Tigard, Or 97223 -- http://www.SynthWorks.com -- -- Latest standard version available at: -- http://www.SynthWorks.com/downloads -- -- Revision History: -- Date Version Description -- 06/2010: 0.1 Initial revision -- 09/2010 Release in SynthWorks' VHDL Testbenches and Verification classes -- 02/2011: 1.0 Requires VHDL-2008 types integer_vector and boolean_vecctor -- Changed CoverBinType to facilitage long term support of cross coverage -- 02/2011: 1.1 Added GetMinCov, GetMaxCov, CountCovHoles, GetCovHole -- 04/2011: 2.0 Added CovPType -- 06/2011: 2.1 Removed Signal Based Coverage -- 07/2011: 2.2 Added Weight, AtLeast, randomization with percentage thresholds -- Randomization with weights/weight modes -- Cleaned up parameter naming -- 11/2011: 2.2a Made ALL_RANGE and constants in ZERO_BIN and ONE_BIN have a 1 index -- rather than 0 to match the range of BinVal -- 12/2011: 2.2b Fixed minor inconsistencies on interface declarations. -- Library RandomPkg is assumed to be in the same library as CoveragePkg -- 01/2012: 2.3 Added Function GetBin from <NAME>. -- Made write for RangeArrayType visible -- 01/2012: 2.4 -- Added bin merging and deletion for overlapping bins -- Renamed RandCovHole to RandCovBinVal - maintained old version calls new -- Renamed GetCovHole to GetHoleBinVal - maintained old version calls new -- 04/2013: 2013.04 -- Added control for merging and deletion. -- Note: Merging will change in a future revision. -- Merging is off by default. Use function SetMerging to turn it on. -- Added RandCovPoint for integer. -- Thresholding is now enabled or disabled by an internal variable. -- Enable or disable using SetThresholding. Off by default. -- Revised RandCovPoint and RandCovBinVal to use thresholding. -- Thresholding is also turned on by SetCovThreshold(Percent). -- Added coverage target multiplier as a multiplier to all AtLeast values. -- Multiplier is CovTarget/100. It is 100% by default. -- Change it with SetCovTarget. Removed the default value from -- the PercentCov parameter of all methods (RandCovPoint, -- RandCovBinVal, IsCovered, CountCovHoles, GetHoleBinVal, and -- WriteCovHoles). Replaced the default value with an -- overloaded function that uses coverage target instead. -- Added ILLEGAL_FAILURE mode to illegal bin control. -- When set, if an illegal bin is encountered, a severity -- failure will be generated. -- Added manual bin iteration support. -- BinIndex: GetNumBins, GetMinIndex, GetMaxIndex -- BinVal: GetBinVal(BinIndex), GetMinBinVal, GetMaxBinVal -- Point: GetPoint (BinIndex), GetMinPoint, GetMaxPoint -- Added FileOpenWriteBin and FileCloseWriteBin -- Opens default file for WriteBin, WriteCovHoles, and DumpBin -- Added CompareBins to facilitate comparing two coverage models. -- Added error checking to methods. -- Uninitialized model checks to WriteBin, WriteCovHoles, and WriteCovDb. -- Check AddBins or AddCross do not change the current BinVal size. -- Added IsInitialized to check if a coverage model is initialized. -- Added GetBinInfo and GetBinValLength to get bin information -- WriteBins and WriteCovHoles only print weight if the selected WeightMode uses the weight. -- Changed WriteCovDb default for File_Open_Kind to WRITE_MODE -- Generally only one WriteCovDb is needed per coverage model. -- Updated WriteCovDb and ReadCovDb for new internal control/state variables -- in the order of ThresholdingEnable, CovTarget, and MergingEnable. -- To manually edit old file, add FALSE, 100.0, FALSE to end of first line -- Removed IgnoreBin with AtLeast and Weight parameters. -- These are zero for ignore bins. -- Working on consistency of naming. The following have changed: -- New Name Old Name Why -- CovBinErrCnt GetErrorCount Consistency between packages -- GetMinCount GetMinCov[return integer] Naming clarity -- GetMaxCount GetMaxCov[return integer] Naming clarity -- SetName SetItemName SetName now does multi-line messages -- -- The following methods with an AtLeast parameter are deprecated, -- RandCovPoint, RandCovBinVal, IsCovered, CountCovHoles, -- GetHoleBinVal, and WriteCovHoles. -- 5/2013 2013.05 -- No changes of substance. -- Removed extra variable declaration in functions GetHoleBinval, -- RandCovBinVal, RandCovHole, GetHoleBinVal -- Using work.RandomPkg.NULL_RANGE_TYPE to remove NULL range warnings -- -- -- -- Development Notes: -- The coverage procedures are named ICover to avoid conflicts with -- future language changes which may add cover as a keyword -- Procedure WriteBin writes each CovBin on a separate line, as such -- it was inappropriate to overload either textio write or to_string -- In the notes VHDL-2008 notes refers to -- composites with unconstrained elements -- -- -- Copyright (c) 2010 - 2013 by SynthWorks Design Inc. All rights reserved. -- -- Verbatim copies of this source file may be used and -- distributed without restriction. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the ARTISTIC License -- as published by The Perl Foundation; either version 2.0 of -- the License, or (at your option) any later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the Artistic License for details. -- -- You should have received a copy of the license with this source. -- If not download it from, -- http://www.perlfoundation.org/artistic_license_2_0 -- -- Credits: -- CovBinBaseType is inspired by a structure proposed in the -- paper "Functional Coverage - without SystemVerilog!" -- by <NAME> and <NAME>. Presented at DVCon 2010 -- However the approach in their paper uses entities and -- architectures where this approach relies on functions -- and procedures, so the usage models differ greatly however. -- library ieee ; use ieee.std_logic_1164.all ; use ieee.numeric_std.all ; use ieee.math_real.all ; use std.textio.all ; -- comment out following 2 lines with VHDL-2008. Leave in for VHDL-2002 -- library ieee_proposed ; -- remove with VHDL-2008 -- use ieee_proposed.standard_additions.all ; -- remove with VHDL-2008 use work.RandomPkg.all ; use work.RandomBasePkg.all ; package CoveragePkg is -- CovPType allocates bins that are multiples of MIN_NUM_BINS constant MIN_NUM_BINS : integer := 2**7 ; -- power of 2 type RangeType is record min : integer ; max : integer ; end record ; type RangeArrayType is array (integer range <>) of RangeType ; constant ALL_RANGE : RangeArrayType := (1=>(Integer'left, Integer'right)) ; procedure write ( file f : text ; BinVal : RangeArrayType ) ; -- CovBinBaseType.action values. -- Note that coverage counting depends on these values constant COV_COUNT : integer := 1 ; constant COV_IGNORE : integer := 0 ; constant COV_ILLEGAL : integer := -1 ; -- Used for easy manual entry. Order: min, max, action -- Intentionally did not use a record to allow other input -- formats in the future with VHDL-2008 unconstrained arrays -- of unconstrained elements type CovBinManualType is array (natural range <>) of integer_vector(0 to 2) ; type CovBinBaseType is record BinVal : RangeArrayType(1 to 1) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovBinType is array (natural range <>) of CovBinBaseType ; constant ALL_BIN : CovBinType := (0 => ( BinVal => ALL_RANGE, Action => COV_COUNT, Count => 0, AtLeast => 1, Weight => 1 )) ; constant ALL_COUNT : CovBinType := (0 => ( BinVal => ALL_RANGE, Action => COV_COUNT, Count => 0, AtLeast => 1, Weight => 1 )) ; constant ALL_ILLEGAL : CovBinType := (0 => ( BinVal => ALL_RANGE, Action => COV_ILLEGAL, Count => 0, AtLeast => 0, Weight => 0 )) ; constant ALL_IGNORE : CovBinType := (0 => ( BinVal => ALL_RANGE, Action => COV_IGNORE, Count => 0, AtLeast => 0, Weight => 0 )) ; constant ZERO_BIN : CovBinType := (0 => ( BinVal => (1=>(0,0)), Action => COV_COUNT, Count => 0, AtLeast => 1, Weight => 1 )) ; constant ONE_BIN : CovBinType := (0 => ( BinVal => (1=>(1,1)), Action => COV_COUNT, Count => 0, AtLeast => 1, Weight => 1 )) ; constant NULL_BIN : CovBinType(work.RandomPkg.NULL_RANGE_TYPE) := (others => ( BinVal => ALL_RANGE, Action => integer'high, Count => 0, AtLeast => integer'high, Weight => integer'high )) ; type CountModeType is (COUNT_FIRST, COUNT_ALL) ; type IllegalModeType is (ILLEGAL_ON, ILLEGAL_FAILURE, ILLEGAL_OFF) ; type WeightModeType is (AT_LEAST, WEIGHT, REMAIN, REMAIN_EXP, REMAIN_SCALED, REMAIN_WEIGHT ) ; -- In VHDL-2008 CovMatrix?BaseType and CovMatrix?Type will be subsumed -- by CovBinBaseType and CovBinType with RangeArrayType as an unconstrained array. type CovMatrix2BaseType is record BinVal : RangeArrayType(1 to 2) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix2Type is array (natural range <>) of CovMatrix2BaseType ; type CovMatrix3BaseType is record BinVal : RangeArrayType(1 to 3) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix3Type is array (natural range <>) of CovMatrix3BaseType ; type CovMatrix4BaseType is record BinVal : RangeArrayType(1 to 4) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix4Type is array (natural range <>) of CovMatrix4BaseType ; type CovMatrix5BaseType is record BinVal : RangeArrayType(1 to 5) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix5Type is array (natural range <>) of CovMatrix5BaseType ; type CovMatrix6BaseType is record BinVal : RangeArrayType(1 to 6) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix6Type is array (natural range <>) of CovMatrix6BaseType ; type CovMatrix7BaseType is record BinVal : RangeArrayType(1 to 7) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix7Type is array (natural range <>) of CovMatrix7BaseType ; type CovMatrix8BaseType is record BinVal : RangeArrayType(1 to 8) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix8Type is array (natural range <>) of CovMatrix8BaseType ; type CovMatrix9BaseType is record BinVal : RangeArrayType(1 to 9) ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; end record ; type CovMatrix9Type is array (natural range <>) of CovMatrix9BaseType ; ------------------------------------------------------------ function ToMinPoint (A : RangeArrayType) return integer ; function ToMinPoint (A : RangeArrayType) return integer_vector ; -- BinVal to Minimum Point ------------------------------------------------------------ procedure ToRandPoint( -- BinVal to Random Point -- better as a function, however, inout not supported on functions ------------------------------------------------------------ variable RV : inout RandomPType ; constant BinVal : in RangeArrayType ; variable result : out integer ) ; ------------------------------------------------------------ procedure ToRandPoint( -- BinVal to Random Point ------------------------------------------------------------ variable RV : inout RandomPType ; constant BinVal : in RangeArrayType ; variable result : out integer_vector ) ; ------------------------------------------------------------------------------------------ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX ------------------------------------------------------------------------------------------ type CovPType is protected procedure FileOpenWriteBin (FileName : string; OpenKind : File_Open_Kind ) ; procedure FileCloseWriteBin ; -- procedure FileOpenWriteCovDb (FileName : string; OpenKind : File_Open_Kind ) ; -- procedure FileCloseWriteCovDb ; procedure SetIllegalMode (A : IllegalModeType) ; procedure SetWeightMode (A : WeightModeType; Scale : real := 1.0) ; procedure SetName (NameIn : String) ; procedure DeallocateName ; -- clear name procedure SetThresholding(A : boolean := TRUE ) ; -- 2.5 procedure SetCovThreshold (Percent : real) ; procedure SetCovTarget (Percent : real) ; -- 2.5 impure function GetCovTarget return real ; -- 2.5 procedure SetMerging(A : boolean := TRUE ) ; -- 2.5 procedure SetCountMode (A : CountModeType) ; procedure InitSeed (S : string ) ; procedure InitSeed (I : integer ) ; procedure SetSeed (RandomSeedIn : RandomSeedType ) ; impure function GetSeed return RandomSeedType ; procedure SetBinSize (NewNumBins : integer) ; ------------------------------------------------------------ procedure AddBins ( AtLeast : integer ; Weight : integer ; CovBin : CovBinType ) ; procedure AddBins (AtLeast : integer ; CovBin : CovBinType) ; procedure AddBins (CovBin : CovBinType) ; ------------------------------------------------------------ procedure AddCross( AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; ------------------------------------------------------------ procedure AddCross( AtLeast : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; ------------------------------------------------------------ procedure AddCross( Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) ; procedure Deallocate ; procedure ICover( CovPoint : integer) ; procedure ICover( CovPoint : integer_vector) ; procedure SetCovZero ; impure function IsInitialized return boolean ; impure function GetNumBins return integer ; impure function GetMinIndex return integer ; impure function GetMinCov return real ; -- PercentCov impure function GetMinCount return integer ; -- Count impure function GetMaxIndex return integer ; impure function GetMaxCov return real ; -- PercentCov impure function GetMaxCount return integer ; -- Count impure function CountCovHoles ( PercentCov : real ) return integer ; impure function CountCovHoles return integer ; impure function IsCovered return boolean ; impure function IsCovered ( PercentCov : real ) return boolean ; impure function GetCov return real ; -- PercentCov of entire model/all bins -- Return BinVal impure function GetBinVal ( BinIndex : integer ) return RangeArrayType ; impure function RandCovBinVal ( PercentCov : real ) return RangeArrayType ; impure function RandCovBinVal return RangeArrayType ; impure function GetMinBinVal return RangeArrayType ; impure function GetMaxBinVal return RangeArrayType ; impure function GetHoleBinVal ( ReqHoleNum : integer ; CovTargetPercent : real ) return RangeArrayType ; impure function GetHoleBinVal ( CovTargetPercent : real ) return RangeArrayType ; impure function GetHoleBinVal ( ReqHoleNum : integer := 1 ) return RangeArrayType ; -- Return Points impure function RandCovPoint return integer ; impure function RandCovPoint ( PercentCov : real ) return integer ; impure function RandCovPoint return integer_vector ; impure function RandCovPoint ( PercentCov : real ) return integer_vector ; impure function GetPoint ( BinIndex : integer ) return integer ; impure function GetPoint ( BinIndex : integer ) return integer_vector ; impure function GetMinPoint return integer ; impure function GetMinPoint return integer_vector ; impure function GetMaxPoint return integer ; impure function GetMaxPoint return integer_vector ; -- GetBin returns an internal value of the coverage data structure -- The return value may change as the package evolves -- Use it only for debugging. -- GetBinInfo is a for development only. impure function GetBinInfo ( BinIndex : integer ) return CovBinBaseType ; impure function GetBinValLength return integer ; impure function GetBin ( BinIndex : integer ) return CovBinBaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix2BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix3BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix4BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix5BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix6BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix7BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix8BaseType ; impure function GetBin ( BinIndex : integer ) return CovMatrix9BaseType ; -- procedure WriteBin ( file f : text ) ; procedure WriteBin ; procedure WriteBin ( FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ) ; procedure WriteCovHoles ; procedure WriteCovHoles ( PercentCov : real ) ; procedure WriteCovHoles ( FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ) ; procedure WriteCovHoles ( FileName : string; PercentCov : real ; OpenKind : File_Open_Kind := APPEND_MODE ) ; procedure DumpBin ; -- Development only procedure ReadCovDb (FileName : string) ; procedure WriteCovDb (FileName : string; OpenKind : File_Open_Kind := WRITE_MODE ) ; impure function GetErrorCount return integer ; -- These support usage of cross coverage constants -- Also support the older AddBins(GenCross(...)) methodology -- which has been replaced by AddCross procedure AddBins (CovBin : CovMatrix2Type) ; procedure AddBins (CovBin : CovMatrix3Type) ; procedure AddBins (CovBin : CovMatrix4Type) ; procedure AddBins (CovBin : CovMatrix5Type) ; procedure AddBins (CovBin : CovMatrix6Type) ; procedure AddBins (CovBin : CovMatrix7Type) ; procedure AddBins (CovBin : CovMatrix8Type) ; procedure AddBins (CovBin : CovMatrix9Type) ; ------------------------------------------------------------ -- Remaining are Deprecated -- -- Deprecated. Replaced by SetName with multi-line support procedure SetItemName (ItemNameIn : String) ; -- deprecated -- Deprecated. Consistency across packages impure function CovBinErrCnt return integer ; -- Deprecated. Due to name changes to promote greater consistency -- Maintained for backward compatibility. -- RandCovHole replaced by RandCovBinVal impure function RandCovHole ( PercentCov : real ) return RangeArrayType ; -- Deprecated impure function RandCovHole return RangeArrayType ; -- Deprecated -- GetCovHole replaced by GetHoleBinVal impure function GetCovHole ( ReqHoleNum : integer ; PercentCov : real ) return RangeArrayType ; impure function GetCovHole ( PercentCov : real ) return RangeArrayType ; impure function GetCovHole ( ReqHoleNum : integer := 1 ) return RangeArrayType ; -- Deprecated/ Subsumed by versions with PercentCov Parameter -- Maintained for backward compatibility only and -- may be removed in the future. impure function GetMinCov return integer ; impure function GetMaxCov return integer ; impure function CountCovHoles ( AtLeast : integer ) return integer ; impure function IsCovered ( AtLeast : integer ) return boolean ; impure function RandCovBinVal ( AtLeast : integer ) return RangeArrayType ; impure function RandCovHole ( AtLeast : integer ) return RangeArrayType ; -- Deprecated impure function RandCovPoint (AtLeast : integer ) return integer ; impure function RandCovPoint (AtLeast : integer ) return integer_vector ; impure function GetHoleBinVal ( ReqHoleNum : integer ; AtLeast : integer ) return RangeArrayType ; impure function GetCovHole ( ReqHoleNum : integer ; AtLeast : integer ) return RangeArrayType ; procedure WriteCovHoles ( AtLeast : integer ) ; procedure WriteCovHoles ( FileName : string; AtLeast : integer ; OpenKind : File_Open_Kind := APPEND_MODE ) ; end protected CovPType ; ------------------------------------------------------------------------------------------ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX ------------------------------------------------------------------------------------------ ------------------------------------------------------------ -- Experimental. Intended primarily for development. procedure CompareBins ( ------------------------------------------------------------ variable Bin1 : inout CovPType ; variable Bin2 : inout CovPType ; variable ErrorCount : inout integer ) ; -- -- Support for AddBins and AddCross -- ------------------------------------------------------------ function GenBin( ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Min, Max : integer ; NumBin : integer ) return CovBinType ; -- Each item in range in a separate CovBin function GenBin(AtLeast : integer ; Min, Max, NumBin : integer ) return CovBinType ; function GenBin(Min, Max, NumBin : integer ) return CovBinType ; function GenBin(Min, Max : integer) return CovBinType ; function GenBin(A : integer) return CovBinType ; ------------------------------------------------------------ function IllegalBin ( Min, Max, NumBin : integer ) return CovBinType ; ------------------------------------------------------------ -- All items in range in a single CovBin function IllegalBin ( Min, Max : integer ) return CovBinType ; function IllegalBin ( A : integer ) return CovBinType ; ---------------------------------------------------------- -- function IgnoreBin ( ---------------------------------------------------------- -- AtLeast : integer ; -- Weight : integer ; -- Min, Max : integer ; -- NumBin : integer -- ) return CovBinType ; -- function IgnoreBin (AtLeast : integer ; Min, Max, NumBin : integer) return CovBinType ; -- All items in range in a single CovBin ------------------------------------------------------------ function IgnoreBin (Min, Max, NumBin : integer) return CovBinType ; ------------------------------------------------------------ function IgnoreBin (Min, Max : integer) return CovBinType ; function IgnoreBin (A : integer) return CovBinType ; ------------------------------------------------------------ function GenBin ( -- Manual entry format for CovBin within lots of extra parens ------------------------------------------------------------ ManualBin : CovBinManualType ) return CovBinType ; -- With VHDL-2008, there will be one GenCross that returns CovBinType -- and has inputs initialized to NULL_BIN - see AddCross ------------------------------------------------------------ function GenCross( -- 2 -- Cross existing bins -- Use AddCross for adding values directly to coverage database -- Use GenCross for constants ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ) return CovMatrix2Type ; function GenCross(AtLeast : integer ; Bin1, Bin2 : CovBinType) return CovMatrix2Type ; function GenCross(Bin1, Bin2 : CovBinType) return CovMatrix2Type ; ------------------------------------------------------------ function GenCross( -- 3 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type ; function GenCross( Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type ; ------------------------------------------------------------ function GenCross( -- 4 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type ; function GenCross( Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type ; ------------------------------------------------------------ function GenCross( -- 5 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type ; ------------------------------------------------------------ function GenCross( -- 6 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type ; ------------------------------------------------------------ function GenCross( -- 7 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type ; ------------------------------------------------------------ function GenCross( -- 8 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type ; ------------------------------------------------------------ function GenCross( -- 9 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type ; function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type ; function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type ; ------------------------------------------------------------ procedure increment( signal Count : inout integer ) ; procedure increment( signal Count : inout integer ; enable : boolean ) ; procedure increment( signal Count : inout integer ; enable : std_ulogic ) ; ------------------------------------------------------------ -- Utilities. Remove if added to std.standard function to_integer ( B : boolean ) return integer ; function to_integer ( SL : std_logic ) return integer ; function to_integer_vector ( BV : boolean_vector ) return integer_vector ; function to_integer_vector ( SLV : std_logic_vector ) return integer_vector ; end package CoveragePkg ; --- ////////////////////////////////////////////////////////////////////////////////////////////// --- ////////////////////////////////////////////////////////////////////////////////////////////// package body CoveragePkg is ------------------------------------------------------------ function inside ( -- package local ------------------------------------------------------------ CovPoint : integer ; BinVal : RangeType ) return boolean is begin return CovPoint >= BinVal.min and CovPoint <= BinVal.max ; end function inside ; ------------------------------------------------------------ function inside ( -- package local ------------------------------------------------------------ CovPoint : integer_vector ; BinVal : RangeArrayType ) return boolean is alias iCovPoint : integer_vector(BinVal'range) is CovPoint ; begin for i in BinVal'range loop if not (iCovPoint(i) >= BinVal(i).min and iCovPoint(i) <= BinVal(i).max) then return FALSE ; end if ; end loop ; return TRUE ; end function inside ; ------------------------------------------------------------ function inside ( -- package local, used by InsertBin -- True when BinVal1 is inside BinVal2 ------------------------------------------------------------ BinVal1 : RangeArrayType ; BinVal2 : RangeArrayType ) return boolean is alias iBinVal2 : RangeArrayType(BinVal1'range) is BinVal2 ; begin for i in BinVal1'range loop if not (BinVal1(i).min >= iBinVal2(i).min and BinVal1(i).max <= iBinVal2(i).max) then return FALSE ; end if ; end loop ; return TRUE ; end function inside ; ------------------------------------------------------------ procedure write ( file f : text ; CovPoint : integer_vector ) is -- package local. called by ICover ------------------------------------------------------------ alias iCovPoint : integer_vector(1 to CovPoint'length) is CovPoint ; begin write(f, "(" & integer'image(iCovPoint(1)) ) ; for i in 2 to iCovPoint'right loop write(f, "," & integer'image(iCovPoint(i)) ) ; end loop ; write(f, ")") ; end procedure write ; ------------------------------------------------------------ procedure write ( file f : text ; BinVal : RangeArrayType ) is -- called by WriteBin and WriteCovHoles ------------------------------------------------------------ begin for i in BinVal'range loop if BinVal(i).min = BinVal(i).max then write(f, "(" & integer'image(BinVal(i).min) & ") " ) ; elsif (BinVal(i).min = integer'left) and (BinVal(i).max = integer'right) then write(f, "(ALL) " ) ; else write(f, "(" & integer'image(BinVal(i).min) & " to " & integer'image(BinVal(i).max) & ") " ) ; end if ; end loop ; end procedure write ; ------------------------------------------------------------ -- package local function failed (InValid : boolean ; Message : string := " ") return boolean is -- Move to TbUtilPkg and make visible? ------------------------------------------------------------ begin if InValid then report Message severity failure ; end if ; return InValid ; end function failed ; ------------------------------------------------------------ -- package local procedure EmptyOrCommentLine ( -- Better as Function, but not supported in VHDL functions ------------------------------------------------------------ variable L : InOut line ; variable Empty : out boolean ) is variable Valid : boolean ; variable Char : character ; constant NBSP : CHARACTER := CHARACTER'val(160); -- space character begin Empty := TRUE ; -- if line empty (null or 0 length), Empty = TRUE if L = null or L.all'length = 0 then return ; end if ; -- if line starts with '#', empty = TRUE if L.all(1) = '#' then return ; end if ; -- if line starts with '--', empty = TRUE if L.all'length >= 2 and L.all(1) = '-' and L.all(2) = '-' then return ; end if ; -- Otherwise, remove white space and check for end of line -- Code borrowed from <NAME>, skip_whitespace WhiteSpLoop : while L /= null and L.all'length > 0 loop if (L.all(1) = ' ' or L.all(1) = NBSP or L.all(1) = HT) then read (L, Char, Valid) ; else Empty := FALSE ; exit WhiteSpLoop ; end if ; end loop WhiteSpLoop ; end procedure EmptyOrCommentLine ; ------------------------------------------------------------ -- package local for now procedure read ( -- if public, also create one that does not use valid flag ------------------------------------------------------------ variable buf : inout line ; variable BinVal : out RangeArrayType ; variable Valid : out boolean ) is variable ReadValid : boolean ; begin for i in BinVal'range loop read(buf, BinVal(i).min, ReadValid) ; exit when not ReadValid ; read(buf, BinVal(i).max, ReadValid) ; exit when not ReadValid ; end loop ; Valid := ReadValid ; end procedure read ; ------------------------------------------------------------ procedure write ( -- package local for now ------------------------------------------------------------ variable buf : inout line ; constant BinVal : in RangeArrayType ) is begin for i in BinVal'range loop write(buf, BinVal(i).min) ; write(buf, ' ') ; write(buf, BinVal(i).max) ; write(buf, ' ') ; end loop ; end procedure write ; -- ------------------------------------------------------------ function BinLengths ( -- package local, used by AddCross, GenCross -- ------------------------------------------------------------ Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) return integer_vector is variable result : integer_vector(1 to 20) := (others => 0 ) ; variable i : integer := result'left ; variable Len : integer ; begin loop case i is when 1 => Len := Bin1'length ; when 2 => Len := Bin2'length ; when 3 => Len := Bin3'length ; when 4 => Len := Bin4'length ; when 5 => Len := Bin5'length ; when 6 => Len := Bin6'length ; when 7 => Len := Bin7'length ; when 8 => Len := Bin8'length ; when 9 => Len := Bin9'length ; when 10 => Len := Bin10'length ; when 11 => Len := Bin11'length ; when 12 => Len := Bin12'length ; when 13 => Len := Bin13'length ; when 14 => Len := Bin14'length ; when 15 => Len := Bin15'length ; when 16 => Len := Bin16'length ; when 17 => Len := Bin17'length ; when 18 => Len := Bin18'length ; when 19 => Len := Bin19'length ; when 20 => Len := Bin20'length ; when others => Len := 0 ; end case ; result(i) := Len ; exit when Len = 0 ; i := i + 1 ; exit when i = 21 ; end loop ; return result(1 to (i-1)) ; end function BinLengths ; -- ------------------------------------------------------------ function CalcNumCrossBins ( BinLens : integer_vector ) return integer is -- package local, used by AddCross -- ------------------------------------------------------------ variable result : integer := 1 ; begin for i in BinLens'range loop result := result * BinLens(i) ; end loop ; return result ; end function CalcNumCrossBins ; -- ------------------------------------------------------------ procedure IncBinIndex ( -- package local, used by AddCross -- ------------------------------------------------------------ variable BinIndex : inout integer_vector ; constant BinLens : in integer_vector ) is alias aBinIndex : integer_vector(1 to BinIndex'length) is BinIndex ; alias aBinLens : integer_vector(aBinIndex'range) is BinLens ; begin -- increment right most one, then if overflow, increment next -- assumes bins numbered from 1 to N. - assured by ConcatenateBins for i in aBinIndex'reverse_range loop aBinIndex(i) := aBinIndex(i) + 1 ; exit when aBinIndex(i) <= aBinLens(i) ; aBinIndex(i) := 1 ; end loop ; end procedure IncBinIndex ; -- ------------------------------------------------------------ function ConcatenateBins ( -- package local, used by AddCross -- ------------------------------------------------------------ BinIndex : integer_vector ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) return CovBinType is alias aBin1 : CovBinType (1 to Bin1'length) is Bin1 ; alias aBin2 : CovBinType (1 to Bin2'length) is Bin2 ; alias aBin3 : CovBinType (1 to Bin3'length) is Bin3 ; alias aBin4 : CovBinType (1 to Bin4'length) is Bin4 ; alias aBin5 : CovBinType (1 to Bin5'length) is Bin5 ; alias aBin6 : CovBinType (1 to Bin6'length) is Bin6 ; alias aBin7 : CovBinType (1 to Bin7'length) is Bin7 ; alias aBin8 : CovBinType (1 to Bin8'length) is Bin8 ; alias aBin9 : CovBinType (1 to Bin9'length) is Bin9 ; alias aBin10 : CovBinType (1 to Bin10'length) is Bin10 ; alias aBin11 : CovBinType (1 to Bin11'length) is Bin11 ; alias aBin12 : CovBinType (1 to Bin12'length) is Bin12 ; alias aBin13 : CovBinType (1 to Bin13'length) is Bin13 ; alias aBin14 : CovBinType (1 to Bin14'length) is Bin14 ; alias aBin15 : CovBinType (1 to Bin15'length) is Bin15 ; alias aBin16 : CovBinType (1 to Bin16'length) is Bin16 ; alias aBin17 : CovBinType (1 to Bin17'length) is Bin17 ; alias aBin18 : CovBinType (1 to Bin18'length) is Bin18 ; alias aBin19 : CovBinType (1 to Bin19'length) is Bin19 ; alias aBin20 : CovBinType (1 to Bin20'length) is Bin20 ; alias aBinIndex : integer_vector(1 to BinIndex'length) is BinIndex ; variable result : CovBinType(aBinIndex'range) ; begin for i in aBinIndex'range loop case i is when 1 => result(i) := aBin1(aBinIndex(i)) ; when 2 => result(i) := aBin2(aBinIndex(i)) ; when 3 => result(i) := aBin3(aBinIndex(i)) ; when 4 => result(i) := aBin4(aBinIndex(i)) ; when 5 => result(i) := aBin5(aBinIndex(i)) ; when 6 => result(i) := aBin6(aBinIndex(i)) ; when 7 => result(i) := aBin7(aBinIndex(i)) ; when 8 => result(i) := aBin8(aBinIndex(i)) ; when 9 => result(i) := aBin9(aBinIndex(i)) ; when 10 => result(i) := aBin10(aBinIndex(i)) ; when 11 => result(i) := aBin11(aBinIndex(i)) ; when 12 => result(i) := aBin12(aBinIndex(i)) ; when 13 => result(i) := aBin13(aBinIndex(i)) ; when 14 => result(i) := aBin14(aBinIndex(i)) ; when 15 => result(i) := aBin15(aBinIndex(i)) ; when 16 => result(i) := aBin16(aBinIndex(i)) ; when 17 => result(i) := aBin17(aBinIndex(i)) ; when 18 => result(i) := aBin18(aBinIndex(i)) ; when 19 => result(i) := aBin19(aBinIndex(i)) ; when 20 => result(i) := aBin20(aBinIndex(i)) ; when others => report "ConcatenateBins: More than 20 bins not supported" severity failure ; end case ; end loop ; return result ; end function ConcatenateBins ; ------------------------------------------------------------ function MergeState( CrossBins : CovBinType) return integer is -- package local, Used by AddCross, GenCross ------------------------------------------------------------ variable resultState : integer ; begin resultState := COV_COUNT ; for i in CrossBins'range loop if CrossBins(i).action = COV_ILLEGAL then return COV_ILLEGAL ; end if ; if CrossBins(i).action = COV_IGNORE then resultState := COV_IGNORE ; end if ; end loop ; return resultState ; end function MergeState ; ------------------------------------------------------------ function MergeBinVal( CrossBins : CovBinType) return RangeArrayType is -- package local, Used by AddCross, GenCross ------------------------------------------------------------ alias aCrossBins : CovBinType(1 to CrossBins'length) is CrossBins ; variable BinVal : RangeArrayType(aCrossBins'range) ; begin for i in aCrossBins'range loop BinVal(i to i) := aCrossBins(i).BinVal ; end loop ; return BinVal ; end function MergeBinVal ; ------------------------------------------------------------ function MergeAtLeast( -- package local, Used by AddCross, GenCross ------------------------------------------------------------ Action : in integer ; AtLeast : in integer ; CrossBins : in CovBinType ) return integer is variable Result : integer := AtLeast ; begin if Action /= COV_COUNT then return 0 ; end if ; for i in CrossBins'range loop if CrossBins(i).Action = Action then Result := maximum (Result, CrossBins(i).AtLeast) ; end if ; end loop ; return result ; end function MergeAtLeast ; ------------------------------------------------------------ function MergeWeight( -- package local, Used by AddCross, GenCross ------------------------------------------------------------ Action : in integer ; Weight : in integer ; CrossBins : in CovBinType ) return integer is variable Result : integer := Weight ; begin if Action /= COV_COUNT then return 0 ; end if ; for i in CrossBins'range loop if CrossBins(i).Action = Action then Result := maximum (Result, CrossBins(i).Weight) ; end if ; end loop ; return result ; end function MergeWeight ; ------------------------------------------------------------ function ToMinPoint (A : RangeArrayType) return integer is -- Used in testing ------------------------------------------------------------ begin return A(A'left).min ; end function ToMinPoint ; ------------------------------------------------------------ function ToMinPoint (A : RangeArrayType) return integer_vector is -- Used in testing ------------------------------------------------------------ variable result : integer_vector(A'range) ; begin for i in A'range loop result(i) := A(i).min ; end loop ; return result ; end function ToMinPoint ; ------------------------------------------------------------ procedure ToRandPoint( ------------------------------------------------------------ variable RV : inout RandomPType ; constant BinVal : in RangeArrayType ; variable result : out integer ) is begin result := RV.RandInt(BinVal(BinVal'left).min, BinVal(BinVal'left).max) ; end procedure ToRandPoint ; ------------------------------------------------------------ procedure ToRandPoint( ------------------------------------------------------------ variable RV : inout RandomPType ; constant BinVal : in RangeArrayType ; variable result : out integer_vector ) is variable VectorVal : integer_vector(BinVal'range) ; begin for i in BinVal'range loop VectorVal(i) := RV.RandInt(BinVal(i).min, BinVal(i).max) ; end loop ; result := VectorVal ; end procedure ToRandPoint ; ------------------------------------------------------------------------------------------ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX ------------------------------------------------------------------------------------------ type CovPType is protected body -- Name Data Structure type LineListType ; type LineListPtrType is access LineListType ; type LineListType is record Name : Line ; NextPtr : LineListPtrType ; end record LineListType ; -- CoverageBin Data Structures type RangeArrayPtrType is access RangeArrayType ; type CovBinBaseTempType is record BinVal : RangeArrayPtrType ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; PercentCov : real ; OrderCount : integer ; end record CovBinBaseTempType ; type CovBinTempType is array (natural range <>) of CovBinBaseTempType ; type CovBinPtrType is access CovBinTempType ; variable CovBinPtr : CovBinPtrType ; variable NumBins : integer := 0 ; variable BinValLength : integer := 1 ; variable OrderCount : integer := 0 ; -- for statistics -- Internal Modes and Names variable IllegalMode : IllegalModeType := ILLEGAL_ON ; variable WeightMode : WeightModeType := AT_LEAST ; variable WeightScale : real := 1.0 ; variable NameHeadPtr : LineListPtrType := NULL ; variable NameTailPtr : LineListPtrType := NULL ; variable ThresholdingEnable : boolean := FALSE ; -- thresholding disabled by default variable CovThreshold : real := 45.0 ; variable CovTarget : real := 100.0 ; variable MergingEnable : boolean := FALSE ; -- merging disabled by default variable CountMode : CountModeType := COUNT_FIRST ; -- Randomization Variable variable RV : RandomPType ; variable RvSeedInit : boolean := FALSE ; file WriteBinFile : text ; variable WriteBinFileInit : boolean := FALSE ; -- file WriteCovDbFile : text ; -- variable WriteCovDbFileInit : boolean := FALSE ; ------------------------------------------------------------ procedure FileOpenWriteBin (FileName : string; OpenKind : File_Open_Kind ) is ------------------------------------------------------------ begin WriteBinFileInit := TRUE ; file_open( WriteBinFile , FileName , OpenKind ); end procedure FileOpenWriteBin ; ------------------------------------------------------------ procedure FileCloseWriteBin is ------------------------------------------------------------ begin WriteBinFileInit := FALSE ; file_close( WriteBinFile) ; end procedure FileCloseWriteBin ; -- ------------------------------------------------------------ -- procedure FileOpenWriteCovDb (FileName : string; OpenKind : File_Open_Kind ) is -- ------------------------------------------------------------ -- begin -- WriteCovDbFileInit := TRUE ; -- file_open( WriteCovDbFile , FileName , OpenKind ); -- end procedure FileOpenWriteCovDb ; -- -- ------------------------------------------------------------ -- procedure FileCloseWriteCovDb is -- ------------------------------------------------------------ -- begin -- WriteCovDbFileInit := FALSE ; -- file_close( WriteCovDbFile ); -- end procedure FileCloseWriteCovDb ; ------------------------------------------------------------ procedure SetIllegalMode (A : IllegalModeType) is ------------------------------------------------------------ begin IllegalMode := A ; end procedure SetIllegalMode ; ------------------------------------------------------------ procedure SetWeightMode (A : WeightModeType; Scale : real := 1.0) is ------------------------------------------------------------ begin WeightMode := A ; WeightScale := Scale ; if (WeightMode = REMAIN_EXP) and (WeightScale > 2.0) then write(OUTPUT, "%%WARNING: WeightScale > 2.0 and large Counts can cause RandCovPoint to fail due to integer values out of range" & LF) ; end if ; if (WeightScale < 1.0) and (WeightMode = REMAIN_WEIGHT or WeightMode = REMAIN_SCALED) then report "WeightScale must be > 1.0 when WeightMode = REMAIN_WEIGHT or WeightMode = REMAIN_SCALED" severity failure ; WeightScale := 1.0 ; end if; if WeightScale <= 0.0 then report "WeightScale must be > 0.0" severity failure ; WeightScale := 1.0 ; end if; end procedure SetWeightMode ; ------------------------------------------------------------ procedure SetName (NameIn : String) is ------------------------------------------------------------ variable NamePtr : line ; begin NamePtr := new string'(NameIn) ; if NameHeadPtr = NULL then NameHeadPtr := new LineListType'(NamePtr, NULL) ; NameTailPtr := NameHeadPtr ; if not RvSeedInit then RV.InitSeed(NameIn) ; RvSeedInit := TRUE ; end if ; else NameTailPtr.NextPtr := new LineListType'(NamePtr, NULL) ; NameTailPtr := NameTailPtr.NextPtr ; end if ; end procedure SetName ; ------------------------------------------------------------ -- pt local for now -- file formal parameter not allowed with a public method procedure WriteBinName ( file f : text ; S : string ; Prefix : string := "%%" ) is ------------------------------------------------------------ variable CurPtr : LineListPtrType ; variable buf : line ; begin if NameHeadPtr = NULL then if Prefix'length + S'length > 0 then write(buf, Prefix & S) ; writeline(f, buf) ; -- write(f, Prefix & S & LF); end if ; else write(buf, Prefix & S & NameHeadPtr.Name.all) ; writeline(f, buf) ; -- write(f, Prefix & S & NameHeadPtr.Name.all & LF) ; CurPtr := NameHeadPtr.NextPtr ; while CurPtr /= NULL loop write(buf, Prefix & CurPtr.Name.all) ; writeline(f, buf) ; -- write(f, Prefix & CurPtr.Name.all & LF) ; CurPtr := CurPtr.NextPtr ; end loop ; end if ; end procedure WriteBinName ; ------------------------------------------------------------ procedure DeallocateName is ------------------------------------------------------------ variable CurPtr : LineListPtrType ; begin while NameHeadPtr /= NULL loop CurPtr := NameHeadPtr ; NameHeadPtr := NameHeadPtr.NextPtr ; deallocate( CurPtr.Name) ; deallocate( CurPtr ) ; end loop ; NameTailPtr := NULL ; -- contents deallocated above end procedure DeallocateName ; ------------------------------------------------------------ -- pt local impure function NumberOfNames return integer is ------------------------------------------------------------ variable CurPtr : LineListPtrType ; variable Count : integer := 0 ; begin CurPtr := NameHeadPtr ; while CurPtr /= NULL loop Count := Count + 1 ; CurPtr := CurPtr.NextPtr ; end loop ; return Count ; end function NumberOfNames ; ------------------------------------------------------------ procedure SetThresholding (A : boolean := TRUE ) is ------------------------------------------------------------ begin ThresholdingEnable := A ; end procedure SetThresholding ; ------------------------------------------------------------ procedure SetCovThreshold (Percent : real) is ------------------------------------------------------------ begin ThresholdingEnable := TRUE ; if Percent >= 0.0 then CovThreshold := Percent + 0.0001 ; -- used in less than else CovThreshold := 0.0001 ; -- used in less than report "Invalid Threshold Value " & real'image(Percent) severity failure ; end if ; end procedure SetCovThreshold ; ------------------------------------------------------------ procedure SetCovTarget (Percent : real) is ------------------------------------------------------------ begin CovTarget := Percent ; end procedure SetCovTarget ; ------------------------------------------------------------ impure function GetCovTarget return real is ------------------------------------------------------------ begin return CovTarget ; end function GetCovTarget ; ------------------------------------------------------------ procedure SetMerging (A : boolean := TRUE ) is ------------------------------------------------------------ begin MergingEnable := A ; end procedure SetMerging ; ------------------------------------------------------------ procedure SetCountMode (A : CountModeType) is ------------------------------------------------------------ begin CountMode := A ; end procedure SetCountMode ; ------------------------------------------------------------ procedure InitSeed (S : string ) is ------------------------------------------------------------ begin RV.InitSeed(S) ; RvSeedInit := TRUE ; end procedure InitSeed ; ------------------------------------------------------------ procedure InitSeed (I : integer ) is ------------------------------------------------------------ begin RV.InitSeed(I) ; RvSeedInit := TRUE ; end procedure InitSeed ; ------------------------------------------------------------ procedure SetSeed (RandomSeedIn : RandomSeedType ) is ------------------------------------------------------------ begin RV.SetSeed(RandomSeedIn) ; RvSeedInit := TRUE ; end procedure SetSeed ; ------------------------------------------------------------ impure function GetSeed return RandomSeedType is ------------------------------------------------------------ begin return RV.GetSeed ; end function GetSeed ; ------------------------------------------------------------ procedure SetBinSize (NewNumBins : integer) is -- Sets a CovBin to a particular size -- Use for small bins to save space or large bins to -- suppress the resize and copy as a CovBin autosizes. ------------------------------------------------------------ variable oldCovBinPtr : CovBinPtrType ; begin if CovBinPtr = NULL then CovBinPtr := new CovBinTempType(1 to NewNumBins) ; elsif NewNumBins > CovBinPtr'length then -- make message bigger oldCovBinPtr := CovBinPtr ; CovBinPtr := new CovBinTempType(1 to NewNumBins) ; CovBinPtr.all(1 to NumBins) := oldCovBinPtr.all(1 to NumBins) ; deallocate(oldCovBinPtr) ; end if ; end procedure SetBinSize ; ------------------------------------------------------------ -- pt local procedure CheckBinValLength( CurBinValLength : integer ; Caller : string ) is begin if NumBins = 0 then BinValLength := CurBinValLength ; -- number of points in cross else assert BinValLength = CurBinValLength report Caller & ": Cross bins with different sizes prohibited" severity failure ; end if; end procedure CheckBinValLength ; ------------------------------------------------------------ -- pt local impure function NormalizeNumBins( ReqNumBins : integer ) return integer is variable NormNumBins : integer := MIN_NUM_BINS ; begin while NormNumBins < ReqNumBins loop NormNumBins := NormNumBins + MIN_NUM_BINS ; end loop ; return NormNumBins ; end function NormalizeNumBins ; ------------------------------------------------------------ -- pt local procedure GrowBins (ReqNumBins : integer) is variable oldCovBinPtr : CovBinPtrType ; variable NewNumBins : integer ; begin NewNumBins := NumBins + ReqNumBins ; if CovBinPtr = NULL then CovBinPtr := new CovBinTempType(1 to NormalizeNumBins(NewNumBins)) ; elsif NewNumBins > CovBinPtr'length then -- make message bigger oldCovBinPtr := CovBinPtr ; CovBinPtr := new CovBinTempType(1 to NormalizeNumBins(NewNumBins)) ; CovBinPtr.all(1 to NumBins) := oldCovBinPtr.all(1 to NumBins) ; deallocate(oldCovBinPtr) ; end if ; end procedure GrowBins ; ------------------------------------------------------------ -- pt local, called by InsertBin -- Finds index of bin if it is inside an existing bins procedure FindBinInside( BinVal : RangeArrayType ; Position : out integer ; FoundInside : out boolean ) is begin Position := NumBins + 1 ; FoundInside := FALSE ; FindLoop : for i in 1 to NumBins loop -- skip this CovBin if CovPoint is not in it next FindLoop when not inside(BinVal, CovBinPtr(i).BinVal.all) ; Position := i ; FoundInside := TRUE ; exit ; end loop ; end procedure FindBinInside ; ------------------------------------------------------------ -- pt local -- All insertion comes here -- Enforces the general insertion use model: -- Earlier bins supercede later bins - except with COUNT_ALL -- Add Illegal and Ignore bins first to remove regions of larger count bins -- Later ignore bins can be used to miss an illegal catch-all -- Add Illegal bins last as a catch-all to find things that missed other bins procedure InsertBin( BinVal : RangeArrayType ; Action : integer ; Count : integer ; AtLeast : integer ; Weight : integer ; PercentCov : real := 0.0 ) is variable Position : integer ; variable FoundInside : boolean ; begin FindBinInside(BinVal, Position, FoundInside) ; if not MergingEnable or CountMode = COUNT_ALL or not FoundInside then -- Usage: general insertion NumBins := NumBins + 1 ; CovBinPtr.all(NumBins).BinVal := new RangeArrayType'(BinVal) ; CovBinPtr.all(NumBins).Action := Action ; CovBinPtr.all(NumBins).Count := Count ; CovBinPtr.all(NumBins).AtLeast := AtLeast ; CovBinPtr.all(NumBins).Weight := Weight ; CovBinPtr.all(NumBins).PercentCov := PercentCov ; CovBinPtr.all(NumBins).OrderCount := 0 ; --- Metrics for evaluating randomization order Temp elsif Action = COV_COUNT then if CovBinPtr.all(Position).Action = COV_COUNT then if CovBinPtr.all(Position).BinVal.all = BinVal then -- Usage: When count bins are equal, merge them. CovBinPtr.all(Position).AtLeast := CovBinPtr.all(Position).AtLeast + AtLeast ; CovBinPtr.all(Position).Weight := CovBinPtr.all(Position).Weight + Weight ; CovBinPtr.all(Position).Count := CovBinPtr.all(Position).Count + Count ; CovBinPtr.all(Position).PercentCov := real(CovBinPtr.all(Position).Count)*100.0/maximum(real(CovBinPtr.all(Position).AtLeast), 1.0) ; else -- Usage: Count bin inside a previous count bin is an error, unless COUNT_ALL -- ?? Can we run into this algorithmically? - if so can add variable to allow it -- if CountMode /= COUNT_ALL then -- this check is redundant report "InsertBin (AddBins/AddCross): inserted count bin is a subset of prior count bin" severity failure ; -- end if ; end if; else -- Usage: Drop count bin when in either ignore or illegal bin -- Facilitates capture of count bins null ; -- quietly drop the bin end if ; elsif ACTION = COV_IGNORE then -- Usage: Most likely an error. -- Potential: fine grain entry of catch-all ignore bins -- However, ignore bins are non-signaling, so fine grain entry not useful report "InsertBin (AddBins/AddCross): inserted ignore bin dropped. It is a subset of prior bin" severity error ; -- null ; -- quietly drop the bin elsif ACTION = COV_ILLEGAL then -- Usage: fine grain entry of catch-all illegal bins, -- Illegal bins are signaling. Drop the ones with overlap. report "InsertBin (AddBins/AddCross): inserted illegal bin dropped. It is a subset of prior bin" severity error ; -- null ; -- quietly drop the bin end if ; end procedure InsertBin ; ------------------------------------------------------------ procedure AddBins ( ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; CovBin : CovBinType ) is variable calcAtLeast : integer ; variable calcWeight : integer ; begin CheckBinValLength( 1, "AddBins") ; GrowBins(CovBin'length) ; for i in CovBin'range loop if CovBin(i).Action = COV_COUNT then calcAtLeast := maximum(AtLeast, CovBin(i).AtLeast) ; calcWeight := maximum(Weight, CovBin(i).Weight) ; else calcAtLeast := 0 ; calcWeight := 0 ; end if ; InsertBin( BinVal => CovBin(i).BinVal, Action => CovBin(i).Action, Count => CovBin(i).Count, AtLeast => calcAtLeast, Weight => calcWeight ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (AtLeast : integer ; CovBin : CovBinType) is ------------------------------------------------------------ begin AddBins(AtLeast, 0, CovBin) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovBinType) is ------------------------------------------------------------ begin AddBins(0, 0, CovBin) ; end procedure AddBins ; ------------------------------------------------------------ procedure AddCross( -- Cross existing bins ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is constant BIN_LENS : integer_vector := BinLengths( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable calcAction, calcCount, calcAtLeast, calcWeight : integer ; variable calcBinVal : RangeArrayType(BinIndex'range) ; begin CheckBinValLength( BIN_LENS'length, "AddCross") ; GrowBins(NUM_NEW_BINS) ; calcCount := 0 ; for MatrixIndex in 1 to NUM_NEW_BINS loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; calcAction := MergeState(CrossBins) ; calcBinVal := MergeBinVal(CrossBins) ; calcAtLeast := MergeAtLeast( calcAction, AtLeast, CrossBins) ; calcWeight := MergeWeight ( calcAction, Weight, CrossBins) ; InsertBin(calcBinVal, calcAction, calcCount, calcAtLeast, calcWeight) ; IncBinIndex( BinIndex, BIN_LENS) ; -- increment right most one, then if overflow, increment next end loop ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross( -- Cross existing bins ------------------------------------------------------------ AtLeast : integer ; Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is begin AddCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; end procedure AddCross ; ------------------------------------------------------------ procedure AddCross( -- Cross existing bins ------------------------------------------------------------ Bin1, Bin2 : CovBinType ; Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 : CovBinType := NULL_BIN ) is begin AddCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9, Bin10, Bin11, Bin12, Bin13, Bin14, Bin15, Bin16, Bin17, Bin18, Bin19, Bin20 ) ; end procedure AddCross ; ------------------------------------------------------------ procedure Deallocate is ------------------------------------------------------------ begin for i in 1 to NumBins loop deallocate(CovBinPtr(i).BinVal) ; end loop ; deallocate(CovBinPtr) ; DeallocateName ; -- Restore internal variables to their default values NumBins := 0 ; OrderCount := 0 ; BinValLength := 1 ; IllegalMode := ILLEGAL_ON ; WeightMode := AT_LEAST ; WeightScale := 1.0 ; ThresholdingEnable := FALSE ; CovThreshold := 45.0 ; CovTarget := 100.0 ; MergingEnable := FALSE ; CountMode := COUNT_FIRST ; -- RvSeedInit := FALSE ; end procedure deallocate ; ------------------------------------------------------------ procedure ICover ( CovPoint : integer) is ------------------------------------------------------------ begin ICover((1=> CovPoint)) ; end procedure ICover ; ------------------------------------------------------------ procedure ICover( CovPoint : integer_vector) is ------------------------------------------------------------ begin CovLoop : for i in 1 to NumBins loop -- skip this CovBin if CovPoint is not in it next CovLoop when not inside(CovPoint, CovBinPtr(i).BinVal.all) ; -- found CovPoint in this CovBin, run this code and exit. CovBinPtr(i).Count := CovBinPtr(i).Count + CovBinPtr(i).action ; -- place holder for actions to do to weight vector CovBinPtr(i).PercentCov := real(CovBinPtr(i).Count)*100.0/maximum(real(CovBinPtr(i).AtLeast), 1.0) ; -- OrderCount handling OrderCount := OrderCount + 1 ; CovBinPtr(i).OrderCount := OrderCount + CovBinPtr(i).OrderCount ; if CovBinPtr(i).action = COV_ILLEGAL and IllegalMode /= ILLEGAL_OFF then write(OUTPUT, "%%Illegal Value: " ) ; write(OUTPUT, CovPoint) ; write(OUTPUT, " is in an illegal Bin. " & "Time: " & time'image(now) & LF) ; if IllegalMode = ILLEGAL_FAILURE then report "Illegal Value" severity failure ; end if ; end if ; exit CovLoop when CountMode = COUNT_FIRST ; -- only find first one end loop CovLoop ; end procedure ICover ; ------------------------------------------------------------ procedure SetCovZero is ------------------------------------------------------------ begin for i in 1 to NumBins loop CovBinPtr(i).Count := 0 ; CovBinPtr(i).PercentCov := 0.0 ; CovBinPtr(i).OrderCount := 0 ; end loop ; OrderCount := 0 ; end procedure SetCovZero ; ------------------------------------------------------------ impure function IsInitialized return boolean is ------------------------------------------------------------ begin return NumBins > 0 ; end function IsInitialized ; ------------------------------------------------------------ impure function GetNumBins return integer is ------------------------------------------------------------ begin return NumBins ; end function GetNumBins ; ------------------------------------------------------------ impure function GetMinIndex return integer is ------------------------------------------------------------ variable MinCov : real := real'right ; -- big number variable MinIndex : integer := NumBins ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < MinCov then MinCov := CovBinPtr(i).PercentCov ; MinIndex := i ; end if ; end loop CovLoop ; return MinIndex ; end function GetMinIndex ; ------------------------------------------------------------ impure function GetMinCov return real is ------------------------------------------------------------ variable MinCov : real := real'right ; -- big number begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < MinCov then MinCov := CovBinPtr(i).PercentCov ; end if ; end loop CovLoop ; return MinCov ; end function GetMinCov ; ------------------------------------------------------------ impure function GetMinCount return integer is ------------------------------------------------------------ variable MinCount : integer := integer'right ; -- big number begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < MinCount then MinCount := CovBinPtr(i).Count ; end if ; end loop CovLoop ; return MinCount ; end function GetMinCount ; ------------------------------------------------------------ impure function GetMaxIndex return integer is ------------------------------------------------------------ variable MaxCov : real := 0.0 ; variable MaxIndex : integer := NumBins ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov > MaxCov then MaxCov := CovBinPtr(i).PercentCov ; MaxIndex := i ; end if ; end loop CovLoop ; return MaxIndex ; end function GetMaxIndex ; ------------------------------------------------------------ impure function GetMaxCov return real is ------------------------------------------------------------ variable MaxCov : real := 0.0 ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov > MaxCov then MaxCov := CovBinPtr(i).PercentCov ; end if ; end loop CovLoop ; return MaxCov ; end function GetMaxCov ; ------------------------------------------------------------ impure function GetMaxCount return integer is ------------------------------------------------------------ variable MaxCount : integer := 0 ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count > MaxCount then MaxCount := CovBinPtr(i).Count ; end if ; end loop CovLoop ; return MaxCount ; end function GetMaxCount ; ------------------------------------------------------------ impure function CountCovHoles ( PercentCov : real ) return integer is ------------------------------------------------------------ variable HoleCount : integer := 0 ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < PercentCov then HoleCount := HoleCount + 1 ; end if ; end loop CovLoop ; return HoleCount ; end function CountCovHoles ; ------------------------------------------------------------ impure function CountCovHoles return integer is ------------------------------------------------------------ begin return CountCovHoles(CovTarget) ; end function CountCovHoles ; ------------------------------------------------------------ impure function IsCovered ( PercentCov : real ) return boolean is ------------------------------------------------------------ begin -- assert NumBins >= 1 report "IsCovered: Empty Coverage Model" severity failure ; return CountCovHoles(PercentCov) = 0 ; end function IsCovered ; ------------------------------------------------------------ impure function IsCovered return boolean is ------------------------------------------------------------ begin -- assert NumBins >= 1 report "IsCovered: Empty Coverage Model" severity failure ; return CountCovHoles(CovTarget) = 0 ; end function IsCovered ; ------------------------------------------------------------ impure function GetCov return real is ------------------------------------------------------------ variable TotalCovGoal, TotalCovCount : integer := 0 ; begin BinLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT then TotalCovGoal := TotalCovGoal + CovBinPtr(i).AtLeast ; if CovBinPtr(i).Count <= CovBinPtr(i).AtLeast then TotalCovCount := TotalCovCount + CovBinPtr(i).Count ; else -- do not count the extra values that exceed their cov goal TotalCovCount := TotalCovCount + CovBinPtr(i).AtLeast ; end if ; end if ; end loop BinLoop ; return 100.0 * real(TotalCovCount) / real(TotalCovGoal) ; end function GetCov ; ------------------------------------------------------------ impure function GetHoleBinVal ( ReqHoleNum : integer ; CovTargetPercent : real ) return RangeArrayType is ------------------------------------------------------------ variable HoleCount : integer := 0 ; begin CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < CovTargetPercent then HoleCount := HoleCount + 1 ; if HoleCount = ReqHoleNum then return CovBinPtr(i).BinVal.all ; end if ; end if ; end loop CovLoop ; write(OUTPUT, "%%Error GetHoleBinVal did not find hole. " & "HoleCount = " & integer'image(HoleCount) & "ReqHoleNum = " & integer'image(ReqHoleNum) & LF) ; return CovBinPtr(NumBins).BinVal.all ; end function GetHoleBinVal ; ------------------------------------------------------------ impure function GetHoleBinVal ( CovTargetPercent : real ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(1, CovTargetPercent) ; end function GetHoleBinVal ; ------------------------------------------------------------ impure function GetHoleBinVal ( ReqHoleNum : integer := 1 ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(ReqHoleNum, CovTarget) ; end function GetHoleBinVal ; ------------------------------------------------------------ impure function CalcWeight ( BinIndex : integer ; MaxCovPercent : real ) return integer is -- pt local ------------------------------------------------------------ begin case WeightMode is when AT_LEAST => -- AtLeast return CovBinPtr(BinIndex).AtLeast ; when WEIGHT => -- Weight return CovBinPtr(BinIndex).Weight ; when REMAIN => -- (Adjust * AtLeast) - Count return integer( Ceil( MaxCovPercent * real(CovBinPtr(BinIndex).AtLeast)/100.0)) - CovBinPtr(BinIndex).Count ; when REMAIN_EXP => -- Weight * (REMAIN **WeightScale) -- Experimental may be removed -- CAUTION: for large numbers and/or WeightScale > 2.0, result can be > 2**31 (max integer value) -- both Weight and WeightScale default to 1 return CovBinPtr(BinIndex).Weight * integer( Ceil ( ( (MaxCovPercent * real(CovBinPtr(BinIndex).AtLeast)/100.0) - real(CovBinPtr(BinIndex).Count) ) ** WeightScale ) ); when REMAIN_SCALED => -- (WeightScale * Adjust * AtLeast) - Count -- Experimental may be removed -- Biases remainder toward AT_LEAST value. -- WeightScale must be > 1.0 return integer( Ceil( WeightScale * MaxCovPercent * real(CovBinPtr(BinIndex).AtLeast)/100.0)) - CovBinPtr(BinIndex).Count ; when REMAIN_WEIGHT => -- Weight * ((WeightScale * Adjust * AtLeast) - Count) -- Experimental may be removed -- WeightScale must be > 1.0 return CovBinPtr(BinIndex).Weight * ( integer( Ceil( WeightScale * MaxCovPercent * real(CovBinPtr(BinIndex).AtLeast)/100.0)) - CovBinPtr(BinIndex).Count) ; end case ; end function CalcWeight ; ------------------------------------------------------------ impure function RandHoleIndex ( CovTargetPercent : real ) return integer is -- pt local ------------------------------------------------------------ variable WeightVec : integer_vector(0 to NumBins-1) ; -- Prep for change to DistInt variable MaxCovPercent : real ; variable MinCovPercent : real ; begin MinCovPercent := GetMinCov ; if ThresholdingEnable then MaxCovPercent := MinCovPercent + CovThreshold ; if MinCovPercent < CovTargetPercent then -- Clip at CovTargetPercent until reach CovTargetPercent MaxCovPercent := minimum(MaxCovPercent, CovTargetPercent); end if ; else if MinCovPercent < CovTargetPercent then MaxCovPercent := CovTargetPercent ; else -- Done, Enable all bins MaxCovPercent := GetMaxCov + 1.0 ; -- MaxCovPercent := real'right ; -- weight scale issues end if ; end if ; CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < MaxCovPercent then -- Calculate Weight based on WeightMode -- Scale to current percentage goal: MaxCov which can be < or > 100.0 WeightVec(i-1) := CalcWeight(i, MaxCovPercent) ; else WeightVec(i-1) := 0 ; end if ; end loop CovLoop ; -- DistInt returns integer range 0 to Numbins-1 -- Caution: DistInt can fail when sum(WeightVec) > 2**31 -- See notes in CalcWeight for REMAIN_EXP return 1 + RV.DistInt( WeightVec ) ; -- return range 1 to NumBins end function RandHoleIndex ; ------------------------------------------------------------ impure function GetBinVal ( BinIndex : integer ) return RangeArrayType is ------------------------------------------------------------ begin return CovBinPtr( BinIndex ).BinVal.all ; end function GetBinVal ; ------------------------------------------------------------ impure function RandCovBinVal ( PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return CovBinPtr( RandHoleIndex(PercentCov) ).BinVal.all ; -- GetBinVal end function RandCovBinVal ; ------------------------------------------------------------ impure function RandCovBinVal return RangeArrayType is ------------------------------------------------------------ begin -- use global coverage target return CovBinPtr( RandHoleIndex( CovTarget ) ).BinVal.all ; -- GetBinVal end function RandCovBinVal ; ------------------------------------------------------------ impure function GetMinBinVal return RangeArrayType is ------------------------------------------------------------ begin -- use global coverage target return GetBinVal( GetMinIndex ) ; end function GetMinBinVal ; ------------------------------------------------------------ impure function GetMaxBinVal return RangeArrayType is ------------------------------------------------------------ begin -- use global coverage target return GetBinVal( GetMaxIndex ) ; end function GetMaxBinVal ; ------------------------------------------------------------ -- impure function RandCovPoint( BinVal : RangeArrayType ) return integer_vector is impure function ToRandPoint( BinVal : RangeArrayType ) return integer_vector is -- pt local ------------------------------------------------------------ variable CovPoint : integer_vector(BinVal'range) ; variable normCovPoint : integer_vector(1 to BinVal'length) ; begin for i in BinVal'range loop CovPoint(i) := RV.RandInt(BinVal(i).min, BinVal(i).max) ; end loop ; normCovPoint := CovPoint ; return normCovPoint ; end function ToRandPoint ; ------------------------------------------------------------ impure function ToRandPoint( BinVal : RangeArrayType ) return integer is -- pt local ------------------------------------------------------------ begin return RV.RandInt(BinVal(BinVal'left).min, BinVal(BinVal'left).max) ; end function ToRandPoint ; ------------------------------------------------------------ impure function RandCovPoint return integer is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(CovTarget)) ; end function RandCovPoint ; ------------------------------------------------------------ impure function RandCovPoint ( PercentCov : real ) return integer is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(PercentCov)) ; end function RandCovPoint ; ------------------------------------------------------------ impure function RandCovPoint return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(CovTarget)) ; end function RandCovPoint ; ------------------------------------------------------------ impure function RandCovPoint ( PercentCov : real ) return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(PercentCov)) ; end function RandCovPoint ; ------------------------------------------------------------ impure function GetPoint ( BinIndex : integer ) return integer is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal(BinIndex)) ; end function GetPoint ; ------------------------------------------------------------ impure function GetPoint ( BinIndex : integer ) return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal(BinIndex)) ; end function GetPoint ; ------------------------------------------------------------ impure function GetMinPoint return integer is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal( GetMinIndex )) ; end function GetMinPoint ; ------------------------------------------------------------ impure function GetMinPoint return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal( GetMinIndex )) ; end function GetMinPoint ; ------------------------------------------------------------ impure function GetMaxPoint return integer is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal( GetMaxIndex )) ; end function GetMaxPoint ; ------------------------------------------------------------ impure function GetMaxPoint return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(GetBinVal( GetMaxIndex )) ; end function GetMaxPoint ; -- ------------------------------------------------------------ -- Intended as a stand in until we get a more general GetBin impure function GetBinInfo ( BinIndex : integer ) return CovBinBaseType is -- ------------------------------------------------------------ variable result : CovBinBaseType ; begin result.BinVal := ALL_RANGE; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBinInfo ; -- ------------------------------------------------------------ -- Intended as a stand in until we get a more general GetBin impure function GetBinValLength return integer is -- ------------------------------------------------------------ begin return BinValLength ; end function GetBinValLength ; -- Eventually the multiple GetBin functions will be replaced by a -- a single GetBin that returns CovBinBaseType with BinVal as an -- unconstrained element -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovBinBaseType is -- ------------------------------------------------------------ variable result : CovBinBaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix2BaseType is -- ------------------------------------------------------------ variable result : CovMatrix2BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix3BaseType is -- ------------------------------------------------------------ variable result : CovMatrix3BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix4BaseType is -- ------------------------------------------------------------ variable result : CovMatrix4BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix5BaseType is -- ------------------------------------------------------------ variable result : CovMatrix5BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix6BaseType is -- ------------------------------------------------------------ variable result : CovMatrix6BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix7BaseType is -- ------------------------------------------------------------ variable result : CovMatrix7BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix8BaseType is -- ------------------------------------------------------------ variable result : CovMatrix8BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; -- ------------------------------------------------------------ impure function GetBin ( BinIndex : integer ) return CovMatrix9BaseType is -- ------------------------------------------------------------ variable result : CovMatrix9BaseType ; begin result.BinVal := CovBinPtr(BinIndex).BinVal.all; result.Action := CovBinPtr(BinIndex).Action; result.Count := CovBinPtr(BinIndex).Count; result.AtLeast := CovBinPtr(BinIndex).AtLeast; result.Weight := CovBinPtr(BinIndex).Weight; return result ; end function GetBin ; ------------------------------------------------------------ -- pt local for now -- file formal parameter not allowed with method procedure WriteBin ( file f : text ) is ------------------------------------------------------------ begin WriteBinName(f, "WriteBin: ") ; if NumBins < 1 then Write(f, "%%FATAL, Coverage Model is empty. Nothing to print." & LF ) ; report "Coverage model is empty. Nothing to print." severity failure ; end if ; for i in 1 to NumBins loop -- CovBinPtr.all'range if CovBinPtr(i).count < 0 then write(f, "%%Illegal Bin:") ; write(f, CovBinPtr(i).BinVal.all) ; write(f, " Count = " & integer'image(-CovBinPtr(i).count)) ; write(f, "" & LF) ; elsif CovBinPtr(i).action = COV_COUNT then write(f, "%% Bin:") ; write(f, CovBinPtr(i).BinVal.all) ; write(f, " Count = " & integer'image(CovBinPtr(i).count)) ; write(f, " AtLeast = " & integer'image(CovBinPtr(i).AtLeast)) ; if WeightMode = WEIGHT or WeightMode = REMAIN_WEIGHT then -- Print Weight only when it is used write(f, " Weight = " & integer'image(CovBinPtr(i).Weight)) ; end if ; write(f, "" & LF) ; end if ; end loop ; write(f, "" & LF) ; end procedure WriteBin ; ------------------------------------------------------------ procedure WriteBin is ------------------------------------------------------------ begin if WriteBinFileInit then WriteBin(WriteBinFile) ; else WriteBin(OUTPUT) ; end if ; end procedure WriteBin ; ------------------------------------------------------------ procedure WriteBin (FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ file BinFile : text open OpenKind is FileName ; begin WriteBin(BinFile) ; end procedure WriteBin ; ------------------------------------------------------------ -- Development only -- pt local for now -- file formal parameter not allowed with method procedure DumpBin ( file f : text ) is ------------------------------------------------------------ begin WriteBinName(f, "DumpBin: ") ; -- if NumBins < 1 then -- Write(f, "%%FATAL, Coverage Model is empty. Nothing to print." & LF ) ; -- end if ; for i in 1 to NumBins loop -- CovBinPtr.all'range write(f, "%% Bin:") ; write(f, CovBinPtr(i).BinVal.all) ; case CovBinPtr(i).action is when COV_COUNT => write(f, " Count = ") ; when COV_IGNORE => write(f, " Ignore = ") ; when COV_ILLEGAL => write(f, " Illegal = ") ; when others => write(f, " BOGUS BOGUS BOGUS = ") ; end case ; write(f, integer'image(CovBinPtr(i).count)) ; -- write(f, " Count = " & integer'image(CovBinPtr(i).count)) ; write(f, " AtLeast = " & integer'image(CovBinPtr(i).AtLeast)) ; write(f, " Weight = " & integer'image(CovBinPtr(i).Weight)) ; write(f, " OrderCount = " & integer'image(CovBinPtr(i).OrderCount)) ; if CovBinPtr(i).count > 0 then write(f, " Normalized OrderCount = " & integer'image(CovBinPtr(i).OrderCount/CovBinPtr(i).count)) ; end if ; write(f, "" & LF) ; end loop ; write(f, "" & LF) ; end procedure DumpBin ; ------------------------------------------------------------ procedure DumpBin is ------------------------------------------------------------ begin if WriteBinFileInit then DumpBin(WriteBinFile) ; else DumpBin(OUTPUT) ; end if ; end procedure DumpBin ; ------------------------------------------------------------ -- pt local procedure WriteCovHoles ( file f : text; PercentCov : real := 100.0 ) is ------------------------------------------------------------ begin WriteBinName(f, "WriteCovHoles: ") ; if NumBins < 1 then Write(f, "%%FATAL, Coverage Model is empty. Nothing to print." & LF ) ; report "Coverage model is empty. Nothing to print." severity failure ; end if ; CovLoop : for i in 1 to NumBins loop if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).PercentCov < PercentCov then write(f, "%% Bin:") ; write(f, CovBinPtr(i).BinVal.all) ; write(f, " Count = " & integer'image(CovBinPtr(i).Count)) ; write(f, " AtLeast = " & integer'image(CovBinPtr(i).AtLeast)) ; if WeightMode = WEIGHT or WeightMode = REMAIN_WEIGHT then -- Print Weight only when it is used write(f, " Weight = " & integer'image(CovBinPtr(i).Weight)) ; end if ; write(f, "" & LF) ; end if ; end loop CovLoop ; write(f, "" & LF) ; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles is ------------------------------------------------------------ begin if WriteBinFileInit then WriteCovHoles(WriteBinFile, CovTarget) ; else WriteCovHoles(OUTPUT, CovTarget) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( PercentCov : real ) is ------------------------------------------------------------ begin if WriteBinFileInit then WriteCovHoles(WriteBinFile, PercentCov) ; else WriteCovHoles(OUTPUT, PercentCov) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( FileName : string; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ file CovHoleFile : text open OpenKind is FileName ; begin WriteCovHoles(CovHoleFile, CovTarget) ; end procedure WriteCovHoles ; ------------------------------------------------------------ procedure WriteCovHoles ( FileName : string; PercentCov : real ; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ file CovHoleFile : text open OpenKind is FileName ; begin WriteCovHoles(CovHoleFile, PercentCov) ; end procedure WriteCovHoles ; ------------------------------------------------------------ -- pt local impure function FindBin ( ------------------------------------------------------------ BinVal : RangeArrayType ; Action : integer ) return integer is begin for i in 1 to NumBins loop if BinVal = CovBinPtr(i).BinVal.all and Action = CovBinPtr(i).Action then return i ; end if; end loop ; return 0 ; end function FindBin ; ------------------------------------------------------------ -- pt local procedure ReadCovVars (file CovDbFile : text; Good : out boolean ) is ------------------------------------------------------------ variable buf : line ; variable Empty : boolean ; variable ReadValid : boolean ; variable GoodLoop1 : boolean ; variable iSeed : RandomSeedType ; variable iIllegalMode : integer ; variable iWeightMode : integer ; variable iWeightScale : real ; variable iCovThreshold : real ; variable iCountMode : integer ; variable iNumberOfNames : integer ; variable iThresholdingEnable : boolean ; variable iCovTarget : real ; variable iMergingEnable : boolean ; begin ReadLoop1 : while not EndFile(CovDbFile) loop ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty) ; next when Empty ; read(buf, iSeed, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading Seed") ; RV.SetSeed( iSeed ) ; RvSeedInit := TRUE ; read(buf, iCovThreshold, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading CovThreshold") ; CovThreshold := iCovThreshold ; read(buf, iIllegalMode, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading IllegalMode") ; IllegalMode := IllegalModeType'val( iIllegalMode ) ; read(buf, iWeightMode, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading WeightMode") ; WeightMode := WeightModeType'val( iWeightMode ) ; read(buf, iWeightScale, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading WeightScale") ; WeightScale := iWeightScale ; read(buf, iCountMode, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading CountMode") ; CountMode := CountModeType'val( iCountMode ) ; read(buf, iThresholdingEnable, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading CountMode") ; ThresholdingEnable := iThresholdingEnable ; read(buf, iCovTarget, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading CountMode") ; CovTarget := iCovTarget ; read(buf, iMergingEnable, ReadValid) ; exit ReadLoop1 when failed(not ReadValid, "ReadCovDb: Failed while reading CountMode") ; MergingEnable := iMergingEnable ; exit ReadLoop1 ; end loop ReadLoop1 ; GoodLoop1 := ReadValid ; ReadLoop2 : while not EndFile(CovDbFile) loop ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty) ; next when Empty ; read(buf, iNumberOfNames, ReadValid) ; exit ReadLoop2 when failed(not ReadValid, "ReadCovDb: Failed while reading NumberOfNames") ; for i in 1 to iNumberOfNames loop ReadLine(CovDbFile, buf) ; SetName(buf.all) ; end loop ; exit ReadLoop2 ; end loop ReadLoop2 ; Good := ReadValid and GoodLoop1 ; end procedure ReadCovVars ; ------------------------------------------------------------ -- pt local procedure ReadCovDbInfo ( ------------------------------------------------------------ File CovDbFile : text ; variable NumRangeItems : out integer ; variable NumLines : out integer ; variable Good : out boolean ) is variable buf : line ; variable ReadValid : boolean ; variable Empty : boolean ; begin ReadLoop : while not EndFile(CovDbFile) loop ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty) ; next when Empty ; read(buf, NumRangeItems, ReadValid) ; exit ReadLoop when failed(not ReadValid, "ReadCovDb: Failed while reading NumRangeItems") ; read(buf, NumLines, ReadValid) ; assert ReadValid report "ReadCovDb: Failed while reading NumLines" severity failure ; exit ; end loop ReadLoop ; Good := ReadValid ; end procedure ReadCovDbInfo ; ------------------------------------------------------------ -- pt local procedure ReadCovDb ( ------------------------------------------------------------ File CovDbFile : text ; constant NumRangeItems : in integer ; constant NumLines : in integer ; variable Good : out boolean ) is variable buf : line ; variable Empty : boolean ; variable ReadValid : boolean ; -- Format: Action Count min1 max1 min2 max2 .... variable Action : integer ; variable Count : integer ; variable BinVal : RangeArrayType(1 to NumRangeItems) ; variable index : integer ; variable AtLeast : integer ; variable Weight : integer ; variable PercentCov : real ; begin GrowBins(NumLines) ; ReadLoop : for i in 1 to NumLines loop exit ReadLoop when failed(EndFile(CovDbFile), "ReadCovDb: Did not read specified number of lines") ; ReadLine(CovDbFile, buf) ; EmptyOrCommentLine(buf, Empty) ; next when Empty ; -- replace with EmptyLine(buf) read(buf, Action, ReadValid) ; exit ReadLoop when failed(not ReadValid, "ReadCovDb: Failed while reading Action") ; read(buf, Count, ReadValid) ; exit ReadLoop when failed(not ReadValid, "ReadCovDb: Failed while reading Count") ; read(buf, AtLeast, ReadValid) ; exit ReadLoop when failed(not ReadValid, "ReadCovDb: Failed while reading AtLeast") ; read(buf, Weight, ReadValid) ; exit ReadLoop when failed(not ReadValid, "ReadCovDb: Failed while reading Weight") ; read(buf, PercentCov, ReadValid) ; exit ReadLoop when failed(not ReadValid, "ReadCovDb: Failed while reading PercentCov") ; read(buf, BinVal, ReadValid) ; exit ReadLoop when failed(not ReadValid, "ReadCovDb: Failed while reading BinVal") ; index := FindBin(BinVal, Action) ; if index > 0 then -- found it. -- Should count add to current count? CovBinPtr(index).Count := Count ; else InsertBin(BinVal, Action, Count, AtLeast, Weight, PercentCov) ; end if ; end loop ReadLoop ; Good := ReadValid ; end ReadCovDb ; ------------------------------------------------------------ -- pt local procedure ReadCovDb ( File CovDbFile : text ) is -- procedure ReadCovDb (FileName : string) is ------------------------------------------------------------ -- Format: Action Count min1 max1 min2 max2 -- file CovDbFile : text open READ_MODE is FileName ; variable NumRangeItems : integer ; variable NumLines : integer ; variable ReadValid : boolean ; begin ReadLoop : loop -- Read coverage private variables to the file ReadCovVars(CovDbFile, ReadValid) ; exit when not ReadValid ; -- Get Coverage dimensions and number of items in file. ReadCovDbInfo(CovDbFile, NumRangeItems, NumLines, ReadValid) ; exit when not ReadValid ; -- Read the file ReadCovDb(CovDbFile, NumRangeItems, NumLines, ReadValid) ; exit ; end loop ReadLoop ; end ReadCovDb ; ------------------------------------------------------------ procedure ReadCovDb (FileName : string) is ------------------------------------------------------------ -- Format: Action Count min1 max1 min2 max2 file CovDbFile : text open READ_MODE is FileName ; begin ReadCovDb(CovDbFile) ; end procedure ReadCovDb ; ------------------------------------------------------------ -- pt local procedure WriteCovVars (file CovDbFile : text ) is ------------------------------------------------------------ variable buf : line ; begin -- write coverage private variables to the file write(buf, RV.GetSeed ) ; write(buf, ' ') ; write(buf, CovThreshold) ; write(buf, ' ') ; write(buf, IllegalModeType'pos(IllegalMode)) ; write(buf, ' ') ; write(buf, WeightModeType'pos(WeightMode)) ; write(buf, ' ') ; write(buf, WeightScale) ; write(buf, ' ') ; write(buf, CountModeType'pos(CountMode)) ; write(buf, ' ') ; write(buf, ThresholdingEnable) ; -- boolean write(buf, ' ') ; write(buf, CovTarget) ; -- Real write(buf, ' ') ; write(buf, MergingEnable) ; -- boolean write(buf, ' ') ; writeline(CovDbFile, buf) ; write(buf, NumberOfNames ) ; writeline(CovDbFile, buf) ; WriteBinName(CovDbFile, "", "") ; end procedure WriteCovVars ; ------------------------------------------------------------ -- pt local procedure WriteCovDb (file CovDbFile : text ) is ------------------------------------------------------------ -- Format: Action Count min1 max1 min2 max2 variable buf : line ; begin -- write Cover variables to the file WriteCovVars( CovDbFile ) ; -- write NumRangeItems, NumLines write(buf, CovBinPtr(1).BinVal'length) ; write(buf, ' ') ; write(buf, NumBins) ; write(buf, ' ') ; writeline(CovDbFile, buf) ; -- write coverage to a file writeloop : for LineCount in 1 to NumBins loop write(buf, CovBinPtr(LineCount).Action) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).Count) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).AtLeast) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).Weight) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).PercentCov) ; write(buf, ' ') ; write(buf, CovBinPtr(LineCount).BinVal.all) ; writeline(CovDbFile, buf) ; end loop WriteLoop ; end procedure WriteCovDb ; ------------------------------------------------------------ procedure WriteCovDb (FileName : string; OpenKind : File_Open_Kind := WRITE_MODE ) is ------------------------------------------------------------ -- Format: Action Count min1 max1 min2 max2 file CovDbFile : text open OpenKind is FileName ; begin WriteCovDb(CovDbFile) ; end procedure WriteCovDb ; -- ------------------------------------------------------------ -- procedure WriteCovDb is -- ------------------------------------------------------------ -- begin -- if WriteCovDbFileInit then -- WriteCovDb(WriteCovDbFile) ; -- else -- report "CoveragePkg: WriteCovDb file not specified" severity failure ; -- end if ; -- end procedure WriteCovDb ; ------------------------------------------------------------ impure function GetErrorCount return integer is ------------------------------------------------------------ variable ErrorCnt : integer := 0 ; begin if NumBins < 1 then return 1 ; -- return error if model empty else for i in 1 to NumBins loop if CovBinPtr(i).count < 0 then -- illegal CovBin ErrorCnt := ErrorCnt + CovBinPtr(i).count ; end if ; end loop ; return - ErrorCnt ; end if ; end function GetErrorCount ; ------------------------------------------------------------ -- These support the older AddBins(GenCross(...)) methodology -- which has been replaced by AddCross ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix2Type) is ------------------------------------------------------------ begin GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix3Type) is ------------------------------------------------------------ begin GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix4Type) is ------------------------------------------------------------ begin GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix5Type) is ------------------------------------------------------------ begin GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix6Type) is ------------------------------------------------------------ begin GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix7Type) is ------------------------------------------------------------ begin GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix8Type) is ------------------------------------------------------------ begin GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight ) ; end loop ; end procedure AddBins ; ------------------------------------------------------------ procedure AddBins (CovBin : CovMatrix9Type) is ------------------------------------------------------------ begin GrowBins(CovBin'length) ; for i in CovBin'range loop InsertBin( CovBin(i).BinVal, CovBin(i).Action, CovBin(i).Count, CovBin(i).AtLeast, CovBin(i).Weight ) ; end loop ; end procedure AddBins ; -- ------------------------------------------------------------ -- ------------------------------------------------------------ -- Deprecated. Due to name changes to promote greater consistency -- Maintained for backward compatibility. -- ------------------------------------------------------------ ------------------------------------------------------------ impure function CovBinErrCnt return integer is -- Deprecated. Name changed to ErrorCount for package to package consistency ------------------------------------------------------------ begin return GetErrorCount ; end function CovBinErrCnt ; ------------------------------------------------------------ -- Deprecated. Same as RandCovBinVal impure function RandCovHole ( PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return RandCovBinVal(PercentCov) ; end function RandCovHole ; ------------------------------------------------------------ -- Deprecated. Same as RandCovBinVal impure function RandCovHole return RangeArrayType is ------------------------------------------------------------ begin return RandCovBinVal ; end function RandCovHole ; -- GetCovHole replaced by GetHoleBinVal ------------------------------------------------------------ -- Deprecated. Same as GetHoleBinVal impure function GetCovHole ( ReqHoleNum : integer ; PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(ReqHoleNum, PercentCov) ; end function GetCovHole ; ------------------------------------------------------------ -- Deprecated. Same as GetHoleBinVal impure function GetCovHole ( PercentCov : real ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(PercentCov) ; end function GetCovHole ; ------------------------------------------------------------ -- Deprecated. Same as GetHoleBinVal impure function GetCovHole ( ReqHoleNum : integer := 1 ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(ReqHoleNum) ; end function GetCovHole ; -- ------------------------------------------------------------ -- ------------------------------------------------------------ -- Deprecated / Subsumed by versions with PercentCov Parameter -- Maintained for backward compatibility only and -- may be removed in the future. -- ------------------------------------------------------------ ------------------------------------------------------------ -- Deprecated. Replaced by SetName with multi-line support procedure SetItemName (ItemNameIn : String) is ------------------------------------------------------------ begin SetName(ItemNameIn) ; end procedure SetItemName ; ------------------------------------------------------------ -- Deprecated. Same as GetMinCount impure function GetMinCov return integer is ------------------------------------------------------------ begin return GetMinCount ; end function GetMinCov ; ------------------------------------------------------------ -- Deprecated. Same as GetMaxCount impure function GetMaxCov return integer is ------------------------------------------------------------ begin return GetMaxCount ; end function GetMaxCov ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function CountCovHoles ( AtLeast : integer ) return integer is ------------------------------------------------------------ variable HoleCount : integer := 0 ; begin CovLoop : for i in 1 to NumBins loop -- if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < minimum(AtLeast, CovBinPtr(i).AtLeast) then if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < AtLeast then HoleCount := HoleCount + 1 ; end if ; end loop CovLoop ; return HoleCount ; end function CountCovHoles ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function IsCovered ( AtLeast : integer ) return boolean is ------------------------------------------------------------ begin return CountCovHoles(AtLeast) = 0 ; end function IsCovered ; ------------------------------------------------------------ impure function CalcWeight ( BinIndex : integer ; MaxAtLeast : integer ) return integer is -- pt local ------------------------------------------------------------ begin case WeightMode is when AT_LEAST => return CovBinPtr(BinIndex).AtLeast ; when WEIGHT => return CovBinPtr(BinIndex).Weight ; when REMAIN => return MaxAtLeast - CovBinPtr(BinIndex).Count ; when REMAIN_SCALED => -- Experimental may be removed return integer( Ceil( WeightScale * real(MaxAtLeast))) - CovBinPtr(BinIndex).Count ; when REMAIN_WEIGHT => -- Experimental may be removed return CovBinPtr(BinIndex).Weight * ( integer( Ceil( WeightScale * real(MaxAtLeast))) - CovBinPtr(BinIndex).Count ) ; when others => report "Selected Weight Mode not Supported with depricated RandCovPoint(AtLeast), see RandCovPoint(PercentCov)" severity failure ; return MaxAtLeast - CovBinPtr(BinIndex).Count ; end case ; end function CalcWeight ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov -- If keep this, need to be able to scale AtLeast Value impure function RandHoleIndex ( AtLeast : integer ) return integer is -- pt local ------------------------------------------------------------ variable WeightVec : integer_vector(0 to NumBins-1) ; -- Prep for change to DistInt variable MinCount, AdjAtLeast, MaxAtLeast : integer ; begin MinCount := GetMinCov ; -- iAtLeast := integer(ceil(CovTarget * real(AtLeast)/100.0)) ; if ThresholdingEnable then AdjAtLeast := MinCount + integer(CovThreshold) + 1 ; if MinCount < AtLeast then -- Clip at AtLeast until reach AtLeast AdjAtLeast := minimum(AdjAtLeast, AtLeast) ; end if ; else if MinCount < AtLeast then AdjAtLeast := AtLeast ; -- Valid else -- Done, Enable all bins -- AdjAtLeast := integer'right ; -- Get All AdjAtLeast := GetMaxCov + 1 ; -- Get All end if ; end if; MaxAtLeast := AdjAtLeast ; CovLoop : for i in 1 to NumBins loop -- if not ThresholdingEnable then -- -- When not thresholding, consider bin Bin.AtLeast -- -- iBinAtLeast := integer(ceil(CovTarget * real(CovBinPtr(i).AtLeast)/100.0)) ; -- MaxAtLeast := maximum(AdjAtLeast, CovBinPtr(i).AtLeast) ; -- end if ; if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < MaxAtLeast then WeightVec(i-1) := CalcWeight(i, MaxAtLeast ) ; -- CovBinPtr(i).Weight ; else WeightVec(i-1) := 0 ; end if ; end loop CovLoop ; -- DistInt returns integer range 0 to Numbins-1 return 1 + RV.DistInt( WeightVec ) ; -- return range 1 to NumBins end function RandHoleIndex ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function RandCovBinVal (AtLeast : integer ) return RangeArrayType is ------------------------------------------------------------ begin return CovBinPtr( RandHoleIndex(AtLeast) ).BinVal.all ; -- GetBinVal end function RandCovBinVal ; -- Maintained for backward compatibility. Repeated until aliases work for methods ------------------------------------------------------------ -- Deprecated+ New versions use PercentCov. Name change. impure function RandCovHole (AtLeast : integer ) return RangeArrayType is ------------------------------------------------------------ begin return RandCovBinVal(AtLeast) ; -- GetBinVal end function RandCovHole ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function RandCovPoint (AtLeast : integer ) return integer is ------------------------------------------------------------ variable BinVal : RangeArrayType(1 to 1) ; begin BinVal := RandCovBinVal(AtLeast) ; return RV.RandInt(BinVal(1).min, BinVal(1).max) ; end function RandCovPoint ; ------------------------------------------------------------ impure function RandCovPoint (AtLeast : integer ) return integer_vector is ------------------------------------------------------------ begin return ToRandPoint(RandCovBinVal(AtLeast)) ; end function RandCovPoint ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov impure function GetHoleBinVal ( ReqHoleNum : integer ; AtLeast : integer ) return RangeArrayType is ------------------------------------------------------------ variable HoleCount : integer := 0 ; begin CovLoop : for i in 1 to NumBins loop -- if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < minimum(AtLeast, CovBinPtr(i).AtLeast) then if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < AtLeast then HoleCount := HoleCount + 1 ; if HoleCount = ReqHoleNum then return CovBinPtr(i).BinVal.all ; end if ; end if ; end loop CovLoop ; write(OUTPUT, "%%Error GetHoleBinVal did not find hole. " & "HoleCount = " & integer'image(HoleCount) & "ReqHoleNum = " & integer'image(ReqHoleNum) & LF) ; return CovBinPtr(NumBins).BinVal.all ; end function GetHoleBinVal ; ------------------------------------------------------------ -- Deprecated+. New versions use PercentCov. Name Change. impure function GetCovHole ( ReqHoleNum : integer ; AtLeast : integer ) return RangeArrayType is ------------------------------------------------------------ begin return GetHoleBinVal(ReqHoleNum, AtLeast) ; end function GetCovHole ; ------------------------------------------------------------ -- pt local -- Deprecated. New versions use PercentCov. procedure WriteCovHoles ( file f : text; AtLeast : integer ) is ------------------------------------------------------------ -- variable minAtLeast : integer ; begin WriteBinName(f, "WriteCovHoles: ") ; if NumBins < 1 then Write(f, "%%FATAL, Coverage Model is empty. Nothing to print." & LF ) ; report "Coverage model is empty. Nothing to print." severity failure ; end if ; CovLoop : for i in 1 to NumBins loop -- minAtLeast := minimum(AtLeast,CovBinPtr(i).AtLeast) ; -- if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < minAtLeast then if CovBinPtr(i).action = COV_COUNT and CovBinPtr(i).Count < AtLeast then write(f, "%% Bin:") ; write(f, CovBinPtr(i).BinVal.all) ; write(f, " Count = " & integer'image(CovBinPtr(i).Count)) ; write(f, " AtLeast = " & integer'image(CovBinPtr(i).AtLeast)) ; if WeightMode = WEIGHT or WeightMode = REMAIN_WEIGHT then -- Print Weight only when it is used write(f, " Weight = " & integer'image(CovBinPtr(i).Weight)) ; end if; write(f, "" & LF) ; end if ; end loop CovLoop ; write(f, "" & LF) ; end procedure WriteCovHoles ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov. procedure WriteCovHoles ( AtLeast : integer ) is ------------------------------------------------------------ begin if WriteBinFileInit then WriteCovHoles(WriteBinFile, AtLeast) ; else WriteCovHoles(OUTPUT, AtLeast) ; end if; end procedure WriteCovHoles ; ------------------------------------------------------------ -- Deprecated. New versions use PercentCov. procedure WriteCovHoles ( FileName : string; AtLeast : integer ; OpenKind : File_Open_Kind := APPEND_MODE ) is ------------------------------------------------------------ file CovHoleFile : text open OpenKind is FileName ; begin WriteCovHoles(CovHoleFile, AtLeast) ; end procedure WriteCovHoles ; end protected body CovPType ; ------------------------------------------------------------------------------------------ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX CovPType XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX ------------------------------------------------------------------------------------------ ------------------------------------------------------------ -- Experimental. Intended primarily for development. procedure CompareBins ( ------------------------------------------------------------ variable Bin1 : inout CovPType ; variable Bin2 : inout CovPType ; variable ErrorCount : inout integer ) is variable NumBins1, NumBins2 : integer ; variable BinInfo1, BinInfo2 : CovBinBaseType ; variable BinVal1, BinVal2 : RangeArrayType(1 to Bin1.GetBinValLength) ; begin NumBins1 := Bin1.GetNumBins ; NumBins2 := Bin2.GetNumBins ; if (NumBins1 /= NumBins2) then write(OUTPUT, "Bins have different lengths" & LF) ; ErrorCount := ErrorCount + 1 ; return ; end if ; for i in 1 to NumBins1 loop BinInfo1 := Bin1.GetBinInfo(i) ; BinInfo2 := Bin2.GetBinInfo(i) ; BinVal1 := Bin1.GetBinVal(i) ; BinVal2 := Bin2.GetBinVal(i) ; if BinInfo1 /= BinInfo2 or BinVal1 /= BinVal2 then ErrorCount := ErrorCount + 1 ; write(OUTPUT, "%% Bin:" & integer'image(i) & " miscompare." & LF) ; write(OUTPUT, "%% Bin1: ") ; write(OUTPUT, BinVal1) ; write(OUTPUT, " Action = " & integer'image(BinInfo1.action)) ; write(OUTPUT, " Count = " & integer'image(BinInfo1.count)) ; write(OUTPUT, " AtLeast = " & integer'image(BinInfo1.AtLeast)) ; write(OUTPUT, " Weight = " & integer'image(BinInfo1.Weight)) ; write(OUTPUT, ""& LF) ; write(OUTPUT, "%% Bin2: ") ; write(OUTPUT, BinVal2) ; write(OUTPUT, " Action = " & integer'image(BinInfo2.action)) ; write(OUTPUT, " Count = " & integer'image(BinInfo2.count)) ; write(OUTPUT, " AtLeast = " & integer'image(BinInfo2.AtLeast)) ; write(OUTPUT, " Weight = " & integer'image(BinInfo2.Weight)) ; write(OUTPUT, ""& LF) ; end if ; end loop ; end procedure CompareBins ; ------------------------------------------------------------ -- package local, Used by GenBin, IllegalBin, and IgnoreBin function MakeBin( ------------------------------------------------------------ Min, Max : integer ; NumBin : integer ; AtLeast : integer ; Weight : integer ; Action : integer ) return CovBinType is variable iCovBin : CovBinType(1 to NumBin) ; variable TotalBins : integer ; -- either real or integer variable rMax, rCurMin, rNextMin, rNumItemsInBin, rRemainingBins : real ; -- must be real begin if Min > Max then report "MakeBin (GenBin, IllegalBin, IgnoreBin): Min must be <= Max" severity failure ; return NULL_BIN ; elsif NumBin <= 0 then report "MakeBin (GenBin, IllegalBin, IgnoreBin): NumBin must be <= 0" severity failure ; return NULL_BIN ; elsif NumBin = 1 then iCovBin(1) := ( BinVal => (1 => (Min, Max)), Action => Action, Count => 0, Weight => Weight, AtLeast => AtLeast ) ; return iCovBin ; else rCurMin := real(Min) ; rMax := real(Max) ; rRemainingBins := (minimum( real(NumBin), rMax - rCurMin + 1.0 )) ; TotalBins := integer(rRemainingBins) ; for i in iCovBin'range loop exit when rRemainingBins = 0.0 ; rNumItemsInBin := trunc((rMax - rCurMin + 1.0) / rRemainingBins) ; -- can be too large rNextMin := rCurMin + rNumItemsInBin ; -- can be 2**31 iCovBin(i) := ( BinVal => (1 => (integer(rCurMin), integer(rNextMin - 1.0))), Action => Action, Count => 0, Weight => Weight, AtLeast => AtLeast ) ; rCurMin := rNextMin ; rRemainingBins := rRemainingBins - 1.0 ; end loop ; return iCovBin(1 to TotalBins) ; end if ; end function MakeBin ; -- Old version with bug -- ------------------------------------------------------------ -- -- package local, Used by GenBin, IllegalBin, and IgnoreBin -- function MakeBin( -- ------------------------------------------------------------ -- Min, Max : integer ; -- NumBin : integer ; -- AtLeast : integer ; -- Weight : integer ; -- Action : integer -- ) return CovBinType is -- constant CheckParms : boolean := failed(Min > Max, "MakeBin (GenBin, IllegalBin, IgnoreBin): Min must be <= Max") ; -- constant CALC_NUM_BINS : integer := minimum(NumBin, Max-Min+1) ; -- variable iCovBin : CovBinType(0 to CALC_NUM_BINS -1) ; -- variable CurMin, NextMin, RemainingBins, NumItemsInBin : integer ; -- begin -- CurMin := Min ; -- for i in iCovBin'range loop -- RemainingBins := CALC_NUM_BINS - i ; -- NumItemsInBin := (Max - CurMin + 1) / RemainingBins ; -- NextMin := CurMin + NumItemsInBin ; -- iCovBin(i) := ( -- BinVal => (1 => (CurMin, NextMin - 1)), -- Action => Action, -- Count => 0, -- Weight => Weight, -- AtLeast => AtLeast -- ) ; -- CurMin := NextMin ; -- end loop ; -- return iCovBin ; -- end function MakeBin ; ------------------------------------------------------------ function GenBin( ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Min, Max : integer ; NumBin : integer ) return CovBinType is begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => AtLeast, Weight => Weight, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin(AtLeast : integer ; Min, Max, NumBin : integer ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => AtLeast, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin( Min, Max, NumBin : integer ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => 1, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin ( Min, Max : integer) return CovBinType is ------------------------------------------------------------ begin -- default, create a separate CovBin for each value -- AtLeast and Weight = 1 (must use longer version to specify) return MakeBin( Min => Min, Max => Max, NumBin => Max - Min + 1, AtLeast => 1, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function GenBin ( A : integer) return CovBinType is ------------------------------------------------------------ begin -- default, create a separate CovBin for each value -- AtLeast and Weight = 1 (must use longer version to specify) return MakeBin( Min => A, Max => A, NumBin => 1, AtLeast => 1, Weight => 1, Action => COV_COUNT ) ; end function GenBin ; ------------------------------------------------------------ function IllegalBin ( Min, Max, NumBin : integer ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => 0, Weight => 0, Action => COV_ILLEGAL ) ; end function IllegalBin ; ------------------------------------------------------------ function IllegalBin ( Min, Max : integer ) return CovBinType is ------------------------------------------------------------ begin -- default, generate one CovBin with the entire range of values return MakeBin( Min => Min, Max => Max, NumBin => 1, AtLeast => 0, Weight => 0, Action => COV_ILLEGAL ) ; end function IllegalBin ; ------------------------------------------------------------ function IllegalBin ( A : integer ) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => A, Max => A, NumBin => 1, AtLeast => 0, Weight => 0, Action => COV_ILLEGAL ) ; end function IllegalBin ; ---------------------------------------------------------- -- function IgnoreBin ( ---------------------------------------------------------- -- AtLeast : integer ; -- Weight : integer ; -- Min, Max : integer ; -- NumBin : integer -- ) return CovBinType is -- begin -- return MakeBin( -- Min => Min, -- Max => Max, -- NumBin => NumBin, -- AtLeast => AtLeast, -- Weight => Weight, -- Action => COV_IGNORE -- ) ; -- end function IgnoreBin ; ---------------------------------------------------------- -- function IgnoreBin (AtLeast : integer ; Min, Max, NumBin : integer) return CovBinType is ---------------------------------------------------------- -- begin -- return MakeBin( -- Min => Min, -- Max => Max, -- NumBin => NumBin, -- AtLeast => AtLeast, -- Weight => 0, -- Action => COV_IGNORE -- ) ; -- end function IgnoreBin ; ------------------------------------------------------------ function IgnoreBin (Min, Max, NumBin : integer) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => Min, Max => Max, NumBin => NumBin, AtLeast => 0, Weight => 0, Action => COV_IGNORE ) ; end function IgnoreBin ; ------------------------------------------------------------ function IgnoreBin (Min, Max : integer) return CovBinType is ------------------------------------------------------------ begin -- default, generate one CovBin with the entire range of values return MakeBin( Min => Min, Max => Max, NumBin => 1, AtLeast => 0, Weight => 0, Action => COV_IGNORE ) ; end function IgnoreBin ; ------------------------------------------------------------ function IgnoreBin (A : integer) return CovBinType is ------------------------------------------------------------ begin return MakeBin( Min => A, Max => A, NumBin => 1, AtLeast => 0, Weight => 0, Action => COV_IGNORE ) ; end function IgnoreBin ; ------------------------------------------------------------ function GenBin ( -- Manual entry format for CovBin within lots of extra parens ------------------------------------------------------------ ManualBin : CovBinManualType ) return CovBinType is alias imBin : CovBinManualType (1 to ManualBin'length) is ManualBin ; variable iCovBin : CovBinType(imBin'range) ; begin for i in iCovBin'range loop iCovBin(i) := ( (1 => (imBin(i)(0),imBin(i)(1))), imBin(i)(2), 0, 1, 1) ; end loop ; return iCovBin ; end function GenBin ; ------------------------------------------------------------ function GenCross( -- 2 -- Cross existing bins -- Use AddCross for adding values directly to coverage database -- Use GenCross for constants ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2 : CovBinType ) return CovMatrix2Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix2Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross(AtLeast : integer ; Bin1, Bin2 : CovBinType) return CovMatrix2Type is -- Cross existing bins -- use AddCross instead ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2) ; end function GenCross ; ------------------------------------------------------------ function GenCross(Bin1, Bin2 : CovBinType) return CovMatrix2Type is -- Cross existing bins -- use AddCross instead ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 3 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix3Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3 : CovBinType ) return CovMatrix3Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 4 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix4Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4 : CovBinType ) return CovMatrix4Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 5 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix5Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5 : CovBinType ) return CovMatrix5Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 6 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5, Bin6) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix6Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6 : CovBinType ) return CovMatrix6Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 7 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix7Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7 : CovBinType ) return CovMatrix7Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 8 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix8Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8 : CovBinType ) return CovMatrix8Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8) ; end function GenCross ; ------------------------------------------------------------ function GenCross( -- 9 ------------------------------------------------------------ AtLeast : integer ; Weight : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type is constant BIN_LENS : integer_vector := BinLengths(Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9) ; constant NUM_NEW_BINS : integer := CalcNumCrossBins(BIN_LENS) ; variable BinIndex : integer_vector(1 to BIN_LENS'length) := (others => 1) ; variable CrossBins : CovBinType(BinIndex'range) ; variable Action : integer ; variable iCovMatrix : CovMatrix9Type(1 to NUM_NEW_BINS) ; begin for MatrixIndex in iCovMatrix'range loop CrossBins := ConcatenateBins(BinIndex, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9) ; Action := MergeState(CrossBins) ; iCovMatrix(MatrixIndex).action := Action ; iCovMatrix(MatrixIndex).count := 0 ; iCovMatrix(MatrixIndex).BinVal := MergeBinVal(CrossBins) ; iCovMatrix(MatrixIndex).AtLeast := MergeAtLeast( Action, AtLeast, CrossBins) ; iCovMatrix(MatrixIndex).Weight := MergeWeight ( Action, Weight, CrossBins) ; IncBinIndex( BinIndex, BIN_LENS ) ; -- increment right most one, then if overflow, increment next end loop ; return iCovMatrix ; end function GenCross ; ------------------------------------------------------------ function GenCross( AtLeast : integer ; Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type is ------------------------------------------------------------ begin return GenCross(AtLeast, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9) ; end function GenCross ; ------------------------------------------------------------ function GenCross( Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9 : CovBinType ) return CovMatrix9Type is ------------------------------------------------------------ begin return GenCross(0, 0, Bin1, Bin2, Bin3, Bin4, Bin5, Bin6, Bin7, Bin8, Bin9) ; end function GenCross ; ------------------------------------------------------------ procedure increment( signal Count : inout integer ) is ------------------------------------------------------------ begin Count <= Count + 1 ; end procedure increment ; ------------------------------------------------------------ procedure increment( signal Count : inout integer ; enable : boolean ) is ------------------------------------------------------------ begin if enable then Count <= Count + 1 ; end if ; end procedure increment ; ------------------------------------------------------------ procedure increment( signal Count : inout integer ; enable : std_ulogic ) is ------------------------------------------------------------ begin if to_x01(enable) = '1' then Count <= Count + 1 ; end if ; end procedure increment ; ------------------------------------------------------------ function to_integer ( B : boolean ) return integer is ------------------------------------------------------------ begin if B then return 1 ; else return 0 ; end if ; end function to_integer ; ------------------------------------------------------------ function to_integer ( SL : std_logic ) return integer is ------------------------------------------------------------- begin case SL is when '1' | 'H' => return 1 ; when '0' | 'L' => return 0 ; when others => return -1 ; end case ; end function to_integer ; ------------------------------------------------------------ function to_integer_vector ( BV : boolean_vector ) return integer_vector is ------------------------------------------------------------ variable result : integer_vector(BV'range) ; begin for i in BV'range loop result(i) := to_integer(BV(i)) ; end loop ; return result ; end function to_integer_vector ; ------------------------------------------------------------ function to_integer_vector ( SLV : std_logic_vector ) return integer_vector is ------------------------------------------------------------- variable result : integer_vector(SLV'range) ; begin for i in SLV'range loop result(i) := to_integer(SLV(i)) ; end loop ; return result ; end function to_integer_vector ; end package body CoveragePkg ;
<filename>MiniALU/bitwise_shift_left.vhd -- takes in a 4-bit integer and shifts it to the left by one bit -- we can do shift left by using our adder as shifting left is the equivalent of -- doubling the number library IEEE; use ieee.std_logic_1164.all; entity bitwise_shift_left is port (A : in std_logic_vector(3 downto 0); -- shift = how many positions we will shift left by shift: in positive:=1; res : out std_logic_vector(3 downto 0)); end entity bitwise_shift_left; architecture arch of bitwise_shift_left is component unsigned_nbit_adder port(op1, op2 : in std_logic_vector(3 downto 0); Cin : in std_logic; signed: in std_logic; extended_op2: in std_logic; sum : out std_logic_vector(3 downto 0); carry_out : out std_logic); end component; -- making a vector to hold our result signal res_buff : std_logic_vector(3 downto 0); signal A_buff: std_logic_vector(3 downto 0); begin A_buff <= A; adder: for i in 0 to 0 generate adder_i: unsigned_nbit_adder port map(op1=>A, op2=>A_buff, Cin=>'0', signed=>'0', extended_op2=>'0',sum=>res_buff); end generate; res <= res_buff; end arch;
<gh_stars>0 -- (C) 2001-2018 Intel Corporation. All rights reserved. -- This simulation model contains highly confidential and -- proprietary information of Intel and is being provided -- in accordance with and subject to the protections of the -- applicable Intel Program License Subscription Agreement -- which governs its use and disclosure. Your use of Intel -- Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, -- and any output files from any of the foregoing (including device -- programming or simulation files), and any associated -- documentation or information are expressly subject to the -- terms and conditions of the Intel Program License Subscription -- Agreement, Intel FPGA IP License Agreement, or other -- applicable license agreement, including, without limitation, -- that your use is for the sole purpose of simulating designs -- for use exclusively in logic devices manufactured by Intel and sold -- by Intel or its authorized distributors. Please refer to the -- applicable agreement for further details. Intel products and -- services are protected under numerous U.S. and foreign patents, -- maskwork rights, copyrights and other intellectual property laws. -- Intel assumes no responsibility or liability arising out of the -- application or use of this simulation model. -- ACDS 18.1 `protect begin_protected `protect version = 1 `protect encrypt_agent = "VCS" `protect encrypt_agent_info = "J-2014.12-SP1 -- Feb 26, 2015" `protect key_keyowner = "Synopsys" `protect key_keyname = "<KEY>" `protect key_method = "rsa" `protect encoding = (enctype = "base64", line_length = 76, bytes = 128) `protect key_block <KEY> <KEY> `protect data_method = "aes128-cbc" `protect encoding = (enctype = "base64", line_length = 76, bytes = 6336) `protect data_block <KEY> <KEY> `protect end_protected
------------------------------------------------------------------------------- -- -- File: Circular_Buffer.vhd -- Author: <NAME> -- Original Project: Zmod DAC 1411 AXI Adapter -- Date: 15 January 2020 -- ------------------------------------------------------------------------------- -- (c) 2020 Copyright Digilent Incorporated -- All Rights Reserved -- -- This program is free software; distributed under the terms of BSD 3-clause -- license ("Revised BSD License", "New BSD License", or "Modified BSD License") -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright notice, -- this list of conditions and the following disclaimer in the documentation -- and/or other materials provided with the distribution. -- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names -- of its contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE -- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE -- ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE -- FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL -- DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR -- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, -- OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE -- OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- --This module implements the circular buffer and the AXI Stream to BRAM bridge. -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Circular_Buffer is Generic ( kBufferSize: integer range 0 to 1024 := 14 ); Port ( SysClk : in STD_LOGIC; --100MHz input clock AxiStreamClk : in STD_LOGIC; --AXI Stream input clock AxiLiteClk : in std_logic; --AXI Lite input clock sRst_n : in STD_LOGIC; --Active low synchronous reset signal synchronized with SysClk xsRst_n : in STD_LOGIC; --Active low synchronous reset signal synchronized with AxiStreamClk sInitDone_n: in STD_LOGIC; --Input flag (active low) indicating that the Zmod DAC Low Level -- Controller has succesfully completed initialization sCh1Out : out STD_LOGIC_VECTOR (13 downto 0); --Channel1 data output sCh2Out : out STD_LOGIC_VECTOR (13 downto 0); --Channel2 data output --AXI Stream (MM2S) s_axis_mm2s_tdata : in STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_mm2s_tkeep : in STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_mm2s_tvalid : in STD_LOGIC; s_axis_mm2s_tready : out STD_LOGIC; s_axis_mm2s_tlast : in STD_LOGIC; sDacEn: in STD_LOGIC; -- Control signal that enables the circular buffer's output address -- counter and closes the Zmod DAC 1411 output relay contacts sTransferLength : in STD_LOGIC_VECTOR (kBufferSize-1 downto 0); -- Buffer's length synchronised in the SysClk domain xsTransferLength : in std_logic_vector (kBufferSize-1 downto 0); -- Buffer's length synchronised in the AxiStreamClk domain sOutAddrCntRst : in std_logic; -- Control bit that resets the circular buffer's output address counter sDivRate : in std_logic_vector(13 downto 0); -- Factor by which the default 100MHz increment rate of the circular's buffer -- output address counter is divided lBufferFull : out STD_LOGIC --Flag indicating that the number of samples specified in the MM2S_Lenght registers --have been loaded in the circular buffer ); end Circular_Buffer; architecture Behavioral of Circular_Buffer is COMPONENT blk_mem_gen_0 PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(0 DOWNTO 0); clkb : IN STD_LOGIC; enb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT; component HandshakeData is Generic ( kDataWidth : natural := 8); Port ( InClk : in STD_LOGIC; OutClk : in STD_LOGIC; iData : in STD_LOGIC_VECTOR (kDataWidth-1 downto 0); oData : out STD_LOGIC_VECTOR (kDataWidth-1 downto 0); iPush : in STD_LOGIC; iRdy : out STD_LOGIC; oAck : in STD_LOGIC := '1'; oValid : out STD_LOGIC; aReset : in std_logic); end component HandshakeData; type BufferDataArray_t is array (27 downto 0) of std_logic_vector(0 downto 0); type WeDataArray_t is array (27 downto 0) of std_logic_vector(0 downto 0); type FsmStates_t is (StIdle, StArm, StWaitTrigger, StLoadBufferNormal, StLoadBufferNone, StBufferFullPush, StBufferFullAck, StSendBufferDummy, StSendBuffer, StSetStop, StSetStopAck, StOutAddrCntPush, StOutAddrCntAck, StWaitStop); --Active high reset signal signal aRst_p : std_logic; --Buffer write channel related signals signal xsBufferInputData : std_logic_vector (27 downto 0); signal xsEnaBuffer : std_logic_vector (27 downto 0); signal xsBitValid : std_logic_vector (31 downto 0); signal xsWeaBuffer : std_logic_vector (27 downto 0); signal xsWeaArray : WeDataArray_t; signal xsBufferInputArray : BufferDataArray_t; signal xsInAddrCounter, xsInAddrCounterR : std_logic_vector (kBufferSize-1 downto 0); --conter used to generate the BRAM write port address --Buffer read channel and BRAM to Axi Stream related signals signal sBufferOutputData : std_logic_vector (27 downto 0); signal sEnbBuffer : std_logic_vector (27 downto 0); signal sBufferOutArray : BufferDataArray_t; signal sOutAddrCntAux : std_logic_vector (13 downto 0); signal sOutAddrCntEn : std_logic; signal sOutAddrCounter, sOutAddrCounterR : std_logic_vector (kBufferSize-1 downto 0); signal xsBufferFull : std_logic; --flag indicating that the buffer was loaded successfuly signal sLoadOutCnt : std_logic_vector (0 downto 0); --signal that resets the BRAM read address port counter once the buffer is filled (in system clock domain) signal xsLoadOutCnt : std_logic; --signal that resets the BRAM read address port counter once the buffer is filled (in system Axi Stream clock domain) signal xsTvalidDisable : std_logic; --signal used to deassert s_axis_s2mm_tvalid once all requested bytes have been transfered --Buffer control state machine related signals signal sCurrentState, sNextState : FsmStates_t; signal fsmcfg_state, fsmcfg_state_r : std_logic_vector(4 downto 0); --Axi Stream interface related signals --Control register and status register write strobe signals signal sBufferFull : std_logic_vector(0 downto 0); --pulse indicating the buffer has aquired the requested amount of data --Clock domain crossing related signals signal sBufFullRdy, sBufFullPush, lBufFullValid : std_logic; begin aRst_p <= not sRst_n; s_axis_mm2s_tready <= '1'; xsBufferInputData <= s_axis_mm2s_tdata(31 downto 18) & s_axis_mm2s_tdata(15 downto 2); ProcBufferPortAssign : process (xsWeaBuffer) begin for Index in 0 to 27 loop xsWeaArray(Index)(0) <= s_axis_mm2s_tvalid; --assume tkeep = "1111" for all valid data; xsBufferInputArray(Index)(0) <= xsBufferInputData(Index); sBufferOutputData(Index) <= sBufferOutArray(Index)(0); end loop; end process; xsEnaBuffer <= (others => '1'); sEnbBuffer <= (others => '1'); GenerateBuffer : for Index in 0 to 27 generate InstBRAM_Buffer : blk_mem_gen_0 PORT MAP ( clka => AxiStreamClk, ena => xsEnaBuffer(Index), wea => xsWeaArray(Index), addra => xsInAddrCounter, dina => xsBufferInputArray(Index), clkb => SysClk, enb => sEnbBuffer(Index), addrb => sOutAddrCounter, doutb => sBufferOutArray(Index) ); end generate GenerateBuffer; sCh1Out <= sBufferOutputData(27 downto 14); sCh2Out <= sBufferOutputData(13 downto 0); --Input Buffer Address Counter ProcInAddrCounter: process (AxiStreamClk) begin if (AxiStreamClk' event and AxiStreamClk = '1') then if ((xsRst_n = '0') or (s_axis_mm2s_tlast = '1'))then xsInAddrCounter <= (others => '0'); xsInAddrCounterR <= (others => '0'); else xsInAddrCounterR <= xsInAddrCounter; if(s_axis_mm2s_tvalid = '1') then xsInAddrCounter <= xsInAddrCounter + '1'; end if; end if; end if; end process; ProcBufferFull: process (AxiStreamClk) begin if (AxiStreamClk' event and AxiStreamClk = '1') then if (xsRst_n = '0') then xsBufferFull <= '0'; else if ((s_axis_mm2s_tlast = '1') and (xsInAddrCounter = xsTransferLength - '1')) then xsBufferFull <= '1'; else xsBufferFull <= '0'; end if; end if; end if; end process; ProcOutAddrCntEn: process (SysClk) begin if (SysClk' event and SysClk = '1') then if (sRst_n = '0' or sOutAddrCntRst = '1') then sOutAddrCntAux <= (others => '0'); sOutAddrCntEn <= '0'; else if(sDacEn = '1') then if(sOutAddrCntAux = sDivRate) then sOutAddrCntAux <= (others => '0'); sOutAddrCntEn <= '1'; else sOutAddrCntAux <= sOutAddrCntAux + '1'; sOutAddrCntEn <= '0'; end if; end if; end if; end if; end process; ProcOutAddrCounter: process (SysClk) begin if (SysClk' event and SysClk = '1') then if (sRst_n = '0' or sOutAddrCntRst = '1') then sOutAddrCounter <= (others => '0'); sOutAddrCounterR <= (others => '0'); else sOutAddrCounterR <= sOutAddrCounter; if((sDacEn = '1') and (sOutAddrCntEn = '1'))then if(sOutAddrCounter = (sTransferLength - '1')) then sOutAddrCounter <= (others => '0'); else sOutAddrCounter <= sOutAddrCounter + '1'; end if; end if; end if; end if; end process; ----------------------------------------CLOCK DOMAIN CROSSING---------------------------------------------------------- InstBufFullHandShake : HandshakeData -- synchronization module for AXI LITE LENGTH register crossing to PROG_CLK clock domain generic map ( kDataWidth => 1 ) Port map ( InClk => AxiStreamClk, OutClk => AxiLiteClk, iData => "1", oData => open, -- synchronized output iPush => xsBufferFull, iRdy => open, oAck => '1', oValid => lBufFullValid, -- indicates valid synchronized data aReset => aRst_p ); lBufferFull <= lBufFullValid; end Behavioral;
-- Copyright (c) 2020 <NAME> -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity APUF_Mutual_Order is generic (stage_n: integer := 2); port ( paths: in std_logic_vector(1 to 4); -- [4, 0] for switch block 0. challenge: in std_logic_vector(5 * stage_n - 1 downto 0); response_mutual_order: out std_logic_vector(1 to 6)); end APUF_Mutual_Order; architecture Behavioral of APUF_Mutual_Order is component Switch_Block_4x4 is port ( input: in std_logic_vector(0 to 3); challenge: in std_logic_vector(4 downto 0); output: out std_logic_vector(0 to 3)); end component; component Arbiter_Mutual_Order is port ( permutation: in std_logic_vector(1 to 4); -- a1 to a4 in the paper mutual_order: out std_logic_vector(1 to 6)); end component; type switch_block_io_array is array (0 to stage_n - 1) of std_logic_vector(1 to 4); signal switch_block_out: switch_block_io_array; begin assert (stage_n > 0) report "Number of stages must be positive in APUF must be positive." severity failure; FIRST_SWITCH_BLOCK: Switch_Block_4x4 port map ( input => paths, challenge => challenge(4 downto 0), output => switch_block_out(0)); SWITCH_BLOCKS: for i in 1 to stage_n - 1 generate SWITCH_BLOCK: Switch_Block_4x4 port map ( input => switch_block_out(i-1), challenge => challenge((i+1)*5 - 1 downto (i*5)), output => switch_block_out(i)); end generate SWITCH_BLOCKS; APUF_ARBITER: Arbiter_Mutual_Order port map ( permutation => switch_block_out(stage_n - 1), mutual_order => response_mutual_order); end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package state is constant STATE_SIZE: integer := 2; subtype state_t is unsigned(STATE_SIZE - 1 downto 0); constant ST_FETCH: state_t := "00"; constant ST_DECODE: state_t := "01"; constant ST_EXECUTE: state_t := "10"; end package state;
------------------------------------------------------------------------------- -- Company : SLAC National Accelerator Laboratory ------------------------------------------------------------------------------- -- Description: ------------------------------------------------------------------------------- -- This file is part of 'LCLS2 Common Carrier Core'. -- It is subject to the license terms in the LICENSE.txt file found in the -- top-level directory of this distribution and at: -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. -- No part of 'LCLS2 Common Carrier Core', including this file, -- may be copied, modified, propagated, or distributed except according to -- the terms contained in the LICENSE.txt file. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library surf; use surf.StdRtlPkg.all; use surf.AxiStreamPkg.all; use surf.SsiPkg.all; use surf.AxiLitePkg.all; use surf.I2cPkg.all; library amc_carrier_core; use amc_carrier_core.AmcCarrierPkg.all; use amc_carrier_core.AmcCarrierSysRegPkg.all; use amc_carrier_core.FpgaTypePkg.all; library unisim; use unisim.vcomponents.all; entity AmcCarrierSysReg is generic ( TPD_G : time := 1 ns; BUILD_INFO_G : BuildInfoType; APP_TYPE_G : AppType := APP_NULL_TYPE_C; MPS_SLOT_G : boolean := false; -- false = Normal Operation, true = MPS message concentrator (Slot#2 only) GEN_PWR_I2C_G : boolean := true; FSBL_G : boolean := false); port ( -- Primary AXI-Lite Interface axilClk : in sl; axilRst : in sl; sAxilReadMasters : in AxiLiteReadMasterArray(1 downto 0); sAxilReadSlaves : out AxiLiteReadSlaveArray(1 downto 0); sAxilWriteMasters : in AxiLiteWriteMasterArray(1 downto 0); sAxilWriteSlaves : out AxiLiteWriteSlaveArray(1 downto 0); -- Timing AXI-Lite Interface timingReadMaster : out AxiLiteReadMasterType; timingReadSlave : in AxiLiteReadSlaveType; timingWriteMaster : out AxiLiteWriteMasterType; timingWriteSlave : in AxiLiteWriteSlaveType; -- BSA AXI-Lite Interface bsaReadMaster : out AxiLiteReadMasterType; bsaReadSlave : in AxiLiteReadSlaveType; bsaWriteMaster : out AxiLiteWriteMasterType; bsaWriteSlave : in AxiLiteWriteSlaveType; -- ETH AXI-Lite Interface ethReadMaster : out AxiLiteReadMasterType; ethReadSlave : in AxiLiteReadSlaveType; ethWriteMaster : out AxiLiteWriteMasterType; ethWriteSlave : in AxiLiteWriteSlaveType; -- DDR PHY AXI-Lite Interface ddrReadMaster : out AxiLiteReadMasterType; ddrReadSlave : in AxiLiteReadSlaveType; ddrWriteMaster : out AxiLiteWriteMasterType; ddrWriteSlave : in AxiLiteWriteSlaveType; ddrMemReady : in sl; ddrMemError : in sl; -- MPS PHY AXI-Lite Interface mpsReadMaster : out AxiLiteReadMasterType; mpsReadSlave : in AxiLiteReadSlaveType; mpsWriteMaster : out AxiLiteWriteMasterType; mpsWriteSlave : in AxiLiteWriteSlaveType; -- Local Configuration localMac : out slv(47 downto 0); localIp : out slv(31 downto 0); ethLinkUp : in sl := '0'; ---------------------- -- Top Level Interface ---------------------- -- AXI-Lite Interface appReadMaster : out AxiLiteReadMasterType; appReadSlave : in AxiLiteReadSlaveType; appWriteMaster : out AxiLiteWriteMasterType; appWriteSlave : in AxiLiteWriteSlaveType; -- BSI Interface bsiBus : out bsiBusType; ---------------- -- Core Ports -- ---------------- -- Crossbar Ports xBarSin : out slv(1 downto 0); xBarSout : out slv(1 downto 0); xBarConfig : out sl; xBarLoad : out sl; -- IPMC Ports ipmcScl : inout sl; ipmcSda : inout sl; -- Configuration PROM Ports calScl : inout sl := 'Z'; calSda : inout sl := 'Z'; -- VCCINT DC/DC Ports pwrScl : inout sl := 'Z'; pwrSda : inout sl := 'Z'; -- Clock Cleaner Ports timingClkScl : inout sl := 'Z'; timingClkSda : inout sl := 'Z'; -- DDR3L SO-DIMM Ports ddrScl : inout sl := 'Z'; ddrSda : inout sl := 'Z'; -- SYSMON Ports vPIn : in sl; vNIn : in sl); end AmcCarrierSysReg; architecture mapping of AmcCarrierSysReg is -- FSBL Timeout Duration constant TIMEOUT_C : integer := integer(10.0 / AXI_CLK_PERIOD_C); constant NUM_AXI_MASTERS_C : natural := 15; constant VERSION_INDEX_C : natural := 0; constant SYSMON_INDEX_C : natural := 1; constant BOOT_MEM_INDEX_C : natural := 2; constant XBAR_INDEX_C : natural := 3; constant CONFIG_I2C_INDEX_C : natural := 4; constant CLK_I2C_INDEX_C : natural := 5; constant DDR_I2C_INDEX_C : natural := 6; constant IPMC_INDEX_C : natural := 7; constant TIMING_INDEX_C : natural := 8; constant BSA_INDEX_C : natural := 9; constant ETH_INDEX_C : natural := 10; constant DDR_INDEX_C : natural := 11; constant MPS_INDEX_C : natural := 12; constant PWR_I2C_INDEX_C : natural := 13; constant APP_INDEX_C : natural := 14; constant AXI_CROSSBAR_MASTERS_CONFIG_C : AxiLiteCrossbarMasterConfigArray(NUM_AXI_MASTERS_C-1 downto 0) := ( VERSION_INDEX_C => ( baseAddr => VERSION_ADDR_C, addrBits => 24, connectivity => x"FFFF"), SYSMON_INDEX_C => ( baseAddr => SYSMON_ADDR_C, addrBits => 24, connectivity => x"FFFF"), BOOT_MEM_INDEX_C => ( baseAddr => BOOT_MEM_ADDR_C, addrBits => 24, connectivity => x"FFFF"), XBAR_INDEX_C => ( baseAddr => XBAR_ADDR_C, addrBits => 24, connectivity => x"FFFF"), CONFIG_I2C_INDEX_C => ( baseAddr => CONFIG_I2C_ADDR_C, addrBits => 24, connectivity => x"FFFF"), CLK_I2C_INDEX_C => ( baseAddr => CLK_I2C_ADDR_C, addrBits => 24, connectivity => x"FFFF"), DDR_I2C_INDEX_C => ( baseAddr => DDR_I2C_ADDR_C, addrBits => 24, connectivity => x"FFFF"), IPMC_INDEX_C => ( baseAddr => IPMC_ADDR_C, addrBits => 24, connectivity => x"FFFF"), ETH_INDEX_C => ( baseAddr => ETH_ADDR_C, addrBits => 24, connectivity => x"FFFF"), TIMING_INDEX_C => ( baseAddr => TIMING_ADDR_C, addrBits => 24, connectivity => x"FFFF"), BSA_INDEX_C => ( baseAddr => BSA_ADDR_C, addrBits => 24, connectivity => x"FFFF"), DDR_INDEX_C => ( baseAddr => DDR_ADDR_C, addrBits => 24, connectivity => x"FFFF"), MPS_INDEX_C => ( baseAddr => MPS_ADDR_C, addrBits => 24, connectivity => x"FFFF"), PWR_I2C_INDEX_C => ( baseAddr => PWR_I2C_ADDR_C, addrBits => 24, connectivity => x"FFFF"), APP_INDEX_C => ( baseAddr => APP_ADDR_C, addrBits => 31, connectivity => x"FFFF")); constant TIME_DEVICE_MAP_C : I2cAxiLiteDevArray(0 to 0) := ( 0 => MakeI2cAxiLiteDevType( i2cAddress => "1010100", dataSize => 16, -- in units of bits addrSize => 16, -- in units of bits endianness => '1')); -- Big endian constant DDR_DEVICE_MAP_C : I2cAxiLiteDevArray(0 to 0) := ( 0 => MakeI2cAxiLiteDevType( i2cAddress => "1010000", -- SRD Memory (1010) (Lookup tool at www.micron.com/spd) dataSize => 8, -- in units of bits addrSize => 8, -- in units of bits endianness => '1')); -- Big endian constant PWR_DEVICE_MAP_C : I2cAxiLiteDevArray(0 to 0) := ( 0 => MakeI2cAxiLiteDevType( i2cAddress => "0001010", -- EM2280P01QI: ADDR1=0Ohm, ADDR0=10kOhm --> Address=0x0A dataSize => 16, -- in units of bits addrSize => 8, -- in units of bits repeatStart => '1', -- repeated start endianness => '0')); -- Little endian signal mAxilWriteMasters : AxiLiteWriteMasterArray(NUM_AXI_MASTERS_C-1 downto 0); signal mAxilWriteSlaves : AxiLiteWriteSlaveArray(NUM_AXI_MASTERS_C-1 downto 0) := (others => AXI_LITE_WRITE_SLAVE_EMPTY_DECERR_C); signal mAxilReadMasters : AxiLiteReadMasterArray(NUM_AXI_MASTERS_C-1 downto 0); signal mAxilReadSlaves : AxiLiteReadSlaveArray(NUM_AXI_MASTERS_C-1 downto 0) := (others => AXI_LITE_READ_SLAVE_EMPTY_DECERR_C); signal bootCsL : sl; signal bootSck : sl; signal bootMosi : sl; signal bootMiso : sl; signal di : slv(3 downto 0); signal do : slv(3 downto 0); signal axilRstL : sl; signal bootCmd : sl; signal bootRdy : sl; signal bootArmed : sl; signal bootstart : sl; signal bootReq : sl; signal bootAddr : slv(31 downto 0); signal upTimeCnt : slv(31 downto 0); signal userValues : Slv32Array(0 to 63) := (others => x"00000000"); begin -------------------------- -- AXI-Lite: Crossbar Core -------------------------- U_XBAR : entity surf.AxiLiteCrossbar generic map ( TPD_G => TPD_G, NUM_SLAVE_SLOTS_G => 2, NUM_MASTER_SLOTS_G => NUM_AXI_MASTERS_C, MASTERS_CONFIG_G => AXI_CROSSBAR_MASTERS_CONFIG_C) port map ( axiClk => axilClk, axiClkRst => axilRst, sAxiWriteMasters => sAxilWriteMasters, sAxiWriteSlaves => sAxilWriteSlaves, sAxiReadMasters => sAxilReadMasters, sAxiReadSlaves => sAxilReadSlaves, mAxiWriteMasters => mAxilWriteMasters, mAxiWriteSlaves => mAxilWriteSlaves, mAxiReadMasters => mAxilReadMasters, mAxiReadSlaves => mAxilReadSlaves); -------------------------- -- AXI-Lite Version Module -------------------------- U_Version : entity surf.AxiVersion generic map ( TPD_G => TPD_G, BUILD_INFO_G => BUILD_INFO_G, CLK_PERIOD_G => 6.4E-9, XIL_DEVICE_G => "ULTRASCALE", EN_DEVICE_DNA_G => true) port map ( -- AXI-Lite Interface axiClk => axilClk, axiRst => axilRst, upTimeCnt => upTimeCnt, userValues => userValues, fpgaReload => bootCmd, axiReadMaster => mAxilReadMasters(VERSION_INDEX_C), axiReadSlave => mAxilReadSlaves(VERSION_INDEX_C), axiWriteMaster => mAxilWriteMasters(VERSION_INDEX_C), axiWriteSlave => mAxilWriteSlaves(VERSION_INDEX_C)); userValues(0) <= AMC_CARRIER_CORE_VERSION_C; userValues(1) <= CPSW_TARBALL_ADDR_C; userValues(2 to 63) <= (others => x"00000000"); bootRdy <= ddrMemReady and not(ddrMemError); process(axilClk) begin if rising_edge(axilClk) then -- Check for reset if axilRst = '1' then bootArmed <= '0' after TPD_G; bootstart <= '0' after TPD_G; else -- Reset the flag bootstart <= '0' after TPD_G; -- Check for IPMI boot request if (bootReq = '1')then bootArmed <= '1' after TPD_G; end if; -- Check for Application boot request if (FSBL_G = false) and (bootCmd = '1') then bootArmed <= '1' after TPD_G; end if; -- Check if DDR passed and armed if (bootRdy = '1') and (bootArmed = '1') then -- Set the flag bootstart <= '1' after TPD_G; -- Reset the flag bootArmed <= '0' after TPD_G; end if; end if; end if; end process; U_Iprog : entity surf.Iprog generic map ( TPD_G => TPD_G, XIL_DEVICE_G => "ULTRASCALE") port map ( clk => axilClk, rst => axilRst, start => bootstart, bootAddress => bootAddr); -------------------------- -- AXI-Lite: SYSMON Module -------------------------- U_SysMon : entity amc_carrier_core.AmcCarrierSysMon generic map ( TPD_G => TPD_G) port map ( -- SYSMON Ports vPIn => vPIn, vNIn => vNIn, -- AXI-Lite Register Interface axilReadMaster => mAxilReadMasters(SYSMON_INDEX_C), axilReadSlave => mAxilReadSlaves(SYSMON_INDEX_C), axilWriteMaster => mAxilWriteMasters(SYSMON_INDEX_C), axilWriteSlave => mAxilWriteSlaves(SYSMON_INDEX_C), -- Clocks and Resets axilClk => axilClk, axilRst => axilRst); ------------------------------ -- AXI-Lite: Boot Flash Module ------------------------------ U_BootProm : entity surf.AxiMicronN25QCore generic map ( TPD_G => TPD_G, MEM_ADDR_MASK_G => x"00000000", -- Using hardware write protection AXI_CLK_FREQ_G => AXI_CLK_FREQ_C, -- units of Hz SPI_CLK_FREQ_G => (AXI_CLK_FREQ_C/4.0)) -- units of Hz port map ( -- FLASH Memory Ports csL => bootCsL, sck => bootSck, mosi => bootMosi, miso => bootMiso, -- AXI-Lite Register Interface axiReadMaster => mAxilReadMasters(BOOT_MEM_INDEX_C), axiReadSlave => mAxilReadSlaves(BOOT_MEM_INDEX_C), axiWriteMaster => mAxilWriteMasters(BOOT_MEM_INDEX_C), axiWriteSlave => mAxilWriteSlaves(BOOT_MEM_INDEX_C), -- Clocks and Resets axiClk => axilClk, axiRst => axilRst); U_STARTUPE3 : STARTUPE3 generic map ( PROG_USR => "FALSE", -- Activate program event security feature. Requires encrypted bitstreams. SIM_CCLK_FREQ => 0.0) -- Set the Configuration Clock Frequency(ns) for simulation port map ( CFGCLK => open, -- 1-bit output: Configuration main clock output CFGMCLK => open, -- 1-bit output: Configuration internal oscillator clock output DI => di, -- 4-bit output: Allow receiving on the D[3:0] input pins EOS => open, -- 1-bit output: Active high output signal indicating the End Of Startup. PREQ => open, -- 1-bit output: PROGRAM request to fabric output DO => do, -- 4-bit input: Allows control of the D[3:0] pin outputs DTS => "1110", -- 4-bit input: Allows tristate of the D[3:0] pins FCSBO => bootCsL, -- 1-bit input: Contols the FCS_B pin for flash access FCSBTS => '0', -- 1-bit input: Tristate the FCS_B pin GSR => '0', -- 1-bit input: Global Set/Reset input (GSR cannot be used for the port name) GTS => '0', -- 1-bit input: Global 3-state input (GTS cannot be used for the port name) KEYCLEARB => '0', -- 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM) PACK => '0', -- 1-bit input: PROGRAM acknowledge input USRCCLKO => bootSck, -- 1-bit input: User CCLK input USRCCLKTS => '0', -- 1-bit input: User CCLK 3-state enable input USRDONEO => axilRstL, -- 1-bit input: User DONE pin output control USRDONETS => '0'); -- 1-bit input: User DONE 3-state enable output axilRstL <= not(axilRst); -- IPMC uses DONE to determine if FPGA is ready do <= "111" & bootMosi; bootMiso <= di(1); ---------------------------------- -- AXI-Lite: Clock Crossbar Module ---------------------------------- U_Sy56040 : entity surf.AxiSy56040Reg generic map ( TPD_G => TPD_G, XBAR_DEFAULT_G => xbarDefault(APP_TYPE_G, MPS_SLOT_G), AXI_CLK_FREQ_G => AXI_CLK_FREQ_C) port map ( -- XBAR Ports xBarSin => xBarSin, xBarSout => xBarSout, xBarConfig => xBarConfig, xBarLoad => xBarLoad, -- AXI-Lite Register Interface axiReadMaster => mAxilReadMasters(XBAR_INDEX_C), axiReadSlave => mAxilReadSlaves(XBAR_INDEX_C), axiWriteMaster => mAxilWriteMasters(XBAR_INDEX_C), axiWriteSlave => mAxilWriteSlaves(XBAR_INDEX_C), -- Clocks and Resets axiClk => axilClk, axiRst => axilRst); ---------------------------------------- -- AXI-Lite: Configuration Memory Module ---------------------------------------- -- AxiI2cRegMaster_0 : entity surf.AxiI2cEeprom -- generic map ( -- TPD_G => TPD_G, -- ADDR_WIDTH_G => 13, -- I2C_ADDR_G => "1010000", -- I2C_SCL_FREQ_G => 400.0E+3, -- units of Hz -- AXI_CLK_FREQ_G => AXI_CLK_FREQ_C) -- port map ( -- -- I2C Ports -- scl => calScl, -- sda => calSda, -- -- AXI-Lite Register Interface -- axilReadMaster => mAxilReadMasters(CONFIG_I2C_INDEX_C), -- axilReadSlave => mAxilReadSlaves(CONFIG_I2C_INDEX_C), -- axilWriteMaster => mAxilWriteMasters(CONFIG_I2C_INDEX_C), -- axilWriteSlave => mAxilWriteSlaves(CONFIG_I2C_INDEX_C), -- -- Clocks and Resets -- axilClk => axilClk, -- axilRst => axilRst); --------------------------------- -- AXI-Lite: Clock Cleaner Module --------------------------------- -- AxiI2cRegMaster_1 : entity surf.AxiI2cRegMaster -- generic map ( -- TPD_G => TPD_G, -- I2C_SCL_FREQ_G => 100.0E+3, -- units of Hz -- DEVICE_MAP_G => TIME_DEVICE_MAP_C, -- AXI_CLK_FREQ_G => AXI_CLK_FREQ_C) -- port map ( -- -- I2C Ports -- scl => timingClkScl, -- sda => timingClkSda, -- -- AXI-Lite Register Interface -- axiReadMaster => mAxilReadMasters(CLK_I2C_INDEX_C), -- axiReadSlave => mAxilReadSlaves(CLK_I2C_INDEX_C), -- axiWriteMaster => mAxilWriteMasters(CLK_I2C_INDEX_C), -- axiWriteSlave => mAxilWriteSlaves(CLK_I2C_INDEX_C), -- -- Clocks and Resets -- axiClk => axilClk, -- axiRst => axilRst); ------------------------------- -- AXI-Lite: DDR Monitor Module ------------------------------- -- AxiI2cRegMaster_2 : entity surf.AxiI2cRegMaster -- generic map ( -- TPD_G => TPD_G, -- I2C_SCL_FREQ_G => 400.0E+3, -- units of Hz -- DEVICE_MAP_G => DDR_DEVICE_MAP_C, -- AXI_CLK_FREQ_G => AXI_CLK_FREQ_C) -- port map ( -- -- I2C Ports -- scl => ddrScl, -- sda => ddrSda, -- -- AXI-Lite Register Interface -- axiReadMaster => mAxilReadMasters(DDR_I2C_INDEX_C), -- axiReadSlave => mAxilReadSlaves(DDR_I2C_INDEX_C), -- axiWriteMaster => mAxilWriteMasters(DDR_I2C_INDEX_C), -- axiWriteSlave => mAxilWriteSlaves(DDR_I2C_INDEX_C), -- -- Clocks and Resets -- axiClk => axilClk, -- axiRst => axilRst); ----------------------- -- AXI-Lite: BSI Module ----------------------- U_Bsi : entity amc_carrier_core.AmcCarrierBsi generic map ( TPD_G => TPD_G, BUILD_INFO_G => BUILD_INFO_G) port map ( -- DDR Memory Status ddrMemReady => ddrMemReady, ddrMemError => ddrMemError, -- Local Configurations localMac => localMac, localIp => localIp, ethLinkUp => ethLinkUp, bootReq => bootReq, bootAddr => bootAddr, upTimeCnt => upTimeCnt, -- Application Interface bsiBus => bsiBus, -- I2C Ports scl => ipmcScl, sda => ipmcSda, -- AXI-Lite Register Interface axilReadMaster => mAxilReadMasters(IPMC_INDEX_C), axilReadSlave => mAxilReadSlaves(IPMC_INDEX_C), axilWriteMaster => mAxilWriteMasters(IPMC_INDEX_C), axilWriteSlave => mAxilWriteSlaves(IPMC_INDEX_C), -- Clocks and Resets axilClk => axilClk, axilRst => axilRst); ------------------------------- -- AXI-Lite: PWR Monitor Module ------------------------------- GEN_PWR_I2C : if (GEN_PWR_I2C_G) and (ULTRASCALE_PLUS_C) and (FSBL_G = false) generate -- AxiI2cRegMaster_3 : entity surf.AxiI2cRegMaster -- generic map ( -- TPD_G => TPD_G, -- I2C_SCL_FREQ_G => 100.0E+3, -- units of Hz -- DEVICE_MAP_G => PWR_DEVICE_MAP_C, -- AXI_CLK_FREQ_G => AXI_CLK_FREQ_C) -- port map ( -- -- I2C Ports -- scl => pwrScl, -- sda => pwrSda, -- -- AXI-Lite Register Interface -- axiReadMaster => mAxilReadMasters(PWR_I2C_INDEX_C), -- axiReadSlave => mAxilReadSlaves(PWR_I2C_INDEX_C), -- axiWriteMaster => mAxilWriteMasters(PWR_I2C_INDEX_C), -- axiWriteSlave => mAxilWriteSlaves(PWR_I2C_INDEX_C), -- -- Clocks and Resets -- axiClk => axilClk, -- axiRst => axilRst); AxiI2cRegMaster_3 : entity surf.AxiLitePMbusMaster generic map ( TPD_G => TPD_G, I2C_ADDR_G => "0001010", I2C_SCL_FREQ_G => 100.0E+3, -- units of Hz AXI_CLK_FREQ_G => AXI_CLK_FREQ_C) port map ( -- I2C Ports scl => pwrScl, sda => pwrSda, -- AXI-Lite Register Interface axilReadMaster => mAxilReadMasters(PWR_I2C_INDEX_C), axilReadSlave => mAxilReadSlaves(PWR_I2C_INDEX_C), axilWriteMaster => mAxilWriteMasters(PWR_I2C_INDEX_C), axilWriteSlave => mAxilWriteSlaves(PWR_I2C_INDEX_C), -- Clocks and Resets axilClk => axilClk, axilRst => axilRst); end generate; -------------------------------------- -- Map the AXI-Lite to Timing Firmware -------------------------------------- timingReadMaster <= mAxilReadMasters(TIMING_INDEX_C); mAxilReadSlaves(TIMING_INDEX_C) <= timingReadSlave; timingWriteMaster <= mAxilWriteMasters(TIMING_INDEX_C); mAxilWriteSlaves(TIMING_INDEX_C) <= timingWriteSlave; -------------------------------------- -- Map the AXI-Lite to BSA Firmware -------------------------------------- bsaReadMaster <= mAxilReadMasters(BSA_INDEX_C); mAxilReadSlaves(BSA_INDEX_C) <= bsaReadSlave; bsaWriteMaster <= mAxilWriteMasters(BSA_INDEX_C); mAxilWriteSlaves(BSA_INDEX_C) <= bsaWriteSlave; ---------------------------------------- -- Map the AXI-Lite to ETH Firmware ---------------------------------------- ethReadMaster <= mAxilReadMasters(ETH_INDEX_C); mAxilReadSlaves(ETH_INDEX_C) <= ethReadSlave; ethWriteMaster <= mAxilWriteMasters(ETH_INDEX_C); mAxilWriteSlaves(ETH_INDEX_C) <= ethWriteSlave; --------------------------------------- -- Map the AXI-Lite to DDR PHY Firmware --------------------------------------- ddrReadMaster <= mAxilReadMasters(DDR_INDEX_C); mAxilReadSlaves(DDR_INDEX_C) <= ddrReadSlave; ddrWriteMaster <= mAxilWriteMasters(DDR_INDEX_C); mAxilWriteSlaves(DDR_INDEX_C) <= ddrWriteSlave; --------------------------------------- -- Map the AXI-Lite to MPS PHY Firmware --------------------------------------- mpsReadMaster <= mAxilReadMasters(MPS_INDEX_C); mAxilReadSlaves(MPS_INDEX_C) <= mpsReadSlave; mpsWriteMaster <= mAxilWriteMasters(MPS_INDEX_C); mAxilWriteSlaves(MPS_INDEX_C) <= mpsWriteSlave; ------------------------------------------- -- Map the AXI-Lite to Application Firmware ------------------------------------------- appReadMaster <= mAxilReadMasters(APP_INDEX_C); mAxilReadSlaves(APP_INDEX_C) <= appReadSlave; appWriteMaster <= mAxilWriteMasters(APP_INDEX_C); mAxilWriteSlaves(APP_INDEX_C) <= appWriteSlave; end mapping;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Mul is port ( clk : in std_logic; -- The clock reset : in std_logic; A, B : in std_logic_vector(7 downto 0); -- The two inputs P : out std_logic_vector(7 downto 0); -- The product ready : out std_logic ); end Mul; architecture Behavior of Mul is -- The right shift component component Rsh is port ( A, B : in std_logic_vector(7 downto 0); P : out std_logic_vector(7 downto 0) ); end component; -- The left shift component component Lsh is port ( A, B : in std_logic_vector(7 downto 0); P : out std_logic_vector(7 downto 0) ); end component; -- The adder component Adder is port ( A, B : in std_logic_vector(7 downto 0); P : out std_logic_vector(7 downto 0); co : out std_logic ); end component; -- Signals signal output, output_final : std_logic_vector(7 downto 0) := X"00"; signal B_shift, counter_vec, imm, imm2 : std_logic_vector(7 downto 0) := X"00"; signal counter, stage : integer := 0; begin rsh_unit : Rsh port map (A => B, B => counter_vec, P => B_shift); lsh_unit : Lsh port map (A => imm, B => counter_vec, P => imm2); adder_unit : Adder port map (A => imm2, B => output, P => output_final, co => open); process (clk) is begin -- If we're on a new clock cycle, and the reset bit is set... Then reset if rising_edge(clk) and reset = '1' then stage <= 0; counter <= 0; ready <= '0'; counter_vec <= X"00"; P <= X"00"; -- Otherwise if we're on a new clock cycle and the reset bit is not set, -- then start multiplying elsif rising_edge(clk) and reset = '0' then -- Output the product and reset if counter = 8 then ready <= '1'; counter <= 0; P <= output_final; output <= X"00"; else -- Stage 0: Shift B if stage = 0 then counter_vec <= std_logic_vector(to_unsigned(counter, 8)); stage <= 1; -- Stage 1: B(0) AND A elsif stage = 1 then if B_shift(0) = '1' then imm <= A; else imm <= X"00"; end if; stage <= 2; -- Stage 3: Add the partial product and end the cyle elsif stage = 2 then output <= output_final; --stage <= 3; ready <= '0'; counter <= counter + 1; stage <= 0; end if; end if; end if; end process; end architecture;
-- ********************************************************************/ -- Actel Corporation Proprietary and Confidential -- Copyright 2011 Actel Corporation. All rights reserved. -- -- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN -- ACCORDANCE WITH THE ACTEL LICENSE AGREEMENT AND MUST BE APPROVED -- IN ADVANCE IN WRITING. -- -- Description: AHBLSramIf -- Provides AHB interface to embedded Large SRAM. -- -- -- Revision Information: -- Date Description -- -- SVN Revision Information: -- SVN $Revision: 4805 $ -- SVN $Date: 2008-11-27 17:48:48 +0530 (Thu, 27 Nov 2008) $ -- -- Resolved SARs -- SAR Date Who Description -- -- Notes: -- -- ********************************************************************/ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; ENTITY AHBLSramIf IS GENERIC ( --////////////////////////////////////////////////////////////////////////////// -- Parameter Declarations --////////////////////////////////////////////////////////////////////////////// AHB_DWIDTH : integer := 32; AHB_AWIDTH : integer := 32; RESP_OKAY : std_logic_vector(1 DOWNTO 0) := "00"; RESP_ERROR : std_logic_vector(1 DOWNTO 0) := "01"; -- AHB HTRANS definition TRN_IDLE : std_logic_vector(1 DOWNTO 0) := "00"; TRN_BUSY : std_logic_vector(1 DOWNTO 0) := "01"; TRN_SEQ : std_logic_vector(1 DOWNTO 0) := "11"; TRN_NONSEQ : std_logic_vector(1 DOWNTO 0) := "10"); PORT ( --////////////////////////////////////////////////////////////////////////////// -- I/O Declarations --////////////////////////////////////////////////////////////////////////////// -- Inputs HCLK : IN std_logic; HRESETN : IN std_logic; HSEL : IN std_logic; HTRANS : IN std_logic_vector(1 DOWNTO 0); HBURST : IN std_logic_vector(2 DOWNTO 0); HWRITE : IN std_logic; HSIZE : IN std_logic_vector(2 DOWNTO 0); HADDR : IN std_logic_vector(19 DOWNTO 0); HWDATA : IN std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); HREADYIN : IN std_logic; sramahb_ack : IN std_logic; sramahb_rdata : IN std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); HRESP : OUT std_logic_vector(1 DOWNTO 0); -- Outputs HREADYOUT : OUT std_logic; HRDATA : OUT std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); ahbsram_req : OUT std_logic; ahbsram_write : OUT std_logic; ahbsram_wdata : OUT std_logic_vector(AHB_AWIDTH - 1 DOWNTO 0); ahbsram_size : OUT std_logic_vector(2 DOWNTO 0); ahbsram_addr : OUT std_logic_vector(19 DOWNTO 0); BUSY : IN std_logic); END ENTITY AHBLSramIf; ARCHITECTURE translated OF AHBLSramIf IS -- State Machine parameters CONSTANT IDLE : std_logic_vector(1 DOWNTO 0) := "00"; CONSTANT AHB_WR : std_logic_vector(1 DOWNTO 0) := "01"; CONSTANT AHB_RD : std_logic_vector(1 DOWNTO 0) := "10"; --////////////////////////////////////////////////////////////////////////////// -- Register Declarations --////////////////////////////////////////////////////////////////////////////// SIGNAL HTRANS_d : std_logic_vector(1 DOWNTO 0); SIGNAL HBURST_d : std_logic_vector(2 DOWNTO 0); SIGNAL HSIZE_d : std_logic_vector(2 DOWNTO 0); SIGNAL HADDR_d : std_logic_vector(19 DOWNTO 0); SIGNAL HWDATA_d : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); SIGNAL HWRITE_d : std_logic; SIGNAL HSEL_d : std_logic; SIGNAL HREADYIN_d : std_logic; SIGNAL ahbcurr_state : std_logic_vector(1 DOWNTO 0); SIGNAL ahbnext_state : std_logic_vector(1 DOWNTO 0); SIGNAL latchahbcmd : std_logic; SIGNAL ahbsram_req_int : std_logic; SIGNAL ahbsram_req_d1 : std_logic; SIGNAL HWDATA_cal : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); --////////////////////////////////////////////////////////////////////////////// -- Wire Declarations --////////////////////////////////////////////////////////////////////////////// SIGNAL validahbcmd : std_logic; -- Generation of signals required for SRAM SIGNAL temp_xhdl13 : std_logic; SIGNAL temp_xhdl14 : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); SIGNAL temp_xhdl15 : std_logic_vector(19 DOWNTO 0); SIGNAL temp_xhdl16 : std_logic_vector(2 DOWNTO 0); SIGNAL HREADYOUT_xhdl1 : std_logic; SIGNAL HRESP_xhdl2 : std_logic_vector(1 DOWNTO 0); SIGNAL HRDATA_xhdl3 : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); SIGNAL ahbsram_req_xhdl4 : std_logic; SIGNAL ahbsram_write_xhdl5 : std_logic; SIGNAL ahbsram_wdata_xhdl6 : std_logic_vector(AHB_AWIDTH - 1 DOWNTO 0); SIGNAL ahbsram_size_xhdl7 : std_logic_vector(2 DOWNTO 0); SIGNAL ahbsram_addr_xhdl8 : std_logic_vector(19 DOWNTO 0); FUNCTION to_stdlogic ( val : IN boolean) RETURN std_logic IS BEGIN IF (val) THEN RETURN('1'); ELSE RETURN('0'); END IF; END to_stdlogic; FUNCTION conv_std_logic ( val : IN boolean) RETURN std_logic IS BEGIN RETURN(to_stdlogic(val)); END conv_std_logic; BEGIN --////////////////////////////////////////////////////////////////////////////// -- Main body of code --////////////////////////////////////////////////////////////////////////////// HREADYOUT <= HREADYOUT_xhdl1; HRESP <= HRESP_xhdl2; HRDATA <= HRDATA_xhdl3; ahbsram_req <= ahbsram_req_xhdl4; ahbsram_write <= ahbsram_write_xhdl5; ahbsram_wdata <= ahbsram_wdata_xhdl6; ahbsram_size <= ahbsram_size_xhdl7; ahbsram_addr <= ahbsram_addr_xhdl8; -- Changes to be done when BUSY signal from RAM is used to block UII transactions indicating that SII needs to access the RAMs -- assign HREADYOUT = !ahbsram_req_int & !BUSY; -- Generation of valid AHB Command which triggers the AHB Slave State Machine validahbcmd <= (HREADYIN AND HSEL) AND CONV_STD_LOGIC(HTRANS = TRN_NONSEQ) ; -- Generation of HRESP HRESP_xhdl2 <= RESP_OKAY ; PROCESS (HWDATA) VARIABLE HWDATA_cal_xhdl9 : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); BEGIN HWDATA_cal_xhdl9 := HWDATA; HWDATA_cal <= HWDATA_cal_xhdl9; END PROCESS; -- Latch all the AHB signals PROCESS (HCLK, HRESETN) BEGIN IF (HRESETN = '0') THEN HADDR_d <= (OTHERS => '0'); HWDATA_d <= (OTHERS => '0'); HTRANS_d <= "00"; HSIZE_d <= "000"; HBURST_d <= "000"; HWRITE_d <= '0'; HSEL_d <= '0'; HREADYIN_d <= '0'; ELSIF (HCLK'EVENT AND HCLK = '1') THEN IF (latchahbcmd = '1') THEN HADDR_d <= HADDR; HTRANS_d <= HTRANS; HSIZE_d <= HSIZE; HBURST_d <= HBURST; HWRITE_d <= HWRITE; HWDATA_d <= HWDATA_cal; HSEL_d <= HSEL; HREADYIN_d <= HREADYIN; END IF; END IF; END PROCESS; -- Current State generation PROCESS (HCLK, HRESETN) BEGIN IF (HRESETN = '0') THEN ahbcurr_state <= IDLE; ELSIF (HCLK'EVENT AND HCLK = '1') THEN ahbcurr_state <= ahbnext_state; END IF; END PROCESS; -- Next State and output decoder logic PROCESS (HWRITE, sramahb_ack, validahbcmd, ahbnext_state, ahbcurr_state, latchahbcmd) VARIABLE latchahbcmd_xhdl10 : std_logic; VARIABLE ahbsram_req_int_xhdl11 : std_logic; VARIABLE ahbnext_state_xhdl12 : std_logic_vector(1 DOWNTO 0); BEGIN latchahbcmd_xhdl10 := '0'; ahbsram_req_int_xhdl11 := '0'; ahbnext_state_xhdl12 := ahbcurr_state; CASE ahbcurr_state IS WHEN IDLE => IF (validahbcmd = '1') THEN latchahbcmd_xhdl10 := '1'; IF (HWRITE = '1') THEN ahbnext_state_xhdl12 := AHB_WR; ELSE ahbnext_state_xhdl12 := AHB_RD; END IF; END IF; WHEN AHB_WR => latchahbcmd_xhdl10 := '0'; ahbsram_req_int_xhdl11 := '1'; IF (sramahb_ack = '1') THEN ahbnext_state_xhdl12 := IDLE; END IF; WHEN AHB_RD => latchahbcmd_xhdl10 := '0'; ahbsram_req_int_xhdl11 := '1'; IF (sramahb_ack = '1') THEN ahbnext_state_xhdl12 := IDLE; END IF; WHEN OTHERS => ahbnext_state_xhdl12 := IDLE; END CASE; -- case (ahbcurr_state) latchahbcmd <= latchahbcmd_xhdl10; ahbsram_req_int <= ahbsram_req_int_xhdl11; ahbnext_state <= ahbnext_state_xhdl12; END PROCESS; --Generation of HREADYOUT HREADYOUT_xhdl1 <= NOT ahbsram_req_int ; temp_xhdl13 <= HWRITE_d WHEN (ahbsram_req_xhdl4 AND NOT sramahb_ack) = '1' ELSE '0'; ahbsram_write_xhdl5 <= temp_xhdl13 ; temp_xhdl14 <= HWDATA; ahbsram_wdata_xhdl6 <= temp_xhdl14 ; temp_xhdl15 <= HADDR_d WHEN (ahbsram_req_int AND NOT sramahb_ack) = '1' ELSE HADDR_d; ahbsram_addr_xhdl8 <= temp_xhdl15 ; temp_xhdl16 <= HSIZE_d WHEN (ahbsram_req_int AND NOT sramahb_ack) = '1' ELSE HSIZE; ahbsram_size_xhdl7 <= temp_xhdl16 ; PROCESS (HCLK, HRESETN) BEGIN IF (HRESETN = '0') THEN ahbsram_req_d1 <= '0'; ELSIF (HCLK'EVENT AND HCLK = '1') THEN ahbsram_req_d1 <= ahbsram_req_int; END IF; END PROCESS; -- Generate the request to the SRAM contol logic when there is AHB read or write request ahbsram_req_xhdl4 <= (ahbsram_req_int AND NOT ahbsram_req_d1) AND CONV_STD_LOGIC(HBURST_d = "000") ; -- HRDATA generation PROCESS (sramahb_rdata, HREADYIN, HREADYOUT_xhdl1 ) VARIABLE HRDATA_xhdl3_xhdl17 : std_logic_vector(AHB_DWIDTH - 1 DOWNTO 0); BEGIN IF ((HREADYOUT_xhdl1 AND HREADYIN) = '1') THEN HRDATA_xhdl3_xhdl17 := sramahb_rdata; ELSE HRDATA_xhdl3_xhdl17 := sramahb_rdata; END IF; HRDATA_xhdl3 <= HRDATA_xhdl3_xhdl17; END PROCESS; END ARCHITECTURE translated;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PC is port(clk:in std_logic; JMP:in std_logic_vector(3 downto 0); load:in std_logic; PC:out std_logic_vector(3 downto 0) ); end PC; architecture bhv of PC is signal q1:std_logic_vector(3 downto 0); begin process(clk,q1,load) begin if(clk'event and clk='1') then if load='1' then q1<=JMP; else q1<=q1+1; end if; end if; PC<=q1; end process; end bhv;
-- generated by newgenasym Tue May 16 21:02:41 2006 library ieee; use ieee.std_logic_1164.all; use work.all; entity adp3338 is port ( GND: INOUT STD_LOGIC; \in\: INOUT STD_LOGIC; \out\: INOUT STD_LOGIC; OUT_T: INOUT STD_LOGIC); end adp3338;
<reponame>Jak94/InterpolationFilters -- Copyright 2017 <NAME>. -- Copyright and related rights are licensed under the Solderpad Hardware -- License, Version 0.51 (the “License”); you may not use this file except in -- compliance with the License. You may obtain a copy of the License at -- http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law -- or agreed to in writing, software, hardware and materials distributed under -- this License is distributed on an “AS IS” BASIS, WITHOUT WARRANTIES OR -- CONDITIONS OF ANY KIND, either express or implied. See the License for the -- specific language governing permissions and limitations under the License. ---------------------------------------------------------------------------------- -- Author: -- -- -- Create Date(mm/aaaa): 09/2017 -- Module Name: data_sink.vhd -- Project: None -- Description: Sample the output on rising clock edge if VOUT is '1', then print the results on a results_sim.txt -- Dependencies: None -- Revision: -- 1.0 created ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_textio.all; use IEEE.numeric_std.all; library std; use std.textio.all; entity data_sink is port ( CLK : in std_logic; RST_n : in std_logic; VOUT : in std_logic; DOUT : in std_logic_vector(16 downto 0)); end data_sink; architecture beh of data_sink is begin -- beh process (CLK, RST_n) file res_fp : text open WRITE_MODE is "./samples_TB_ProcessingElement/results_sim.txt"; variable line_out : line; begin -- process if RST_n = '0' then -- asynchronous reset (active low) null; elsif CLK'event and CLK = '1' then -- rising clock edge if (VOUT = '1') then write(line_out, to_integer(unsigned(DOUT))); writeline(res_fp, line_out); end if; end if; end process; end beh;
<reponame>kardandon/SIMPLE-VGA-DRIVER-TO-DISPLAY-256-DIFFERENT-COLORS<gh_stars>0 -- Synthesizable Simple VGA Driver to Display All Possible Colors -- EE240 class Bogazici University -- Implemented on Xilinx Spartan VI FPGA chip LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_signed.all ; USE ieee.std_logic_arith.all ; USE ieee.std_logic_unsigned.all; entity ee240_vgadriver is port ( nreset: in std_logic; board_clk: in std_logic; vsync: out std_logic; hsync: out std_logic; red: out std_logic_vector(2 downto 0); green: out std_logic_vector(2 downto 0); blue: out std_logic_vector(1 downto 0)); end; architecture arch_vga_driver of ee240_vgadriver is component VH_signal is Port ( Reset : in STD_LOGIC; Clock : in STD_LOGIC; V_sync : out STD_LOGIC; H_sync : out STD_LOGIC ); end component; component divider is Port ( clk_in : in STD_LOGIC; Reset : in STD_LOGIC; clk_out: out STD_LOGIC ); end component; component color_gen is port ( reset: in std_logic; clk: in std_logic; red: out std_logic_vector(2 downto 0); green: out std_logic_vector(2 downto 0); blue: out std_logic_vector(1 downto 0)); end component; signal CLK25 : STD_LOGIC; begin divider0: divider port map(clk => board_clk, Reset => nreset, clk_out => CLK25); color_gen0: color_gen port map(reset => nreset, clk => CLK25, red => red, green => green, blue => blue); VH_signal0: VH_signal port map(Reset => nreset, Clock => CLK25, V_sync => vsync, H_sync => hsync); end arch_vga_driver;
<filename>examples/example1/autogen/example1.vhd ----------------------------------------------------------- --------- AUTOGENERATED FILE, DO NOT EDIT ----------------- ----------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.desilog.all; entity MySyncAdder is port( addClk_clk, addClk_reset_n: in std_ulogic; isAdd: in std_ulogic; -- WIRE useOldZ_asX: in std_ulogic; -- WIRE useOldZ_asY: in std_ulogic; -- WIRE xx: in u8; -- Latch yy: in u8; -- Latch zz: out u8 -- reg ); end entity; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.desilog.all; entity MySyncLOP is port( lopClk_clk, lopClk_reset_n: in std_ulogic; oper: in u4; -- reg rx: in u8; -- reg ry: in u8; -- reg xorMaskIdx: in unsigned(2 downto 0); -- reg result: out u8; -- reg lastAND: out u8 -- Latch ); end entity; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.desilog.all; entity Example1 is port( clk_clk, clk_reset_n: in std_ulogic; in_ry: in u8; -- WIRE outa: out u8; -- WIRE outb: out u8 -- WIRE ); end entity; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.desilog.all; --#------- MySyncAdder ------------------------------------ architecture rtl of MySyncAdder is ----- internal regs/wires/etc -------- signal dg_c_zz: u8; signal dg_o_zz: u8; begin MyMainProcess: process (all) variable srcX: u8; variable srcY: u8; begin dg_c_zz <= dg_o_zz; -- reg preload srcX := X"00"; -- local-var zero-init srcY := X"00"; -- local-var zero-init if (useOldZ_asX = '1') then srcX := dg_o_zz; else srcX := yy; end if; if (useOldZ_asY = '1') then srcY := dg_o_zz; else srcY := xx; end if; if (isAdd = '1') then dg_c_zz <= (srcX + srcY); else dg_c_zz <= (srcX - srcY); end if; end process; ----[ sync clock pump for addClk ]------ process begin wait until rising_edge(addClk_clk); dg_o_zz <= dg_c_zz; end process; ------[ output registers/wires/latches ] -------------- zz <= dg_o_zz; end; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.desilog.all; --#------- MySyncLOP ------------------------------------ architecture rtl of MySyncLOP is signal ClockCounter: u32; -- reg ----- internal regs/wires/etc -------- signal dg_c_oper: u4; signal dg_c_rx: u8; signal dg_c_ry: u8; signal dg_c_xorMaskIdx: unsigned(2 downto 0); signal dg_c_result: u8; signal dg_o_result: u8; signal dg_l_lastAND: u8; signal dg_c_ClockCounter: u32; begin calcResult: process (all) variable xorRemap: unsigned(2 downto 0); variable xorMask: u8; variable tmpRes: u8; begin dg_c_result <= dg_o_result; -- reg preload dg_l_lastAND <= dg_l_lastAND; -- latch preload dg_c_ClockCounter <= ClockCounter; -- reg preload xorRemap := "000"; -- local-var zero-init xorMask := X"00"; -- local-var zero-init tmpRes := X"00"; -- local-var zero-init case xorMaskIdx is when "000" => xorRemap := "011"; when "001" => xorRemap := "010"; when "010" => xorRemap := "001"; when "011" => xorRemap := "000"; when "100" => xorRemap := "010"; when others => xorRemap := "001"; end case; case xorRemap is when "000" => xorMask := X"FF"; when "001" => xorMask := X"11"; when "010" | "100" | "111" => xorMask := X"33"; when others => xorMask := X"00"; end case; case oper is when X"0" => tmpRes := (rx and ry); dg_l_lastAND <= tmpRes; when X"1" => tmpRes := (rx or ry); when X"2" => tmpRes := (rx xor ry); when X"3" => tmpRes := (not (rx or ry)); when others => null; end case; dg_c_result <= (tmpRes xor xorMask); dg_c_ClockCounter <= ClockCounter + X"00000001"; end process; ----[ sync clock pump for lopClk ]------ process begin wait until rising_edge(lopClk_clk); dg_o_result <= dg_c_result; ClockCounter <= dg_c_ClockCounter; if lopClk_reset_n = '0' then dg_o_result <= X"99"; ClockCounter <= X"00000100"; end if; end process; ------[ output registers/wires/latches ] -------------- result <= dg_o_result; lastAND <= dg_l_lastAND; end; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.desilog.all; --#------- Example1 ------------------------------------ architecture rtl of Example1 is type MyFSM is ( MyFSM_init, MyFSM_adding, MyFSM_add_oldx, MyFSM_add_oldy, MyFSM_lopping); signal fsm: MyFSM; -- reg signal count: u8; -- reg ----- internal regs/wires/etc -------- signal dg_w_outa: u8; signal dg_w_outb: u8; signal dg_c_fsm: MyFSM; signal dg_c_count: u8; ----- unit signals ------------- signal adder_isAdd : std_ulogic; signal adder_useOldZ_asX : std_ulogic; signal adder_useOldZ_asY : std_ulogic; signal adder_xx : u8; signal adder_yy : u8; signal adder_zz : u8; signal lop_oper : u4; signal dg_c_lop_oper : u4; signal lop_rx : u8; signal dg_c_lop_rx : u8; signal lop_ry : u8; signal dg_c_lop_ry : u8; signal lop_xorMaskIdx : unsigned(2 downto 0); signal dg_c_lop_xorMaskIdx : unsigned(2 downto 0); signal lop_result : u8; signal lop_lastAND : u8; signal lop_lopClk_clk, lop_lopClk_reset_n : std_ulogic; function ChooseLOPOperation (counter : u8) return u4 is variable result: u4; begin result := X"0"; -- local-var zero-init case counter(1 downto 0) is when "00" => result := X"1"; when "01" => result := X"2"; when "10" => result := X"3"; when others => result := X"0"; end case; return result; end; begin dg_comb_proc1: process (all) begin dg_w_outa <= X"00"; -- wire pre-zero-init dg_w_outb <= X"00"; -- wire pre-zero-init dg_w_outa <= adder_zz; dg_w_outb <= (lop_result + lop_lastAND); end process; main: process (all) variable resetCount: std_ulogic; begin dg_c_fsm <= fsm; -- reg preload dg_c_count <= count; -- reg preload adder_isAdd <= '0'; -- wire pre-zero-init adder_useOldZ_asX <= '0'; -- wire pre-zero-init adder_useOldZ_asY <= '0'; -- wire pre-zero-init adder_xx <= adder_xx; -- latch preload adder_yy <= adder_yy; -- latch preload dg_c_lop_oper <= lop_oper; -- reg preload dg_c_lop_rx <= lop_rx; -- reg preload dg_c_lop_xorMaskIdx <= lop_xorMaskIdx; -- reg preload resetCount := '0'; -- local-var zero-init case fsm is when MyFSM_init => dg_c_fsm <= MyFSM_adding; resetCount := '1'; adder_yy <= in_ry; when MyFSM_adding => adder_isAdd <= '1'; adder_xx <= count; if (dg_boolToBit(count = X"05") = '1') then dg_c_fsm <= MyFSM_add_oldx; resetCount := '1'; end if; when MyFSM_add_oldx => adder_useOldZ_asX <= '1'; if (dg_boolToBit(count = X"03") = '1') then dg_c_fsm <= MyFSM_add_oldy; resetCount := '1'; end if; when MyFSM_add_oldy => adder_useOldZ_asY <= '1'; adder_xx <= count; dg_c_fsm <= MyFSM_lopping; resetCount := '1'; when MyFSM_lopping => dg_c_lop_oper <= ChooseLOPOperation(count); dg_c_lop_rx <= lop_rx + X"01"; dg_c_lop_xorMaskIdx <= lop_xorMaskIdx - "001"; when others => null; end case; if ((not clk_reset_n) = '1') then dg_c_lop_oper <= X"2"; end if; if (resetCount = '1') then dg_c_count <= X"00"; else dg_c_count <= (count + 1); end if; end process; -------[ sub-units ]----------- adder : entity work.MySyncAdder port map( addClk_clk => clk_clk, addClk_reset_n => clk_reset_n, isAdd => adder_isAdd, useOldZ_asX => adder_useOldZ_asX, useOldZ_asY => adder_useOldZ_asY, xx => adder_xx, yy => adder_yy, zz => adder_zz ); lop : entity work.MySyncLOP port map( lopClk_clk => lop_lopClk_clk, lopClk_reset_n => lop_lopClk_reset_n, oper => lop_oper, rx => lop_rx, ry => lop_ry, xorMaskIdx => lop_xorMaskIdx, result => lop_result, lastAND => lop_lastAND ); -------[ links ]---------- lop_lopClk_clk <= clk_clk; lop_lopClk_reset_n <= clk_reset_n; ----[ sync clock pump for clk ]------ process begin wait until rising_edge(clk_clk); fsm <= dg_c_fsm; count <= dg_c_count; lop_oper <= dg_c_lop_oper; lop_rx <= dg_c_lop_rx; lop_ry <= dg_c_lop_ry; lop_xorMaskIdx <= dg_c_lop_xorMaskIdx; if clk_reset_n = '0' then fsm <= MyFSM_init; end if; end process; ------[ output registers/wires/latches ] -------------- outa <= dg_w_outa; outb <= dg_w_outb; end;
<gh_stars>0 -- lcdctrl.vhd : High-level LCD controller with BUSY -- Copyright (C) 2011/2012 Brno University of Technology, -- Faculty of Information Technology -- Author(s): <NAME> <xvasic11 AT stud.fit.vutbr.cz> -- -- LICENSE TERMS -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in -- the documentation and/or other materials provided with the -- distribution. -- 3. All advertising materials mentioning features or use of this software -- or firmware must display the following acknowledgement: -- -- This product includes software developed by the University of -- Technology, Faculty of Information Technology, Brno and its -- contributors. -- -- 4. Neither the name of the Company nor the names of its contributors -- may be used to endorse or promote products derived from this -- software without specific prior written permission. -- -- This software or firmware is provided ``as is'', and any express or implied -- warranties, including, but not limited to, the implied warranties of -- merchantability and fitness for a particular purpose are disclaimed. -- In no event shall the company or contributors be liable for any -- direct, indirect, incidental, special, exemplary, or consequential -- damages (including, but not limited to, procurement of substitute -- goods or services; loss of use, data, or profits; or business -- interruption) however caused and on any theory of liability, whether -- in contract, strict liability, or tort (including negligence or -- otherwise) arising in any way out of the use of this software, even -- if advised of the possibility of such damage. -- -- $Id$ -- -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity lcd_controller is generic ( CMDLEN : integer := 10*10000; -- doba trvani prikazu (1 ms @ 20MHz ~ 10000) LCD2x16 : boolean := False -- radic pro 2x16 nebo 1x16 LCD displej ); port ( RST : in std_logic; CLK : in std_logic; -- interni rozhrani DATA_IN : in std_logic_vector (7 downto 0); WRITE_EN : in std_logic; BUSY : out std_logic; --- rozhrani LCD displeje DISPLAY_RS : out std_logic; DISPLAY_DATA : inout std_logic_vector(7 downto 0); DISPLAY_RW : out std_logic; DISPLAY_EN : out std_logic := '1' ); end lcd_controller; architecture behavioral of lcd_controller is type FSMState is (init, init0, init1, init2, init3, idle, w0, w1, w2, w3, wa0, wa1, wa2, wa3); function lcdaddrbits(islcd2x16: boolean) return integer is begin -- pragma translate off -- pro simulaci vzdy 1 radkovy displej return 4; -- pragma translate on if (islcd2x16) then return 5; end if; return 4; end function; function lcdhas2rows(islcd2x16: boolean) return boolean is begin -- pragma translate off -- pro simulaci vzdy 1 radkovy displej return false; -- pragma translate on return (islcd2x16); end function; signal pstate : FSMState := init; -- FSM present state signal nstate : FSMState; -- FSM next state signal addr_reg : std_logic_vector(lcdaddrbits(LCD2x16)-1 downto 0) := (others => '0'); signal addr_inc : std_logic; signal data_reg : std_logic_vector(7 downto 0); signal init_data : std_logic_vector(8 downto 0); signal datareg_en : std_logic; signal data_sel : std_logic_vector(1 downto 0); signal t_cnt : integer range 0 to CMDLEN-1 := 0; signal t_lst : std_logic := '0'; signal t_en : std_logic; constant INIT_ITEMS : integer := 5 + 8*2; signal init_cnt : integer range 0 to INIT_ITEMS-1; signal init_inc : std_logic; signal init_lst : std_logic; signal addr_data : std_logic_vector(7 downto 0); begin DISPLAY_RS <= '1' when (data_sel="11") else -- write char init_data(8); init_data <= "000111000" when (init_cnt=0) else -- Set Function "000000001" when (init_cnt=1) else -- Clear Display "000000010" when (init_cnt=2) else -- Cursor Home "000001100" when (init_cnt=3) else -- Display on/off Control "000000110" when (init_cnt=4) else -- Entry Mode Set "001010000" when (init_cnt=5) else -- Own character #0x02, #0x0A "100000001" when (init_cnt=6) else "001010001" when (init_cnt=7) else "100000001" when (init_cnt=8) else "001010010" when (init_cnt=9) else "100000001" when (init_cnt=10) else "001010011" when (init_cnt=11) else "100000101" when (init_cnt=12) else "001010100" when (init_cnt=13) else "100001001" when (init_cnt=14) else "001010101" when (init_cnt=15) else "100011111" when (init_cnt=16) else "001010110" when (init_cnt=17) else "100001000" when (init_cnt=18) else "001010111" when (init_cnt=19) else "100000100" when (init_cnt=20) else "000000000"; DISPLAY_DATA <= init_data(7 downto 0) when (data_sel="01") else addr_data when (data_sel="10") else -- set addr data_reg when (data_sel="11") else -- write char (others => 'Z'); addr_data <= "1" & addr_reg(4) & "00" & addr_reg(3 downto 0) when lcdhas2rows(LCD2x16) else -- LCD 2x16 (FITkit 2.x) "1" & addr_reg(3) & "000" & addr_reg(2 downto 0); -- LCD 1x16 (FITkit 1.x) process (RST, CLK) begin if (RST = '1') then t_cnt <= 0; elsif (CLK'event) and (CLK = '1') then if (t_en = '1') then t_lst <= '0'; if (t_cnt /= (CMDLEN-1)) then t_cnt <= t_cnt + 1; else t_cnt <= 0; t_lst <= '1'; end if; end if; end if; end process; -- data register process(CLK, RST) begin if (RST = '1') then data_reg <= (others => '0'); addr_reg <= (others => '0'); init_cnt <= 0; elsif (CLK='1') and (CLK'event) then if (WRITE_EN='1') and (datareg_en='1') then data_reg <= DATA_IN; end if; if (addr_inc = '1') then addr_reg <= addr_reg + 1; end if; if (init_inc = '1') then init_cnt <= init_cnt + 1; end if; end if; end process; init_lst <= '1' when init_cnt = (INIT_ITEMS - 1) else '0'; -- FSM present state process(CLK, RST) begin if (RST = '1') then pstate <= init; elsif (CLK='1') and (CLK'event) then pstate <= nstate; end if; end process; -- FSM next state logic, output logic process(pstate, WRITE_EN, t_lst, init_lst) begin nstate <= init; DISPLAY_RW <= '0'; DISPLAY_EN <= '0'; data_sel <= "00"; datareg_en <= '0'; BUSY <= '1'; t_en <= '1'; addr_inc <= '0'; init_inc <= '0'; case pstate is when init => nstate <= init0; -- Display Init when init0 => nstate <= init0; if (t_lst = '1') then nstate <= init1; end if; data_sel <= "01"; when init1 => nstate <= init1; if (t_lst = '1') then nstate <= init2; end if; data_sel <= "01"; DISPLAY_EN <= '1'; when init2 => nstate <= init2; if (t_lst = '1') then nstate <= init3; end if; data_sel <= "01"; when init3 => nstate <= init3; if (t_lst = '1') then init_inc <= '1'; if (init_lst = '1') then nstate <= idle; else nstate <= init0; end if; end if; data_sel <= "01"; -- Idle when idle => BUSY <= '0'; t_en <= '0'; datareg_en <= '1'; nstate <= idle; if (WRITE_EN = '1') then nstate <= wa0; end if; -- Write address when wa0 => nstate <= wa0; if (t_lst = '1') then nstate <= wa1; end if; data_sel <= "10"; when wa1 => nstate <= wa1; if (t_lst = '1') then nstate <= wa2; end if; data_sel <= "10"; DISPLAY_EN <= '1'; when wa2 => nstate <= wa2; if (t_lst = '1') then nstate <= wa3; end if; data_sel <= "10"; when wa3 => nstate <= wa3; if (t_lst = '1') then nstate <= w0; addr_inc <= '1'; end if; data_sel <= "10"; -- Write character when w0 => nstate <= w0; if (t_lst = '1') then nstate <= w1; end if; data_sel <= "11"; when w1 => nstate <= w1; if (t_lst = '1') then nstate <= w2; end if; data_sel <= "11"; DISPLAY_EN <= '1'; when w2 => nstate <= w2; if (t_lst = '1') then nstate <= w3; end if; data_sel <= "11"; when w3 => nstate <= w3; if (t_lst = '1') then nstate <= idle; end if; data_sel <= "11"; end case; end process; end behavioral;
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018 -- Date : Tue Jan 29 20:27:27 2019 -- Host : ManoharVohra-PC running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top custom_backward_backward_lite_0_0 -prefix -- custom_backward_backward_lite_0_0_ custom_backward_backward_lite_0_0_stub.vhdl -- Design : custom_backward_backward_lite_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity custom_backward_backward_lite_0_0 is Port ( s_axi_AXILiteS_AWADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_AXILiteS_AWVALID : in STD_LOGIC; s_axi_AXILiteS_AWREADY : out STD_LOGIC; s_axi_AXILiteS_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_AXILiteS_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_AXILiteS_WVALID : in STD_LOGIC; s_axi_AXILiteS_WREADY : out STD_LOGIC; s_axi_AXILiteS_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_AXILiteS_BVALID : out STD_LOGIC; s_axi_AXILiteS_BREADY : in STD_LOGIC; s_axi_AXILiteS_ARADDR : in STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_AXILiteS_ARVALID : in STD_LOGIC; s_axi_AXILiteS_ARREADY : out STD_LOGIC; s_axi_AXILiteS_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_AXILiteS_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_AXILiteS_RVALID : out STD_LOGIC; s_axi_AXILiteS_RREADY : in STD_LOGIC; ap_clk : in STD_LOGIC; ap_rst_n : in STD_LOGIC; in_stream_TVALID : in STD_LOGIC; in_stream_TREADY : out STD_LOGIC; in_stream_TDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); in_stream_TLAST : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end custom_backward_backward_lite_0_0; architecture stub of custom_backward_backward_lite_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "s_axi_AXILiteS_AWADDR[5:0],s_axi_AXILiteS_AWVALID,s_axi_AXILiteS_AWREADY,s_axi_AXILiteS_WDATA[31:0],s_axi_AXILiteS_WSTRB[3:0],s_axi_AXILiteS_WVALID,s_axi_AXILiteS_WREADY,s_axi_AXILiteS_BRESP[1:0],s_axi_AXILiteS_BVALID,s_axi_AXILiteS_BREADY,s_axi_AXILiteS_ARADDR[5:0],s_axi_AXILiteS_ARVALID,s_axi_AXILiteS_ARREADY,s_axi_AXILiteS_RDATA[31:0],s_axi_AXILiteS_RRESP[1:0],s_axi_AXILiteS_RVALID,s_axi_AXILiteS_RREADY,ap_clk,ap_rst_n,in_stream_TVALID,in_stream_TREADY,in_stream_TDATA[31:0],in_stream_TLAST[0:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "backward_lite,Vivado 2018.2"; begin end;
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CONTROLUNIT IS PORT ( INSTRUCTION:IN STD_LOGIC_VECTOR(6 DOWNTO 0); ALU_SELECTORS:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); TWO_FETCHES,OP_GROUP:OUT STD_LOGIC_VECTOR(1 DOWNTO 0); BRANCH,MR,MW,P_IN,P_OUT,SP_INC,SP_DEC,WB1,WB2,CALL,RET, ALU_ENABLE,RTI,NO_OPERANDS,IGNORE_RSRC2:OUT STD_LOGIC; BUFFERWRITEENABLE : IN STD_LOGIC ; TWO_FETCHES_FROM_FETCHING : IN STD_LOGIC; WRONGDECISION:IN STD_LOGIC) ; END CONTROLUNIT ; ARCHITECTURE BEHAVIORAL OF CONTROLUNIT IS BEGIN PROCESS(INSTRUCTION,BUFFERWRITEENABLE,TWO_FETCHES_FROM_FETCHING,WRONGDECISION) BEGIN IF BUFFERWRITEENABLE='1' OR TWO_FETCHES_FROM_FETCHING = '1' OR WRONGDECISION = '1' THEN BRANCH <='0'; MR <='0'; MW <='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="00"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='1'; IGNORE_RSRC2 <='1'; ELSE CASE (INSTRUCTION) IS WHEN "0000000" => --NOP BRANCH <='0'; MR <='0'; MW <='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="00"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='1'; IGNORE_RSRC2 <='1'; WHEN "0000001" => --NOT BRANCH<='0'; ALU_SELECTORS<="0000"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="00"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "0000010" => --INC BRANCH<='0'; ALU_SELECTORS<="0001"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="00"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "0000011" => --DEC BRANCH<='0'; ALU_SELECTORS<="0010"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="00"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "0000100" => --OUT BRANCH<='0'; MR<='0'; MW<='1'; P_IN<='0'; P_OUT<='1'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="00"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "0000101" => --IN BRANCH<='0'; MR<='1'; MW<='0'; P_IN<='1'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="00"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "0100000" => --JZ BRANCH<='1'; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="01"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "0100001" => --JMP BRANCH<='0'; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="01"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "0100010" => --CALL BRANCH<='0'; MR<='0'; MW<='1'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='1'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="01"; CALL<='1'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "0100111" => --RET BRANCH<='0'; MR<='1'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='1'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="01"; CALL<='0'; RET<='1'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='1'; IGNORE_RSRC2 <='1'; WHEN "0100101" => --RTI BRANCH<='0'; MR<='1'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='1'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="01"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='1'; NO_OPERANDS <='1'; IGNORE_RSRC2 <='1'; WHEN "1000000" => --SWAP BRANCH<='0'; ALU_SELECTORS<="0011"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='1'; OP_GROUP<="10"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='0'; WHEN "1000001" => --ADD BRANCH<='0'; ALU_SELECTORS<="0100"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="10"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='0'; WHEN "1000010" => --OR BRANCH<='0'; ALU_SELECTORS<="1000"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="10"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='0'; WHEN "1000011" => --SUB BRANCH<='0'; ALU_SELECTORS<="0110"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="10"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='0'; WHEN "1000100" => --AND BRANCH<='0'; ALU_SELECTORS<="0111"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="10"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='0'; WHEN "1001101" => --IADD BRANCH<='0'; ALU_SELECTORS<="0101"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="01"; WB1<='1'; WB2<='0'; OP_GROUP<="10"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "1001110" => --SHL BRANCH<='0'; ALU_SELECTORS<="1001"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="01"; WB1<='0'; WB2<='1'; OP_GROUP<="10"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "1001111" => --SHR BRANCH<='0'; ALU_SELECTORS<="1011"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="01"; WB1<='0'; WB2<='1'; OP_GROUP<="10"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "1100000" => --PUSH BRANCH<='0'; MR<='0'; MW<='1'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='1'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="11"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "1100001" => --POP BRANCH<='0'; MR<='1'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='1'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='1'; WB2<='0'; OP_GROUP<="11"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "1101010" => --LDM BRANCH<='0'; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="01"; WB1<='1'; WB2<='0'; OP_GROUP<="11"; CALL<='0'; RET<='0'; ALU_ENABLE<='1'; ALU_SELECTORS<="1010"; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "1110101" => --LDD BRANCH<='0'; MR<='1'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="10"; WB1<='1'; WB2<='0'; OP_GROUP<="11"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN "1110110" => --STD BRANCH<='0'; MR<='0'; MW<='1'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="10"; WB1<='0'; WB2<='0'; OP_GROUP<="11"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='1'; WHEN OTHERS => BRANCH<='0'; ALU_SELECTORS<="0000"; MR<='0'; MW<='0'; P_IN<='0'; P_OUT<='0'; SP_INC<='0'; SP_DEC<='0'; TWO_FETCHES<="00"; WB1<='0'; WB2<='0'; OP_GROUP<="00"; CALL<='0'; RET<='0'; ALU_ENABLE<='0'; RTI<='0'; NO_OPERANDS <='0'; IGNORE_RSRC2 <='0'; END CASE; END IF ; END PROCESS ; END BEHAVIORAL;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.conv_integer; use ieee.std_logic_arith.conv_std_logic_vector; use ieee.std_logic_unsigned.all; -- -- MOTO NES FPGA On DE0-CV Environment Virtual Cuicuit Board -- All of the components are assembled and instanciated on this board. -- entity level_shift_test01 is port ( pi_base_clk : in std_logic; pi_sw : in std_logic_vector(9 downto 0); pi_btn_n : in std_logic_vector(3 downto 0); po_led_r : out std_logic_vector(9 downto 0); po_led_g : out std_logic_vector(7 downto 0); pio_gpio0 : inout std_logic_vector(7 downto 0); pio_gpio1 : inout std_logic_vector(7 downto 0) ); end level_shift_test01; architecture rtl of level_shift_test01 is --slow down button update timing. constant FREQ_DEVIDE : integer := 1000000; signal reg_cnt_devider : integer range 0 to FREQ_DEVIDE; signal reg_8bit_cnt : std_logic_vector(7 downto 0); signal wr_rst_n : std_logic; signal wr_direction : std_logic; signal wr_dvd : std_logic; begin wr_rst_n <= pi_btn_n(0); wr_direction <= pi_sw(9); wr_dvd <= pi_sw(8); gpio_p : process (wr_rst_n, pi_base_clk) begin if (wr_rst_n = '0') then pio_gpio0 <= (others => 'Z'); pio_gpio1 <= (others => 'Z'); po_led_r <= (others => '0'); po_led_g <= (others => '0'); elsif (rising_edge(pi_base_clk)) then if (wr_direction = '0') then --case off = cp gpio 1 to 0 pio_gpio0 <= (others => 'Z'); pio_gpio1 <= pi_sw(7 downto 0); po_led_r <= pi_sw; po_led_g <= pio_gpio0; else --on = cp gpio 0 to 1 pio_gpio0 <= reg_8bit_cnt; pio_gpio1 <= (others => 'Z'); po_led_r(7 downto 0) <= pio_gpio1; po_led_r(9 downto 8) <= pi_sw(9 downto 8); po_led_g <= reg_8bit_cnt; end if; end if; end process; --key3 button proc. key3_cnt_p : process (wr_rst_n, pi_base_clk) begin if (wr_rst_n = '0') then reg_8bit_cnt <= (others => '0'); elsif (rising_edge(pi_base_clk)) then if (wr_dvd = '1') then --slow down count up if (pi_btn_n(3) = '0' and reg_cnt_devider = 0) then reg_8bit_cnt <= reg_8bit_cnt + 1; end if; else --clock speed count up. if (pi_btn_n(3) = '0') then reg_8bit_cnt <= reg_8bit_cnt + 1; end if; end if; end if; end process; -- cnt_devide_p : process (wr_rst_n, pi_base_clk) begin if (wr_rst_n = '0') then reg_cnt_devider <= 0; elsif (rising_edge(pi_base_clk)) then reg_cnt_devider <= reg_cnt_devider + 1; end if; end process; end rtl;
-- Code your testbench here library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.env.finish; entity testbench is end entity testbench; architecture tb of testbench is component ALU is generic (N: natural :=32); port ( CLK : in std_logic; A : in std_logic_vector (N-1 downto 0); B : in std_logic_vector (N-1 downto 0); Funct_3 : in std_logic_vector (2 downto 0); Funct_7 : in std_logic_vector (6 downto 0); Result : out std_logic_vector (N-1 downto 0); Zero : out std_logic; Sign : out std_logic; Overflow : out std_logic ); end component ALU; signal clk,z,s,o : std_logic := '0'; signal a,b,r : std_logic_vector (3 downto 0) := "0000"; signal f3 : std_logic_vector (2 downto 0) := "000"; signal f7 : std_logic_vector (6 downto 0) := "0000000"; signal One : std_logic_vector (3 downto 0) := "0001"; constant CLK_PERIOD : time := 20 ns; constant N_nat : natural := 4; signal done : boolean := false; begin b <= "0001"; gen_clk: process(clk) is begin if not done then clk <= not clk after CLK_PERIOD/2; else clk <= '0'; end if; end process gen_clk; A_stimulus : process is begin if not done then wait for 2*CLK_PERIOD; a <= std_logic_vector(unsigned(a) + unsigned(One)); end if; end process A_stimulus; F7_F3_stimulus : process is begin if not done then wait for 33*CLK_PERIOD; f7 <= "0100000"; end if; wait for 10*CLK_PERIOD; done <= true; end process F7_F3_stimulus; uut_ALU : ALU generic map(N => 4) port map (clk,a,b,f3,f7,r,z,s,o); finish_process : process (clk) is begin if done then finish; end if; end process finish_process; end tb;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2019.1" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block <KEY> `protect key_keyowner = "Synopsys", key_keyname = "<KEY>", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> <KEY> `protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2019_02", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block <KEY> `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect key_keyowner = "Real Intent", key_keyname = "RI-RSA-KEY-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block <KEY> `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 432800) `protect data_block <KEY> Up0V3/b/nQasnzRyZV+SQ1fwnpZx2tBBr3F8KS3U8nDJO3VyxudYWuCjT+sJzUA50Z06DhDx14F/ vxHvFTnWlxpQC2idCFAS6tTzszM18cJZGuEsspXGOjZMjIudg6bbB4c7S9aocRFE7dhf1r6bH5De ihj3XmJNudzMZuCSzattRaXFmOXX3r/25s4LfU6T3ZdyatnoLL5UiwpLbBz76b0Am4WjVzmoiVei /SYGOqXKq/2Pk3pffLgc1Z8/jK5JJBgWkNDITGHB3ao83xqNx/xiENIM7I8Y3nLg96m+Q7LWLlcy poAIK6l8hHXXQjbaC3odhcnHkQWvNq3+BVMkmEt/zaQTdNLlDx7zZtDH21rQi2vFXZ5LjZYtRJ8S CsSxIgQ1tVGz6q2fIudznLbUUONklgrDTQlIxa+I0wdmB91iJhN64mzdZZcIcUrfdMMpMUA00bfp LiKTIt5D3z005daUQPdndHlz4ewlue5KYANFTMtfGLrkPVNjjNJWB+q3RqgMa3gFjiGCC2JI23fB uljNvFnQAbtV8gM2q7ACa/HGggzUswToJJYNXQfGyCbVl7mxnAy17OjBP3Eg6Hq/kuSrB5eXbR0z P1JC5Vm90OJtAKz8Nz4/4kA5aC5d/3oEgtgAYemfJB+TLfAzeHGL+ODcBXQcw1KT9BmVJHZ7olT/ a+SpMb+NmG4SrlHJjRcXa0c/0G7kuGbxPWWfEG4Me4W7sGRD+NsGRFSgvO9CEVXs7wrAA6HBrOsP 7ZRWvpjZWdhp9SdguJQRKBlvfiT0F2GqwPhL+EX4CDtKBAGS03IVeSyQJpPpNm/dZWfAG3zsp4Jd p9+4K7ixF52XPN/DfhzOzlwmNe/gEnkqYWKZrXixp6fg19PkdOo1Ky/qKyE4rueVSt1EmJ1k9plQ UCq1ve2iaeDS70wFTKJ1VmXXrTCDwaZM7L+DQTeKWIX9aS5qzxeXG7KnYMYS7w2D23EQeHSKpJNG x7mj7ZSrLST5GH3Rw2sg7hu/StHXK86u7J12QP+qpLSK0H1yXP2mtbJwVXVZhmEFhhTh7rpKPREM wPE/oJ4DPkZS2WDoUoY+WIqriSh4ILIM8YwAoBckqRsUcKG4OD7z2sSztWvF3FA7UCLLVs8B+d8I oG0San87sdsO9UDbxKv/6zCx3sYLXPUy2+TjZ52snEzDQZWRuxcHIoHRr16xLubmNCLL1W+IZN+U sNbTkf08G7PxUAyLIveWbfSzZw09gdAY4SZSr4jfNNchbAJmf/kVYBhEkyZxEzjcfC3dlx/eZYiq 1qzjde3IUEHs2mZXDGdhhRV10s8c1vKZXebkbA/SzCJahgwsWHxQK0nhYUlH8PGo+5sOPCSWXusd CQEGL4w2sRqyv/BntxO8Z9OfZMlEcjgWzS147r4neatoOdQGiLR7t42S4LnCbE7ZAT6HVZagBWqg pPMrgTDPlf5U/D9Zm5ENHOkbunnOZQI1OcNTgrIbMCI8JLQXty1NHLhcQIZds8rViGilzPdP24mw xzXiHPn40gOJkuofVA133XvW3mchoApEE8Sty55O9zjpDPzcVKhJu+lKfAH35kXrZ3tHYxz4Ibfg 7zdHiswNerlgo9vKtGsGCn548Fvo2wCluk8eaUZ/egJdP8dlPGwv9koRCzi8ql/WtGUcB1Pvv2jY gLuxXUTlNKqmzH+9wm0M1HYpyUk09irCkfIIcUHMmYW/WkE+CV4BpQx7jVG2yLqedsKkmv0Hpifl ANKURBJ5sLzddbCJKnRzhFzMpvfI35XU5ehiFz0m/z39jQrOgBLHQCbi+45ffTPe4BdEOqZQRVqU ex7bkB7fXFcMrYI8DjSoEkQHCvUGyzdp9zl7MRXgV4DwWCX3LLrUq3UMnChdLT/kaPJkJGw0poX/ 8dhpwYZrGpOVzwxcv93lPrxNhPnDmJ8rVWRaQERaz10x3nwc0USMCU53xl5gJLBFlXg2qGllKd9y d2bBFW7TLdQBe2xealBMdbODHKJkvXCDBfF64V/vG669BtKnAX9dhRFepQPdkg3IWIS8RfIJMLIQ d5dlsk2G9PulCO2hmiMsvG1i2NiQjNj+yfqpnqUW3NDUPFV8yqWzxhQWR3Ie5QEY/ANsXDR/DOHz LkTKjpEwsOJ801jbKgNSwpXe3rWVBz3n6NwRdFwO6OG600WPfJ6L3kYAPywyVlt9emVJLkDRfJBV vfhM+Lv3W0m7pD76sdnBfSpeRrrtwyuewPdj6Bt7wqDIWKf2jw89cDstap/H4uCoFr+FbOUVmVvO 7G8dUiRmgNFj0qyFMO4sxQgzT0LA9RuOOibUXct8tV6PQAzCn80SYVR69BzTiZpixT2aQaOsRjMj 1vjtNe/q3/kt3hN4Is36Iww2IH+BL0jgFoFDEGK7cZzJnviU8kB7XFIZObE8tvfRta+QnX+ofYfJ H/ZQd1NNQnj5pTULLIeurbfocwwbWuGxDWiLdHLIfodDcUdXRnTZIEo4poLOA5gcmZ5NtPbXwR1R 3LdC7v9hxbm8asAeHym7bZV9wfoaLU75QltqscMq4Yu0QyHx7jVT5SXIyg4ZlQBN3585wdFF14NI Rq+4E71D6i9gECsiizqQPf+CelbbTAeCHU79LP+yKnKwEZPwF9+glOatic0zeNOpMvxqezWuTMVz 7iD2KbEln6vOHtt7/G9PA8zk7vxYl7cvt5h2PW6Lyf3tYOcW36Klz8yDNujmu+tlbtFednJZL9ff L6Co8r3ZCkSiKs2cDuqDey//fepZ2iboEGXFvbxNQWuyFSqVZxqSG3x24qoBRZ1ly8K9z9JcOL6f bGn+KdExMtSRzUJzCCW6/LYrjkRLQ69lOJyPyQQr2gdatRiVS3ClvaQWkAhKnYxVFCQyOv2doId8 UI6PXpe2sjCPcJBbBFoScsWZn/n1EeTseErSGxmyLMvgtIHdIhkom6q6wb+K3sUTP5KGCFhUcrdk DKAWQvOa8DDdISrQyogBgIx//rdNqT5W5b2JvBSRVolX+b+rfcqHXC3ov+lWAEpFLLHdB4KvWERX /ialQTtn/04GZeRJYIJngBujuuREJd+BwVLmOTjjOeVNy3jKU4ON3BNld376VFGJKkF+W0lrJMrI 14MU94Ar8KCc5nBqPb6SB/gqVmDq5Ye+71ZA9nmD8Uucy/EJdaPMMu4Q42OJMCYUlGmRRrunBZST /hpzWqtxEmjDIRGbfQ5YWQOnbdkh7PIf6q5p3SPZpV00MWhgcSYiW56NmIAiLR5E4Xflrew9D9Ld DlRXWo7izMLYWWi7kH0/TJScWIvE3wM595hjKwb+d785B3kMiqYBWq+A2FJ0aT78cjSxzKAtUePe lU+auqZo9qFhXMNp/v9s296h/svKBCD8YF98aZWP4hRzdhIRbfBn9vbAIpAHCkCuCIViQZ6mXQ1x mGvyHnpz/nrk+l5J5YXo88xEM//sGQU/Q2Q2wRmq1lgI6Tk6Yth1hTQCXSI0lK43OABYK2z/mp3w CZExYWdfBDcZXFhsxOhEMYHA+3yekBrb7I1wGoiEF4yCAld/BDo6XVa6PSwK71RdSVRZtj23a0mN XiD8N55LIpIUNk8THzkIJWopzQhlG1zS3M0QHYpreE3VqO2rblKon9kriBgf/TfsA4yy6KuJiUGa VQpZrVvFccPf/pjAjIWQTjaHim8fryrSmFtB5uS1B9bbUlrANmd13OevOizdHIiUJUv7HklGuP+k L+L+Iu5I8D/4LyDx218s99HDKAO9Tq+sNxgYuyPM2/M0HiGin+UM5hPRNtYf1s+DqvYTB64QtplJ C+ZCy3EU9CddbS6BBaLBsyvSdj1opt198jAF/NJ97G5Xq+CFUzbobtK8PGsJqu5ZBwBpnNF4fRUH 46QHuaYW9QMvcl+B1SupvfFsnl0m/vqW/H/SlDRN8iDeT6P6DooPymKHorRmlmzj0+jkCI0FLN7E qX88xuYufeB19Go1REEE/JOS67oZsyxid9PXhtAgRZPI9unfiCSIG2MCFqipVajlAGiyLREnFQE5 Prpq6VHRQONvi2RM9zcaeLjyfFOeTlGdBSv1ihP9V1NVSp9vBDzZ1/0Ds0LEZ1kEl5cqPxKeJnE9 WRtzXO1YAU0yfp8F7uOX5ArarPntr03E/RTP7AStG1WuWlaySR1brDbEoCtmFKgObFo8IaQ1Sp6g TIKzzqXKmWYT4zlGarxk0ctbf+9rt7QMpsQOpFplczNVCzTU+0AO0oBrAVRbzvFQN0OF2fKh3rO7 WIzo3VwhABcm3kCS5gm/YclpKNhleQuh3XhQISbNZ8CLL3pH266aNExjyCOwKI6cokBkjzsoBo2w Mgpv19+Aaa1Yl+o0teO1DFsNOPoD1KlyXYXXyFtQtYKgOjxc18t3WwaMQHuVSl4ESZsKfTJ3OdSC cS4wNQyi1juY6BCoMer3j4TdgvkSWCMnDU+ARGBfLfSrX1gVp7+C/OXJgti4Sbs0h04jw84FC+xe 6bSKKg0zh2e6OZIQ+LWRzyRFdeSbso0MWWSqoNx0nPAleGlkbNrbuYaSHVInqrkk0QXSkOTaniB1 NwIzVW9jFwgPZgSIt5pSyolsEGV3fCMelv9ZNwLVcOmH9ehCGshIyonmlQPnSHJeT19sZotiHf1H o6Ni1Sjcs2V/X8ffrZyi9mbrWSzXc5ldsUSzvuUL7YQvI1KJD3uYwHN0Jimlcb3Dt5vV2Vpn+IeJ vuN5VaniZoLJn4ohyFovitRs7ER05/dvQXUeK1ISOUJOnRlGnyOdRXBTb7MlhXEF13ZqFURZCTjQ pcLbeIPB5anf0q0bjjlUzoq01Dl38mIbWKkCykquFU9hjEt/kugqBfHUxa/naY+CSTezTzTZU4hs SCy3TIETqtFPVtBYR/04VrUBAczzKrscwn9WzDNUnJv+XdbWxLtNxxu5fpKv9MxyBBA6sOeLqk6J 40xbahvYK3ipDY3/6RqfGyM0gVv5stvGWpt8bKf3k0sa68YL2Fz/aHVoL7qZaeYZuv7YV2hKZ4FM F84wjOSMkNB2A+nSnTTRX51qKwJpYN7vf9XRvQpRIhw7q7/koqd3CLZD+X6lOT+KvnVO+qso0rOO 6IuRYLDKkCGkq9MVJ4oN3Uv6q2afrdLySD6f2InHpnZdKxVyJ9SGaKrd09nVCy1K3ghvWGmHdxtm JprWFyFcQtAoP0Is52RS4KOQ0nUnBV8wCtGYC71F6BHTjFBs1n0wyAPQHvmXn1xzi3J1/D069as4 ZYvXIjHLMRIlx7TFkBJ94TehPgEWEnhCct5aQDYxhIegCa2KXS67/vZ5r44ARi0hyhqu6NeSWzwZ FcPQV7v60EbimzSp2ttKftqx9wVZGipvyo5QOe/05Wn+NNueG/pp3Ri+cBp9ZXTRP+DrcRhYCcNq duWyGqn1oRaL8XqIRKeg87mOZZSpDEsAjEZpo/MKRZH9koAssYoFmeY0hF5ahPE7iVpVbAc1yQ4S /9H6d1FN3UB1Lt32Cw3wD9Jgg/T//GYW+Iw7v1FFzsbjrgf8gw50KRRAYktJQv8z5IUI+7fYUzNY nSctVEqD12x42zAARBtmWbEe6JS6znEV5YUXSBMcxXeZkP9eBCs7UOp7FKBvh8mCmXXH1VKBuddt LMOSsfLltKtIf2VDK1zw6I5PerxonQzLPcCGPygO/B8DIga6IsfINK1e07wuQXWbaeeyWULOzJde 1t3fP8FfVXANW904+Cf7/Jg/ezSOk9/O0gwFxXfpQm/0U0gUVTGPbREjz1aWlZNBPYj8N6hWOmYl 7Nx6r2kj7g4AnhW2O8WArFW4uGGFDtdeSIRFqL4f/yTDQNt7fUFp5i/ZBBUfEbq2YKZllLL3NkWi HPeWfvLEYlLylP8q4j2uvNpNAIz1bzCioeJECiNgP190dQvujedr3UKcSYWLgQy9YgYZlr9VA/ui MWwHsb6RWln/jGQrJh479fndz/Op2hSrg1w6H/hDRBzpn27MsKWwvmgxleSw3e2rSO9CTbW/ZxRH u+VsP6Aj/yo5+9dwSF9y3xlumk4FDozO8Y0ON5gtMqeTkTbtUYUg9DpV+t6vVVrUhX9i5Yl288jc JumBZQ8JNfY5NB1lGo/yX9W8PuJqDoFduh4y8dAh1c8kweCKX6yfz4nSQsZAlFtyS0K6ZBGYZ98l 0Wec+UbihlzM3qliM1yX1ldwoRCPfwrtrBS8unzBT0Du5Po6+/kyPBxffj8cKIXELFnRm3F4gArU RWefE4iOjGCZp8BZIa2YUTI+f9txQO5yI9kxPnxRR8Qt0bFrxBoZjhthJ8scK2NQWcICwaT7LXz7 Sa+cJLUg2QM6JPUPQsAqA+hNWKGGEW7X8DBtLM1g9I39o7/lhhXADZ6W8WbWfnys9w8SB2y9sM9b aqQy75LNObHxATZyX8mID4mBD/Kk8K/joczqOqkErAR0QYyHLO0SX75Klh2b5P81NQJb8AO0ocki 5Y1pfjoxQmDfa4LVeukLtfU6ljh4BQb5V2911R8Fo4ymD5qKn3Yso9AXXJ3jdi5XgZ8sFsxNOhmS 1mSTRXFdtY4qFSmaUXBQ1gIOg4s5RXlWl7s4uDYwUuQ2YK5e9oCg3ngGDBjXApvJBYUL3xvRqxLo giRjU7uefdWAAW7RgpAt3B58y+Gl842sNDapUFts1u/xFehwiZtT6yCjmk5cHBRkO2eYZJ/yDJiQ YnYFaBICQQ2B9/PJpRALcB94+iI1uKVT2rEk1rX6dUqcQvPdRAJo0qFUXkmW6ZBfzYQcRchaLZYb 5AoMVlJXEFzNPJXG27WZp+NAAGwTIvy3XVN4w6MmeDJQclfBOD3+XxnboBm1+ZgZ5t+cH3WFC94C 76XIol1ApEm3cEr9FGLJdmDFqHazN7iPi654ql8/dQtlNipYfmRU2uzv7hA+3wNSxLxycqpwOXwC W7Q1JfklIIAg+yx6hjj/RfOblGQBhyrE8qxos+GWv/5aiajhGdumP0oahpJHrAiFnrZO8Zg8sSzR k2KfZ2H1Gpyhzif667XQRyftEXJVujIMCo90hJP+PIDoQ9QacVcOQRs53NhotNoa1SCgrYmNd6VJ pR8Cafw9VkndXcJkNk1V4nZoA9mGxk8ZsPyoo8HfmGT66dquW3YApxppIYqiU9KDDYAxf4p+SenN g7orA/TfCP31JTiZJhHUFWSBZc6niRFD0iujQ8ou2V6sgODZXC9EHaJB64aNoYZfUTnYLfvC9cTw BOJeJA7ERaOJQI5Nl9BlaK32DYy7szJDaKwVh2oALiNUga/JAhG9XOCeY//v+mM7IZzUGOzC/j9e aSiUWsEzX9nDmXWJH6SMg4yC3CSYUjq6lTYJfsfkEI8ibV5mbAkJlW8Qjm3CjTbZjPwecNNo+/WX lWwVpfKwNfmEJRalTavTQ9Rt9wt7NUFPWplVhKh3Yc6f25ghpwYRraotv7kfPrAHuZriR7qGPnKt 1BY5xEFas2AuOm6OwpUuqEd7IkF7F2b594YguqhoGPxA6eyf6tB/nG0Ypf7lMjEXZ8e7Pl/EWbT0 8g7mjpe5sbmsBuPPo53TFWE12XbPpQhpISzsyC9am5ky0c0sv7T/zjzu/fPLOal9185MKjGZqhkK Zy8O58MxIroK3nT+DmwrUzKXSuA9Afn7IYWZxSxO52nY67i5eVVQRhOLy5iX4w5DuGqbMQCW5EZP Mgk1sMpme1bVxZaQ/ym8dtcnr3ruYk2Kgjqo/y8ahvQgih8B3kkCVsuhCLCEVu8bolVBdfbJg4Zx gxed5MRtVzvnW/zEE/i63Bwj4EzqOu0fqxOW16ozZ5ByjssaBdrlKZjRhOxjpWsIc86razip2f1t 1aqvAFAQ5r7MI5oYBuc+73CrNKuAhu6qS0luX2hZdPhorDpUixj5NQ2zqA7Uj+hckXxSkkIkKvYd jfnDSDr2L5wZhTxXtNH+S9LZysgOSMqm+12qq0ESFw7vHA9aNMZf4KS8mMX3N5VlnW4AsGGDs8+6 42/iceGbhg0tHZ8bUWaKj9Bvs8+QVh36UYPDUDPa7ouvGsWdSOd6dbCoTI8RnhZTVK4bxCcRUesM 6C00jt6y4T0XHr5upqdUEX7JUPbNhLkBQEFR+OZkGLol/M/lgYsaCYq3E9L19+4II6M5T7Q2gRuU wQJSvaU7sqhq/EAz+G6jVn0XG4YSNM+avzkL4nrchhLuoTLB4tYs0ZxhkmjHQHr+JmED0NJhBrD0 xiVSWvUJT5igjAuLzyzO//IXxRk2E5D/KXkuDzZ2cdg2t16ItbboCpiRVKay1W0bbMfSV151kSk5 VqVMhxqbQ+K2etTRaIbRotYMV9S92B+IiNECOy70yqzszKnoc2zYy1dvTpJQCdHUYNlZm6JJBfPd sLYIxrNyk2/M1Uu6fkynkRmxGrd2FIrgIxIzM5fJoifkmOWMUGkHvQmFN4yhZM2RdYfSbsj0Ewe/ Nd+Rnag7K4tmdZ4XboHV4yMmGZFgF6Fof6k+zOCqyFOnJyTCexxO798/9yimenPmQuSGYFV+m0mO T9xQDlWBE/hCdLZv6lkxU/jo90VhN8j9PIp3uy1SyIhPhFdzw92jeN46b1vYu9x5gQJWL1aJCiQ4 kQUeDzHtx8IFXCMZtAzg2DaaeEkoCxnIRAxPRIt6wm8oEwVJon/0DERCDfdN+lR306ntpdx3Ad55 bdLAZgmvOvPyjAxZnLISp9u6QhEplVtLAjMBGCg/edQptLuI52CZ54DsVmx19GL4/aTt9VPZ7iBv AV7QuCz7QXcUKPxwswYaCsQVvOgpvJl+Hq5AviE5X+BW7um6epyZB6otJ90edKVdr38DkywyHVBT NOn5ch39qVn+4+/nssGj6zNxmf/D9jiYsEKj1yQC7JEb19G15UDYZ4FTm6mRAR+5U9G8mWOg2o97 9M+A2C2x2Z4Ol9kzzr2ShhYE7T/BDOf0D8ifTVDfdiltgRmDkZ3jGY3c9phwVPufTC19eTcPbHii Xz7wwB45adivahTQOW/Bfa7Skm20Zl+rjbf334X8PxoKeQF12LMeYWLRXTMGCkZcRwWB3nfHhfN7 v3WqQKyQm28MxI/iUR+u9eYUxf6DeBpHnWnt1jIeuVyG9ppA6bL7CBaApf2Sr9b29uq5z48TE5OM W2rV3CmAGkPAY9es+BxCweBIJ1QDqWcxmB5HJWsSIMjaQxGLqIKUyOdi85sHDI3zPVMdw6ahViyF +nTPCEKiB5CpziXJXdVTQDQaN3P3XJK0ydpC8Pge+ln1IuO1A0kSO7kiGD7ZzmGb0B6GzyqW6pZi dFbCpDAs+By3xRxgHdt4vZQVoNE5G716Q+lvq7Xz9MuFZQMTfW69r9OMcf+YHTxAhKCCD8z52NRI IrqHGI3is+UIJqfHsEuM1hLsZg9HZxHwQvDgjVwgcf+gRHo1/+80t+cIZr++gGcsUvD94omEXQVH t0qSjwhBBa8/36FkGO7PWsZ5ylAanzPTyKuKCEjGCpOyD4aoI5EuklXuhrJbIdcYFl1tKnRsJtKu MXk/SJ7lPUSM3UtPW7l+SH7/8muITlgYSVGp1VyF/2AzNz59hrwMddmai431xQLkaFr0VniBYcTp hveTBxc8YQ2/O/7P+Pq/xLRuC4y8IePPr8aUs1+FTf6s4xpOk4g0tMT519CZZqg53aygT1s2ux34 gRWVO7h3MwV3sT3pbqcVir+kOMh0oADawCvAmE2DWl1AURflPaowtW0xvOqfnyE0VtPuyo6JkfyO vsaYUlwR/VAtW/jhtM17rNkgTuECTFCUiZcuR6MdfjUYXpYsUp8SCYgLnrqOREfjqQYCmZoU9U07 BFSt4ZRYgf403spYvm91Vv+4UwqKA3UMSb6Pd+6k8MykCEKNCwoV6npCT4M4MBdA6fxXIuNBpY5l SH1APeSYm7fBXWLPzFhi9jCVLFP1SZNaaboVUp6hmVIIfx9K+mse3HH5M3ieWAlIxO8RulI8sivt N1q3Z0T73tcO+UJlBIl6zFqC09OXRILhucHf7dRjy4S6n1TVFijWfwo8mousJt7rbJF309pUQp9H rsaoICu9ExIvErEfuUAHuQ4kBWnWfKH2S/j2z6GQxVd7aCeQRyZMv8qOPodOjycZNqYmYL8ahtSb JdwzR80ejldR07H1ZHsHLt/fNurLLtzeAmAVWjU6VUeJnieT8kvCwkXgLeBwDzGYwnvpakLevYEh a6E2g3dpWSK3S44nO9sBMVInmDvUlVNusBNuBR2tKiSKWrcIrqCg1yXCQb/qbWJsPF06DhwP6ZwM gUJJz+DOGObrLIcmnVYCvw+tW9ZO71qOo+UiUCmpCYai58B4C4Z65ccfYfaULmKbQ4Ch+qjLIHaC FDVg7m/5ehPfkGEZznCZwhORMM8tXB6W6TQwVLC3od9G/s8Sk9h15AerJ6w2tPWEWMuTyrsxNLbF h3IFlctoQBs/7DEMDt89ZDfqUHan35MNssgUQvWYArF7wlKN3VM7ZsDnvmQpOavb7P8npvwBvdWy lGv0EFcWbHk14XyBj9RRgwjcwC9EOGiO+4vTKVP2F6Yekjrbb0Qp75wK5l2MBWtJQQxJehWPPgMx +kixgGmppQVvUzzx+9zfL3vIjF6+DlJKBdTyBgBUawQf4KHXxLufiu5t4DoVz3wp0EnBiR9olqLL 9Bp/47HJBL91Jcv3JZWp4IF2LDK8L4uYxyW/WJDymLMdyWPJnzilBFRdEj39RRVKgjSl0Nf8Rh0K FTu2+N2TA0MqQ7UcHOh+zyUnRMh3nW82yL7cdKt1r6b04Qn2npAk0v9lT5DDGu4rllvB3sNep7J+ 8ZpRr0ZAAIY1ypPBa8qcWfqrQbYYuO3AZa/pfVLL8kUKlU68ER2AP9WbLI+jkcG0vBtYN8UGbAMa zFtRF0C2ZAZMnqZ6oy+WRevZ3zFJTZouWOw248hiB/CLCH8Ml3/9i8BBkNZOv1Yqx1N/1IjnZ8B2 xbntl1qeIWN0kHXYZbuc7/HsHY/VXpSrogTslNyrdq/gSnCRthbuayUkxhthM0Hb081TWL5YT3gf Deju6cq6MNCdNkBKhWbkVM28tITvVBpLsEQIHzgrqwwISCnbh6RgH+CQxmnxE10roVw93v9bFpyG nX0wikuhITo8BhAPk2F/yUgOLKhKex7Jnlv12iDAjnTslneyn0rxX+V7uyHHN7x3Hd7qSw9QCrfA zWfIVO9le+kCpJhnbiAseTNT0fNAEyPOnmOW+x0AQtCvkvY0E7nswNqdWQDcyqu6DFUFmntgWh5X qnyA8JjPq+K3rD5ZnCDR/RaRnv2mm99O3O3PRA6vk7CQcigAMMAycS3h98UDWjGPbxX4gmNiEblO QHJhr88vjHxI1/WAkwxc2nCew7JaBWz0E60QyxSZf5jEbATMS94DlFKBwk0ogaMGJtmzFy9Onnsm qZyuT1ikApeb3XMZtx8dOOUXvKAT6JpLl+jg26ZDB/FGTTnI+blekxUtwk68ChOxp+6n1PJAoqpc fNLiBkId3/3bhyAogFTrCTQAfV3g7EmsL4ewSNIZlHPG6saVZydBOHp3nBKee5W2wPkR1iQpQdft O6kkafEmGTUXQLrzLqpP6ODHB5yk7LkEVf3Q5Uxj4qjOhkqtlQTCSf+s0p5tNaeKFkIXWzJxqwme zbIaGP44CwBbMzk6jBYCYCznsffME8KT8P/JpWvEgiyBq3K42HSdwQ/mAs2qorUfw0D25dFbwwpP RtjcpCWyp+rdN5ykpdElHNIOy2BVvnUgEeWjTV+8mR9qgCsKGZStaLGWV0VWpQal6lDd7egca6Fx O7YITqctKD2eD00P8Ufp8XL+NxKhCqxbwjmEutnKIApIUtBhTvs0mjGj+3OtVLWzBflSP3JIVZpL naiBaatv5vIRsFGEyhqnYqQmsNqLaWgv4/7kbTrjOxesTz20x9Ohu+Som0rdEkk2A0kI0Us4JXS4 E07G8ZZqOZsLNZDcFTVkC/YkqyqlJ+V3AzhWLtA6tGHwdfSKuWvR5Fopaoe9X315hQfXaDbMPxzX QnbWHiAJ+qHer4+k/XowD0WsFqTIgoGAKNi9Vvco6MftsX6tnujfG1EarynQZ43iW+SxjNJrbSea 2Ffgz11kwuWrUGsRFHKQzGztFDz/fdWWiHqgSHsv92GTsEFcOqCYLtVouQPDVjVI5gGnP+A3btU9 XPzMKSM6E4UeYNCVwJ8ku4EaYxRILg+ZDiSGxVCYipgWgqbuRC6JKIEots5vGBHCSXJ1iCO8gggC NsmwL6MFwt0QBYA2Yzcx9uJwjnlsTxrzy7re5+gcohh/djsl5kx07uwKw7ioO/IuyAFskWzK/2qs YVuWs5qu/VRhjtx1HWjHXL1jyLj1d7nU3lOU+8r4yBrA5KLCNKCQOfYgOWl5NfyhPKGVIWB5qzFu WNg/HgjUUHvAasEHbJ2Ru6L/tBlEbSGM0DMn4OkClV1Q9fLyPbK4qHXsjEa3jMR78fuSYbm4N5Ed u4cZIZt4pTaqfHYiqMZl9jI0phDHFPomxgY1P62zVU3sIibErBQCoIpUoSQ8uBM7cas/aqRthiPx B1sT9AHZ3CpZ/NxGiKf0aAhkJztReFb5O0sDq01IbxAcQr0pf2wmF0YFafcFuU8GXS1N46g3ebbX NJaMcLFd5xt+rrKhrBmmPTdWqOC6nmwIhGxrqcTPHr5SUxQw9qEZWGerfqjFKy3YGz0JVMo90A9P 8dmYqlXl84W4PiEtwwHF7t/2/QCMcgAo8aHJqcRXWRTZbaplHcbJSZYa3z2I5/L2wDCV8UdAHf6e EzN9an0u1w6DN8eqS6yS2/QbGBluMPZDWxAK4mwt722DaT2pdx9E+v39usqIVC3Ket8G0xDfTc1C fsfLpvcwECqSZ+MDi50+pkPeHUvIArrnFfIfFykDh/jLLtUu71y4zSpy6wO32wIzSNje1Zhqt37M 91bkaODSEg0OKjkWetgTSjKIi/PVu/WyuNnja0dA8jchJZDVdYXZph58GOear9zRLtaN0OkE+FUd XK95iHYQgJPUGVOIrNm9o25znIuOF7TafkEiUo9k6etSCCnaVAUJ2Qrnsbc1uIikCGAZ7autKzKj aZvuKoSavIAkHgsnjwdnKbB1B59/0yKeJInijHBodxoF2kI8JLljMKRySF0rvqnoyd149H8vq15A DhCuPvEujcwEG1YE1Tho9v1NzogYMhu8o2zu6+WIiBh+Q1zGOUBhk993snFWPSw6qajnDPEZ8igX FQQkbloJfWr5Jvn17F+YlptITx+aUiO6RxwMwecaPu2l4mi5tR4pXXnmft4iAtiWFxdQBqZjS7Kh DwbZfUwWg/BhlQWJPsQFtYKzPXqgWh+Pfoxmw6J8jfZiFVbiQpQGb6d1ZwQTUWJr/+2PBbgTUYde VoLE8rovc2RbXG0+8uCxA8w2HbFKMWKA+OJsiaJzsgGX7D9Bi2zZpF/spschvPpz4/9tSyFh/MTr D7rAzXvnYRn9qz8XDzaW/pYXvcjctJueIWm7bL+nD58J6V3xAWRSH7QD2GaKGqNWF3ZRgtauLnMZ UWNYvnEyDudc7KEfhjJqDYo8iQ8YeP7FAReCgTmtN07n2MkJ6QSgAgCqHZ1SOKVfBYSjEbNyl4xz dpwso0vGLbz7YEv5dYRJ0ncR3WpYVTbqIxBepj0YehRB9LY+vqyxhCOMsJILAmqwwMwXYxNmq+dy tRV8oJrZDcGdxq3J8IXdhIjjx9Nla72nmMJwePdF5iv5gV4kN2XMVrVgCx8YWnusdCbOZijoW/JU VLz78Z9ThJ1BLsIxalpmo8/hCaGCH9hmWGmhMZPwKk4M0zaUuCpb0521nWIMcKfRlM6NAIBd1m5T Mtd/Vpx4BS8I4KhoMdmtwEVbBoJMnUBxjRp5abwi7y6MPqiCZcsjyuuTOGCa0luOuMFkQ8aB82q4 NfaTHrbMFSkx1AdNvsdeYDbZDbSHA5ccYHyo5ZILd8QBDTVohUL2oe/qqpedfRfTC/azQaKi7SUW OAPFfyzs0TPfG68SzBEc9cW2PgJT9IyOClalz0FnGrpWSgu6OlieVDhjhBDJgSn9ShxWIIDiDN58 VbFax+6DG86Z3VYd4EFZSYFKOC8pe8GWFRhrmnjrjkU5WvRX8Wom4NOfwTGLtCb8IEbcxNY8EHQH X9Pg40L3mdMb/6buzWaX/Gt7yZoR/AYGbhgmEVmYq83velZHI9iV69yQh/mfOO/zqSJ4sz574I+I 9DGg6dZi8XuZio8U+0xh8jQh0QnQNUrwkBB/tpknPNz42DPbWrX+WtaTqtprY/SQpvumY2i9hbsl jzVbDKMCHscSEJguhnYTDgqHomOKwQoKmB0lHfvCj7YamAmS8ANWnjonDuEMkep96cayestuJCAD 9dQDz31mlhICOmBzyv7OvXYuqE2rKUzi6+qQAeJqE9uQ3dpf9fP22HoQuK6tI5jHqLrjGQfgtnM+ +iEzOdcQ4vxlp8/6Zx0Be/a/CYsikjT/56c/cRSpIlmh2cHmW4ppnZyKfxGP9ynHz0Pzh4gbK01r 6JhiU+SSMDfhK7zn4l7CwFfyFFL/qBBU+pzib+QbiUFrj2oLly1A5sfy+ne2quibnZ+Q4v5ikkUY cJQvVuZ/4m0BiC3AVAcbkvhkrq9E3V+MN3KctZ4NFKEdoRn3IfXN/6eB7LtR1Hae01kxQN+/PDQ+ q5part97fKdBtVacfzBtkWu4RtipDwj8PmUyKfmrXEtq8CjTO6h+MoY/g2FMv+XF6jvwV2mcqFjS uBjRPSKiZq3D6gHWq8ytT1KBYtIJCl9LgkCX4AjvjzaPnYtGodcnDrUBmNAuj69+24ahvmosK8JT mkjLlpTliDI9Hg8ZpaOmhbtLcYvIA0b+w3BOUH6uszZrx7/bnHAdS5cRWaZZS0gC+UaSZhDc3B8s zIc7T6SLjCLKGGJrHrjNx6MUbvSMARPtet40j3tKdWeDQ1OYMcfCv/Jx5jdxF/pxFK/77LWB/6Pg T8ZjQN5iAr3X9iuNiQQyA588rAfloKixnHXoU+zQ9Jj3tlo5UwPVb0/EEDY/3+Dt96BN9CJrd2Od jbq3LSJ6ZSR/uKr08NSNfBHu2jB/QNzuIztH3WFAFdtB0fv7BgOtlDrCnRZqb9ojvvTZS+VCcteB 6IJfsGFciOR3aW5MVhygKIGrpRc1vTev83j4ccjr3YJJvbnz8g6qKvLApNTFSyTyh+mhxJpalmpb 6Y93Wr1aZM9j8a/AnWYSMYxAh8cgt40r4pFtTR6gVwiHkqn0yG/A5Z+KFIAoB+Bcq8TiK8EoxT3j 4OJQUItY+qXv4nRMeAoFIOUhgv+IF9aGLG32s6frB5ukUbhDZpD/9iW58xvI/RfBWYW0zQcswMCR mhGT3B8c/E1DlI4/pRbBfQb/R/nHH/N0ZSzbkuqxvuGGqYF+4ijwzjFgypyLUQrtM6jbIuLJ6eQT lKvorBDuFRQC8Ts8QbLe4aSseyuwR1/XEXOIE0Fe5Dx8zIOLsmzFWPOvLwWxqHAFC2lMrQ7U5QXV h1mkAlI1ai8MJVorwOQYjialF9CgJACDDyLFU6LNxERtAY+knxoLSkJo6SptpMGuylxVAUjb4wSt 9OV6m99kyZNHoQR7rKih2nPGAL+tqWntfuDdUWwX707a+EQlZSUHxhkPz4V9Neu6+mzblffKK7B9 L5QPjq89g28aiF+5V04uW8DtKV4IaXyYa8bQLiF3Jvazu/Rc7M4jyH2KE32Kc67UbWFU7AWAdV7p i7SabvMoGzBmg/fNk3r9E0cYxGDFHDU1GEvh3A+ZZhi6Z7nLTke8nvhgXlhb47lWgmk4IMQFrCP4 Xlrf+k5tp1mRaIkx7Jqq0zBDA3q5RDWrQHox4dZyzJvmGxJhOxz/BTfZvPwW47hdfuNoxWM1hG4D 5NJeOnu9nWZUO76PZNTVFGnT4zRzV1Da1D/qT+C9YVI2VD86H98oVjo9SgKdNWMRHx7j/ObAh+8c Bcq52nmnypT41qkVxidMIAbizlUuo6bw2UtgqqOPvwcMyDXGKuY5IxyzX1j07vpdZ/nLr8WjDhVX 1u5zxouCuKaqeWj/rDd9hiM00wiEgyWX1UO/PcljxOB0JBOK6Jel5lx2esMZxA1RBP9X5ge9NwCJ nIu0yLq55h3uKn6tvsE/hs5gZ3BunbsHm+iEf52WME8TsfrGR6SAM7R7vIczOUADQgzRf1E8eQcA MELD5dtMeEREi8rbNTcP2U+elM9aVcx1M5z8mTzd8yCfhg0NzwdognctExU6ao6RtvNSKlG9WzEf QFtjnpCw8Kcta+IT4xOpZgKP5JV/j7sW536tPh9RaJzZbz+CwwX54KoK0iu6f8nQ60zsvQrJchKm tTGqjQ/tB60C1LbFCUhN4xe+OSpK45M8HKHRsYRBfD7Kc8f7dTbKtiPozQXILarU2yFeVr7rTKoR jq/OG3vSgJ6O4VVCDKkuAwR5dYnbs2oE/X9UAY+QVFukVfmoSnyS9v3+RCzlLDz96eV1aWkWOZP3 yy52UkD5PlTnonfOhXirKJmuOtYNoNdfJz2cKReQ3I51EYEiOG/IjcbaTi+svgttlskl/pxv1zvf R5BPOneZi4Bou0jflFNZJtLYTL0BTpPBY3IRv/xi+vREiW7GQAi3v/VzwVAg9wyVVcSrPsuNIR0w tDAKK4ue572sqIiaLEEqvnHKGeDBdpOIkE+OCs3NGsoW9/64lo0X/x1CR4toPN+NzwUIAo8LcNv5 lcDUgyhqx0xNWbix6rNAZyVTgLGYBD63we/Ng61nRGy228xt0aTjPl4uXKRFxkT2izzBjWERpvWK P+2iIl7pxCQ4LxT60z476wbMPnfGxTSsqk1v6GIH/WBm6tFXT/nqQqekfPJmlbe+HvZdbvrWhPRs RjWkNuW0GjOzBDc+DAum/oL98z/fkHzmbzXi1j8S09fPz7qaYYFhVBI5uHh1eLTZm4vX/xSKbVQt Jpkl78npnQuFJ2lbeFCmelIhFrFhv1gV+GrQxzNeV7C+cHiPOMTY3nbLFxpUME+bnpzngv9ssa9k glj2uqKs2Rvuh8X1ZJ4znJGX9x/ZTsMoI5YaKIdF2IpUHqDesXmS9TbRJRDbLWtH89P2rx/jifVc vTmxuWk9+WJBYLnmY3BJYZ8CEGQuTfoe5hXwHrUbRUNCwOUg8R+ROPvPlO92HM2LcPKCkrBn5spi SOpwaJ/XKNFgtMOK9bf0+A0q+msswi8hPSTks3IlwmdZH05u1GGr+l9C9LtKtVHYxiq1AbGxsS2a sB2UQlS60wZ7cZgu0BTSNV0SGikUGiiWj3zzbu4JdIdRx4/5JB+4+SVcxpPhmXKBjDukXXu+KkGM hpiuplhWI9vI78e4NSDchm3FVb+/Rce1vTGyYp2nRR0pCJdPUux4DkXBjVg1+NtUaG5w8HGpOMpJ dO/cyIjYkDQHzLjCD+ouU/4e0vkfHnJOtZylbvAZGdcUnFoRA09tgI18TrQq4WW5nCP9Wov0azz3 eBXkb8xE/6WYj8la9UISzEN2Al+lw9EzuqXWRxNNHC8Q2yD2CA1FNT78AUJtpDLDjYDsc4GRmGiR Atm2HeEcIV4W5EDPJiqkQ5Zh8yEbnhOC/Xg+VAmu2tRhooRjVjv+wY1Eag8CT8G9ouGq3tQSzXNq G6AavVCbfj2p8dxuAlPUGYiktNnUvJ2y/Ii8Yh4KDnQsLXxcqZr35f/XkStc8zkkSszWHLJAczRV Dsi/p4CxkANWAqVlyz8WkWMzpLNgf2BVQpIAsbZlhF0Umhfbah9gmvCEwypcnymcdTc0eoxbLKsh 0oxXN5Jm2DE2YDJFawMmL2JNvpuMXgsUTY9fbErsF9wKNCh+GHC/24y8Gfu2blBDm/bMkX3ix8K9 OJXSO/QfSPQW39I/DHH4WEA25OEt0zw1fIkoJzrqM10ZItnRiU8OOFSl0tH7NHhzj6iTQqCosbAm p+zrfmhvoltQycgdVRUmcOQ42HAc8PD5HWO6KOy6d5saSNCz82z4HmF2EtR3LTdqC0e4q88mEtkd E/QG4yVaKhsfm5BVht89VqTzne8DLZTj4UqW2qyxuenchXvRFbUkg8BISAl3MqGXUZksdv2GZTkU yUtbQs65+v0WjB0fq9rLoV1eSbHz1zafE6HOV3cUMdi8B3DvEKCNNQNcuz8vY/HPPPrwf7AH9E2h TEAMfB+xxO6Yvtsbidd9CHCAIDeqA7pXPmBh0MXPiBu/0y1XFi79zIZd4jGv+ezaGTzE99VCGTsA d+VNEE+JOIfQBaeoAQr+Z3mErgm5ul8ygMPgVman8b9HVNtHLIUO6O63T2XEEx5BUdwQ1WMbMqCa GsbVsLW17/DuVlyAHnRs5I7/m/f5WH6sXan4H6lCwFD0Wx5hxSFMSA2ux8cGRLclJ0Xc2OjEi97V Cy1bmRNH1xH4l//rOUuhUBbB2jkIgto/bOfCuMbGwmUmjkfOSf7xakKjwkzCsAsz33oVSLCwnkEI VMXSz9E2g6uyi9MB7Cp4dyhy6NUNWjGRNbGn34lmUUan30QGrDBEWLzy0T145rzTpNK0bdWc/dsQ zS7Ej9jwW2oZ9547esBVhuU5oKQh08oxAmCLkIhxjOLMQmGtVmsRFlW29cx10NQHU4VCmw7ZoLni Bb2VCnbyLj25RMEfcArc6UN9cs2DDaZ6ZAZ14QHtOs4BohXg+rneCMnVTmLmshvFF15T8f2Bc30A AOqWsZm9vMaqFVM5+M96AaQGmAkv43w8AQ9/I23gSjNRAOWOxaCLLHQnhUDkTCb/Hl7wY4Vr3mgT xLHELV9hMWTyYaoz0tBLj3jRnHbGpM9KoHpSfnrNWL1sEHfVc5Rc3PxXZETIbPyfja5UqWpNaSZi OsbmIfqWGiEy5dXzeIkYmHEsOoYqmDzYelCrfrJjE/fBytA4XntQ7pSn8JC8fwA7kokGagk03bXg PfvOJa3F4g032XZxOKThMhjKosWulMw2pBArd+eEfgFhLTg75lwRvhg+Vc4yJtYJF//lUeiUm1Ls IHen9qKz4w3hnm1RsGF/UZ/9QICqJwBZgqbQh68MHPZ8LXpLQXBTTrB73U+1BZZ9vJoHM2Ek7/CA BOSXwZZWZtEVewr5WsQ7zQ5+PNz1mqRpz6tu2KgfnkMta0QoLmxv6h0Lm3CNmkF0+QLfXE8stMnU bKU2MKpFAnjK60wuDahACdb+DRp2wj6g98H9jxBqODQZjFOHGja2+1p1fJSD2HlTYEcRT84LV46c POrDXL7xM1NRSgA+SwZfJp5hB4IUO4/yHtKDw1ja6Oe2Fn5yQvK8euA9K66qPT0NODAk8i2wRWmO bui+byVHrJo/otQfHilom+JaN5shn2pwjAhnClYfA7Md4h5c2qXU3V78KsPN1ZnXxXfvQP4i7ykl pKchSgIO+rsUv5rr8hyjdQKwnGVR4O5S7qbxN9eLrhVTqzCDemxD8+LIw+9KxeJRizib55OBtg3v r6v/tQkXe0+pntO78B/38rjThwHKUUbOcazlkA25SrXj5LPL3nbIyn6OfI1NsyFQQmw37gMwh4la Rn1oGoYwuEbHxHCViCKmhPTqOeJor8fKiMwXAJbjBtHHxD/3amgZwwV1/n5pa8zND+8YiEyLEJq6 yLM3LhK7MKcCE4hSIxiIdlVNr97SBoKxYchhpjXQNzzUGWvDuQB0KU26tRxACTgFOUShVX+c+hlC 2pLg0LZC6fUrq/FqqtrfzHPensQfGV2lKdD5yHzxni2eotnntzU6JApXWC4HIT++JdAPryX8F5Hc cwRo3OBoROWhT+XuSqgK3M3yzVSFUEVOhf0/KBw6HUC8FiPIOPA5pCRci/SWIWc1tN2zrjF7BpfB APW4C6t98QyeWCLtByUgzfV9p7IH7tgKd8vdzLBY5p82lCfNHVmp0slbj36i2uoJyYMQn442J3oR l3UiBFgLj8GFZJbmHgyY2o44XXQSGL6CHXuh8d+twkcyBmJ65Sm/EPQWlW1nkUEwi/WMyIpUrYSu MZExWzHf9CYdA0DBJrqsoe5w8ToSYbYzeLdiVSjid/QxFU11Vf5/WEwiIWK7DH/yqgTaoy8A/Pmr rfDErbC86E/Df08cdGEmas+f9iS5TAZZd+qXLflvM0QoE5IQbam7M2sHj7dPigR01K7eFGmQias/ UhMD+k7fFU/bCuzEKPPhoWmQ26FGSL12nJRwT3pakhqLyaDWUrHLvkDzxJVa3tO4RWqiBIlY3epj pCoEhdglnhm8lOP5/nw+RfaIr0rqozszRSSqECN15LAMbPsiB9pThI7gwWR7SzyRWGtyrZ9X24mB Dmc0sTxshuJFKdw+rxqRAJbcWqRbrw8MC2CYM/p9nN5MGnFxi+jKW10mGikRPBPst1nQCmyM5vYU CU/1Ly/+5jGbJJ4wywb6mT7xlk+MnABCitDgyqfsE+Xfn0sQpn2xDi3FBV3unkUNGH4hHGea1iSq BlV+yXsbKZkTP00zTBoh5XGnvuh8L7ya5hY+G4AD57TFhJW79XJgrZYPsopxmiWYzRobXh8mMZGd ps32qiP9vfOA56AusphRpyY2HwlMIXKvIEk6w2v2C1KGiDppF42xhul4Q148fG6+jvqRQgI19q/+ 3DUsy2j7MPbjotmeRQMd1DcDN8BM+pnMK+2tkEuOEOicY0nNYs/LUnjhBvly/3tB60/D+e0qkUXM eccwEIz63BM2YkiDY4psQkSV26O4F/diEkNjSbL5Xx2WDElrPOfgHFhxkd3grNPpGmU9x6SPFl14 ybN1YC62Gzb0ajdH/Zg5CZ5uW8cY+S28SUDLUgC8A2AWHG2GDsXQYnsTKKNxPP29iMPQRceC0EsK ivp9+kEPBQhiT8r2bne/BodObv1TweTyJ2bRs2QnWIB5tLIR+m4YfDdhSKTCmAmXetf8Gw4Vt3vp prr5gGmH5FPenO3TPc8eAujyQLuCHwxGGFHTW7wAbxttGv9bg2ANrMR98KP31LFtdfCXJ0ZdwHKS bZwypzFKrxjQodaiMP7i0gpT/X5S5CSOCJ+wFYjYVu3XFY87+I8vIzYSviaJ4C0XiF2ScEqrhUBa Wr5dc013Z8ceWJJlVLJz8a9BIcbFYCQn5SYuBiH8mDEaOz9JoUVJUrVfXbo2OI38O+K9i1/b0qAZ kJSiYHpKFKPy4AT/ZDyN0lS1n/zsGK3LLZ8ldBBe/SBiqsjxd796KaImhoZDIAIKHp0h32wm1oNd 6OzzX/bIoF++mvX5QHdPedluPTVLTpvqYTrT2/kuHwDAvwSjP0G8UEEvdFeWhHwc0jbLSnP9Z7vL 341VQlnq9phrfaNL8YWNLrUceGA4Z+qVJ+W4lZPZ4BxuaiiszbX9zXEpc+0c5zkqSy2pJHqjStau R4fMjOJsNCi8IWOketrq4g5wa5y5jHpiXX0KMWRLzgp8MiOe5XQUa9pAJjEsnFWIndXlSFY1T933 AIySqNJYA9Ra9LAGcnIBMlUETyq1KZEHuuCTzhUT+ftW4MfXkBw6FEGHx6dJ775VEUqvCHVmmwQ5 SSv1x9CsCWyn/5slSIHT/zn9ztG3k+krapHm0d53lPrkfxY6R9fiRsIswm3cKgrgzPRJDzATxqR7 Gzc1PUTC0S2ZtO0/7dV9F0Awh60aF3mmmxeRtNhbUyn+mwZosDP5rSZtUyu1xCX6IZuako6rtk4t ogI9bla2luYev03AoAKQ+zpbM9R8nQksH70CZ9f0/VHj5PWK2R8CTML9XXJwj3HrChVfDtYiDY9B KKVIXvu7JS5iCRMP+bDqSk3KFFO1NV/QTMvh6RNnNXC8e/TsBjtva8K3jiFet9XyBwohnmA5cKIK sqIv3zRG7Z2mGS0livF1j54Gt+KUYr/vhk3jWG0H7jt3tTTBwtad/Ti62aqYAdv/1SG1DNzihXmz bJXZpZU6SXMnL8tuurYSjAImURauroH80JtqnhnqQdpulhHJ6hIu+XNWiu8VRpYgsrgRyX+CcJC9 d2omyvVTFp8XdW71tYPHaAUvZFPX5owT9DZPazOUCp9WqKCWDVhoj+cSXNW/6XyWUfsNYM/hp9Cn o9OTaHtKkiy3CP6SOaeXq6rTOFT9xPISI3DsyFvXp8sEi1v/Vx5s47JkPjEbvPhYZifJ+Oj8Hm83 wvkygvyxXLk7tcc/NTunuilAS8kb6bHTAT5XkdZrhcQq3CZYKZvwCuo7bVc5u5dUxlOj+uhOYZqs sI0Et4ekPRXtCF+hgEcN/tD4MJV9vcuumQDoQN/c6UvFGYJozPOZDxcylRRIu6tQjocyoFhT/D1M Od8c6L0QhorydjqSrO5Na4H7udvl2Tjuy1J4EPckp34KwTzT6hwtelxToV19rHw9kfZ/tqOqUpOT /U+dBO1q/QZxrfmEuYwLjrPjBP5F8asPnL3eFmGGmdYcxcZoptRxydeYzczliQ5Z8nrF+oM53aml 53/ddZZJuNSeGW+xDSMWgyhemGMQh1Vrr7DFWdPfv9bovsUkemvuz9yNx6+5vgEDGZZ9+5YuVvWP /VZaaJ/IfbkZLiohwTijwkDNru2MiCePc2rzVKoPAgBulqTM8TNdSMm4peWFFjusS1uy6qPkXFI0 XnSuwfQ09LZyfo5R1Aycmfo7jIklw2/GtJKitAGnKeS2Le157PC6rSe6KRJmqNBXt25A6p5arMNW lMEmihdZ6sZgEb5bpMs+0CmpDDISnVr3UhtcaOnPhiDOeWo5gBtl3JZas2CelMAGBmkHIyB1iD70 UNOhsDgxZVm/zG/c3WvA9ghQqqMiaJXuvkutPahj1TqsUFke2p5pubDmSL/ZTYhfeYccWyvEhc6x Pox9F/J2REG+PTQKgG31qsGEdeis34Xe6EctS8iLFqPnNs/KqPgdA8k2L6f8P2InkrSGnTzgoVkf HGYfrJa9jjc7dhLCa6htElKFcgZBPQ9IN15YBjItcKz1MbGne7hIxq/E1hoyO8RJvsk09HzYa6VU 7pT8mCVeup7VRKvQ97MRJYLJLPC7XdLLj9McvCWYoGRtRff97mzIiMJZVQbNariTWqeUskcwLAKs C2aEmLD9FFB13916BGZzpNdtKyQbiQt2Ywc5zXOWfGDSgGl+pSRm1Y5PF4wQZGFtn/cuw/DUw9Kw MO7dOCgL4V7fd2ezgeTVvCu5fA5jd2k6z5svbS3Q1+pc+L6Q8UvvkU/7oznqZY5XQCLlS4zblPxq oeMYKVTgkYB8WfAMZutbXGZmazliDux1aeftMOEZSopzhvoCNE+l9g/1hqBRpYam85rm0l1HwDrL z+OSACY8WVC/NSxxwuTeVWfYVXtkhBUxOKXSqYQKf1BvonxpOe/pZr3bLmGKxgjGIvgM6IDtV8ah +LW5ZVLyPeuqtHnhSTFWTJp6TdbPuew9fkoJHCyAji8GbgfAkI6EhCjrm3B269Zm0Xo5iC708ady YssgAPwBJtA2xijczFjVYqUqqd8CRdVc4EDHE3iCdjKtWieZb8NUFuDrQcL6fnW8tyo5fxTR5/yH 2eSfjx8ieLOHTaKW2vqm3sUqZ4GWys09xLbvMwYyg9JMGOYtIZKxbff7Tnoc4omwmRsu8f9g9lq+ M9m/vm037wHi0YZYaznl84tJW28DNz15oP/DHvYcprLM9je6/ygMSW+yd63x014CKVctYOIbLX9j p6PreABNMp3VSdnVw5AhERY0L6gDNZMZ/XrUw7zaTf1ScyW0my2IZ4rUz8BsBEFVQwyzise6c9ra Y2heWibmlaqqN7LU+1+/7CaMuCGYBrsitMmNfGQ4uMZVzmBhxbBflvklJNzJHqyZw3NlwnwCDKZG pNwliMdBQgkqBukIThzUlnOx8hHtY3w0De51KV56yNaXwR8Y23igvZHL8lwCkiH59tzRcV84KRTu /fUChPKh/CrpoXRoXG3R43ariJ5AnGwdNR+7TJ8xiVv1/HuuNCCJoHhU/tJFlYSmdnOHUoFdp1RL 8NBEoy/dyfZVAelfyb4wjewvCCiMp8mJfXL28sZCd4t+xF5h6Rz32ymsOt+ZMwF/wqZrA/QFxDay nDjLZ5r+3So1uQNgkI+VrHcQS7qS+iekRwN8wJVYrLuvll96yd5kDWv2uLyiqqwJEm11TFb4x2Vu Fm/WqLHFoA47OLXTnh1IwmQn1lzYPN+lnRIv/Ns7b0osaB7NcEoDA7Tnm3Nn4zwpS7dsckZvFGwj rmpixtL95qpVS7chgrKcJAfy6Bxf6VLErrIo9DiQtxO62+Xlo5Lm1W10y8kbP1khV+N3mEc+oY4g /eN8cY8nY0rz/YDtpcVVvJSFY237Ulxr6aiKJD/4GVUx5+kfOp5Jxtz7FdvHk1D6aUS8d2Swq289 lfvni4oFEO9Q4i6d9h0IR4+3T3JaKa05+D5sG4iHXSr/CCnxCe8Ylp1RgZEQFPun/JHSOds2vjh2 pfj68+QxBGYPld+qR4DAJSKVfSMmdjvMqV5fX2XUYaZgXsgiRQHA38d2rXwwCiKnndlf8O9nScq8 o0hU/tJhJ9UzXl/MdElCfiQ+HAsT0znAhisA6lgjrsokyv0FfOPp/IpHNrn2/PXmfSYstHziFj5w YDsX2adnGEZ+2kddQqJq0qyCML4KdUvxfb6GrZyOmkZjFM/F2mHl5G+yTmTcqlrtL1ZSxpYD9yPu DI9WBBHFYdv/a0H0oHDJdmPqQzOy3eZYC6FaG9dEAgPbC79oU9JgTDExLrRI0PYwE8w7zcUWZ4k6 aIjQwCJgG20UjbcZNeJMSsmw0zqtM1ER3rxNXd0oyEs/LkkZisGVcNlJxRjePn3OuKj7I2c/90AA RSB+Igij4/10yKG11BalAZUZiRYozS3cxpLlzw0oMiBTlacGB15BWWh+wzfFwgwCF1AKoqJQT+z/ Tm6rZwo0ldzVOWbL9BkGhxHDrqRLU4jJTEHBc7koeokJ80PzD6/sYKK3I/TmLE64tANovOTCgBWx TZ9LEGW76fI3HUVAkum19HvZhlBbg+UTypPOEGZDZSTC4WfzZL70gLwB78LdR+KLm0SFdUSRh9a6 IzGTUT4FTU0CWg6D5ADrfCGK1/4ymQf4RSSZ8pLXeL+X1rNQO9Zrtnq+iZNsYXJe+X0hZJGMM3dZ AkI6Jeb0RqSRjrmctnih2rdzd48pX7MBUKJfomt1QijdAECepwaNwuL1RhsM9e/42Si+SF3+JMrr Vax38wjj4nLbV8OUHiqLxqZL36rsCzPmaiMhrW+3bPQ7b6pXVNOGFe8BUXlnGGUMQHr5wgDBCGMA IQgF1I74506NufGmW/qTXS3behQIc1EO0C5qkYYuMdWfw/7tVK7U38jqM8Zo4pjGzl79FQLlGpR7 TmyuUvfTqZL/HtKy5vsdo8TRCivuOBscVuAYkxHEKiUTNsy1EO3w/lUhWNkeBO8orY3opdPOvlWz eWyzRDA9T0LI8kJabzYhpkc83TO/5vXeQHGRtlu7Yz1OIuyrrscb8PmxgYk9uX4Pn/7TN+RWcogS tu1Tir9dC6zJfBBM67nCQ+6wqTht5O5np/jSg20nzHBVkeAnCuMCQ6aVZI9tJhRz95lKqx4fA1RD 0ipypSHHUeRb5Zml0IvlptMmKvzp9JPpD6rqYuk66VHRtoK+OtG2YYlom81GbL6SfzJnoXalkgY8 dIv82fsX1vppYxrEsG1zKRTVR7kmBxLDOPV5UBKPhyJjR+9vxTZevr13mI1Bo9Nq4g6wc1POzu6X yY9K1Gs4U0+2/e8Vd8XavlMsJqkvKIgb7mfMn5bJbacNNjLO4zDvMvaoE/jC1Bo8YFOJQzz8aWS8 joPFKAQub2yfzw1VkyeYTmxwUYXvYBUtPt9n+BOG+8AOS9pQyN7GdAVHCGAM6t1eTA01+WagYo9i HUC87vY/Acl99unULb+FUjTntvMCP3t+aXYoybW2W5HdOd+AXjyP9eyhT9jWVtRtvaJL58r1chB7 zcjecEpUn1V5O4cp69aqTrDYMkBFXZk+rRzSMiHtYYmwP/9JB5kc+/b0Irh7goZGkrgZvJzpTMPk +F+wbapGdx1PFo9NXQLCfmkSoF/4yfMI4iZCow/JLTzrVUQj+45LqvQtxgiT50we8rdqmPDabOBt PA6ia+jMaA7Fq9bb7KXLgwkLRW0UbR4Y3uaWBtKJRbyY1wtvJ7ut8/Uai0EFNYDipz4ZLBjhGqJT q/V6l44aunXcOG8khafaHGjD/CnHUuMakzyGETdb1S95IHb6mAzH486FoknU5AqDXGcRASs0m/nS pGrBz/bBuvIFc413aRpr7+qq91crhI6DLaNy3o27lVpRnhhOgVCNnBnI5flezQo1/zfExNfssWQ2 RPFAt4POfmsK51Xk6KB5KDjfKRu7hAT9L2Y2TrmTogVrITHB0Tw4Igkptjw5JOQNHQHL4GWh/SuC xKzL/sdyYJGPI2MqhP0fexeoC07MY9Tln9GdCUKxrf5+soT+21M/yA43QQL9v1C1RM01/1tMqF0l 7N6PjqWmQt23v6d/oL/6NHWgkjzZqaIXD4noJcrbYsI2GMlZe+IRRnTiEzrN8m1jhGXFe5s5trYa oexcnH1L1nSZVrkQyG/dfV5RUqaxPFtuVndKhioOpju0JTkioiwXjKVdCgyai0Mrkg16yS8RDfcj 0Nw5wegvhAiM7sY2t6F3U3/MGG6bwe0rrxE4amSXiBtxXZ3V6QUSnHNSDl0SxIZpzf/ZMPcpWhZx SeIZ+T7/sM5eDP3nM9oGi3Zf0+rCwpjJiK+0gCOMhAasz+sHhItKEy94hcthUqsWjb8Wp7pDc6uz gc0NYRTSi5tGpGzcGPnNIPDyvG/OblCJaz2UwqHHKzSu0D1QuvuHweyqiGBL1besDfPoUkXkURve MQMkYp8A0qUkjcZxnaZZEL00NTpSZO8wjKLhThzjj9lqyIt2iJqEne78yZ4pM6PkpdjYSvcqL2b6 ShZUfIEypTbQO01qwyz6/FWZZBOHNonHoLaqPKmu45YXeLjgfFVUXagGjtUYjXNY1TtYk0QQNw// qHlI92nn3z89Ps30VocGHK2RrRyedkyiqsEYQIAJVrMuxuA6cUu2gAF9QJf0x9y8yuTx0jZ0l4Wj bSz2/gJGKh/S4qUt8xFGPn8GNnKpbLoTLWnjVXZOQ9lz43Pr8Te7daAJaEUQZJpY8RzAvhcnpK5/ CVa9PkbdRDLP4r5G5LAT+oD8B5Kp6/AL8TcXI2y8YvNbRewKumiVCK/l3BjA9VwKVvBDivre/m50 ElSx823r1HINEU4a0MbHxtzy2L77E9Mc4sk/9YWIZRBD+My2lfsL99B1AYKl6N1FvIyLQ8n8jo1r mh5s82Gy5Gqlaq84+k0G+8KGtTICotjRYZniUGtDym7xaQjqjheTqLVSt5R2OaNggZ7RDVfRUhty LHDDR0737obPVbrFSflj3HTk3W85LIsLnD6Z2Og/fmUhcOgGrKIzOpik6M618Dx6CoTGPRJOoEnH xLR/OQMv9MeIEy+1Brc+/TOEY+2v78UKAlfoY8mfbLPWRANVFm6auE5HvbdUcWX9tNQhc3iKEWjc Zf+Va//sPHZIY+/ib/zvEZAN8bFyOjX1gsyM7t1qA6UF81XfNvwp+4amv75S5RTbGdBObTuKZm4a xeYYON9RDK6mhgl+cXhtX3JRkD9iHHeiz0+Z12Ougze0ST8/wHTABYsf4ZTwtbLIegw46vD6M51p FMQCYNFK6uGy1U/9ra+sltMRZ7TRfCbtkRjfC4iCPIRP1PcK62Wg57ZDs3BDzdMDAFS4yKSpltWw hRPfkTXwm0j4k0BDTPj58/JO2xNxMP3I81/6psC+cnA1tawIyWC/4CpVzILQpdHnw/wB2JgRPjAY mjJcB07L7/jjy3EwOCO9uDxLcsJgTnSbhny7/jvhSITayqhUkdEqL+5H//KJvCL+8vpTx5km3o6Y ZkfF3KI3viAUMpRhh1tWaip3M4VR+6KHNqf7Igiyz6X+2F1pIm7kdwdEzox8Myrrmj2MXABOjdqu Hcjs+zg0joZo5zlfYwFG/7Es1RY9cwbEXjT0nJigzUmedJxEVQf3l0PLBYeUzHDni5V5ZjzcRrFJ E8ECBheBiGcGW4JaYXsiustzB6zKQEtbT2OIZmim5eF7AtQkWxBShstV2cFjbMcJNOHHdWIQ07xb qkNuYr2ft6ayXU8ZmA15+1K9x+PvFkwXV6B9T868ht3ZgfgGcrcRFkz9V2abx8pCrOf5FkAal0Eq QcvyDkiTify9BOEcdI6/Elb/jBNqEY12/5lo+KqT0CBFo4DfjmTHWUix8hwv7ORqZymqzgZleGq7 F5DAItMTXESvZ9iMLRT8jg5xW+sNFnds9Rk5LiL6xyA5e8Ge00zVbVKKCzDqLjleUA1QXVQC8Tyk 9JNWV32rBkHIFdSWEQwkQIzXgenz5ZSBRBlJfa3NQSB/aE6rwYNYIih5YkfGfUNFPJkRPCNTEZPF d1dBxshzziOgxzCOzMfW7vlONv/ZuG6/gKZtRqNmZd1nvhrPSjeOYRLV0l4VAh8EoNGZPOmy9Xxu 1W7Xg4xDx6a83LXKD0Khj27ZmRPpZ7rL4KPNrx8dSqu8tUl7VmM1l5QzU5rd7VxPPcjLjn7jZXJ8 +g2DKI/LAvKL7tKqxs1WHO2lQC+FOZCLDtoloYV236VhObtMOmFhXuqmW8ghAb0WcdLbvsH41Tmj yiXBjwkNVsRPWe8AkOf7QL68pk+OWgN5o36PiCx/ltfZTtvrzGcNRRNF4Mbb/RP5xy1IFMBvK+bz fhYu2IPlqWl4Eg4K+/stupmjF/UKccqUVyFgIMs6Da6IrwqmeP3FYksjqvSvscpho8i2qjZWWWM2 vKqrpcAaySyyRyQtfo9n2IO3wLSeUgk+xQSVvhXBrFgnP0XzWU/dEfu913ehXl7ENX4pzO3RTVa+ l96wTDgbfCOwZ9DwjEfJ25JeoIihnC1Bd4hh0+99/zJZ6FNkjG6SulSiMjOHSGyVt4oscW98GmLS B5CIck00WJonZN8Kn/DnVKCWAwU5WKuzCnYldCfId9MibzPfXSolngZFT388c/q60tu8pVzd0kXb h0dS6hsdKjA9RdDtpbvAT3xqhZ44RhFEWjK4UGguTC33jwr7vhNIQ6DQmah13LsLcnKZbwaa16GP mGB2dGjrR+pFGJkyXpihS7nNGOYPPmdXYq38aAFJQMGcexprrRNDY561LDJ3SsnSFz8RVA/LFfCp r8Gd6OnPHjo8CrORjRc7J4hZbK8/e0V7kFE89iaPLF3qzhYg9RKmwWsJ8m4I2rBozJ/A3LYjiaby 9DFPCY0+uNBnoZJAMzmllQ2ktQRucUygSCA6plgGJqxuHgBAg/1aeZq2dMyXdtXnbSolXn2+ioM/ MMxsVuq7pnn+4E6dvn1Hj3t3P3yiOGxzUBGIosQ2LRVq1hf/ZcEy6rupgyRap+to4fTAc0rLq3hx JEjavXsPkx3bv2c5aiz9t7CT2LVidTlYg+nS8QG+H205NHR9DDwpqMHvq2b4W0qTRMoOTpjYVYhT UE5F526iuEPvL2Oo2N2Bbp7Hp+MsXF9Jg5+6pJ0UQjKMyPbslslU9M8Jxxo/3oMrCIVuBp9i/pww 43XF/zJxGoMqByS8T9niRG5ZdtH0J7of6grT2eiOxkVTT/opQ40+0ZCUctrQUKv17JZcBuV+VnP4 OTaip8ucDNTvKmzpCnyZd5OhrHaZRpt4iQr2nbXmePfAZKHCMZHJfXi1FTcp92/Tv5J+BihItp9Y tdSwBnLEcCxoKGUzA4XWJLL/QPrUhTZhWlS0vfbBsOokp7NTZcMiPGsD2Qz25mlXby3oPFyBd+Oi zNksWu0eYr3nUBYYOQloquItj9Pyp5O++OPi/b5uxjHtJHhYbanTNlKNv6UFrIL/hxDhieMoA1C+ 9FQDIhNL3U04WYNiASZFpKmZOXVR3pmgFf9c7Kl+fUL5LrqA/+GjvlemBe0rUq76ZaFn9eDqKPIS z7ECbbrw/XPtJ5kaqWpZFMVrCvjAK7Xg/yUCZZZe3TIS7t89e+JcV73/fyFricnzYHYSjruh8Wqh 3O2f3lN8TGXtWmSVvPYKoWzjw/mUG3521A848qybsnbA7tWpCezfrbKw/7FqOyeK4j7v0NDnbVCY 9RDqrRHoem7dRw6ZTJnTfc1cXuvg3SsccEsHveb1ajsZBulYqqTKFlmFFMfbiJ5KO0zJouwAcZXd BIIymoBHSUeWthKc5Eud6Y0V8J4aBrmpkCZaFlcW59wAEl5ZWnOeaOTAd6nqdrx1igOmd2BFHFuw RYX8gE23Tpsk0bKcfXvR5Dy1JxSYVxF9RGms3MnXnjjQuyufaltN3fV79Izx/AVSlhuAl7zu0vLP 0JPepzaax5JlEkukSw0/o/DDn0omS/Y98XBnHJ4FoZY7YXK8Oq/mwXcGiU5UjJalyt1avQbafB+8 kH+e9jKFN+40vfUGNxy+upV4bZPN2ZpVrHkXsk1y40LVqdw18ARzmT1MeP3wszfjOMuKUqMjBhaU 4OhChuFM859nui+FyKC+TOCuJebma6bNBgiCdaPxkg7hK5P7fgKdaJyHz9NTu2YJxp4ngrrgaNXK vOp+nS8MbtByGljhGQx0/MT+6ew3zkI+FMCRLNafLkDhHW2p1ReJ+WmAAspn4jOxb1bS+COjUZFh gxvnpeZfUVjWhd7lartj+5aUpv2o9auN3lgpGK2ufLWK/qznHVzir4PAmv+lTA97CO1rFNJVpmI4 M4dSA8qbO3kxWaVf4ByDtMKTiXJZMLpYivW7MpPy1/lJBjB3HlkJaan9i1/xU4ji2tbuucRuWZHN M590TqqgJZexy0n8vXgsGpY3MoFIh6bjGSZklM4q+VfM9IliV0HDo2zGpDvmp3tXYUMsH2BowdJo ejJox78YTOn4ji6CmlJm7b3hcs/c/x8ymnv38Za/aIb52uw8RPMJBFrRPIb94bgd0pBtWS3RqWZU vMkY3B8AyDNDYOmp/HIEo9ZTXdQ0dfEtgPllMtqOpqJ4lCyz4SKSyK7bGeLK8663ZWp7OUmn/GEQ eU1GUkIaSLVa6YUlhi8d4MEC35MO+MtRRuepf8bq1B7qPltLh8dDG0RkrPP2d4DByOs/jqjCnqth IhslFVPO4TeMbzekwSbcaJ+wLaQk0y58PN5N4h5nMvRFh3HE3HNvi6UwUVQBW4RjoAqtrkWeubUS crFSclmmZ5NaYXxArAAn7dX8W2sG7XH/KR6Bgik57CJehuIw8Pq99YqDP5fjzzZQOmOau8xXxi5T sMOKpWR2DO0re0LEOZmpLvhmUoxchrGqiPkjRzLVjOaD3UPd6VG2vA8XVRG1oiwkwEZXlV6CgADP 9faI2knLIx6zD82DNvujb0c2sHX4/qqAzqz6HvlF/5NSpE7bIb7yILjWscJ/mYyquoOOIdgymGRf EBXMSpQ9V1geTP+E5tDte9Ls4p+Ax3RApmz+uPXs0jz2EISvmSrw+hZSE1ES/g9WKgtOdH57+LbW iB+GdjbBOSD5fwzDfkIxQMhuKSoEffVABbwZ9POUmPQfPmamFZTmLmdkGN71IYYZX++H1+AOClUn CwZ9GkhKlWaviN6Za0DgIjZodAXJu/ODWkE1zsl9lfQn1dlF8wOcQpaBAB1suRFYYBt6NqPj0tA3 IFYAgM8/UygiB5SHrY9JmQ4+bk2gaKS7Mhj4X4H4W2IJZw8ZZ8N71VXryzBI3n+oCy1jnCEVhn85 5n10J1JFCFiRdNqXrGeY+JD9IcH2DVqFHRLg2NEWnR9zFaIjZ/meCLcyZ7Q/CezVbrNHBrGMvOYJ MsiJ0KuCD5EHTm6B4g5GGInfj+mQ4uKcYl8mk5slRgDGBAJSu2MKgTw6efI1dqrCXKSab/OBo3Qx CUR3ORE93yzYBsJBQsGNPLbHQo5CT38KvJ5sWM+wtTM+JjOI2fN1wniEoYSBZv1d+MCwYVhLaIK2 EpOnPHT9hVFD9aTxdAkroMwa35t5xGi92Xmf2GCVyktT0SKjHlD2GeXX+bP4ImrYxLRDPiCZlBJ9 3o4Lakg3uJstJIIEagOhyVm41PAh8wSKhF/ZeJCy5SH2NLyGxST0FpEjC6gkb2BELJOZnHfU63Em ZgZNLhUHFpHYZMxzlp4NUf6O22aA03l+Gnnk96iUw752BZ4ArKk6ZZQkaW0ocgc3Sqs7DUEiP8ZH vrxaASMqJ5pOZ9nCpC+57twBXKarcKPprQKO8AfAxbpTtA8EUB07+ulWoELLJMzPo04luCj5qI3B r+20HF2uceuZCVe2zPwlL43EcvGvUu4vKV4BxjoVdaxlLGTKoWxu7rNzYSd7UyaaLkpQNb4X9eL4 du0ANEu+N4MYNMk+MnDr+DyDbkMaOpbngh8gj13hdyNS4KkKSwpP9+8mbMpl99qbYW/oc79cJwPh 4I1qi9FjZKdwC7Qs4Vho5NlLLQbt/HONTSnkVDDltnSmttJOIYoadVs65Dq+YO28zRv3i+02YzAg dcEaRr2Cj9RdP3GJ03zsVozfviGBkrwyqHdBKdi0Gv+QPygERjkwcFmgv84WJv2lJ2GzhqzvZX0P 56a2PhcPSfZTSoxfEz/hppItwABmiDIds4B/nG1+prWpVOAZriKySwd3AIRcgTVmLaFtNYOT/Jsm JXbc/zlKlc6mOHrF5Ntl7vl1YUIZ1yvJiPZmhqrKTlL0crlH66TG4vsVTkfg76KPNRv6nrw74B51 h9PztzHmgeqgLvfeQWFx3V10McVpOyPMckDR+a/jFNCOx9YW3ahaoQdYtV08W9TWU5VMhRfRfvkS OM4Or8hSRs9oGVMDTth85F77lWb3O2jamQB1h1wdAeJzz3QjooOmvZvBJJwzbsIwh1bE/GaTb0/l 9qcDqqws4GhguBh48BZGY2NNIJeSfxsz70YG1MeqfKT1muL4/9pFr0+Hbd31g5HVGe15UDgr0MZD pTooBgP+3oakv5OlDFOJGf2XwAxivvBQ6mf8bxxF5gTUSfnXQzKxaz4xbnbiRpQY3ljPDTXxCSws tKzRmpZ/SudkacYq1Qrl7hTt8KGM5UhYvoTjF84m6LdJUouEYTBGE0TrBSCYaugLGQj4GR8Smegf 5fVBAFe1cBOr9xYJBB5C2psLskN0Xd0MvUf6F/oCToAqgsi9+vH2h+KMUJt1ORErLIldxfGSYDJd 2eUuDUUQN8i+e8aojS7H36DiA13Xw4L86lTV7a3UqpvP7v7+Sns/1rWL3aseRsZaop1FQBvMuvt4 fAAYafw8hSULg3ayq2d6o/g/dVqKr2dAl7ArJBTVbl40fZIaN6SBQd6FQGLISSAVkSREa1+OXcmI s/2nqal92UP3rSfPEPIlV8EWA6v//uJGvhnjop9or3UtzkvMlUCxjyDytL/HUMezdVKwZbzbaLgg LSwZfcaKHFY63UBGGqgJnlkcLiPTz93wvgbq8JnkP13XQnb6c3k4XFJ1Zqd+7mY3Fwn7o8PRSt+3 OwFdIGCcM423ABU5yuzrulBGZRVV4PowZ7/DCPuxTM3DwihqzNLbQxQlUDp+TzEscOqe0fsYoce/ LjmBE31V8dHp55nZZ1ivwTOrmOC0hldf2wh7XfptIf28PQIUecsPGlcLqybp842XWUjKHUIiHwCn 3uvwvUR8jQBYI1rU6Ux1Kg1dENTCSJ0cY7Nmsvb27PDcdnkywWVM9SO3K2pLhCZV0zVvf549d6b1 aZFv1j9ceq/78T3qqrvp5rxc53UhLngi6kvvIHAPBYyKhppv43DXklh9AM/akDeoxdyGKUJK3jPi gRKDxJkU8/FIQL2jAvUc5xcvgT8amWMZ1anN0RMKAwcsgTWchkgaALjZjjSOCsu3lq2zqgMzLH/g EFFrckiR0m0BehtAuOtoWD4qCF0+XzLouONROeXVTEnt/B7Kyz9mR3GNB1FdtJjNF9FnDrxZvCti /BJiJGceJsN3S/PceEIaaIsgS2LtL597pRc2HNj73BmVL2ig8CeHFJnpOtoDYhiEGpSb3++D3uBD PtAjzWNbE+s24GfYcC0PzAj8fhdDGwHfDxIZjOmxnau1mKgDkDhu/ocudsletMjP7L4sXYcD0mai x2ezIjRbQQusQlLc2wI4tEK3fFfM19Eh0+An7K0Wvd660mfFNDL9EQdbsveA5rZ1itciZHSLWbsb MFZD1YkAc2TiiUtaGuCpoGdwIWcDXn9/9o5uSQ4E6FfAvbiN1+yirpGzSK/OzAkpP+DryeYmNEPZ 0HX/uHNQAB8Oqg7SiZgwFz3UWZwvdYn162s/M2xBRrUHx3WYMG6RbcxIfWlE1Pi/+BwnDUOPqWDP EHYArtK6/IBnnczk9pbJIXNjszfEGmw7HDLOJP+0igs5lQCVHZsE+athbz4025ORlVceKb0ZAKg+ X6DTCqIe0RDFiX1lp9TLqGidE8FDAazC5pWjxv2EnhIjO17PzPdJBrDASQMQ5NsiAIyoThVey99X wa8zpwk6T258NDbEzy+cc3TtMNHnoUrcT4GNoYSHtsyGZbl44Ta7ECDiQkpktPCzg2BpvSgBFdQp JzH2elmCH1gYlFk5wZmJ+nucXXm+QhYYm/L3/Hb3y9bxHXugW74BJOcdPmOUrqFG9qspyYU4nr6P qqzqh4/kSUoK1qmDtEeBiSRbNvu8j07Pb9TV3XW2ikLr+EGc4rSylS1pvxVEnbknPCoWx6kteG+r kSWrN94vYYHz6lQsZUGFeZZXLs6sdpiec39j34+NIsfEEhEHptXeZxci9jqOumWVRNTwkMlqXBjt KVSxqc6PELPY3YmjHiqJ5nWcnNbkH7bdqDsyOig8Hf7u4xbnM8C0GWIExXu/iJq7EIcV4Dig/wOv YGlY+3Dni2adnQA38qxsY6pi1fDYnVGDzH++b161ORTB0geRA9Ouha8oKEWmR+fmxyfNPHrmcJxL 55vi0trYBRdMwGTn98ZOtf1/nFhYJ4/kZp+TM0G/CyuGRZXhiIVCEQXkVU6Mt7KPvicL254T0sy+ MGr5Q4mtQigav4zu5LDJT/9zpeCQjz6mKSB+Zs4mELmYFIfEYLKDVl2BQeUcEbaDBXlVtQgCtvDG lTSjbmbS/Our/NI58CW4iyErP6pMQhq9VifBrb50Fq6k6M9Jq+3f5OevxI8/9Mr0/Dn8pX1PtKAO GV5ma8zYvOqRSIgmHRn/wrl5fEKUEHW5D1rwpuRJo640HIoDp8GMf1sxWycpHx8Z+f0GjSAviM93 +JUuYO3VF0qdJYJIQQyhHFWZWxp/tB3Vsn5IgZygPrtqIBK3n383kB2UdtNol8xi3gYE9xx+e0Ew FVBO6AeGn3/zKyoVsYZtAUdjnL+vxzwCUNB7BDkMoAN4orGIPvH4uRfBhTr5wBLk/5SM5gWi1SeQ saXSGXHlD7diWLJA6GgJ/UA2eAgep+MXDkgmCZXLi6vd3phSJxJw8bd6I5bXYK1I1u7fpfbit7cg RSOZSzqwms9I7pZ5EoD/uODUtL23sEalIVpVUg+aLDHOnSE5xuTT3x3rGUNcE3GoStlX5fK/TuqS TMp2WcWsZHTQSwdQ+WGWISOR58DUNSiBPVR+w3ufxFTCT1JE4Yzhv/nBRObTmuVNqwQ6uF14178r K1imcHJQjX2IGKeFA8b+4BG40Hmxn7RBbPpbrRFnjDHDihNfMe8qwbh8gHQKNuqp11+YNQwy41kF /Ak+z1PEXNeUKhDDuo31jcF6ipC9Pk1uoY+ylL7BVfI+E5q7J0zq2y+AE7PrOW01xDYOOMqzGypu OeCdvC4VtLQL0jo84uexSRwsHMH1qx6mOt1CNfElPtsUcRH/0dUGibNQouTd/SA2Stbh/gRC3Bny nYBITe5d7M99OwZbr/KaORFu2nxeAN1f8YXspYZR96XOPY/cMKdcSRYqOY0pppwohHdaGlJIAHBr dkM0PaXfOtQhH9Pt4KxZECsDtpphCp80a04o60Cx2+zW2Y3JzhUIZtg318ADBP6P+94EG8nHCJw7 Xb6cCZU6cXWaUz/FuRQnCau3iRQNDaX+P7abQQZM/1RpcBy8k280dKlagEp6/cq7Q4f9Djl5I2zW WYxXdjLG22RLoj9mkG3kkxjcsnXDPu+YO8HqyJKKcu0UXNyKVp7V2pq13vGKHwWG8KirSZsLpZcU s9fG0cqQyGPIixg+THahfWNuSMheLrHMLfBePE46JXqax9cZVdMQ/L5HrNjfDZn/rPUG/kM86jKy 4TI6F8bwJLQf34cy08HnNQQrsjXSWape1Z5+15XbB1v6PHuXCkDIUrT7mbLns7TRc8LleaZXS+M1 O8krLr1YFU7tOmceg4bddqG0R/QoLW3eDq3QBmwesp8eSgpymxx0lV8QpPU5hX5tyLw6+f+rbfrq AMtl4tOmCv6LF3IWJlnYLvo+PBdkjInVH+jSkPcG70ZUhUa+Zip2oVjp34VPIDWsorNbSK7hPbST 4ORn6gK0bcCcTjTQ7WoGVHVcdM7sLCZQ33IcL5t3YuQ2kHvDbjWYdBW/A4us5VPDT/2o4a25w9Gq YLSg/Fnr2fCqp1avVrCdJ7N2FkfAzerYeJYLb+kKc86oLtIPh4TLxnzwyY68fnMMVI+QxMT4ils0 EvOgeBI05zYLwhRZNXLs7Bokv5S5PCrxIC7JqmkpifVCNgIOt91+oHlGFDF0pn4bb5rJSWQxpym/ GD1AmZ76J8jiDb4lstjpxQFE2GF7IbqGrXvGxctbaf1PCPaNR0/2izRENCRmn4Ma4Vl6jQU/jbg8 U8Dm6M8zp7Y8bNehQ3E6pQY7eMeMP+fy7eYPZvvyPNOheVD5f8ywIDf7J0h4IDYg6IS81xScZytC 1G8UtDKVKyDf1wm+99WDMa7DtfzGykXBws129wnhXG89gY+ucCPNjdczjAnO8HWpD28CgIsarRyv bWffsK+QhiljdG2mui5gho2aGlFMiFl8Ddp/ScNo0uosWIVsUF9Ffc5gG0pNNfoM72a2kXgBLn0W gn98M4AVtIfxCIE4enKtGxB/vzfO/mXloI72so+I7eaIgAnDGgo52JYit0kQ/CQ1nAoBv3FcxGJE /8H2ZgFGmDcIlSwswgHMmoas4pGZMz49bgLwUr/BzGIB64hvANju3SZWlBNm4zG4BomA/RAdT7OM 6ym23P7YXEWzlKct05YaHmAcNRLuJmXFZFLkcCQ1ruQwfZJActc5lvN/dDORsJt/E8bcsVlQGyW1 daD6MzOKslTPXPPUQECgdYmdkU3p6ZYXAxUo775Fr75EyA7Yw075ZHiraqLEEifmrWTwrz3XVgIe BPk8dwAyYuim9f5IVDQKNVWNaNKBK2z2Dt66e+/CPpVGzbXOuOnOEpDCJhdsjhpLZzL4i6AJBJvF qEEGT72yVrfJZ+o9YRsRPaBmjyAfELpvkN/FHwGMd4ZAuS4wZZDB8gvuSSwcdQNfQMWv1wJ4/Ost zTX8NQ+XMzmhpLrq0V7NSx5ULBN1nVmd0H3so7nND0hdmw3ilVzsyLn4WZANWF3MQkUkGMRJ7YHp sdPnT6DuZ1Fo6gEeoJUrr7GNy77P2I6L9T9uk3zYNiU5cyVUSnlXN68PCLcj73crYS7/KiwV33+0 6gMudBXtV6see4lfvlQCQ297gN3HwXrwv6wQYdG6ZAJKOoXJ53QzlO/myzzIDUhRHdi4AJCZz4UF 6PPVb3CHx7+rFRZt7nrKssEosIpD78uxLDrpoC5ZiJ4WhhUOe1GiQ7CNYPryL3c276rFvEAarQch YvSucjSw1GSvHwSFQ9a/cK/mVp9/kxddV3OBbez3lHxTWKbjjgNmczw7Fv37Q7Jd4crO0lDP2tSh QOeAM23cUuFLnm80kgWjzwyyvtCGPwuegfQsADRDNUJxMbDdTKiLFvgMvh9e9Q0bRz3/pUBy43Uy vloLj5Lm5n3cgmPHCWflIBWnf1eO2QQfsU+IeMilPlD3UrH0iTbI98pffyor0Mjfj/8hOS/F663+ NpVXYcygnGnn3/GyFK6cszj0rtkEv6WeFsQWGoOfvqxaybvS47krTc6nepwUUx6XvezLV9dp4fr/ ApH0VOFtZJYDLvk40sH8LfkLz410lvAvA2QNq4y318GNg/fxb8M/AXsSZEYNdYQOEElHBVv2IHCm 2M2sKlRLXjiGBXPq3OCYJh095sKrXT5M/eBzhCO8Dh2ty2uI3Pl9rTyP/7sQ6gnuXv/8xCMuKt4n haxCirqQjYjn3DnmH2IU/AQNcOyepq5aZ/wJ50vtrWItXRqcaWA6vUOT6JiJMyq29KPIrRXBCi2I 4r0UJTDRUIBSOAU7OkgaEaBsfcJlz9enREiwYamDBD6e9mKsO0A7BvH5/bT4C+cwP9lKLUalS3vX wMggvefhFKht6oX3qwQzZOfWJQVZwSzUAdiG4Ug08PgS2+E9aLlOqd+mpKfqhv4tjJ9bcEzwtd/V lbAXiB6kdgDgYOtuTzM5c6nypGrqQ8HAkCLZsUZc9RS+gEu1CmrPzTP6puHezdPXfzc8Be30Tini 22A3jpqwiYQKItPA1CU4wmvxe+wZd417NM3alz8GrxOBKViawSKUVDrYTEPvPSRVALkXK5d+1NJy JmU8kY9d3Dmh/XOeJZKXhHIlxxWhqo4hn4yDYFLo85th2KvOcJcqxpoNdFFuZjcIAiOCxD6bqieh rSyoKkTkQ/e+3HnpouyzJa5use9F0YAc2PmW32AKlVVtMD2tgmek5r1H0u6lwhuR834bebKk++eU 5PpC7srhdrPJsTwhKPSbs7d3rEEiLCBy6++kC1B79p8sCyY/h/v74OJGCVtygBx0Yg0gFd3xI5H9 Lo3wM0nBOXqcYlHHQ1tGVNqCrj15Qq3PRaEdwr1ukAQWSjoLMatdO2TA1PAmQsf/HMiVGrlv70/N 1mb20bzXvfIW4StLv6RUq9b/kZH2chEnJUghWJ/qM72bAtfXhwAlM8+dHaxzJfgnaUu9YTCJZzvb ek+JWuRsnKkGfX3r+7bYCEyCWkoX6NYJzP7cdcU31YurqzFlCKgS6Lugcl5YuOo3irj3b2XYiJ71 WgpBoEi6cBg6rzT/7KsGFOvrtPVFS97R1izzkTubiWcvEEzkhKRakIbBGeN5cYtUsDc9S48uAvh7 MKy+QjEzHxyyw5oN41O1af8nXGLNWJnhgTKwqahfdzXlnjB0Xp0gk7PEyIgv9vVkef9Dp1WMhUL1 WRtQ6KUFOGEN37CuIX0Dj0n6nAv3BAKVpucDUsl6hyppGfdXJgkLRmDAJox5tL+5014HL2/saHWD hYonRz9Cf7ea7Y+QNsFS9N447/antt3OYlbA3bis0bs3at66ArOWfU1iunl54hz05Ml84ehhAsYY jeECjq6g6ENGiVzcM26mqhSr2YGCAtjYi+Uq0GxT03+yzC95kTBPHq1ZQ8guWpLWjJaHYDMSI57l o+dOtQJYq9ym70Wk132R+XzN9zZ0ELDf6ge/mGPUN4eW/HnqX/WXRML2RoC0BmFdICCkXDhOxugS 61QVFi7e9KbTwgurYVbPqNBwxu0ChSedGJQ8baeXK3hndJmVAStRCBVkQxRwv0zD4GIfgr92L/Ma 5Nvs6dOKfMO+qAh/aSisHigLxsjpOrRj5gfXdk/adqovWb86WWi5FvDKjxzmg/8Eav81wYuVdyuX c4OuaKpCqvWgl/3dgzyzqCmX4nfXIzaUyItuiYLM9U58rvghZ8x46tIKloju2KSSn5VXwlqwlC4T 6xZLyq2ijmT/nO5taKzui7SxVbe/7mjBRj+XZ8adHI21QfLvZtNhAI7SGw/D08QJMconch5VDwgX Jbmg31wEJDiPd4b44HM+EiaShitMolS2VQv624slvApdIieQQ+yj01QyGGjjCyrPYmZ2sitxbVCM vWbnccPmVOI6A/sSu/ktYkrcJF3D+FPHKlNEML4bobrEiuxI/AlP9lYrzr+cR7ymAoXDSsyOdTPr xei6I8fenfUBdSdnr5PoIMcixLHVyeyelP5/graCWRaNTDOEFUoQSQD52/PgL2DfWRzD0qFFdNdt IQ7B6gRx/9b6mbn/y8IQZW24BN6UWP9Kvkrmi4ioCpoIRT4f6K50HgjelUGT5QYTLAhif5rBwVu9 XGoVye5XWJnMofxQV70Ank15WugEHRf10YH9B3/P51n8FtorCrl70y03nSzcc/+bQs2OgwZwjSwa LPCx6dZAL/mj/UzH35f3U9VhFFaURozagw9/CK+j+lrtu8c+hAV/efbDMbk6hibTvt134oLVKVPF mLMx75KIfgVjSaOBDhZQLyQhn3pzg8RmSCpeZkBrnVDTGxMgWxmmfCj6URz5pvIFdv4zpbxIWoRW 8iffKrHry8ENKuT812/tIga5o8ssLV1eObSrytsLeo3fCHZjUPtwlYh/2i04NHNdXq9N7aZ/Prvx 4LqvAPZpMdQFSf8ixPzYucj9L6OVu9FpjTx3wZ42racSYQ1edOu20cr+d+e16+r7zsDKpgrNHpk/ FMLgkfuEmNdP93zQ2YsfiTpchWYSdWwJXEeEn/sYJJOoO55fVYhl9Bbx+PwinqQheUBJ/6Pfocqx qxrJofpryr8zMdlo/Gzx442sZtIjOqAERVHnGE6rxPqMfDIINN6rYqdheg3U8gSyLfz1Ym/HUqfN DPVF8MBHaj+/Z8l5mKiGyQ7UT0oWZ1L6b030vQ3CKY5c7W9ElgbpJVnWOqzdvb9dV3MYZidIViEH e405p+PxW24M9+mKs1/yKIQKYSvq590NJUbcGxLZSMvAhXpFRlyWm/B6r9ZdD/GFsbTzjjJyTBRF lHSG6ruWE/LLvXeAG0dGDxMk1fbsHgbPC/RnHCCP+gfPb2SMmD2/rKi9DhTnS8JNBXDYScIfCrUI OwHYUzzbr9YsDjO2IbcwqIKZTdWBF2z/AZpQZx09XVpkGwXWZJVB7k+kdXxQ8G593W3obiLQlheg 4zki32gR8ZEgxD97Shtod8igM2ac7QgYQAQFUXNod1Wj9Gqchcs2Z54/jJvLCbTOhjUZ2NMdX/84 DoZOpiawHVW8KiIEkskvwbhp+n+0Yc7u41LfMow5Bxzg9XyRkzCbmU99+nJsTGr/NrFBnwjRwfiu X07f5B9yZ8/DygE40YLENKrIImhNS+K2WCvImy+JVwbdNke7TGcAUQvz9VjU/IbtK1+DgVMGD+lz ptH3ypldYerJhQQuq+QHoTLJX8Dqlj9GyyCOVCEFUaXkc8BYcybgFlaPajOkSts0ycAriBFsvqw+ g+8ezdkyHj2v8j3w0Zcmi9aIdKJQhkGcaejuRgFvOL6+HlYPZNxbQwgp9oX/m4iBCI0b9keNZAAp v22aTPQovUuSYvC0E5mUa7TlmxPz9yC8h87x7tbR8XtWyi8+sjssG22NXg986iqql4XVr+lCvvd9 iSzjnnB6F4NhQV3jWu4oQVPR3jCxXCqJfh4jIiLaa0WXKh9ZxdtzqqRbrSpqDMFtmLhuH0GXr0tA 5YLRllAvn3zMPkIUfJYjfIxoQqonF2BkmPy+hR5eBM9XM7Tb0c+5S8NzhcUT0wBTrvT2OxXtDQ4a w1Ny7HNOA1UjDBGjOcVDgmudQRRR3x1A2EGuG173Su+NTFW//qUhsqrzPX+g7QhNewxE/pURwYn+ vzRbs+KkroJbNPmzgFTTziCQ3fCwFQBWu6gHbwsjwVO96z5UjBybuwLpENyzHBhrXPhuq6yJUY1Y mHNPezha6jX7JBgxMXN7KnZNecoBjjzXN30mHLu5APH3h8dJWPM5uWhLK58KYEgaf9wZTX5v8kpd bDUBV/viw6CuaLpGpkieVB0gsF8kJoOHkB31q3dzEfZxy+W2MeVRxkeFIjBSG3bqu0Iy5ZdrmqrN oyb/XWK10cCwE1oPFy/hfsafO52mYMfLaZ2mwRlq5iWO6DuYh+QMHMgw4NmG8SXWI7SkigwlQdE6 eOn1mUp9ve1VvYDsHef1v2SsEfVG6+CUwBfWGhITm9SDZ0NyKoD5aOlT+CIoI+aGqD52lcz5XLiG FeSkbmmT5Ho0aIFvWBmoDKu/HUOz8b9EQhAyEEJOp6nViZBRFAv7UQl25rLJfNUSWWS1Z2LCv/YL rW5/bDr0HW+JRnXhzIu94lBvH4OLN4x8sbY2Uc/P53C/ETWUFe3CZ4+vkYMKuc6qS+10j4yR5mhj 9lHTmxPWxpPnJEtRxFV6cAy7dQGzScAOTmjl1allyHMpUpMdEFe/IqXWaOsrbb8imDnuPR2/StUF +MffCooin0NtH5xXvV9sAxP37vaJ3ajEk5GQyX3Z9G1g4CGIyWRjLLYCDE2ChLtApmC+59qUN4Ev m/Y9L0logVMiHKGd9TEv3LHSUtUuxlura6I0ICE9WxNIxpfmNhQX3O1Uc0yn3l1E7tuL20ghN13Y 9uVQpONMzljn24uQwI1q5frpzHfkja9w6EwkOfhOZhUdhahJfjfSQB4jQNwTOhxbRgeXwkYttF5G UlgmILaLs3/ixu3UbTAQcjmTItufqS5RlCWAgqZoabG1QpUY2psIQpoJpEtZLTC3JMLQ/xddkrPq 6EryRXS7DKqyO4nVtOjtcOoRBlM1rCp1Xi7jTdgkOTCLp3j9f/BK5AdzD6yRq47e8h4jgHhnxO+c i3XPSrhj3+twuS8Zo0TouBvcZ0X7LUtlJ9iEqQNmH8R1o5P+UsnGMu6V6rRToOmP50al1jfPsHCR mPhWrI6rBMOTmOWtCLGRvFwGUTgJ9pCuMUmQkD2j9i+O9c+IidPAHyA5jVUYQtAtXkbiEb5Qi77A 1h9pHswT5b2ybwWLcpdCddI93GIJVMiDnn1GlYWlq3N7SWX7OVi0tFKmz2F9ev03GNi2gH9rGHBV ezegBQjr355Rr24ViW1c0YCSae/Hgvts8v+wah1Ax9PQ4KguNP2Rqn3h6O0k0CmpHhmR1d4o5VVG 5VkAdPlgb8LJEDKQvdmYRpH7/eghLfTwIxakPPZn/HXAZ80sTQX56nQiBF4j90ZIOqTgKtsk6545 SqZEkHfE5LFFZXbBzJSjo8KxxZyzx/E8FJTPtfX/oso/Phcr/n+csK1MhYeT76JpVUq5oox9pBk2 6gX5j5Kn4yPgeN/yJGbBBqCAhChbccNMAcIYqkk6kZlulCNOvOuf0tlI7YrudoJAaP0ybBVozeOR gJVsNZUpYbqRU5uozFSzGgentYf++3jfdxvuQKUCQFrVUPdkeJxCdsy/cj1QzfXTpphNMRwn//6o KLGIZ4DIY6foTc5Wt74vP3Mpgxb09IRovOsHmG9dQpalXIVgo+CxfILOqLO/BcAL9FkzZTeAAN9y 8S7IdrPaNaWqHNLy9ipv40JO4maFGpMMhmtodNq5ccpwZTLCVSlqY4qW1VFGU7f1/6RXE9LFYw7p erTIiRAQsjOKK2WUSb/K3HbxDv6PzCKh76XAgy2ZwYd3sMUe09XIrQJSdefNUo0WekT4K+aGiApp lom9NDNXavtj76++Lxzj6b4EWJ+6An2VZo3h5zpJyLLrbVH9dySrc8/Rh/dgIAatYQc/NVnsv0cb 7KmA6Figg9MvoQp84naMQVKWFqXslRdOPAwE4Vi4vWxPuDeVPc9OYH3YA++PELYWTw3N7lk4m5wG h22owdKxBX4vHQ8ykTzrBpSrtmV1kUkdg3Jd7JeM2gmTtgo4cgT15nRkdRqTpOosPS9KaVA8bqdf RNgW3R1heKi5iXC5z1AV9PvSRDY+LL06U4PcavcM3uM8ZsyZroJTcGgxIBNtcfLeNVvnOvIb6u0L vAlTmsV6vRKPO7Pl85Ui+yBqMEipLMddsDLyPl3lubfauRenW9lMl/sRijSGQeynZB6ODt9h4dKM 9zVCT8lmmmwDaWo95GaI3S08CIy9Xj/3b/VPoKqN+tdu1JjTChPWgf9L1eGVA8RwFWpiSeY9VGUB YJm+rxZTlPS4VZOpftpCqCDaauMcxuDwX95guW+/GzLfzxDsXtlvFWujPFoaSy4xc6MpgYQtYYCe qby+Y4W9AfEkMK5XkcTSZ/AS+Dsc1y468j79J+H3d77Eb78jhh5yBgo9nxKu9BV3aDN3g+ANBcJo RfpzVM1Wpm96SPoRo9t2VPPhl1vcUDCVc0GEDXv0mEoboGgiVkKOaODIwdEf18vM/NzsBCrS/NO3 +HpilZZ8Fv3Z8XjNmaoaay7kqdisuPAoaiRj1467OXF2ovBgJPyzPbIkU9eZjyza8gvtPdj/1KPq C6pwC9apXPwNeFbLK2AGX/QBOUwrmmR/lf2gCR0Ji7Ckfnt26d+0BoI1UcArJBlaZ0Ap91fEMWR5 A+fZ6570chZ0+KtYKS1r5/9K+4Mm6c8dgjZAQ4muH0i70ME8ab9RuuqoykxaW/ys5i5uDGMj9sbT F/d9HnNVpNu2gJWBMWB4ugiWGI/bxg5dZCCNtitXE4FE3ez+5EmqzAA5MGksugXiyx4Vl/nWxCz2 eXgZVZquq65VCFTAHs1CxTNeHLeKGB9W4tNMr/SWBkmxeydfMhtKfj6q5M12sJIu+JACxL4dqptT f4S7s7DPHFdj1Wx481AW4TRiMN5lAgKsZXrEuyZcLNI+xfZ2kPKX8248ZKerdZyra61m04u9cCIB FCUfSWE3oispYp4J6SgfImoJFAjLOpX5JHuFETlkAAzusCokVm+RAJfQ4m1lzjNEp9BkLvuG0G30 KlwbMILg1rfUmfFsaXY4hx4y0zbmxhJX1oOZeVbR2acfPh5acDwRJBees6mrAem8WsuvQuGdwUn9 zrgrr9ME3IwW3oUMPS7/aOOTsptoARUIhXqGgZaFjhGxiR+FUpWVntV/KTtvBeHtkNXe30fC7dQm u7zdSHMhK+WElRgfO7PDYayRUhq57eWYG040yg37MpRVHCcDaEpU9aMpSBCF8kXWcfVNvwXUY/Hf mExcpwBtYj3mdHPBGJ4EQddnJhI7aESEkkzxD5pDvbS15DeFIyxPbKnTdUagDJ48sWlRMeCBoXG8 N8Jgit96uSxtr/u53460APJ1zBEKX4Ns7i0uim8G9reOEbpy1V/Kbm7Cye3CCcBlOIyMob84uXu/ l0pBmKfdTn/KR+bOFiktQ8wlrgX7XkBV7ukJg1bwl9D5onpzvqSe65mtmTwzPujMt1aOJ6gwv++7 YoXjgw7fSibUc4tNjdyVWddLD9iICUWT1kqjjguTDqg7q8n4H/w9Cf4rijlna4TvsMVO/kE9j4Jp BUi7drcX5Gv/WtMn+AtycXL4jkuIQEoa17MBcVBc7fzOPNHwSzeRHPKCZlV4IIp2fJ82XYZ8dNMZ /QNhyTrOJw9rTXAlC9P2k4Jrgk6vJ6JTKJfwM6yZX7K/yDWfu3/MxmpW0K0PzDPuXEPDm6VNelaw KoaE+UIwMQZIbTSgEmXA5L4+CzjTJzP8Xh5JdX52RQ+8pk2gP7XRRxFY+zUZiy5JFkj4YO27Jnsf yMFa9LpwwtwFpkA2oNOCs31kU7J4L833zYbsLCspOI3vov3CgxNSYe1oevZeJx6VY5YJwGo1P4hn elKkcSV7fXIEnzpFrf2MPxcWXzlMUsszeOwHA+ytB8I1N1XHZSRu6rn9vvQDtn2ok/7YwznGpWtQ X0xYc2Q9xNhN88foC2VVTauytrFcNeptlvx5nXklQ+kfPpqnif9ujcEnj54VxHPtHJIOI//+vSDu E+57oWzIRuvdBGRfH7FIxp5Wq7IJroQRd6Cxy2ual6fw+ZUxbyiEIhkXte8vsFsWoclKZG+uKrhr DG/j+2iGpyemNtL+0sWkPdC4o89qMOR7tVo4r9ECQsGyrwg0AlsAKOivvQ5/K+WHH7E7IqTPEEXs LlhVBAPQ3aLiKPqx68nlSrB3+GlJ8/XUlr8TO7hTGFnSYO072xh/kNbFAIFnZH7KtR7U9rlnt9Oh nEzd090opDTSWg6Aqyee2zOLHSnoifyzOMikWfCspNNO+pewEI2/qmb/O9xtpBso0k2R2qM8ue3n fPO3aD/e4gF9YASCnnOhygALUCLm+1THVQjGdL8HRoGysI90cFOXuGU/dXhPob3yRl6ilxJRXzUO SiY80PjBDOYvr6whu0nv2DUa8boFfeeMHdQH7veQ/+ZxwluFeWOLzNCKJouSwlHcs5fPavj62xtP B2pQbr5PJ1myYnxBYOlIo1QJybis4npH5BC8zMcen+k4rjIAjzGQrOwFcQJKelHsEXRVS5hk0yEG eh1rQf3qfu8FJkDD7cJehNAmCyzGEVzesv0G/CJ4PbkYZ4xWoOLDv/9Dlngx9MAd4a8Ebb85CH0p 1I0ENvfjvIf8cQ2kHAsApNJ5yHuP+RdNAr8MpkBOXNF6DU1YnBubpuhnCp2s5kO9CrlAS5tZBuPA jaqBioyhNwGpv9hRlCXenKqWmBMAgVtBcUDG5W4WqS3KoUaSvw8sau3kMDAW55GM0aPYRTzHDmDJ M1viQ5VldL/c7npRIOxSibh0rBcB7TJ2SurC5UGMX/czNT4hDXkZOO8IA+JGucGdXiiXz699L9sc wes4TSU4a+Bo7wI+uAA2Y0P5GK9MVKUt3Y4tqXt+yneLXTwKYVYQx+ba+EVykiBUH8ODhUEoiDjs vFN6p09D22KqfbnSmo24i5qK0Fsv07p7633jaTA1XToE/sykLmn8pssCDmetH8ECrWy6qcZCekqv Xz/GEA1ZgCmMxPw9hqCvqhE+L7P5a3CkjkQOrPdJKijTMosJdpMCqCVy/nA36c4bHQuQiQpwbeef CxN7c1lNJinyY5wwqnCC6ahg2ZDU7h9IrYD9fYFuLcrPrtUio6/mt5k/Hdgr2cRHiTQId36YjzT/ /fvuDXGKGDWK4KsORU0irw2IwhciAP0guQd5T6ZFusKxmfge8yy5n46xsGM04vETrSvxFQeKUP8L lBz4UM+dO8Lrei1paBReElLVe56PD4v5Vkv+wyBx8pwq2DurnuzGWoe+enZnMzBM7TOxayXwjGSh dh24AbUiBhHFbP55oSVkWnwHxjKSgcbyuF23C2Y23jfprATclabgCoB2EoilKhDmfo2YWbc2RL1l MeQGExXgG1TyDIxkcXLv7r6DDL4qiDw0J2+5K8XtSWw71hKKgym2a98ytUDNGorIfiU/+4Zg86is oUSwQ83M5KDRkYpB2VpJuIoXC4KT20k7jR/TFpew68oBAsl7kz57ZrPiQO0oQAbnlToN/HaDMjin 6WU/1K/tcpfH8eP0j6VybqC/MgTbVMTBxlTp2MGfzM0h63qT9r8wXq+k0mfDpPZ2vNpg/HmKPn4G 0oOpyNYZTC9e4zhjTJen6PJjrdiLvHT5QGijMKs3VVr+AxFydI4jvbAB80yp8qMGsFZ/RcW1rbCy c+6bgX8J6esS3zAu8pMK51TIl/2J6j/r7QwsJCJZaGhm9N4pRrzqi+kjlZRv/sGfRdH4SBIa9k7e c7l6G1G9eZzG9/ewo37P6y627JLYIPzfKhYN//riXgVCYwMLTN3ejsGr5mQoLnuLlwgEMhpkHos2 QEXGP+/Dq90D5lgJVXmib/g+1UJU9GKmSEI74hQcQc0kgN7+ZfXvw7OEu6wY3JnY6Kuy5OLFKoqs q5QB0dz2vPGDgnEpM5Ojb9hPxrpnz+B6O+PL0u8cpsFOvHm4Yj+8DhyKhTAWNxPN5humHckVseJk UNBCDO8EU+SrslFVsWIgVFWdlKgCcu3ANB0kRB/T8xKpazykwHMHrenFmNiArsyLL6mIS+lfpNST kST1dB9U/NUHLMg+nswiLZ1Q3eiieIDXWK1k2urNkDBd2/N9zbgQh8OJ0EdEp7QTB9RNtZIqm+m7 krKZqWAGjDgiR95K8B1+3x3whywoVASSUyuLOYxE62pyW2w9knIzf4BlskuGAui5PXQApd8WRWYh aH8FoPbZYzQSxJSp/EjX+ypN8q/vtUh2pup3DZt3wFUCI3AzjZcKQ0pYl35/0PbONFrgnvXobMX7 C56iMguhLJOTEs2K6kjmkPRNt+15YraRD1Porr1yIZgDxsxwDU/Qsrm84aa6cFcl83DHooWKJ1QM XMOn4EIYEUT5guEr773UzS/UYCVpr531TZ+n+cSv4XUu0xTneCnOij8WwHffMR4JhrVkj3Z8eLA+ x0554vpiiBLwh0LDkWD7eIj2VS1Kg4rIjfMJYuDKCTRzEV2Z6IvaqqAdwjoUtQn8Sto9WDt4mbQ+ 2oMMdz8iEmb7+J8yx7zdhlRm5ZI67eWEM/7JlVlfVENk9KF0HDb4KaPVD5VhltpRz4ucY/h/TTny TkmrVfaOFaBZSj24cEufxKl2JtD4T1vOsl0Ld8hS2KA5vIscSM0tIlmavnL+58BMAS8isJAqLXDf zPSE4SSvuGkvPX3CY8PFtTIvvL7WQschyy7L1XySe0fJ719FpNdhcfP54beZsYcCNOkKEhrSuegN 5ODVCWldhkX1P+dxoLSJVqiosbrNlrQTKu0HU1sIqTMou6MHY6If0L41UyEhRrE6Kn/s7/5Y+qTF JasA9o/OJ6xZrdX3A9j4/BY9zPH2erIgwaGrfZtv6+dYAU+HFOiAXQTKCaz3Rg+c0xZBcSMyMPvi FeC32BzVq4bTenRUlVnA0dscRI6MdPmsSKR9DCsUcdkVOnTb96G3c7MZZVuWZ2SGRH3Ju8yWuLEd rCzjU429KnTxitzDwt6tHY65qFFL5aqWNa+U3FOQd1TIgCHLLrg9AS3j40r2D8RJU1HQPg7UYSGp LxTNLKXFq0gM8FSqMtr8BMXbvv10rahQVz7i3Y2mAaqFfc1nK1+a7AIBPrcnQcoG3N/N0i1ln4AU a7ePLsn8IkmEW5mekENEJ71/0MvWFsDgQQHeGODbVSYXBJ2LGFQHHcU9Yz2Qjv898AhLs7P0K301 Vh3OrtGgMt1Yb1FXXaHG8ByJ6W09+9EvxPQrK8DnheMUtHLo+ebS/5PZrVjUb5tyYkSKC8labr2E X6GTo6PHyXbaskMq70bblEz1A6g13emKJ+tD1O+fovnLUcrYBtpF8+EFRS1zRrQoHAopdu3NCZsM Ti+Ug6aAPjEwD8eklnstLK8aYTTKqoQyjXcm8YB5dXzAZNzHTc89mD8jvsww4EHVQ546UxdH3jeu fmdqXNRsWDeU46pyaRSQ5I/bEhGCg4pjnU6ma+udlC4E79WzMejZh1iV4VIwkL8Db0Dwa7hwFJvJ GjR36m6cSU9ZTT1j07jgiYH/CnXgqapusfjTWMJcoTdlbGqsZUKBH3gR68x5q4lMt2+xG35q2zdD yYHPWR7Il/oIhU4YRUUN+fEeNPoZkMe1CmlPuOS+dImWG4answNAQlSDrSyaZug150m7LE4PYmwo WCd7D3tNZ24jCderXiBre/bnRGjxdTukc0/k0ev0i1akxjmgkHQFk1TDRljT1i9mwNf2h1SvA8rD G4XzgBJSH4PPSJt/Kj5Vl5Kajpba94mS9eGZeTqavY2hcLWNciQZMIejhavndnmom4SBGgnBaHPb sUvNV/dVeHgSWtHq6PXWjUoWCbZ+ZVfbR/DLaivfqFyF5UIQeSnjAvOXeOfHJ69O4+LPBN736FyW 5bZCBECbwgKLk8A54D+48Xmu6nNzAKjFU+IYs0KvIG2G7PjDEJo2Ig4H51KsF/c+OSBMCaE4VwpB //Lk7WwfwzXuqBMeayiiWY9G92tIBT/3nGjqQLIzjSXyuPlo40NOpPRrKrYswGVk669L1I7zps6R u5qfvpH2PMW+t8SJA1urwKsFY+g9NFsj3FISq1dhCkZaYp5MwXbH2noO72EcTmLUO3RbGcPreUlU MqzDE8Vfq2WpXN0rvd9wCfjHrTlDzCexy8eoq/v0CIWPIa5I9Kvy5u9W/omZmzPnOi4vYHWw3194 +FIVSyMuoERQvxDhFZqRNi7kH0J3z4Mge6iMr/jc4QhLQdqX0RWKEyuML5Q5TgM7Yr4+PZ7in7bY QLijK/bstBxmbP14dp7O6p8dejnIbU8cbv5L8bWwtqSO3cTpb+3TQC8Yotgh/T3qq5pdjHNp0Ghu EdYEaWpuRpJkbza9cSv9ONRwJkrAh30LahzCMtHfXkYGmF4E5fXPXlvDaRzL9MZtqmmX/I1bCE8z TOlqdp8rIvStu+Q2qra3StEnCZ0uS/1LKVSCLR8gEFlWC26vQ+D8CXMklBboitDckGyw/yu5xgX/ CbTTfA6DEA/rJEtNvsJuugEoIuueVcaqLlhUeneAyA12jmstpk/d2+C2JEvOnHOPdkBxAUTxGb0X 9BXzWy/XyNWLgu7UIqZ/qpdu9Jsk28CNg8YlO3a7PKqG/WjgTK0tZAURoQX+bXPcRu6r5aY3bm6U /obXLT6Lub6DZwmU67eg1Q8xl7WBGz9GSEcb8HuNEvrsr4oShPrygoh8wfBrhOBLSp9o0ESdFs2F W6tM2PGJrj+2TCbcgGUA6egaQecNhj1feX99aYmIRgZ2Bm7x/jmsnOBjEopzIuHtwMHSrO4ep8Fl UkrIfwti6bxNqIh4Mn4oSjuULZWdUk5pQtalMrtMp2BrWeo4j76VUTgTxIKsboh9/q4PSKXr4uxA EHykw1Cxq5MGYRYsaQ5OaD9u4tUmjunysH8x0CQUb5XmBD5TZegy2YzyVfo7NYkyzva0zI+PBk8L 9Z2R9H2jVx/HHf9wxCFgmUsEBCK0z+DohTqMjpOy/V6NBdCUjXwvu1J4U/i/jVYtDDJ+eUoPANuw SW2vLXwxF6XQuOp+fdRsfsNoYiIIsDaN9JOkQT3fy1HUjP/KjmfVbn06wpwJwTiRYa+fzjDBtuBG fld/k150IyhmvBh6VNjgoA45OWe2mhYtG2G+5txC/ocYq203Td0fLuj/LC5gHKypCAaWcJJNd4hg FOf3xxR/UT/nltxHhAWTxKiN79hyEplCNCQTKetYoog0yt5gccywXHrt8Ruu1q623eduABWiAXmL fbFyZ6rtMAPAGY3Z0BimyjBeoNsVxB7UM5nz8bxOv+1hVi8NGW9Bvy4+VBMD+gjBsHqI9XEA8KdF Ap70pLDjf0yitVYUiQ+h6g0bEecV9MO2A0rxSeGzauXrqoj/EhxNOcUqz7+13BxNkATKw+BaZtyn MSkmcQzCwDZpHe4pyiHAcvcwfkCoDLRMPtUzFf+yaVzNtmdN0eev0kCh6RKYiHaudq+ONRuNw6qM fFGcBy5stg2eKrbw5xXYWxayg8asOV0HCQ40/RCRWS3KNhs/MKJ5njYhbraqaZjbyFw3fVB/+3c4 iu6aZ/dKmlt7UGA3Vqf6Vp+Fg33Yn+Tu+aVBQaeeRdmMNK2pdSU2j7Viq5c/XyleMF843GTkiYV5 luJwpmrs3Bw2WHyEwQ0QIURRlax7rcZ9ZN+FPPrK2oAG2GuzYLcpEaVufRvyQZiKm88uSSkw73N9 YTvbt7kGml2npjqkvmcYsezKEzpey6FHYHGYzzdLbdeYUZH8oSIcLoMgvFPwHJtq5sQ6SymqExv5 01SLHGRKndsJKJtlXXUsOWOpcDw5j1ADqZ2OFFkjOyZ9QPqGNBKl6HvLHjmcAX8dYgtZlBs4U2eP FfRfCe/ULVi8h++O22WwyMGzSgWQsBuW05m7yojIZj5BjNN48eEkjnZZ0IeyM+U/sd3+ltgvtAXI l74MTWaWP5aGLa7rCObTFF70qdjJWRc4O7xwSa7jelYRrVs+y5QIfpHtk/gTdgPw7RQBHn9UJyEG AuhjFMFYVqq5tVdg+6dZ+UtLKpHLVY4lnKMgBckgl6/pQSdGTM0TZUspB/5rA47m/WmmGlJj7P0p 0yi8GjMd9q5867nQM3ZIPw203LJpw/4x3rCG534io5oAzqnlxBPVlVm0qC2woOFzMgMj76Znfhr5 fiTj3RCdtgJsj19b52WFKPoqaJJhgIe6hWe0Bb/Svzz4NFjU10vu1SY/ruHL3cHKGD+rjSjkti9t JKRnoP1wB/qrCIggeeqfdX9wGqEBZ9L4ldnS1E/rYf95MSndAIgyXs+pOXgOqucZQOo5jYqjhYjj edRAR8R/C/lGeJefb4JdWFZWAxLTB2XQN+52y3EMFwJ60iptawmNSv9I4WkV3drTN/uSRODzM6TD bOohHhEGCQboQGy8q6GWvaYSWtEULxe+ktL6/H/i1PLR8BwMeGNRJr2prH+QGSj6a3YQBBt9ilzi erG9sJLgEILTBRgtNUeMNxa+NikjaGb7OGCG1gc73gukpBe2BLkp38vAXEJ/wsgnqUF3FEaSqtEI SqZLBDmljTlVQu4xadol+VIrFBF4UAnLHkEXjA6Ny6km55Yhx/O+Oiusbbg9Urq/xvtpV4BzF6s0 ziufiTAJKpCKljU75QieJvv5Lp/aNN0RWzWg/lwAeZeLSMVz6AYxUnsr728cFz8Tw5ulxrzPbc/J 5isPMCDxYc0SvJCwdp+qAkrHJmRhqSFJHILqc9MVycWiE1r2MJJ6e1xe/HcEynhh1I3Ur2hVvWdq j9lM9oIWwU/Vt3zuwvS395eiXs8T4B+i07gdRvCoVaSjrCVco0431Wd0ACqBUwSURtWlcY/pRhOM MIdVboN3oGUCwhqsQ6NiS6INCaP+gzZMQI2gzU/r+aHKFSbwtlHZ1zUu71/e/eLjsBbctnkLZxOz BWdW+BNbidovmNGmFwVwcw0nD4/tYul8R3foWgcBL4kEcq1zOeIyFUsXHxLSzPs7Bgi8EWBNKeeO k7xVGzi86ZAmz958yrldH0dKxkld8q8ogiffnpvMXAzu/5XyWhBKv+XNPi0IiUPo4eVlwDtBfhi/ Yr94QnGxghCFVRJt1l/v93vv3Yvsm1QfGZ276T08A3EMCEXfzY38yx8bDS+lzCYRRKDP6Ohz0Bss 1TRZg2cvEina9kYTsoZElRBKXDUxPBF4onMde4dSj4wlLaVEpWOxG3mVGGhGcv8YMjn+RUx73Y0r djv+ipWfahD44luSS5XCRlSQzZxTke8aXpMaOpSfFhZK3WJz3rJI9zN5c3VIS0pOJOvYtV/OHyvy k2dtH64WAJrlZ5j8RBZoAnsv9d3aruUpS7sZRmK/74qkhgZ11qWGjoiKb4+a84+ru3IkQjPrmNhd xrHoUE0yIDp9QRrspfp8+91ax2J0LIduDZbcxyjyQkGd/Hip8d1Vywg+/ZCZmv4zEpglDBEJqzWc /vA42l1J0MGPEroIkGO+HleWOhQknGh00n++BGbPDvEGga9xNn8PO0TAyv3Zg574cJbBbkxRYHgM fFJly6+Gxi/qfst8624joobmoAMWn6vA+rFeq/6SS5p27hlXn8tPu37XGio5MavYIzKovWR3VURF LSOw2Y122jhQePTls7S9QG+ZLZuLVd18gMABTlp2vT2kfKseId1U0QpHYS0C2K5l6wt71P1Irq5b oS980+wVaaLB+NYx99zIUNhQ8htvhQrYNa5/DTdo3Rrv3YnPN68xOaLL/XqBaFY3az9fhZ01ZJX7 xgFYR3wgiCUqA5Rvm4s7YCPBwiz6BlqPhmglAfRMqbFboWwaPusgU4SJpikLaJnXNJtgPLCqpxVc r0rE7SZuuig1Gy15mD1jFjmT4TT79XO9nNnfqmVW4oePxXr48SyxCh4TZS9rJXv6Ap4BBPTtfDR7 uvyuDMjaM82VCqNerAr5ivksWaivHdNZq44ey2aWS7iO00vJfSBeKNSeLODta3eut9ZzoweWNo7R FLbSCzlsxse/qklgTuSQ4BXIEjNvl+xsdwR6SSMfD9GFouewysDpg3JkXkSM7/s9tkoQcY8yFKvz xX2r01Nk0dDZYcEmXnydNqVwO8KFf22C/Q7taIIMjr+CwSkABgDl2Q7qZelNLSPRTVcWlaX+/PF3 vQOFwDG2PD9ju+lyCspk2i5wAiNKtbq1butNgVSrCHI3w47PGfq5r9d/+DrC41JdXkmrInetwDqx uyV9uwyZiolaF2oFmziZRj2u/OZidBzvUf+e+wrPfZmiNL1xk51S0hLxtQu+g9kTU8hwqzlQ/D3o GiB2H71qH4/IefQ0W6Ka3a0FpMAOEmBPW9sMwuWpohidNDXSCUCd/iHTTC6FAMv8CtLRf5clXhcD zmeG9LZQqD9XKKJBDdysbNIG02yAdv/Wd/djkoa24OVLGaTr4WIWeieDNrKevdyZ/70W2O2XsxYc LbbQL4buvSsukMKu0YLMhVhMLhy4LkCTMON9sKz66Tfiz6PS1kKTf3lMHZTDVCxra8rcHhhTe3dj vP8BjJuh6aHCEsNvV72B04/6Skzj065hKgF+vHPrTRkf+V9VEz1LS95m1/EKdq6MDgIt2ZbW9cij hpIvlVxIGAD0pjdrtjDr7EhHiw7fTmfzd6aBB8NcPbO52pqJ8QIZFSc40/cGJKEep6NcmWqwUR3C tyAiLlwuL/X4cM5+o+O0aJaZDqae3/25+WLboxe0y1bUiiRzR0rusc8I0He526Ox0S05B7deqVS6 ATLr89bSL5jD3HY00fmMBrz5QoZPbrJ4PGuDEYkGrEtCkx6hoJHcjggDKMiMJ2tuavgqvYTMyjqs WXR5ue3ejF6giLDSwELP1xvq/6lKwjubCYfzF/g7rWLFdN0avXL/T8WfviJv0AWmeC280dRfS7H4 yLzGMz/d0VDZVx+Ecbqi0YZcO3wp9t9CMyZ/Z0ulZo1cGHQ3FepLpkgQI7nugWElHBvhiaQIgSM2 PrUVkMc2zC67YX3uTHxDiY2Zpx9nbJiDudv9t3jzma/WYKHVuH1RWT83i/qFs4VvAZxBxHe+YIDn nTWXIGoEgj1ZhWF9+Fawm+wBk54gFVOZp0rv8b7H3/BdDJvMp5AMPbRNg+704Iw+bRTwCDGZ9F5j CohEQmoAaRWwZB2wFWZOhNJMTsDKtCN+VNpBVsuvx5+DF2DbBRAAuyRf3cuO2k/xHJpwB6zjwtZt KqKXUGhMbSPOkzBC36NxemQ6jXxix/ksW3woBJt6tnc88aTaE4/dB6akXlHGekPREgHSV1Fp3jr0 cNFint1v4IGZ6Wk4jztGtHuX7qcx07p1s/1A2KaI1XqjsC33ZlmousFXKB6bPAyuqjLv39RShwPb U/0/MIPPREKmx4K1yOExiHn9Xp4d0Wif4Ej1OO5H3GRqqK+3d5trAX8AfpKdm7eR7pYCX3f1Ns8n H+YhNLXe5vvmdzZareSa4SYFWuJmLG6GmLeYOMScKUIH4/encKgXgzWHCQOAE9CDhkbpUkQ32cls Yok1B7gQjtoPq9kvBPHFdDVlNtzL2mWsoGk8IvubvnFJZn6EhWzO9sdiaAncsAoa4P5qN0Rc7O8s jnwKyAOJOjQCHQ8xC+dJw9LvZBaeCG0iW5bQTYPHijTJZQm1/K1ngDow9ab471955Ey7xE5u0AYa HPfI8CzJ64eSt1S282x3hftAvXNMbOnPvWrMEXaq3ie0j2G7GdgTfRtC/2w9nnDikHbsAcJRRT8e RFb+anEdT4mMLqJR6635TtCAn7GAoLh3r815PDU1tFGRYJ85oUmcNWqb+Vn0NU4d8ZK4b+eCkja+ VgEdXgYtF3ldDnXoxafyrxk9E/Q4X064fvxdByXZUiHgsyMgAn1XkzJN/gqt6QD/y309hNRbKgz1 0uzRLZgTUDmfiPZUQLUzauEHGRkgmC7Gk6c54mMzO7MKSP07bvbbKdjyMpDohftmcwE6t6S0ra0J aEbrnfbEonBHv9KCK7iOO762AGMD3v2eRvSZLs2e1MxVwtbyRF5Hx/zL7lwiINOsaREoEAbAqyfZ FE9CvlBkZiYJ3qCBTt2LqafTp8mG82O0+DACIApyw+TSh5wZ2MEZHoBl/jhAtZq/jWVezp3MZLr3 DAKvxfazgm2WW1nrq6ndowwSIGsIAtOGkfffVyJXRPe0OXdRMcMumG8YNKV5oVfxkHtdQjN/du0y KAR6ezCf+w/2S/Y7GDAxP29Gc4kTiDDYhqFLW9fvo9Ug41Gtq+s9WvQI+7nhDiXB9Y1zCGVBUgiL H1QPpmI+PqfMSMCYy9Gaua0Dvyb0nQ2Uk4VNvqG2dLwmY/aFPH9k2qi3zyOCKUszvDydMo5vOyYp +OjjJ7Vnf3jlVrdGZzV1zI9dGFvbY7JSjWMwkcsIlG1C8eYuK5kWKZMkS0BYuM4uoYn2SwVt+Z81 osaYwxo6NAdFzwfUHD9tofpL+DApgK/pw5h/gADm2txiuDgqfhvY4iJmIxFKf4qmdNjuOjynvLg+ hug6A0nig8HLUvap5zyKhHwo2pMII+KN+KZEOtE9kS59/EBwEsEdwpjiG2tjweEMGyg1vat+rIQk Pe6dzw0sHXpeheGESI1PFd3YJAk4PrlhHng9QN1nPAc33Ojvj3zBHEN6n058i2mZDpWCa9qLFdZk /8KaBjmqQOBkA5EtSqhKn00y3AEG0eeDmM5gprPJrqFeFnAoJvGjbER0Ke8Z66TuOBi4JANzaD60 Vc2bjnEMdYxgfU3tFlSUSDnb7t4JlEpwVYXvKWUVx4lCLh6Dvjl8lq/A5D/wilKos8ra0m78s+f+ pSHQv1KRnu7Z2vSdhe4YnqxZRPqQQGDmDOmd/R12xY3LnrnODZxf+l14Xv9qharhRxifLJMgUgdJ yv49ryNBvyZFYWSIZvLiXT76FyCsezulVgA/wSWuuLX3sSSY6kJ7viGSE7JLxTS7g0nHw6LcccUJ r8yddMc3zZqfkZElrlFYFgLdyOqL1x0Uv00lmkZEZciH8/lwBRys7A4vpQJ71/iU2I3rgjyMKNCV kh6hQ0M8yMFXkhvf16+93PtqpKiEB7x/F4v7hF3Wvko0jLP2xZWiTB1VqeA0zUaQlci/xvjpPLsm RLwEHSucgNFP2/+CKb8CNbyPR1Oby09IIzAdV4x0VbzWn9eFswRL8VXSalEoFTxOIqW8ZJtsiEzm zLLsWWAsNuvQ4Fv+I0ZAA0Q2VrxRmEnrWl2w7IMRVOJhBw+3Dkq9mPsTqSfZi29/2kKvI4LKCRRW q7QkyIND3aBaZDtya3pwC33JwTxPiwDI0Fan6tCyaDhRB9v6+YReolBjalgnyz+8ftwrlheW9T5m U2I/pv8fOFDamxGD62vQDQftybAQ3jtoOhzpYz+UrZ1F3nZ8y/PpstRYJRlSMAr9CjaYx32b8/Xy ijMl1Q5H/8NalDxvIoHkt1ef7l0mGSTqJcKg2aa6Fc3q+UW1X+kOQA3fVo7IFlhpoerky2gnUxEV 0Ks5Gvbuyms7vIQIRptvfEgtSgDnhaP3aK7FpGRTIrKQhyQ3hoKa+AobzlPVJ3lTUqeRFA1DYBJ0 6FlxfU3JUZV0e90L6HVc9h3ey/ySC4L2A6xBO8WZBr4os3xJxQ6aixl0K+W7Dei8bFe0d59jmC0i bf3Xg6c7uF+RctZU+Lk9BRaeUREXLPdgCZUxIrAx/mnsKptkRayZayrsRscb0fIn/9ZdgOPntp3T t5huMXLAo8lM0n1UJkb8KB5Jjtzzu0Hm68gUY9OIpDBnGj39ObEAD+MoJ/73gpIT4UjkLt8KUrDF KcmSC1d67dUSYC+9fuqedKqp85yLULQ5a78hCv2WNQXit5znKP+yeSD7b3EGdo3RdLRrpfKUBjsC SF8vJTmKtMriPcarxc4VgxG9Q68RTJkJOJwu/r4E2YR+B6kSaGlplNht8IqhPU2jHF8mY+dbUJiK WGonnXMcCM3GhbTq1L8vGW2+/qHharUJoCQYVcoqsF9d4mCTMaIXKGgGRz9PKIvXjiA6Ofg3cXGH wEMI2OGpp7jdQ1ZIfyCrnnT1TOPwFK/VJ5bYrnzJcJOKSYcgU6idGPdz0y9xfKwABo4Yz/ovkWLS f0pl6RAmJlYxVifPrSuW5MQNbkJPIfsMR2SXUZsTwcnq3wDdIBIfaNpa1QvUw5QgvITDrcVGEETj RlFStUJ7zEoIqAvs3+N4ltDpJm+NVQDczchYKohhwo1TEBeQ0ovIb0IvE+8S2+/JnvdA2s4LMwZB 5ktl2t/M+HjPJPZ0RSWhuhj03jDkbjTdluXXn88MNzZkzKo20Zbxq/TVMvjbdrG8nbCfb7ngpkeq FExjI4l2QWMA3KXAIyfew1kTNCnlFcP1iR2fu8RPkSSCGc1rrkGhVnFcrjboHPTLfkJVwfCHmeCW 2Lsrc77tCYFpdJqtQGWkkCP0qh6sepd8nOYfz7Zkuo1Fyqqsefsygeoabd3pTAXF2tkg2mqJBexD Kn1UOwngcr3hPoJWm2Z5/mBbMaBaMiIWDYL9WFDgHXuVi8TSokyZ2/0zWyUbcWp0Z7LEYBDJjOAv jEIZY8QERg931VSYuucs8M0x5YBFASk2nO7xEStvKzdlvh5m2Lb2Sic36M6jF2rEqkCnwQ7iW2qe 18IOixrOGnSUxqvMpXRNWhpQpgd4fuO1hoiaAxS8pM/M3jq3xr9z11mKrKr/uXeOOPPF1zC/grf7 RABcZsrdH41rP0zHfaLJweSUhI70dztZzh7q4eksmGeMImqQcs6WdeIsYuHu+lSNjSJsK146w/jO 3MWSdpQyg9PMLFpW7mok5Db10PNOm0aVhdew5ZfBnf8eVygztjvMz86tC9IRxUbnhD4+qv/8CgxJ 0XY9RY9+cm6tHzVXFym0psnahJBNXVpyvThH2BZpadAoppH6cf8mUffHr4Gl6FDCVbCBeETWk5Q4 pFsby0QgdBIkMK5YBuF8g/897ukwTvRbBev0RS/zNE9uKSNi6ETxtYMSpLKpcJkaXKEefjCjZR3u U/4u78x8+1s2TDEGU8CzUQwN9il2hzA/fp15/eqRERQNWEibSoceF4GDBqgxxyHlh1Zgc2wE4qdu 9NY/1yJZl49aY2Y6N8tPVzZRfH5r8rZ8RQOdbxlQ70uJ5bWfZTuMA+RAifF9W8eWknr53/jQjO6E OGyQw+T6VTed+k0F9MdUXTyfy4OGpxYxF0V7oyM2Z8jBuo0+vJGblWay6QleFgGC0+IGYhvWjJ39 f5gfME58vksXRDBoOh/vw/AvZXULoU79PMscpfVU9KXfa1Mg1BA7hVgMoLd4lg+qSCk1Gd8xaI/Z 4ojUkPtHovWWfUFgBqWk5rR0ypLro4mQOgVRpBLiWI9oO2XYx0/5WHRHfuV2L8ibDLumYSfzXy44 N6i9xN2lQEanVgN0tg1vQo25xGzjZ500MLJDp71EdMFUfafafCpwvI6WwEg4dqwwGcwHwkrokYH4 KSLymYm3ZSMhF8Zf6R8qxA/xcuUUwqvvUU8r3iShpj1ogYdyQ6CeedgDsl7D3PvXINAV61QJ7iI+ 5euJbZZH6ZJksbYXDyBQs6KeEaMGOUX+2UhuhsQAAN1q5DWIWsFNQsknc9ZcoThpSALUHRVLwwEO AKlPtAOEVqUIwZQ5tzCS40dbWdOuvs63Vp8UngMXeI+6IOPXgbpSNznDOZ734XB5pzZ+jWmhozHV P3LdsIMa8k5k2nLwDKsXyU0M7o6+CVcqa/2XiZ7u5LBvWNZ2/3HtuadcsDrijj7Uh86T5EK9ca0P 0Re6R+VMAl6KRHLmGkG8EpMHnnLKG8s4bEc/4OPlU5VcPEhN2Fm/x1TLKAtXVKzBGKlYBHdTh1op XkoVia9l0CULfihoYByKXBz9aFNIAjdwiYei8T5AN8i0a2Sz9vrPm5Uxj3F7uIYM/g/tMP/AmLHP J66FMGrKUSzOglCcLVVPVbAQThPBssEovs+ulKhv0qjChhgAeePZ6tdRm1kIvOCr9WgKy35aihNj 6lroEgCLgnN5pGBdNtu9Cn7b5pfGJIEIImPOb/cUiqPVhr65LBNZk35wd0qCcJSYL/EEe8NjRP8r koCy3vr7O6BxwmKUWOh/wKZ6pgeHdaDRCvN/WTOl1ed1sym8abM5LNZel5r1KXTGYWTu5cIZj79W /9wtrUY0qHGd7poGxiPjLB2Vdj6cLPjRZxg7dnI43yn5Uq++zFZZRXKwNIhAiNHVzd5PF5Dj9YoB bxLd1aGh65yezEMeH3X0oaRx/p49pYQezG2o3s76SqvGyxgkbVNt8Hv7ZX16If3CYVr7scXwgRYB i+pN8fqBdYKqaai+lFFFT6r5937BCqC/5MTokoFtMqvW0A1zqmY5WmeRboQzoSNn+j6tsvSjvOjQ UarLUknbniy01+X74B6zWq6vYpoCzrgmii90bX/xROJYbuHxd8kzCn5Nkbs1S4+4rmwasMwyR60C hhXAaCdHI0XlQtpcGL+u1ThFzyunqNcVw7fr5GPu7e83yewazEpE1pdUpDMbZARqEGYnsjkM25qm yX9IMnkKewtvnS/sQQGYp9aTUVbvX2Q15CW9IGVygE62tlU2TBBf5HrpfdBBrVJ+ghx7U1FRdjCN R/PkGfUg/Mcn+PKY09S0uoujdkwjvDQLEDRwgNRcq7X0lrU1KCI6YSvUjre+FvHIGCclwXmhZe6T a90wD44/2smtUfyChu07eJjx9tTptih98XNaFWWoRrd3pZcEMxuV87DAF//3mY13M+zFg1jQlPYI sBVRT06jMwUUx9AnKLDxH2Pm9BO9BzBTe2oiVby1KK6v7V0PQqFDqkfc0xF4bv1VtBIyP/2tGFI/ JzH74yy4nBoQOxFJWLo2DCwh+RqAgDvOAJe7bF1wJTw1i1bSPvtlg5Bdl+ll8YPtgLehnRtb3tuf NOXBVv/tx5aDXsxTS1DNlUHiCNKsFrwsgnozRKrpDPi03gwYqVHOR/C5fs1tUG805zpJIzTho/FX LMv9o2LvQMpO/TbZ5BIfOr4HjQFRk2/uPmdt3b8v904so40mrKOPEIuZ6ATp0O19b57o69YIbmF2 YuJ8oBnPESuuoU6NBp4UJNw821zPuWdFteRDf5aZwW5T19PM+4lGfilbDPAhawy5GYltwPX+DMNf s29auZGzM5kiBCKH4xjIitpRrroGWEZbOKtEAEGNJE6rMtHv1+v5BTpgknjE0T+7YzYrZFmH7bwE REEy3tAU2OayszapPNKlio3JOqaOmh5yUH4nOCSvYszZ7UEkaNNXEpYce62CBKhFMuQi3dnfC8Nr bVGcevIT0xNVoOQ4TWMYLAOXoA03wJaynPQPnxokJbwUZkNx8FJBsNh4CI822M5eXtau8IvbRABT viFJ6bT5ZvL9D90sHuzaELHUzK6kONbyLIx7AgPP7jCRGfl8WY9HXi1kKtMVjTadeJB/rDSAB0mh ijjU7Nmzjd4bU96LAjJ+g50qITkm2c3m/fvjYMphzEPuNGDNTMOj6NA0UVh5uR7CrQfxz2QKlLI9 2DfVjrTLPYmypTqC46tBvqwNmLMjvp9xDPwVo1/MtPTbCGRFuasYV+035h5nyXHCk/jdKGMZtMzH +saOkG0+IZmzn2Dog/9LqsNQQJ1OMKUbbqGP1PTI+fwvqMkLgD4/J0RYk8lSrBjf5wmBw+X+ks15 gQyDoIyp7XiYLaD7oYlwJghBWEmFToRloX8ItnCf8h44buJcCTFFwcundOd1TcGit8auKVWEsB9e 5ebvJIsEH+uxqlUPw4MXEsQZyi0g3lUk6vaAMMOc5rQkV/R98QtsIYZeqnfQ1S8B8+7XliqxyOJ0 4ONQpGbuVGtlpS+IDNaQp+CkTYy1wb0PEyBLHBggEMEZ1O4FiEb8Yb2ltkFGHPpr6rv58BAE1l6s uHMOPo1Rk5UdHskouOJL6s8PF49nyhkmgQ9zCE1pE2IRiU0jfauMhfazbQHdjMhus+az1yDJAtwD BU0lq6+WUbmK10kRFK6C9y5anj0cF+mfVrnwU0JznVD8gBCtJur7Ilo3hWOTSWj0EJC8U37AxAPm Lvw/a4LvnaZXG7ZsaehSQ8wx6nqRORMuEYUnR212Mi0hGpRYcaAicGBnUd6CXRHrF49UmJdWdfGe pliUbDVr99jt2PGoLNMGPolHY6CbEIWKzyqqCKoTOpy7oFwyXpt9uMhpITk/7RRMr0ZQswE6HhBY jArPHqoXLOeEt43LAKlKNh2bcWQ+/o7PARaQLULA7bAM/0udAp+sqvYqwLzIO6tLr/HrmhBUeZ+k SXO8/NT7V9E2vKar1JL2qi1xuV4Y1U9kfW1K3KLFgiDSztU4Hf4bZQMs9vSQ5o/lvSoWH6EnGm+N pNkKh1+ZTEFMCjhtdLOrKZ297vD1YgLiQ6If+WlhSifD2PETRcGnSCvfsH2ki/cgSUc3sU4a7SR1 QATPDPJpwAkF5SQgVcNlCt3Od/cqVY8RdA5Hy2AYmWNkjM+ieHO3/8MZvARCfSSRFT2uR29HxrJV ReCmOFm01mWed7I6bBw5mVinbcUbjRBUy0u5thX6q14yUVLA0rn5q5lLW6g+WWHOnmhh829E0P4P azO+FEwILfVLA4aL/NTFQ0NFHoVjLwkvya1f3EsBz/6zlaQx+lLTS9Z7AYJg/amZfdv6rguEsCLG C3q6CT2tnvtrIp+CMfi9+p/Fi4sjaPtDg9CpmdXI4/mSBcXIMhHFOFXPc/Yl0PIu9106qHaeEQ9A 7zQ4CLTsqs9/mNnbYPtOfeQQZa8/3NM1DFHEqBNdPL3FNxrbQxRiegPsiOGVKMN/E/HnEJ8JJxXh H5H4SY9Nj6ySe4vEvCcBb3L5wSI6PNAWPGX7sRH6gh+6eQKXK9zTb7MQJWUR2V6vR9auYzcYMbjn gemDEuIBGx1WjKubZuL4Cdmtwr7U5b+OZZcCg27X5FwiG4WdxJqNES2K6F8wWgyRby/Wfh32sBQZ TF688719jEw1AA9kcZ6+Ot4b0pBveAI3OwoiKEZcuxdPfPVYVYbC+XiqdwtQPzr8qSXXTJ8JRgI5 cRTR6A4R2oAHmc+IvuU4BIrfTzh0FhORehADHW2WL8ngUhETokpH0fPiFJo9oGrkY5CdffDQYNdD Y3C5QvNjelFHA5XwgnL1XCwZaDZJIO43NNRnA8mjsw8wZj/A2ae73htJDES6rOTYIxQmQs196W0w lQ+69y+QTOLfcm4B3Z9LsXWP6jcJiau8TssVCFHeKjYIFrFQkkApw0m2YujuOjR9/Wm7EUtgSYsm yDqbHRssS58gokF85Kh2Fm+8yd5Xi/JGsdKf55aEBzppte8YZ17ai11o3GmLPHpNNfkXC+t3myz1 eMkOpk+X8u0ulHqeKgddWnp+/K/kDNiEO9Brm7hXMTIIx/1XDLcgC3Uq9OnMfE91i0vK1HdIhTi+ IszcsWc2YsMTdwv6IQyKOQ4fQZRXmb2B64c4QDEwuFPM/oZMXQNuAwrgP64pWWXVm2qH/rSVizXN lk5UDAbdCmljLFF799W8B32Salqi6fnjTD6/YxJ+6Ds/y9wJy+JoqiIu/WA0EqD3FpsMGHa97kz+ 2uHgUWak1Z3jc0ZR0cB19VNPGRu7E1I4F65pNIWL//Q81jGmL/n1gJHkZnaSNuR60GFX2dJbGHNX 7d3zrKXcKu4/GL5a4+w0WEAkF3tW6BKiSZB13zFohZqeLzJjjW9sxeAlpmLVmsZaftlKA838EWW6 YdyB7u6yGC84+F6S472V+vsGUZTwRgy2PynBxpm3jb3X5XeicuKO2JesBH/mifCyxcAbqhOY5vYv V6PfRbp7U0S4e2T2/rGQUOVVMw+sHnXnJtlu+/P4XxHnVKFta+M87v0dJb2IfUVCGJPqn6kPzysO 2F69hg5oIeUWtpX5PKzAOHorgbRYOzmAkbVdHkjPV9IKN3AUJ6lwy9upfhr+P6UtaTeA/gGJnYtm fxVRxt4boAjwPpOI/Godyo7xlDs8wZ0BdJFJejwYdNYBR+xhJZhiTE1Tdwa0KoCeXigfR5zxOmjL JECp+PQxLWXPVLVIPGG2wMS8ntjCA2so2lp5eARQOAsoPgDzuZ9bPyWohhqMjnVomOZGCKdTM3aM gGg2XGKjTIOAPb5e64Yao8l9jY0q8dbSnencjOXnQaVN0H9Tb8IDrsRikrPQMseAPxHGVj77wAOP tX3qxY97jOZcu4w3YNngpIfRUDH4GMTOt69hAZ3NkjZufLRnmB+FQv4QEH1p1IA4llsLZjnKZalx UuHoxSCD6xQWOL3D3PRbAiN63VeHXWdkspdqUoM0Uk60C4UNMVRiRTbiwbOfdSjUC+wwiUoDyDj1 ynrEeaMh8cifHgvQVJmlK7TC29dvHnvKnt4z2SpOoz4mjeO2kQRFf+Fuy56uCidXyJqNp5NdmJUx lZ5Uqydrf+awBfcvcM6q7blQTmdS6Dmw0Lr3zpvx/2rLA+3hPE2ZhHu2WTmy5I9uwVTgDW931gbv MJSTCRAZU3qKpafLV81aAYdiWLIOtkzV5O02vcb+lnsnE3lyFTdkfLJoz8Upzb7BKUtMENDusinc WA43GZbSWFZ7Adf2I5bcv9i3AMq+eEmkVw1NGRrXuBpwmXiqPJBz+4oGYYJVkrRQLIH3VcaFrd24 zbtOPEKdEDOljSHpXXDuz+kxpF58DT7YMNsyQRFhkc+VZeeW55nDMAP4gToJKSTXXI0U/MweoPz3 IQ/O0+kY/zYI78hTufbrqXEQvv2+jQbCLGvstxPDqE3SToRMG6yIKKo14R+wCoWBaTOJeSeovtO6 CdCgT+Svp8abiqsMDqAGGXWlIthpXW57bj3YcEuQxZ8oXA2pbqQj6qQU3sJEVERe2aVDqAh0Ua2g kfTfBi6cH975FF4+qC/P9R/kRBsp/Zf7/PgAY6WBPQ449GxDqoK5p/AB5J6ITQs3QSf7L0emSNTE EMqPxe/6HpG5hvLjAwPq4AHbvcOIQ8hmLHvy5I1qRz9Siz3ppBmxCsB006oavWs4c1k8G31D8eB/ fb5VkFXF6BrbR596gUMVw6qcltN3a9pSLri81sJN/i7+qV/p0yUyXPyu2eoTAJkQD6UVhPkZlQyd E0uaw4hiXqPM3Genfr8W6a6wlV8ogSyIGT1Jt7jbXUgbQsIrqyAQVysiFEipO75lM7l/CzWeEqoy x6Vxn/YLdSW3EQF2XkWBxyHQWaRCac8iRdd8LR/Oe2dYaCvLZprtpRWIvaoq6SVLaRfWRrd3ahNG HCdrNcmFGQQvpx4u/6WIt46Tn/m5f/BvG8vwkm4k6lnZHEZAohTphNs0vXXpB7hGRecj7A1Jo98k Mom3LItWARMH4Br5WiSxpz3k1iiLUDppQUHGgBZpWxt0lhkMqUOpAKZV28poA7u5keIGB7dusAjx LvfTWGW+zfrMnIxDG+wdWdklTqBKA6YcyVSaOz5qss9BvT4LRFUNv5xVpqPmvA53gVjGastyIrvH +5sZEkcT9Y47o+2TI9B/1P/Rl/sV7FeLiLlpgZsrYOplHKhS2siFKnkKipu8EWPN+lJtTdu8+EDY jJlqlpyn9gRZC/QSQRunhAZqhsuNtCeaaW1YjPdlCYZy4dTpORtMHq7D8Eem3hyH/usyxM+1oRiN 8px6mLAe0VDlIs1BF8D0XtnQIO8u1gHtlaCvBfndhWKe4RkM/M4H1K70YOgsnWQrTvr8LS3DDOWR OuKZujJ2bcD0asIbdeVY4k2dA2lGB6ohotUmB+jkcvtw4ecnVUlC4A8/g5nq8Qkl9FrmbThiUTPz aBNBUNkXghQZr/rWlAbW5qfFgtmw8On1kOL7GqmvxELYAcAPhjsPnZoVT85kynnCSK20Wr09ZVgn JlNkG2cinrBpXP5QmmJh/nQ1DMmhX4trYVXAbGPra8l9UkxEmX67pLYbSRErYCgb72SfdIWqEDLT F2jUJgi4WHqab2w9WVyqSZuJaCPDg9Vre/zf//PLvoEqonQAEELrHLYBpFu1AIwnYe8cn4MFCLzO K/nJbIqfTGI4iJSpUV0J5kS5vOF4t4BshTuK1up7gQ4XEcaflCVaeaAh6nv2cGMkb/fV2yXVYK/O GMAuEWj097idYVWwvoRpk/7GLf/I9UA5XpVgeiCMJrxoTD7lYDf3VkJnuPT6SR2w3yB3U/06amcu 6nIzEHAgzKoh90cHn7FQk7mAndY9HhIEvX2CgXZF9NuSHKsf0XWb/B4UD1Qooc5m54XzB3BazVZX /cTeHsPIfaSkeYz5x1vbmmKpxC9H5M9soOQxiB05Yj5HpS/x7qMUbrnbISn05ZctWaRuZKBZN3gc ERLpOWVIhHVqvdlewOa90U965LEaJI3xY/2zBu3A5AjufUNXsY7eJTFhpanrvB/wZxBXfhFOPiMP 6vgmr2k4QVvFckWbyY2GRrFDnlbxWkIepaiJYwQlDIn1M3mZfPuG0mzoFpW9jfwWLhValRq2+nsG IWEPIfx+50VNZEYU59lsK71a24ZP2eu9TXv2O5Zhtdb69puz60gyRLmFcVlJNPaQj4KQmHMZJ9SA pEffVY7rO8S7HzN78r8+80d4UzN8+2bC2K0aYS4R3pL7Wulg+8OiEa7jp2W+zXQCkQE+/7EDooxu J59ojrb19uAxovD3ECdIwpo6geNUH0J2XuWLemwxQkeJnvd/rZjNtkqy8uprIUYCo8uqs+U+ykEY 3x7kZBUc0hKDWTsMxT6DMickdbmcqAl+H6PDJcq2t5ZJ6X92xkyqMPEPWLvJ7IcSiykBpzfn79ON 76dyEC3ws8FnVTi7UKsnYNEY1I9lJwNaZEo6HFTNiNTFXw689T9LHKiK6qtwDsX+KH3QFemZjnhC P+VScE6dWYdXSDDYtaDG2y2fY/kuGhKiVcG088ga7nQcjGNcDfAIUJ8SUxUDSYwnC43lkCArAzSR UGFzBKRLPq2f4aUNQxbn7geMthKunk8dQ3vw6cIxyes4ysFXLYT6KwOwSVjhGGc74DMYcS5Gqmgd H7MKTnJy1VH5/0l6ne/siwvLb4cXy8i7LB/aUqb6aD0Dk9hsFK3GqRR662TW0QUCOj8sdaq0HUVd R6Ut7X4aaEz+vF1ivpooUtHBGdiimRMdVLXR+kHOjX5RKCVYz5lhLLiWZWp4cRs10ChRPSE5PAWE v+zi262KqDS3fWxquXN/9AA0fOkN3z8zHYGvBw73ckUtyf5g1j0DJWfNN+OBwtgtSOCGv/33OaCx avt2dl6OS8LpNsqY5JrWGBkDpNFtZbyMBh0li2CrOjmec50jugPFYhVpjYTt9t91XyYTG96jpmjm 1CccawVAGgXlcNsjOfo++7FnJD5uI0Ih8NRAh4Xwo46RG9l8OJSevlvkd0ZL7XEncBIEKUTCZr5J brbrWRejfp6IBKHGbrkcfML03CUmJvNRtYE8j+JiUlmSex4UrAlzQE7wDP4h5dqLmQIEziH5VIb/ wW88v+ZCUQP5W5ev1LN0Of3BB145TuwIYObeN0HgBaEBHD5hhIyNopLcZ5Y5X3ZOpVkD8HrRv+dO L2eD8xKiYp7a9tLOQ13mnU5eW9FTrXZVOPz6hFROXTaVcJPdqo4d5X0IY8QHNpBSUi3GVqOGiBKA Y4YSrkhAc8XY/EDAuuse/pR7vPjbU6hwzZMt/YE/l4rbBZaGR/X30bw4pVr9M2EPZo1TgrZsr710 m36DHuAHCPKZBAQylyfp9GbwG2LhtwVSPtabVAUnqTay6s1ZRwXKxORMluYVrokV8CK01tYcJxgb 0cjJn21C9io5+UyZ+40UGT2HPIC0e/ysxQMl15+upB+3NneImV8RG8Hr6BRT7t7Mvld3EaPneiJc QlGBczuKaqitmfqthn+Zc+3oss5m3giEH9Fe1fWk5kUiwbB1rsON7gnI8PtoQGnfIZIY4S+aHxPu OXHvcjUd7fDA+Cz75gBoF2YpXN1EruaUtGcPQnDS3ApB1XecSaH4izrCFixZFVy8qf/Lj64sb/Rq zOPO6JFb3un64rMI3bRQdK6QaKwzHdesw9Bq38AFj4jAh7Vb0SYsJnWMgqXSt2wSGE8f8RTrqPeu /PmGRRQ+BBOSMrkSoT8y+kYQ0M91i9qsW0wRlu7XxF7FxbuB5JJhiNbdvQiweST1rBTnDvnNE1I7 GIHvOTc1Mnx4PP7ZXn2b83KdVdRK/PZkr8TGqqCdBTfwgKk9YPBnws5pDEs6bZvOU0YohGb57R6n mHyUJ6uD8c2maZgZ21pkw/EVir663mQYdXNJ2vJiyjXeRDOIgvKZJgT1CZmwqjGQpPNPm6Hc9aqZ vrehbxeUg7JQDXdh1/fVd+Q7gt+NEslwoOMHVVU9YRvwe2N3/my9eYwwRADrX97gziQJk9re4PVh t8l4eEsiY2F69ZYwGwGkvxZx1JYXcAp9dPWuTuFXMtqTyBOje6gLk0BmcDfHqgk3JXpRPjZJ5gLF fG27ciRA8y0w1MFtb0Xmjdvn8UcM2ivYpsNxfMxTQvS+s43slhnkkOROW86IULeczP+Nhcr91Lwh LvFwKuR6tQ/vFFCFqXmMVkTcA6KOFqebWn6b9uKMxzp8UVyh+HS/8Ms/iVsbGKBtXWTHoRkaUtDo dc1fc6KUzTszRBD/2B70noXfZYx99PZRMWsB+KMT706SGuCn0E/x7n+ynhDPNFrFNsE83vWYzpow lfbomQhksKAEEGf1HKyhEa4FXTT5k2VRMe7YlhaApirPyzHjgPS9rZGB7Zz6PBHXowxGYn8xsY2g S6KVObE8vWnoOeXQGj3hySZ4tCVSxZ+EVRy6F7e1eiECThaJhFZWApvTUjUBcElFjoS7TvcnD4da TaA2wjXB7fiobmwuzrgmE2kEgFt505jf0Sv9Uo+ogJwZjmNg6ZPqaJQta91azWFxPhAO/dlGRvCP AKu32UY2vF0EK9LVDkagNTdgdlXkVi9EDQKxfzlZwtfDuDwIt4deTb5u1DxiH+Xy1CG1SkWjmaj8 J9qKI1jyYr+sI1NjQiyOC70v+QaE/FIr3JXwgB9dyjxEcsvt/1UZJNzX2kNq9YqdTBWdFzFi5wQu l/McjheU+gcfTkNjPrhwGS+SmmlUGl1gklGRt1mBHjh1DMAXjYcCx9f8uUsKXjD+UnFbNikHMcgG b1ebGR8gR2s+R8ugNWAtB2fBtHjjDSVuvKY8KlBfJexnpv+vNmcBX/xiZn98af0SUpdFDuYWJoMK Z15m2rr9zILg552TaO9q7t87WlMZtSE1F+sWckTuRAsYrlkHCWdX1U1Zg/laMa+I+298MVULIkLZ 6s96k018EokC7wZ8puo3exZ0IPcgo75lDp60f6sTvriqyp6dAx6yGTWCJg7KDtKzqU+cbCie3t7C EQoNm4QqqzBND4zFNoPQc70+Al2yWA6jPZzgWFwJqYqFpVVbFG3uV+UaglCorKQPwM895KAoH8zC Qy6nIa+HyRURsWc+f1skYtuarZO9Igy5MyAJ1XIF4SpmSDgIwQtADbZ+DmW9iVA8JfCJYaEmQVVQ 4cytnw/ni5YHEngwpAGFpbyxZiwuJVGS+F/ZCYUATYsZSRbfKdqonMU9b0VqqSckpHdkDFY5naK/ AAwGNek8VrDrPYavhWSCDB7f0984cYCDOdqSZrIRZlRClGV6kkUHbp+bHKWVEKeTtaNqSTdinVrK /k4HDEsVHErVUIJ87cINxkio8F9xihuXi0cZAas34tnpInL7OGQw8RmdB5Ljt5Sz32af1VTXx/Tq haYOI0AEvBVkbAwMlKBuZel8BUpbxZ9oxBdQbbeFhO8WIgBLnBTj2RE79B+t7QCEPvHXGB6AL+he zYpm1eTkzRdEhcf5HNbCLp+UBh+PmuoeTPYbSrKD8ZfIUxwGIvv4S7tB8VvZ5749o2gdzRnymhGV TpkxoCWuY7ObYXJ8mvsg1euH6l/Xls3EEqImDjNN6yI1uZM7/4V4b0B69VcOvbYE561S5/KbStus HwnMFxWQWaEe9XbPSYP2mJU986bdaBdjZBSghAwjdb/TGh+3JqKf+Jf0lQXL1es2uczTDwxjrkbx TvV2gcPlWX7b8lE1Sk+MfbjkqvTGX+or/S8jK9U7vRMnqMFoufO+rlBIYe+7BP4zO70YY1lNUXci PHdilC3r/bE/s212IkgfTMiSy5BFm4zJXtt2sTmkApu1c+AI+eF8irM0LudDQOKOs4v0XqtTcYyp AqVSBMazRriR465MQfbFZJkVnsERMeIiizHe/jchHvMZahTNjJnGwULTb0MgKxlX+F4o23uo6LZ/ uxN5pBQ7+//XdRmGVg0Q0BjyLjXcrSilF2evKqkP1/8gfWEJ2rBK/YaGthhaRb1jkEN4vrPRznQn TN4Iwe2TcK4+g6km5gxlLPENXh6C1PzsbWM9mQ6hrVdDpvAPF0JXPqeDQEPuDHFRMVRIKEFLaNFe obHBjcrsOcbNq6viLQL22dZxfz2akuspXCjo82H5sX/9XMSFWPmM612Gw+3yWRAMZXGjrPt3mVP+ uyxlUm0VSJIjKzAA/FduEzB1uKS7sHW0983bfa48Cf7cduWKaKEZGfi3kNcOJCZ1KOOuZ9pmDDL9 kgQG+U0N8ld22nc5FyTr44bSunz/01lDDTN+B5OcKeUgh/0ZeX7tbW5LxQ6+6hA1X9lJPHw03RI9 qWZIyuWKJsS53+FMsWYygmn3FfPOAwxWulcq68XuQ0kfbf6G7Cah5/clMvYuFsch3DaJqnQyw9rG kdg2PQIul4LpreAs9OtFYGfUY4bEXYV6zsgiNawYnUw+emPL9+dfSEfspE/WdEPkUkT1OygO7pHF V7igRBmYy5DZDdnTIK2UnI+uqNEOHkn8HcKJW5OszmQybvS5ByaFEBkzi17s+Ax0sEUdft1JuKoa xrd7Z6P0WLyD+mrQGIPD9tSpHBD4EY76GhKjxWV78Ah6N3EH+SIlcmDQN8geth06Lg0thERAqBeZ h/a2JFhFIEbilNzvMSA/NW0ebuy/EhpLx6/77pm65yO0+usUq3ftzvreQYADaGxiu7YLLq+69kkC n3BBTrxT3yeQ5FlBGfNhkXTnawHItey0QJD8Oq1SxvxuksQ06NLdz4IlH2m5ZQG+m19JPo5t4fBS qeN58GQm4UGr4bMdPPqsGKbhODo1l8so0C7htTK1b+XooRkVZ84h0FwYJHCUBY9BUmUMt41NSULm U+SicjUZokwFpNECzcLvjg1QrFN2dtu+HRq0ov0J3EWROXLp6x29rfd6gOhjunzc7lxgB/2xBaDf PE1R4VHuRJgKNrluTFSOgpqEdgce0ss8xTKXsTM8MimjDPLDnWfaV+ByfQexs5FYutVTofXS7oDe hMKYBLQzOFwBFt3JRo/XdgvDnnFpAyClCD8UU270bb+/aBl/txEQrDw1HvFpDHn3omKLB50YHBi3 CFa2NDCB6Cmm9eEL1USpAI4OsG95uFE9NzW2jZSzrDw5aklttLmOaX0zoflwA1cr37p1wnNjuziW 7DpEVOwRWgMI/isZfSwM0L/EAHo8qg/fmcrg9o44UfJZ9KC4zY+K07pUDNd1j55THZDSibgBzci3 jgpupb0l60iCn3308WZ+A9sK33cG2SsXwPP9Numih8WjfjCUhL0QLG2/GPYfgt4lmlxPzH3qB7ym kApDTqERmVAr5nyBFwetwutnMu0hOJf2qZUoHinHcLNlFECnGbUzJrIkgOXYfhfyS3rwn7JoZGdj hcz7RXoFzazNg91G9z8Rk30ASxBbIJk7rEoEKfQZaqEKF4BqUvc/xXvTS3PvriwV/bxZnN9qj19T JR6wR3fglL+908SrIsgmdXKXfq1SMoIepBX6G7ZfWEpQhS35ybd8xJRvHLQ7CklMf2658JMx3SNb 79y6ZMUSjwgJK+RpgiP42lFOMqInkPzTFvdzBF34VTWxIyvSrf/aoKljgAcC3hyxiIZSdWShJv4O T4vZXcdiT8/wg/zHkn6A0J+wkKFWK5XFZJF3kKe5sQp1NXSiarrLmudG7AeYsStsZdblkFlMprwT QL38LkYFMTFeiHx8EsddTRvYn9dmePR2YnlZZMLCozpJ7lG0OhECjGLBMb+A2aQ/1haGv1tvMdy2 cMO5leDpWP8D8tbspa92m3HsPFMelo1aCRZdkBdlLrLetQlR4Bn1m+7DJg1nskUBvcNXdk+eTDCk zeUR98qrT0O0U9r5UmQo2Js35Xj8O9HFX7ykre4YOB4/4gXiQMggy7egFxdtfZL5C1SFIyW33She FOUVT2XmZt4U8u1cf5+dMBDsXfNr3p2SpSMrxuReEFkhRs/1PPv9uGnMlrWcQwD1PQ3Exwx8btH6 pa/DkE81qLd8LapJInlipIt9TgvADCUkM0v9O16g/p3/eRC3yVaJBS8YMM2fCE53FM6yj+uQvtdg /hF7ZIE2vWX2CVS2loQ/Y9BLeckGoCp5la7yujNpA+sH99hEDZ7r1A2v6ZHtAtSXDQSpIC7Rap4D ySGqOosecgH76gJc8pdexeJY8WNl3NZxtHtAaIJpdiGlIWFWOEyIzM7atYu+MtqkgyBkhZf5vLy6 +FrRgemVChBsqB/X1gyYZ99yaxI3v9LYvqGmYnN43BrFGrP+V6SK1GXT3MgILqb0BY54QEYdr52s cGa9peqIa5l8dMc+WKg4JUAZ9V92EegHDHe3oTUdKOZPSbHYInzrN8QBYJaWIz2tEPntyQEP4Dbn Zsfbd6nK5h4dlFU+MZN4VUCUsF+uALIdtHr1tMwN9kFZQDn1zwHmUJp8rCPVvCVxgzZuH+Cv2tZh EUDby/h+ATnXRA0KQx1pFEAhgROwmpQQUqveCAqg48EY6NoIZjULsmiudB4sMqe2x74tWzFswFsi qTR9+gOD7q5E7pcR4gYjAtaheE3gkh1iCwSI1cid1yPLVfgMihLk+E/C8cadww72548K7Yy9Tw4s 3qr3AUdG2jHq9WbziX2+7XTyHOL7p4IZsocuoU9AO3bfg9plvYW5RIZd1Vu9m9IpVMgIYfTLN/O/ 3oC7rIls96uRHZ6LYtPfPCNSTZMMsNCf3v+s9tLDDwcwqUx0mOSzRE2Dh0d5CAxCXw75xDzbCwg9 semU9xOI4VdtcF69zfMp9HZH6C59yY4KWtfgL9WHoXrCYXDXSvM1ooVVNrYA5NcADK0bRnwCTuyK ckj5GMxjj+/WyYlfBz1bqwag1Mp4cxCjx/bQEO9erBUHJAtxls6YEVVNhPd60sKCiPqxjtNmTz2x kbIVMT8XJTyTUNpLdwV2gUhwZjyB2xgPb6FsKsXO1Xatz75+DmAvo2mz7yTwrl+U+FkUqOHNco9Y CXk8mw4RvSmuEqkYF7GDyO8Z5cfS4/Wukkc8N6QNqAf6mG6SVLRSvnuJUyglgTg7jPl2F1+qGcbU eYgVuHdiBVERQlCZ+NrPd1GIBe9ruN6hS8v4HFu3zQD+32/94p7D+wZhbTyESi+iXa5o92KAVSC6 wmuyxiVXmOVDog0E6Q+RM/a5yQ7Abxe3B/R0WpojpReFjXGLqwQV1pQsaA30vta+7Mn7hnQtxcB7 C0EfUPMLExGAeT1S0wr44eJRQY/+BNC31S7f8+HOAuDnG6NMGQX+dDwtMNR5ocpbG8WoNHScRaPV OLKzXS2SRM77lPLckvl6YYXn5VBHr61uSChxDV9auSDg9QeZ/KDGCURr4Zi8g+DSnIjyRZf7AyKO dqhljNbgN9pZXPZ6miHNWv3itmaqmlg3g4NJEMO2pQMBfDyqb1kgPvMnqqxv+XVxAZArpioYY8+d rMqvUCv1hXu+t8jMOadgh5e2L9xQjN3lQVmIJ2zV11HiBn/J+FXSDtnpnlFFjRuLnOjWGvo7+SmS 4kXMJyD6kfv2cfdNCr7rZPQ98AIHpbBo/k77BmE/dFUP3BP0H1pS+L21Ch09kILu5WvAlkbQ/Da2 4Q8FGDsi++LHJZsaxGwhVKeQo/qOXyS6zW7++3Q0FjKrOmL7BzCh8xt/3gJVxslB6LHevy2fc18y Zpwi1llO/BRulcYtODkRCOsCFIRqhFgPGRB+JrdhYjokt3JXoknQFaediy/4tAGwEnZJqqXQhk1r kQZMcG9U6qIyQHBZK3kYSqFUDQSizdwR4uK0t1ltg0sIG3aBGhcgvImaX91GHAVeND/wN/B4FSVI DsR7gXsht2oYmuIqMa1YsTSUVHCuHvqzh9VuQrgj6ELXWaXIgVerqpoVept2Qi6DrIcD89Rj913/ cAdrhQ34uAt9GlAZ+rKRJdwDeaXFrD53Jx0BMRS86xbfmMqeZiixcc2L+nb+X/t7vqzDfAg9DH0A mkoneLXI36OSADvtidpuvH+B3OrsVLF8n/LtTn3URaMPMRpEOkrxRSXYI1pwZZ70usjO+KeYDmFv iTvqMDWn2s0Fbuzu/0c/9DD0KtAB1lFG1/FCO2xAKsvs0vgy15fTNzKTPSHi0nsFpnA7wZ3vhEgQ qVWsAoMvWpAXVbDGoyDuE6gP7wKA9Mc2pdZaVRg4UnUBmjX9TwgaSvd62OmVR0hucGhOyXGbxNfG 4ymPYdJ7wz9VPOWPE/GTO3qNuds2k0D5IetWpUp1EIljcwNN9FvgPsiKClpc1wm1gslkERxwsfDS /HTaiHGBl1oFqDEaMQxC3JWHAyIZKLBCfIh9mhMKVQw+6hWwZs/0OFObcqAwW7RDt/hdXIhKcd+v 8ZnRruxM611tj/Rd+Dc2ZREQrGNJu964QRb0FVUcx/VbFLAN52q6fcu7hbNH4kxFcgUju55qouXo H2VDO/dulkXSaPCNSeY6n9x+FNG6DWrNKRC9h0yVOVaoqWEcX4Isk8RESJtN3hi1L1beJ/Ieauct bjOVDEudSnpia6uZzAqb6JFUIORfl4rMLqyx9w1pczitAG4AUVdhjXKZkIqCiBXiV1gBD0mzd9Go Weeq5z/0OjjxRo5PxoBWxfRWXpdTWfVeY1lasVlMMNIfjjIrgUi01+MLR190ytoW0z359WiJClih s59Qsei5CfnSZAybwQXu/igYHs3VD1rub2f+kqoCgoHzf9H6zWG7FdHleU5zLAn3thSsQSqGaBnc ZR0odxooJn9p6oTGRcKtlPmywnC8ZQHTM8LTxw5cJrpFAX0rzL/knN/hC7iNOC7Y5JQTXFv6KJxt daOlgLMvR5pjzg7HuJ91nCanaLZVKiBLUgHd6owQkHhoeReli2kvDBSL/H1MbtyGaARr/Z9GS7IW asUjY1QfHrNgyJ7aQEtivVLusJznvg9pl3rMLVDGn+CxZZbBLdGkMMVyVfaSkcjnbV+4Rpgpp0ct MhRIUvxt8bEIAv5IECv0dVEMjuE7S9jnrs4ZvkhrPBuS12QExSvpIg5R+Net2edX0xPxCVwHCImO if8xUxKANmWNnvSoOUVRhAyksI/6wVgJCfh9biPJBtD1bZPS1uxYDJ/QawVMd7Psz7yocg+ZWUu/ EbyOMrgIpiFTL+gCo5Qr3UZedNZIkuoEwGTOeMwEC7DbaORVuPH3gpUPRam3wsmA8O/U9VxujaWo EB9+b3dzpFOzEqJivhO6IMbB7zeNXMuzXiwNj8fzDHbqMCYgUd2SlDVQJq8FWcoIMpoQ5shUm0d8 uc0gSAIta7TBt5Rb0Q42WtuRbZmNkPyLuoTz7cOB1f7FmUXTK4v/OFmT0VyExY0MqS2979DwQ6Uh 336E1teMtuG3CrsJVE2dOEQ4Y3jWWn/wyi6FG5ZNA4efYY0x/nCZrVL/+q7dovoz6cKk53moXZKe dTl73VQORfnc4r4L1AFcemzQToKKWYCTCkEAZ050cEAlLDCjd5oTuGVQaVOkfW0r/RpL0/f+38VQ BFVqKbZeJG0Phz90Xtk62ruz0yaV8Qeep6QQjOvxtLZQPyq5vWMPw7A9dyB6GTXjKTIoedPQ9zHf gvthufZp+WMm3l1MAglW7NP/tGxEryz7yCow24wDXDNRLp4QzQaT8N5lrFLqgJ06mYqQH59Hcnmi HNVopn4hdYEtrj1H77ccnpWPpjJD+cHYBs/e0CoHFbwFSbnEHgvC5k59nvDJwHRHXHEyEgFRDV7p mKoI+cWXZbwV5V62y4NTPlqaCO5Gh1L/RQUSxYjipZFyoimz2Znr0owdrOo5Q7QBMJFZa/JDjLgO My9frW530SIFO402HoO8y4guznMsrOD25LafLKYvPvtJnPjDiZEcDv3N7gmuOmGzKyhVSyMig0qo hmZ0r2PumjXxvaNinunuicRG7NcKBP0wIrg7aJwDvT+fS7GVb9EIT2wWrDzhh5Ge0nJda/XIELKT OzK7QkUc8Ay4zRWwNeV7u3jNMAxx7PmLQD0MGno9/IUhmdYdf3HMCPFFKrqjTuasW8RVwDLC7Wvg 3tYsmobKpFVIyLaaRzpSHJbn/yspwlWlUiGhdU8DD/mMA9eg18rnItkwoWO2ClsbVzVuCtEBaoaB yLhK0nt3g+uGn+8PPJEqXYEEx5lRCajHf8+Zv/9Qv0ukRDgJy4lHf6mOkhGawejsDOP2iurOhFfy +mII6skUwZ8z/Sl9RcROIWO8KfQpWZ9XwIKR/Z906qSTh1wBWHBa5v9ZsQ0K9OuQEpqdTY6q5D6y 7tiYzFw25TDX+zdFhMuS9iTgJc8XVFH+x0Lyv47p6J9ImgxWTUuM2GbTa6q2MCMgaFL/x32LLfHM UfRRMhSB7mcwzu7KHuWePmIMyx/TsnkzjFuNLfX7ee/NZuRgggkzqyuFQYMoTKaaUnJ2wmmdcDr3 wbkcean21Axw3KKn+QlvU1ntNrsws09ISxnA31vQVtUqREWv62wE29TupYveZKzdkx5ioaqvoZRQ 7N4XtHdKTfP0R93DSj8yENPYjJUh2KN01LDpO2P2xH1UUyVltbGHLfu/ZZgvjAWsM5De7XBvAPD1 dGZk17Z37a923yxPdM9ii/0XOIy5cdi2oEijeze9z4EthCqS61Ij9CatOADIGo+eYXR3kcxdNnz/ wAtXV4fjpG8yTtELKcm0L5vSWpzP/Z8WI9AVUDrmlzT5R5S/24I/vfzznb4SRfdx8CyDdCG7FGMf 1CASEoAlq4xycercXWwagfVEKV72cbFiwgWaJQ+z5HJs9JlvfhOXXEdtmJoALudKNmFRqUmLV8gq aaLz4rTzjHItPo2TP6SwDIwskUCy2t3FVffAI3f7jeF08a0idLcOQdOe2FgGeXjblfILwAyRl15L Qgl1ceSa1o2WFUaC142K3FriuHSFk2O8GJlWsQZF/UIfyyRaD4gqafEaPEAKSlLJRFdA+/Z6bNTy 2mGEVqH+baZL7nhzv0G91kcJ3dRaQWTJIn3xT8jjpmR32xN0+lgx7x8QEFxoQkyVaILwUT/V3RtN Fdi5FWza3b/Aj94sWlnTV1yIHrTOvhB24y3XRr46uTTiMqUfHqSaGLcEG5rgv6NMV/JBCphAckE8 gCu51VS2RIvtQ2NUk8Mxv4O8y31BaeM4XPOMmLKWnu+W+qkok3oqkFIs1cmy7D307hfysqz4fydS y8wqTgJRCJ8nK4y7OQ1Q5dzDR3y08cTb4/X0TOFzaiFwnoQN6RuewxY6DyJs/0HI9jX9uiIwDxni R4VqB/3Su8nixmgRJ1Mci796v+u0y1OfHlF+JEQjIpsdEAJsBxWUjS0IZLwGJkgwkiUIIMxDZHl7 9PZe1LUxNBPpeHuUScIVJ9LhxnBqV4vR7sPqIRaHyhnmxr1gwkPuXulAuc/pka1HEpXCxXby6Phj wR+27A2BCqDX38LerBxpE88GPCDn1TVywiek68KreryMxb50or3YL3HF2qaW7Ju5L/f9O6blPzlF 7RR8feHEyXu3/0sDM2Gartxnzt2XAC1QAD8MF6e69IBWT1qmyybAfETEYIdVGrSaonGgX6w54rFB hUl6N6oSZYYOS/tQuqB5cj3CPr8lO5RtuzDXlRHdj+ZVteXjR9G944tBvmT7wSJi2x1REXphIy0P 2pN5iT0Ev4zQzcGVtUSY+tbiM8ZlL1+tgZj7aGSpnKrM4SMoejJ0a4XQA2gAZEHXiJ41nkGF6S9f sj1E9hbZ+PsmrOpHamV4WPyTgZIAKbM/c2kw3NI0UdvU87rMhmiSIhECz50bjVR42ZXs+mvOYJbc 5tDUt+oKtfpkw1L01Sq7l/y2oCjhqWTb38QVY/whxBxcTo7PPLFO80l6b7Fc9phFYtwr9qpsV2ZC z/c3HglARd3HviVjVUnM2tDIgYu2Tsl+bMzsVceeCT+H/geXTpfGDprnb3VOUZHffls5lFirQJq8 wlQjgBFREvFUPTDKteeOaa9oz4/icia4Kv5UA7s8JOuYxKihDArcY3Y8TqTBcgxdVBnKdTxboUuC myi5fDUrZiwP/jQCYxiDH0kiHqWzwTRVrrRfvoJ3jLQ5DdWzfp8sMeTccgfAdMtbFJtPX+SpXUad Dgq57RhRFgG0mhJERaVBiFZboG5JYcwSfGo/gqeKBuCdzJSSwIzrjECaZOJtYy7PLC5n57B23c5a KeMXBpkiRZt7OFK9yXLPmTaVB0oR623XKDhzYYNpnEh5bpnI0ReMp2oj1y/WAcF/HzubJWI4z+vY s8s8iYCe+heBALnofvbu96VrwgtxXOwbrPk6Y6RbLTtGQ8bXarspuaaEu5eOC9dzhIzL+6UYy/GQ TFOvy+lMvfVYMw8hRjAgaRoEWW2bnbKZPrFAktaKP8nMUUjcukisRtAryyAWuuRrxZWn5zHuTxzQ jkNxz0nWp5INYuL4XgL9BqWUZn3q8gcyg9lmvS5hs/lAutQ6ZlsS1KcVtSnt9YclkwBjBUov8dsG 7uUJwlXsNwR+KyGBoVJsAQvjiIMlH/a5kYd2hzx3u1Qd1Lli7tINJnhalEFjSP1HOVh5ERTIaCLh Jlo4NitaD2Yqzn4I34b3YAQOJ/DRR5uaY/0o/Vg0w9GNjd41s9TEZEXIO/fV6COZyTf99fUVTnNm ANO/FSBrt0H4F7mfsoW3CIlXYmpOwuTqXCSBL9v1Yg03XT+jX1WsDuC2JOVgdNTcqOssXSERmooi M4GNMucayer3rFsIGOkjZd0vrVlOfbk1/nA/GB8bJr4sHm+Bele1jH8s1HFuR68ka64Uiqk86hL/ nNjZ021RwM+wXvUxEmAIP6VQGV8psE/3bhNDdWQixcrz7HfNgdlgGsltMLiKpPhXjyeivRkG2NBi Do28P+rV3R5k+osfBdta/whi/5PMbkhi/klfyUQvNpKlXd7U/R4J5Onrc+ttxXl7R9jYKEReExuz +UXjXcsL7jKcWqAZUBeaKbjvlVaYCSoluCOWKeLtBYzqHS8SPNb5JvB3AtDPbyNi8fs9kgw+DiZg FjzfjsDOdMwwZ2LtjUGkrb9/W4ZP/lguYIfOV1JnnJH0IPnkCrl5NsWkKo8ve6MaNuAOLWxREPt3 9DmQwwXSp4vGBoIQLGOXg/9CMbSLeuiejvyszrRoSxzvKb8oI6ep27S2dHgy0TGOXeOcbTKbC+am XTGDpxiLyshfLnJfMiYGDuH0RhWoEa9kRCotXq3AmyyinzlLVX/LDupjQCYsITokLnhQSe59VPer TvRr5zZk3tJYP3uUKxBHbFfnw+rgdGq66MmUmpFE2xqjEgvDFCGGu4p8IIylY4xmYE46Jp7YwZej /qsWBp4543foVVO5Nml3W8e1H5QvdRNyy0EK/PzfIHIJKYs6mjpVB7yjZgBqYQTVKf7Lkofu+aDD 8KXRYkpaEO0jaUx2RgFBit5gZ5nuUDNlNSWp2RGRVYQ/ri9/eNt/Ax2hUc/BYsF/5OthT+3EKaI5 w5z1m1/krlsceuMDKVbYw5JAdW8wakl4wtz4KoVbfQpMfj3u+fWbmxc0vrzhwtp5qZhFK2z2uIuk YV+RqXsCnZf2qI/pjz5wT9YLnB0cVGKbHIVRJE81EkbUtjrO2KRQxv+pcPLYLYVDBkpRGoggVYWv aCBjO4uOZ6zA8e8m7o+7RaHu2vPmqdKDn0AM9EVjJz2qynblemw2RpCZ5s2z8ZLONe42+frlbiRT +7SfHJxKnJz6UVZWP1lkebnbJvIr2ieDPB5hdqQBKMjH9Jpm8iA1jbfUq/8x2em4+yRbqoRd8WdA Kgnh/swRH+6RJjFt7FvZAf48V44s/dujLf+8wPIVpf361ZKc5sM4tTUb9mQ94sBS+lqnRp2LmqC1 +47of6UclUBz63V6Ki5QO6atOkRTRgBXVwLXFHgr6V7DLkcb/m7aIoPlVggLpb5xsmhSL5K+2LUs X5jTsCryFeqlY88/t1AAYrRk0eyy7aEFl7OclanXPBLfE9nPu51WcDpQkS5agsILdnL0MRLkA5Ws TRxHdILhGbCMPDW7oJxqNZyqVXdyeFVlKmpBsrHcVI2TR5LYI1ll+tHH8R9qUPL7PC6fv0sW9HO+ upQyvNOvJASA1plAMoQt6huhMt4ALnFYHN/gjnGhiGk/SRnN68XnbsxEfjEAN5WUhbcsc0o68s+V 20iyQf2d4CXFr7OxMeFzYQ1eVy+Hrh0AzlBFJIiXWH/w+4DzzIuEVNOutX0ZdfWpS6J6NkRGLZpC 4ClRkSHAUdosOh5/XqaQgI5Qw+A79GRVvMYH+p1j2A85ZJkkwwrYUgNFAUuWDdvgnjWO6P21ofLn kKeflYV+3p4pTk0LOFgB4DunFW+5xtDTcwqBsC/cr19hZfL7ZEPL5eKfLMxBFc4lJFFVI1F7i8GA DvwASTeePKvXo6KqHJyYn/YFsvtN4w5ku6RtQeh6ejkde8Yh6oicgt9DLsvjBAN1t04h94sblxoy asSXql3i5QcBp5PkNKUs2cxOTOIZghsgq8sybDp/QjV+OMH5+lHQxWN+SOAEYmoMM6qFYAVAsCaW iHMdR5Ro/IWaxRbLd8EBmRU6VCe8M1QPxF2bT6TDDz+KH131o6l558vjYaRrAg0y/LzgpRmwsyXm NpRA0tcb2qr/nAjIQ8xcv7Jyf1K0t1mOkpgp8bfpOqMOcaDAWRj/k0Slee2ob/mzmU+hnWElC+Ma M15lgfM0CAn9eICkMTr+taSQzwImF6URnzTi0uxGRjakZxGh1QSRrgdz6GuCD/zzDtgL/BDDRDfH apMZWIG8J2c6+IPU2GYfYq+WQDzUsQJDxrj95WdHV8t/BJl9SNeywmZ0yKsIzVrlto5fZes6w4x5 O/XQtaWZBVy2826+D0D8S0zaZOemmG/ODm0XItoa5ko2JjYdvQSvcYDP713GP3PxDjqL+CLrI4UA gxEAjv0BFWQrPCfGBRgZn/nW0/WeSJbQ+ORsr3Qj8QQ9W9ZChB/9FLgOZmb7zaDnc0DhckFWobo9 1pik7FCuNpe+gK0g6oKKigg5hiupbnUNUmU+4S7mkpYtb42GbeTn2D6x2OrTOe+J5OhAlDD1k0XS FSz0QQp1NpeW6XksE2zckkrS1F1X6U+4QmEhiwBLH+hjaUGMa8rcz4YG9ny8+e+e6xf4rum07Wbb NiH/cmSF/cM8P6XpLrvYXz0rtRaRdT6GArCd/XNB9tdlUlYNHmxrpRvHdMBsMsMDa40XYIQPyA92 jDDiRQpFv2O6TMDT/sRySgSDQb8Nl6H/ldQuJBohDrlzsD/XUaiDI6mms1AcQUe7hUTd/QrFgY/y aj65YH3q9Srnl6DKoGs2k/egYHTFi/+uIPVhztiYV+Vza/ztzA0b/K/eG94Ow2vN9cYaxI069UzB Jqc+Z0zupVSQ5xYoXMeo3so2+Jj+QWiyvj4atSPzyONLWGbA87Lf/dCHJtabrnDBvskyMLKR4Izf STO24gp81Eooalag0rnfCS4zjLEvJFXnKG4lIVJ8jX+uhZ1s0NnRxyBkmZbRInSP8GSriQ5mBaey oUU8siKNiHhyFS13EukH5gG2EjZ7vrp9q01jabiOL74GBZkN8Rx0Dn+KQKhYhHptr2q0BlicJIYf uFZQVFSCjia3NO9G+VlOZg6GkK9rPRE+4pl1EWLF2HWcyMjAWpgw+BKNnfdvroIQikEP2grpwoH3 EQoE/OWm11IWYFjX2SQ5rFE8peIIdb+eJZpWHbZnIeCNZu57RU2jnHpU0qxQZMwDBfHmVUkz6XDW KSHShFd1ElZ50vq60m84Hc65rNOvGXHjMeO+4ciW5176brdmxo2YYRxHueiRGFxtipaLKzv14IEg 7s5J2Qa/PR+4AiAfNlXPc0JOjrn58rnS534j3TPz3m3x+MbFAWE+g5NmZ6K5HYXOnvjfKifhZk4c 9eCO8nbQpch3YgnrYCglKvRNawIDdUiBFBfvToXs2msebIKaTME26j0mrcCVEaN6RWzZYMByuNrx nhjarvvoaeK8ATYdaOmOduALkUGfTyRwaEsLX5iwfNhn0oxGyzRI5qKc1LriJMK7SwFbfLVt3lXR +0GGCTL+GbjKoB819Rm4YUexuqVb7/UYaDC+I+1CN8mHYTbV5a9lPAe3B/icQFkE0uw3JbxdG6cI BZla5WWPkJgfoo2H6OsAGjxwRgdz7SDmj8wZbduocrJ1LJsh8r7IGR3tynCiGG9is2I9/t9w3/hA ojuihday6FU0vDBxdntt/vKAEtyf1rh7AQED9ie5ZAyfmSUn8F32/GNkzqxD5rkw8LzNohGAhrD6 Re/4nCI/ifsF/1U84gdgEL4mRUsxDOIK5IkrIFNQvL3HBPmutp1ueF8LNSdxGxkw8cEeCl7QfICA /Oq+JkAJIGWTkdelKjg7tNzh0No681lxA9iVfXcjcZfOJsOmTn8EIOOWNNzGHbSNVYPagjbEjHSy LeWr0qOmYcmwePpiKv9pNyKaXTXQ3tG8PFwBLN7qaWE2/7wmfCziu3mgWweEpdmui90p5L0ySMNA bbb+vaHL3A5GWN6xQ6XppfIJ24lQ1h92grnuwd6zP2zKB1QaejIdluxo3Iheg/rdt7EJM7fKJUng DslkwiCHXX+EJihqqtls+mJtp2f6W1Qg2qG4fUba+HNpZHuvgy59+TJtU8NbkO1RWz57FEvz86KH WVDzYcvhytupmNUgwWw0B2YiMlOkLNKhiK09qcsHYbD3FaN6l1w/V/GKv/18EuaWd5CGUW4sw7ip 9kswdZTDCEHoXoiTYGL+c3dN/G9QhP1bloiamATTbIpeFG/X7EJ1ILXgfqG+b1y0nNMN+RZJBgNv V5m8VPph1RbWR0C6waoHe7d0Q7/jlEtdJCfMt01jYn4L1KT8PuFVZNNT4pAxi2KpUQH5RqHJ6OX3 aDQB+fZXJ1ID4uCvz3Ta5XikN7C4LCWiHMUGCXU5rOS4yjmPp43h1Qgntu8DQJFBA0hL3PGae30j QkJXEGGQs7QoplAnyPb+sR7z+7iER6j56VjaSjBX+GkOcEgdkJJorWPynJqa6IbTkRLKClvYyANw vaWiUzLSSCumbH48stXLhDxslMS7+HKspKUdUtVV10ZC9gB5iPy0xwYmz6rAVqKUsEmzTLdFGoTt rFvwrYDupvzmlTPrVUKGcc1rsxSGOW+G00d6GgBWY9S5k/OlqaNeAxTSYtG0pkXnW+N5Xwq7Ci3l fHxzwqOzjRQv5meHZglUqWX2gQNzEXKlgrnqsZ4uGzkjjQ9K40VyfgKNn5xLWLpXVZ6BJTX9s7Y6 fVClXZ0GwRiG/lOaguUyBa18aHCr6+2hFrVkUYOudIdXBBu/b7o/n335yh511+LY6Z3vxu9znnGR 707mtN6WkBMeUyml1x1/1IUXgI7vkGXWrYXGAsGv9Q9rqWWDg7zQoKofi8Ix2rZ6hcHwEBPSJSv9 2RiJhW0OKNSc+1PcUWitOsfxJlypQIdLNoSA9lYhQcN5z9jlfffp3eb2P1VztIvN0pE2etzyPorV FYhE538v5xkRqQsW+i5agIFTnMEa5ZzLoppnkkwbI6sx1+IdSG8mFZNhot9trCJjsYyCQM4W6Y2H A4mmmWm5IDjNsDvIb0ZZK5ZVOwNIGKD/w/lKIbLWeMK16htnV8clmXsuFtx7CgjJqv6xWGnhH8hh +oTSzZSaePYdlHdqR9JQUkbxpmySgXrytkZLADqvMRr9MtsbBRtoW+7QwY+RuQ+zq3gxm1pu9aDW 5hg+PytyL94TEiUMrIa6dX0Ocpkr4glghLPJYjrFQcHUv+tzhJHQZeksXY7Mc4ZegpBSpQWJv21e D9F0i0m7fsBgTflpvnGcrJTBP5EbQTqsSY7N1yM0oK62ElwK7ICL3rt5mtPvPhD0XPR36roSZbNH u11fkVoFXmsE+K209qbn0FhpD28SSVa8d/E6YIUTzz1Ed+S3g2WpirlOyrIneC0EyO9YkR+2tL6H ysMlUkt46wL+nPgDfBxM3U6jSwDe5/6sKBthK5E3YoBY1SNAl+odm+lttz74Mty/X+RQHniw2wEr QfPP3TdvfF7A1o5pa/7ZsuQESpHHFToR+JvLwLmK+tG6Rx3FTuIZyZ6CpVSdFnjtDBVPnVO0u8V2 vL1CZDZ0Q+7Fe4fVthX8eBnOtp6AC/noXope54Z7BPg22YHrkRQNkPPW13jO1WA3lAspo/PeW5Sg DoCd8u32fzyDHHBAbeTn1jyRvaBTVOxAxN7Yw3GVt58oE8PM4yDOQ/dQSvlwN5K5lN/bYViJLimp UqKDX8jG+Rxc2vqgnB4I7V6Dsuf8M9ZzOWRhydQh9SQTRUVezgWBDCJc/RWHGy0iCgicq1YazyRf jNvVV/Dz4ZJwiQCcdmETc6Pu0FTa7nDhr5+eo149jW33vLeKWwX0ZEi5kag2i9cSIH+kxab8tFYm mbGKu6/mPJZ0qblC1F2LQdQ8eUP5zgNppRpOZtSCTvHoS9maJN7+rjxjvTyIB358iQGVhyc2wn4c bJJqq0SSvjdG3xVnWhamcczj3QgOq7raj+rgF/LEjrIeDDuZfSCgHDrNdBNRt9KtZ+dFpIJU+92L hyE70oKJjOi7LY7eUbcF9HSKvi/Xojo+0UGQVESBpsD+EEZb6F84QACqd0Qm0bU38rnvldMxGc7y RdI2MhMhDjq1FRsTAz/my/H+gKLna9gaLiU4uhYVFJcuHV9PZaa3BnA5kz5q6+38yKBrb0gaIzKb nWhKlbhM0CEN+lLdIPaUikw3A+fnsc1ZE73UPk2GomkgJTiJduQ4DLWqKNcyLKixlTtQwaAX40Y7 IVfx4cIY80wy0Rlp4un/4OF6nTy8He0PQh2rpDPOKB5hvQVJ4dTrc3VikoZjvN8T3CtVSPm9NO9P oGGB+ZdJSD4ZlmcvKpLP9wig8AuyXshxzAXFsAp7u5MGZlNNYxwBTSQ2f+x5+59KhGZVkurc+++0 O3Xr4ArFoDmxYDKxJWQqK4N4HeM8bCVvyfNBXg8ZseUNzOJeBjcwksDt7MjS0/33RoSDg7dNW0WO sdpJZlRKMxA5P/7YW5WRhTwZo6tfsTVIyzZ+J9hOLtp0AxH4X254cWD8jk27S6CZu2i1zH79HSFp Q7a4OQF8wfqPeB6hoCFhTFsRvdR+z1zhTSIcrPspRkTzgTDn064pEv1nocXQpMiJONNFcwJFitRJ 8l+meuVVcPZuM4IRPrFan5+QiZ+0/lzVA9cvq1OgSOVFsE6C0YpjdykKVy+I1piKHGXTnM8omgEQ eZMJNHOSG/IFv0XG+qE1BMs+uoP788Q+cexibsT5cBaCEHxvzZUamWzpWB8cljKA7MshnB/9v2I8 Lo2JsDrdvVFdCj5OENCBGhHjF9JngP2Cxp6iRVxxDrc9oX0BoKamMVhTSwv87Wn0xLWQ0MS17twM 4E1cKhqw7Xb33lbySYpUoBDLfDEgxBLCajlEW1J57mrLiX9A/r58wvozzwG9vlqED69A2joYpaQ9 +A702j/X7YzeeaMU/x4f2z85iiRV5AhfbFdMJQwb6mlm+V/wFcGgCO6dU+DKtbl8xe5a50U4XHUK u9J9NRh5DeWhjYtJCUzjczbRh1avgb9Lxdgl2iVYhkcb7LsAfWfB7axUj2tFRCQfpN/hOtg52eLc mcUMevtJnlopYNpj1vGtxN0+mZMt9Aot5ojzL3fpfJnm8yQ7R1Wc4uuPRy+XyVj7wqLlwUtbCLDS g8YWoAjx8PVB3/LFWAWOlOhxHx7oCk+94oRnfvxDthrOznuNH7XUkrX5E3UoUGT7lg9HPSDk0g9z w33XHN+dFi0UTPc9yxwGPJU0uzPKEE+9Lrj8Fpqrb5Wz5zZ2yr9EFMzMaC+rUpJSTBYLmaqcKlwL czP8NzugU0EwWxwdrXI6OQT+rJyM3Tyy23GPBaRp8ijdmAtTzuJlGKwnkWK1bFkoyi3IZBMTpRmA pFSUwxIRrPYExYTswaUo6frPZLF4eIwPvOERUnLx8rCMNTCiH2jxszphof+EyZLBHYAwhxLBEyOZ q/NpmGvWmCdP7WdN9Bn7+CwYyJb7Iy3D49+IYay5w380DUjpwVF+6521VHIY69iJgyhxTcZo/Pde YPP8yzwEEjYFq4wYnBNvGVv+zYetiMzdc+y+cnFYZrFd8i4JOvl5hjAxkbPqY0IPDrje2MRWKYY5 +aMr7DP3oXpDbJO4OrkkD3MXjWpLDgbQd52n300l3g+j29kaAovn116Hhg+WFHMMO9BzXg1lqepF nTeH1UfhSBAcIutzeK1EjiYwvCxDCi49XcIAFmEaCf2SkGF54tWGyCwbNBIkFEGskudNWgxLmDsK RDM8cNCN9tLQwXaB8SywL7NQ5kvm1y7E4t4AtTunjhsst9XIxArDgdVMgVkJAnqSf22/+S2KI6nj O64MJMumexeoTKmf0cQwCp2n9BlHJBG4bGKWzTFhpR0yPSaUSWTt3eKzTrjys6FXXcK1AuPNSYq7 VXl++19dDQVl/dsGE99MRa2E2tH9K9l01YF50vSagy/ugZBhhFP6jn6g14yaFRbNexfGrCMxxBMm lloP657/YDxdaRM3mK/pCsk3XqLuIwQunnmJRreshd1mFmI9iybCnTp0IgVivWMnIQhoiDNZ7GO7 9HWtM7wVQppUoZGUB3KLcaLCPh9Heu+m3ZJSJ4v7LYJgeGhVnEmIouK8wAXnI2jTmVbj6m4/YbAN Nlutvfydp0q8oeuU1trYi09j9Nc0Kky9EoquDb7lchZ0gKfREjD28e0FCKChOXSlZe4r6feFhYSU HGTopG1iDWS+J7sfHQMwSHGBHUTU6i3VFKMpYf/pduOZLbb3AJJdHxocypwaiobcSGdiypQt2ag1 h/60uytc+zdPr+NhEk0/HfsIj9U0MF+UmF+w75HoyEjGdzvOjj4G8gvP6Fybb7+N/nVi/Ox5NEvI lV3TQSdaH+aIOowBkpJFI9oGc1yoG4C5mGqUbZvMoGGC2X1YrgzKXGp/UUey3oFiDZfnhP+IJqcm iZVx7GlXrPskwvAwg4U6HDiwuty3fseqksbKQ4FyiHvr/2tnG6vUCOWFab0jJxpoI+pwl83G0BUy wMCwoxICZppuAEZHGi6OYXF80XvwCy+fkw99ArlPqgtFRSbj4XpHL+D3IjvM++TL5bTxK4DZDIwl NguF2WGpzkD53szSsdx7CxnsML5lajC3DnDf8CcNaYh3wauUIULT8HN2zy5pk4MLQrCrOVqblAsL C1TyUUx6ojL2ew+YNIGR6YqTL2ymnQD+B/5gxXaK1duxmvDAjaAhHskirRNVAFJNAiNNj2IfxlbP iFPchrzMMIcneb4yUfxQ2rR3GAejv/WMELNXAzOBKqbPqj+ONDP9Wd+Fy94FVA58JFZWHT7eUT/9 7X+KKD3b2AHQjCMyQBqta8U+iFHP8XcXr86xeI4Xhn67I2AG1P5A0x9tJuK86f8m3ELTjXfHL9k9 w3Q+8T2HxAi+D0J4kSWMUCUExZFiDLnTJOOc7GgMWJkrqd9DXdMYK+GI7tl90XxFW9P9kEXcje78 nvl8X0QuP0MfbntV7V+6bP+yhIzGnjh0kXW4Dl+/BpldRCik8q6/5ZvfAIVoxGyKxAcBj+FXCYZw GBnsH6Og7Z6DENJVaVDUswqrUbXWrKVyVp6ozARIxa2APQdkDpzgZDuvgOhx4TPEPo2Fzztxmzco CTeszs/DqFEP+YdxkqA3Lxzfehj8K9iNUJAjqnlpn6IKMzZjgQ9ddFL1haCF9iHMIxvzmYpviw8V CTr/WvZBuDGMvBlpvtYjY2ncnO1UzMQxyKZPVYCmWxVeNoBC4UhEoqxHH+Jmqc1sjyisAa4MLSp4 +KpQahyKUrYto7C8ndK7rQNOoJsQPv9swzrCJBbWT2kaVApI92M8M6swxZcnBHhqQKxJ6WFCA87T DhtVEDXOLhDY+pcqamLsF4ytJ1qtiowzfjb/WrlzRDG6DIrqAXMJntttXwhNZoocaE8OEAG53mH0 mnvDKMK2+ipwM3C07l8KG9bec50DOFtuwuc5Xqg0rxmPToe8CGihngYtnipK4rEQx5p0/J9BdPz/ DWG8cIz7a8Cz5HeoY7So8Oa9k1m11E25zUdeYVjZhfsla5XJUyunCmahv/2x2DD9NtZBmLq3IaIq Su+PdpZBK2nSAf4E7+oLZqJawjnHVk9vKgEiw/Ursw7IMj4qPlLYw/k4k1cjKsd2QP2uczNFkL1x ffJ9D4nYMRpGwJTcxBJgvszZnQB0B6mTnJs+ytizI39dNfkMiNA2m6ahV7X2xFpvw5DucsyqSN5k YoTx6k1nn/1LD1esW/qHrwS9D0yUHw8Yy7n/9gB/GTp4ikdDRHNx/xo2PbhGYKb3sO/0nhNA3jWp 5fTZpgujo5gsuVfiHZDJMpqmAiuT3F/F9AiQcJMWoygF6LiZSAAcHM89M2mjEEhdh4a0acKYl/OI eQVuEMikFJiLIpzfRZwjWD+Kr/kwattPhCBdDbAldjXjEjaqgbc7zbr6a/maB6Q0Z7YUjCFVT4Ym tbndRuyvjw0toaBji2X1fGJDMH/N4N5PRoHa0wUIQyFUo0JG69O9RXDAx7uKw+3Z85waTpGaIJc6 ep/NjGQElvmOI6rdea+9U5EmKKaLnDJIwCv0hS0l9MsezRkz93urccVj4oEcQHQ6xXap9Bp/wYLr BuAxnCpqEYJo2VhVEHWGwMsuUtrEmLWcjmnoTAkCN3O+6kiD/GpZt2k7mPp7Khd7jIOHEkmIuSTg 0yzz8ozrZqW+dvGwdnkYxoOjgP1ieflqDZx9D3yGIyWJ8EgJp+nP7jN5Htg7CwdVLH3h5Z0s26dn 128w7wO/LRqdl5vUX9PKFoBeNyv0wRM5AXIJnE/PyYeCOef6xxqEm53qf5SgCezfGwsZcmbwBT0V 0IP0E9wtrczqb0qbOpm2Xo28zIPGlvAO0+IhroMXnrgC0yFnLpCTD8aLdLw1XfSdNBDHe0mSKeBa 8EEIskJgjgkZ08BKKMIQS9kCqrasp++sy9OYwW9sDWdZQw9CTkkcetLzOfEJUu2gEFRI1wMABihR 7eWih5w2xkE/HIVqowqft/xzxqrYPuMxyW8+CwiX9yIKFhNO2+20E0z/bLYV9DbeuPTHQUFx93pr SuB4as4NUl0cevc1S3KnJVVZit5U4qPAcpoYvuHf5Ng2nviilxYl8LJ+7byihlLZi4iDVLGIwDe6 auM1ozdXs2mie/3R9+4GyHsvitdPr2oNJrfgTAe6GXu4TZ6HU/+9nOyheoVr7TSBcfhRJ9Rz92x0 yOUsvg5J+i52otFv0gVomu/PkhsPyTvU4eedunyfN3PMSwSwV2fmhxzN/v9Q+8WbeTDamy/eH29e tenkNh2VwbYWzRXe+fog9ZuXn9/PLq4fvtXIdC4PtR0sx4Fthvtz6qJGmQkyGBuGwePbtPBF8UOz TA9WxECsQhM3CHKglRvLMeWEDLjfbGOFzJKfpJXtOgYLQKXeYUhl5w7XSbBVNXeD3nqevh7m2cRY S8zAdhZrgcIE1d49BP37qSLK3kBeU5CSXO9Tpn8/rRi8nPdN/0LzVHZuSLJk+MHBXmZj5AyGH+2Q uiJJRtUuJs/Njl8WEfrNyfDfRmBZxpiTXAxYjOMC1PHrvcppzq7pexy557S64CVUXwIHYdmquXuX iXJTViRWE2PlhNWMiCv0a3d5lYaNF6YVFRuUmxxrjKXqGjsZ1BWKgaKixCq4U8MI9BNQXUhhVoIW YtpgjM70s9oW4qNdn3rnd763Mi0kK12s0xRmGVHxNAVkttbWcOUF7zrwmexU2ihXOWJwN6BfYC0c w4BYIuNwrPQqFSzb+flNtwHOuLrmAiGFlQ4kF5ZL12ty934766wpm7A6Woh/hNIeK0TukcY3FkPg sH+EelBmwITFY2bv3Aut/TqSO7SwdBU8B1mcPy30vJwkfl/pc49aMLjJ5G4Vnw1ToCCjy+CyeWyz nwSNweM4Et3TGzrB68Br0BL1y2leEiAFHL7vSGk1owJFCb+QQZkBq350jCEscvye9iGAjHsLOY/5 V8az9aR13vAAgEmk+z9FV4GKyzh39Fqrl8rcd9varTRCy6CMR+lUa5POCQQcKdhBoxkI/gdF+vpY BrRXfXTU4bi0RdI3WrPY6dp/uZ3eS0nX8bQzKG36XGKAldmuLi1zQVpT7EOYr7Y3hDqvnVAVr3T2 bN0oPHIKZ6Mxghai9/plCCVXAV0tpG3Zs2gVLieMXwapWhpYWPOtoLwva2+MpNFUaM0s8/P+kDSg gQpCgABs6kBWXvHp7fRXiB81K2nZhtV/mBn2WBp18xTRjS5pvlnMadmu9s+Rcxh7Pj1OBiQvMiQj +hlxnd80ZEYXjNniMytoXxUM+gylNGBsW4dg40yXZKIWcHxZN4qL6yb1FM3ikC6m562L8L37Ut9X Z6a3dsJ9uuYAxGdlf1kafPyesqon2nuC8Yvvw7Xjh9Zwks+MgXXs7P6lH7sM0Hp+FEjRVknxkLZY hnMvjEK8zNrMisL2r3NMkc14aNMRHxW5B1t7MyLzudoqgZMDChICTZBrSrJAF+AN+ZIPysECAx8g Ewzgyp+KCCpURHEK/5m9UmJSnaoZVxVjDGPcSy1SBAdvjrvn+O0Q3+d15p30mGnxT6IyE9AvT5Nf 8/l6UwM4oFbib2+tVZuRhj3jAvqFjAgMkizH0NMwVGjULFOHpKvCKmQafIpHKCKuintndoEZff5H tLiJz/n8/Ceoi9XC8hzd/LmSzHZGCMwukNmpf9akiCipyqF5P8TAf6UffNwGBUlU2XLUQkLiQ/Mq 7WOiiem5TJeaOjwFsXO8M9cAfGx+MM1vbdOZgKpPjFoAPgL3elgMHO+zHxlxKzof2I85wN9jPZWc 8hMUwHDlUVjX0xHOl+d57uThVU2o/fbtCaFoI3WUMK9GfrLs8ft3QmxWvbicdmK+HK4O0AHgee3o PbFaKTW/tZzyhEqTuzXvyW744u4xZ/CbBOM3Jh53azDPiEKUZ0O0Aqu/QPinXaz9W//g/N5hgIkX yR2K+PDXgogVNg7vlz25ITKGlV7KYoSiKlQVyx4OTctNkhOqiikwf8s6XCt8ixkBzcsjAwgiliD2 K7YbjMSqoKHoo4sRvjq8Zim3sKk328h4M8UIC0kb0ASI0Dgwqtxa0Rm58amCKXWbzc/W/KaQQMpB H/dCQNscH9m/OmkAWnURYMJVK8GcWIscdAnAz4y+fcpng/YRxI9P+jEB0kiJshpn4Us/6vVrUfk0 LjCJXMlM6rusi5P9763ukt07q4ypUBpjwKgy/YCxJbHDmCRjcmbztjiV+QIlKo3HxoCSYH0hdwo7 R/CEsH9LcJconu3784+Y2OuIOTP/69NDWUnCKaXHBn9+cFHwdoG+mLXTHItNtFxCMlUJtOMZdUdE PQoZk+j3kTEiD/YPMO6XWo/ALkelQ1kLmFsnhHXOv7fztUWjYZU2hV/SkauD1GCv7helavI955jK FCR2l9MBDYtmvhE+MrFDEPrOvCE77bbXTrDXPjpqAZnLKym5LSFlEgp4iyHQfM/QQbvWzruvFatZ K5tn6AODsVw+3UBM6Mqig4yTL2IcH+N82t4zwDXBmK8PmgTV8vuJ4cmNSgeDaVOCRRNYOg+KnLC4 SV1FXU5frWzXx3SOIAHWh/SSld99GfpmpRxMV/vfvlTR71S01aQzmakLntH2OV9gEV9z+NuV5YgD +f7ukk7MCQWKP4Tv48ugX4E532opigkpjpLqOPhkEmTFgOpfj31iECpEecuxRcrnU6DfopiFCMcy EGBbUffjiWBg0nwvMq0+PsZdSByYzq3N4lPy5lflFBAV6rMnJnGEr3Wo6DVNcosAXcn4nXlBA5ZN kYMkqpMU/gM624mSHeX1xw2U+Kp3EQg7+3PX30K0dMDaaHrVL3YU8RvVineBUOrwDZQME6RWM+s2 j/VIiliSuUpw37NMUl3dmTukv6yrUgzxcMQg2byZtDBTtenaDy90LEvXBjX7NaHKrjYnltKCSkWc JKdGmik8t0og8C1kDZfN2WaMm/Gz+T+7btFXJpzhxtVKN4LYTdk/2oxE1fhlc8d2RIkp+zSAJC+6 p6qqRHlyLFdjvfr4ETifRKxKxrttBXD7JNbL3/LWApB8G+KCC86/CgBmW3A1ci/3/jSeOzzt2/z0 F4/8TfTKP5tS9vSrk1IMtnUhKrxgFrlZv9imRjmlIYgmbQzClaEYRojXa8x8wNy7cadPhawTBssG XKVDLAk8WC8mLU8hgZLUm5oyqBjdBu6nZ7VOwzM02bfis/+0IYuc0xarW5etXjmwgFE9WjID4lLj 7F6yU09ms3DuH79Yk9VSKyq1zsu4svoZVSRHBrwCua673/W0kcyXwnwHY0OPZVkoYre6qYoUTpdL wx+BO4ys8grHykTzwnPsF4CQXmB5Xoya47tk7gbXQfmRdiSO/XvyuKOJvlfmKvio/UnpdNbta0J1 hBGytGB61rbViHoARi6qLulQ4BHcMo0ALAwrAMgXG0OYL04pwzpuqO+BDSWASrFdvA49JRGxtsG3 gs8znNTpcHfriYef84Et7oVRqh7/gea4OBUWE9D182bFfoURc+Ib/d5EFpdwLMl4tSy0QF6g5XGH mRLlVJo/VB91Xl2LEG3ry7Tx4MIhlTnS5VE/hALce9c9ODU8q3VqrjPtU5JkC6nKnItSdfsWmooh zzY1YNDBxQa5qAO2g/fNKuOILBCeYGQ2iCG7+rXoQoF1ra9kDbD3cwDkS/0Gowf3QQNen6Wk/WbI t1K1nh89ZK6Z2EfhA3G19urvWi1fitpp2s3qTiEvDHzPfmN9BtjDc3Rh0AN1eAhMXiE7hVl4hCBc qi7Jn5XM6MD91jCxux/50VZ82qAVBe/4QB6FzDJB3VAuh1ussZfalYxTYz6GRZiyGqgb4H/oj6h6 VDNx/y/6yaiLwAEHi4ndJ2vysPYXzGB4l6WqSOflvTXecymKnL4/blaL1fqFiD7GgE/acXYlcP6T Q5oRJaZWcca795iBuDtJyi5rYLNNlKZH9XeF/Q3J0XfaNzldlVyBC3maioS94ZCaMG3ntGlZHcTs XuhydiESF106hV3tmYZoke2WjsPqigibrAq5yhms7LPcD/Jo689iBkXUs8230TAfZI8MZy7pyY39 KfcnhSjHtVA8oUOlYYMZf3/QH/48q65/9nCjTa1Jsp4DhQ0cRoLpnWf/hWzKKdrR7bv2tsWQZMh5 qiPPU0LJodhtAs1PF7bzdX3bzxcXhQqfjMgdpxjriOAcp4d+SaKwclJaLEudGsEWHylTxQ0+ehxc 1eZlDuVjcJem5NxcqcdJmtjla3f/lpM7hvs4xuAKIX+kgQLjpzrK8WFPGLg3t/YDtKidYE2YTACl vrvjyyyewhANi7I8PffdubzcUgR2SKy2ySinCyqMCmpFnrpzAyEcJA46AGj6h+vt4xPNZBDs7vfD KWstbF30vEiDN30/kvDaKqmU9o7LHrgcmsSkxngMVP8hzC+zUyGaxx16NCgfPtpVi41owLdS+JF0 Fw2buQwCldmbQiBMVKkgwi+l64D56Dg2GRX0GhRBYGGIC3NnlcLXEPzpMKSHzBXTrpvcqaYVLyFc RpkR5lWbob2TWNgmwYj/UeerGctGaprH71muRajLf7y6X9l4vxU3t6ppKjd87AoX5F93GNc4Bher wRk7LgcMGVIN1AWDO/hlfEBsP6mgWzINeFZjRWF6qjELJf4zFJRM2nWpZUtoeo7zLXx3Aso9GRHz 5yT9c4oU7dYp4/on1kgXXBsZR+Au+ZpIQ0/BiTUovnRKHSUxZTfAUfyDSeGt/Xkh1sU5sfInGXJj 0zRfgY+ww8kcyvU3+1Rf8f2+kEE0IthR0OUuygHVN7h30dtJ+SJzl16RmAAAquzTlVkPf1ZRuCSF sDiw+z0TvhoVmzIDBCrNS/fJbxbWVQyXmpPb5GLuGSjeGA8M/KVa4m6NXrkmW6rGoz3uLrdcWv6W B5n+rRt85AKIrUf5vJY9KdPyDFPHFYzAjBWwWSrp9DZe804DKoYYZyr6gHgWLdxdyNJTFBxJb9Kw Um30SpF3cuebr4Gi8f5V/uYs2c2faZElRq0prxJRia1dHavkG6wX9QRHgSZZHRTFKUnkEomJRZzc DRqNy5ZoGLFAyEMqmqXCG5Om+sfU6wf8ZjvekRtfJLtsMCfAWqDWoLFkpptl7fwqYkYCdBxaXVRi s3WGJwA91q/HZbxFsSxg+ZK/2c0rP0AlI8SjpRDLtX/Coxz3RQ3pG4U08aUQmB5S4dfQmpB3Vycz sP5KoqGMVeXcMMpKJQBltbrBKecTl9SJboEdDR80UnQD9hIDwd4Ji/SJfr+DO9nv4KVAk6OOq9tw fZh30x8zoCB964iV/7AA+q8AD9MPCpRpadJruL2bBghQaM20aY/FOEAVhAGU+U3o4MtzXq4wobMS yp+o6gaWOcswLBHiaPlumyPJduKREtejYwYEnBDPnskw5llHx/Xs37GocyOGFSJHQQcV8eGB1TWo PWzL6/Cqrkp5sgIjppT1H3kqZB4f4ipcxOGPQnMQ0QvbgawhPIziC4AuqCA8zZRZ47BVrvDWRZ+k H+D8BJXeypDVdw+HXFZVZMAm+ty2o7UkfXARDQUpBAjygmVyzEFBZEsV3dT0PLxv0eBpd9tU8NHI Rnj87lqGtZvkHEqfvVkMEcKT5V0Xnj9eLRRE59Tnjt0Lykv3xKZxJhJs0W81Fl2s6bSLzhWTFrQ5 dCUZcHINcMziKlZCjHIvMgeZ+IHcC2uMXxqOL4ojZ5wdVLqWwvyiLOQly4TS/GK8L/iqIu7s93TA V96MbTXJIvIVhmrDiKyO1i7cb7yfHMqALoMd1HlAg9PUdZ5Zj1qINGnLMPVaDBa5AEkGwEg66FZn QANYpMwgVl3H/FvwSKMvF0nsvr23+17ZTQ6XjLFOsBj/kQdyaNTjMsO4fByAY2RoYTC3e2vOokSd b8ug4WiaQ3KmmGtOmGxHt9WH2IpHs75x25j72NxW3EHmqCnS4AIf+4ydki0NNUncDSbeBFuYifl1 KRJTlU/5xfLBsIIQBSSCBis3Vg7mDfJjTfD5HJj8dVW01mVrA2gT7Yr1viabkrWRbUAcOI99Vboi ZBj0L6warF500epjFEOEFE48goEzBUg7R2wZhJGYhy5OS13ohLUHKXXsq6R6jBNlrcPmr1Fy8AbT 0PIQPll0I10PaWq6y2KO+HjxNi6PhoHRJYaO9FdC7BHnB0/9At8jY+Z5fPWU8c1Q6HTYlgAmlCIp hOhk1qMr6+aFyFfnJRDhH0vzIxHJOZ1qs/urkAKn8yIb7FavBjWJyok/FHktCMoYjfYO20X3RT1M w++yvDYg0M5c5y2itFDgwd4SxteF78w4qtxFAeMlWccJfceRJGGA6DI2SZtMIZqaq/gk/HR66ey0 iUQCGa993SI7YpnEd7Fgr0sF8dOwVhy68VmV1yfQgz3sErYF4T7T4ccRAnxaC7KwJ5zBcYF9QKYM yzHVuv43cubq7UAYdvoIPtIMAxlkZsB1QFuDKPh1eGPppsl7PQ/4QdENGifk+SQSiF/uRlEyI1Ik uLewfHFdPucu0WL+Q4WUxekjcoviUFA11XHWeGr22xwjkw1x7WK7A8YYgwtNTpLO1A+cDLL3GvZC B9Y0288m2wgbydXz9xwiKFd14hu1XncVC3iFf9lJm4HMfOr5H8OPSqaMaj/+VUhp0tIQ6AAjebCi EvemZtEz4w8/Nf8Nbv4jU+v8uaguV9CMGTF70J6HVkA+g7SUEW7gx41ikfbnSpW9Z5EL8uMtJWVA 0fB2O+Tfy2crGCMnnQGbI8QcPAypUSTTBi7UhG5ulBMq5Yn3k16rwOq5m1TP006VsEAMRY8lSMpf 0XG1XYKbBCC2ZAxiwaSFl4vcUVRnn0AFXMrZUMnmTRAiws0fkjgt/HAi1AelPxXbAC9Gbyba2UlO +N5uvIBWalPfAxIjZuv5Jc9EeCPhxjhKAhov/i65iPXUKrxpc/YSCekN3kUHr+yAWyy83lvV/aNH t3H9iF+1DwZBSZ7NkbO27wDFFa8VbZDKfmNHReru7UbTZgbWFIZZfXlGuDqRYz6XWmR8FyTZnq6f TVCnniBMiYdYzRSXFpJCV+yjGLpRYifJUy8IgWtcDBgmUk9H8cdc8usz69a0+rFUOuEXUewuhJoZ THCRA0+6nEcJjstr1GC7rmnWJ77DEfhdDY6Cs7/0c2IqrkFtwgwQ2dQaEvkb9BlfIwc7FUpk0v0N HZycho8mQEIviF3rQwn8OuJPluq0ZhTkGpcD6NB4uVQnXbn4jtHKtyMm4WsGirXFUaTXb6blXRM9 s96TDL6tm54fIbOcvKcyLkXgtyx2CNbQ3Dsy/n8RmckpnHwjsz0OuUPuHazsBoF3dws2nMdcyDQ0 NZKoWiX1f+dFQfhtl1V5jJ2Uqt0HEodIUNXlYjNJ/zvAyvptRYD/38zVKqm5N9dgS7OKT8zfDEAI 4lFKoKBWRfJ5OnjqqNY/KlHq+JMy3leNPjynSCifLuZ4ojqSPiTe3pwxMddwqiJCCJYHt2E8LmoP 85Cyxix7CaE0HPbIdGYG4T4yrLR68amUaYfr4n50XR5gMIiiW19MpcCICY5VGUyhFXuIsn8lQZl9 8QJfMDKVLCYEnSnRO10Xsx7K0tZ9qPrhwLfscv+yR8ptPTSefBpBLQHwhSSC0DJt3raWWI0PbE2C gWmJziqcVcGDnRMUkHaEd1/oL/z0L1LUW9liJKpAvvW5kHQ6CEafmu9upmh28o2abkMZpCvJG87j U8pqQGj/ji3a3pAlIQAkAkY7gxw01y9jg5hgDKzxxdxhUUzFgOJODpUiS42pz+e0vkMnS9nM9Ov/ 37JfXZjXZJUvHO24vi7z0XtXJUbxXYS6DJtzFnHlCzl9hPOYwMhfrLOS1/fEHbXOBkMRYXPDx+2J zm16UNy2Lt6lFEl+p3xlWWob9Tt1A/5SE4n/wY5keTm7NAHjCExq2orG72o7Zgh2pbuImWQa9aP8 emOBMUiyg+M7C2+PN+Von0E6WGJAnf0HKdJHoVLoCUToUM3fBfOWAmdGbuQJVLYiQ7mDDOdPMrWh 97yq4yuj/nQpdDTCxGTNs1Bo77OMhKR8fXUnGDOyet1I1tlBPPVdzlS2KHbbG+fTR2Vn2zeYq+q0 4iVu1lSo22DF/tkEflONIA73OYltCscK7xlhYqtF4bAhHr9RQy5mqJl9WaUBqU5Lvs2AvAhWipgO 94/KnmpfEDJDnb4J6xTgPvF+hOMbzu1qUT6gLkd5sKXoREfiZcHQfaHeyL4NqTUXgDzlcg62I7jV LU5hCj7paCXJwdDulILNx+Pw19X64cpgleDbDbiAdf4pe0UxsJERn0qeykdLCuaz+UUUFtC6AZdw cEjZrJVODzQcsUwlokCZ372GBPQJtb6+VIyqxaUJO3FvuDhvj8cQL5C7YEWscwcLDuF4I36xuzjk OmTsuyfxoaaH97yGd4y6DOUi9hECMRwdNW3Crn5D8KkrAEFILVmA83A+fX5lfdvJvBRdD17Iz2aI l0TGrkrbh9NkrY6C0PnUWJ2EYLLYK9TNuWNyGTBXaa5PuxpOwuuSRqAkI8zjD8I0ZLM6G1enRTLC BGNTZZn82RT1u7cwcuBuDCs3nfU7CWHQTbExMQOtxmOnsfKSU13R5jLz2hVv8XUJURzopLJfVPQi EDQ9bebQ5VKQzuI5ELWiDT0UERM+7CWeRcQE4kwRHVOO96mryQhS/rtJlDfLPNUlIu2ZN/fb2OEx oDUAaeuCUa1KhhLr8yBM3TDs0WjWY10JWtmu/UzV0niM8se/4srcI/qgCeISlMWNtQz0DNgjBLpa ygj7fbADhA+sk6i6BC/fPrlYo/rtJYFwDV9+loLJp28+tBZZmw9s/6QXaGMfxKVBAo8dHGg3t67w xzIL/hzzbdjYfSx4C0UIFEUGmYj6fUS9+IWfZFPtrzQgvYSgdOlkOzZoP9b+cY9feAqOJPg7B7MD UuztWvxT3edT4z4tH/dCi5z4O0eqnNJ64v5bCSLyL2k1vkEfhCgj6br4A8wZIHPxy2HFdncGa3IN vQjRuWBj5qwihSTpVHX5SiVTiwb0ymIXdnt031bkkB75Ax2HeR/kZMk/aMF2aFobshnIfvFhlxpI Daa15kriKrkvwwTZiCqC1ynd5FRRt+u76/WiIi82rcKU8+if34rtlKJlJ3aDJPahW3FUR4XVonBA IMHvcHBjAHc9uQboRz3VlU0IuXr7N8kgdVL8U6g886NLCb2bzYChQ1PEquFkvBA2bEcBS0wgwycf IiQYGwu79a31nK2D72Nluo4O/UKO+k9Kz5EAQubpoCmjEJBeTY2tE/HYM/B92RVXcWGUhL0378G8 wBZ61SZca3PWsY/U8KYcBiQ3R6iymDGX5m2K/t+1Op3mX1JROGpQn1crosgeyEnUCEgRddQ/zuJX I+B1PTR6ggNIamYsgwMux5tAqRcS3Xg0HMXcWRn0k6uN4bZC5iZG5sC6w38hpckHAkiGAS5JcC5z 7kkjSMvHpz9Av4tqFe/HbXc9Tfy7L2yB6PflBtZAJvyoWub7RoxoOr/uZ8U4crpy3YI4A3CXrjlv xFv6NUeevrHEO7DY2rxZuCXZTIuMsS6iYdcdAhIo9LO1uki3hFxjVD6dUMb+viPDbYoinhFcdqXp XkSFMwHmO9OsnDkbqXF9kvNlIfWaO/iJfJvsEC52rcz1Y18o1vmzdoIA9In6e4g1Y10GZYkwc4tS MsykthC+sPCqmPFlopgSiI+rEnYBjsW6XpVF+7aM1l3SvXutCPErTPsdYK5UAEDPMNORW+7q1tZA TFP3w6RdA+2pLo7X6ulJorgV2QlOEgcsj5f8D7ebVdBPa16vcXMhmHo4Mn110F0Xc1I3lEmqLnbo ncpkH/Ypt7kxX6cwmjOyYfs2OFxOeWxrXgRjZ78GptlScNG7JrmYfRSD9rJuppdOklnlF92CF+ex W0L4Pt4dd0wsMK4ZlLcmnskmowuHH0Th/NESCASYzlaoPit5MqUvJp3y2Az5B42lt7P0QsGyDMNA kLfyGgpAmaZ0WCJYyww1oDtY4vbP408tTnVL8+YmrfiMR3Bqf+6J1sZsTfR1PGfEqhqWHtBaGRMs JzveTkSADX8ARXB3YsBwR/M5FgEY8onos/Aq9ET4dD6sm5WKCgpX98+ixnFbQAx7cG6G19LoP9OL 0fce4HKLYqXLj80AATXB0Su1C922jM/9f/m7SOBNhjT2pCILscb5d44+yiPA1iB1g6ptDwVY0oIu Okf0RCBP2bfJUh9C5PEWKU7gMIQdN8h83ZyrYxrCPSvpZyiv12Gd8LlX1VSwbJ4gCwD/G71xUD/U exKr45AR2p3ZJ/UyjHOkh/CZtUEpE/UtGgAeBSfYBV4cfSoXMsHVAsXAOunCcx3dVl0FEMvQQOPU /FYrpiLJF4h6WxowVqlVLXgZ86h5aJOCk+2ZN+wqZJ65vd/n0cCk0rIYJo0W+PKOlV2lgMwzrLN2 xsTuycL5+hgBoePBFizUuGrBQOonhymu6klXE3eHoc6O2FNuA2e34AzhJM//s7n4DuuMeIo2T4vE 5ve2a0vnzZ3HTeXcatoc0iSdYSB1O+Zx3Rln3xbA98oWdIpCoOpOqdX0zozWdj7mHM0vgXR4vF4g LeQaaFUydJtTzfsFGe3I1ImPOL459aziegfLLIHOsMBfJEC9cu/DXsSbZvPGGPFRvxSJdu4k7pRc oMgOs9nhMGuUTR9j/VkW9RCLcZDeSMP+wgjXPPbyN0WAmCqFjlnrUciQobHxeQqQ+UJqhrg6gfpr 9Lf1RbheiqhX7HHbyqESE4Dppkoccxhf9uV1ukILhI0jCXAuIQKqxivvAcmUTC/t8pPoYzMPxhLl uEqJM36HGLG1f9U3YWeNx23Lsd6GnopX/YMRNLiOaTy3V5VbWYWRg9gdcuHGCfgZlnK7xBCns3RJ 42XUGzOGTioNeE477D5GKTdx0ObM91BT8k7ahb2hgG6nFYvTLpI/fj1lhFxjx19iNBQGs8xoOInS Sj51uq3d8WUXEjPZaBsLrP0dEDBWx498EQEvtWn+feTOJA6BJK9cLH6CnzIth1VUOFYy2e3B9uAv W9BD5J+vg06yutUwixC1aAg2DX16aJk42MpiigKBl7/eAwa5zdMWMZYjRBPC99lcnGV4Tmm7SHsd TkdytB8NwGxAOEEnrBxO2Xy6VnvMLdj8YPMRCPThP/AkAbQH+mJ9JGfLe4mmA7mHcwgQti7X8qak eUbyhEh/EEEi/86QqO5R6haOhKIQL2HZdpS62ZHt7Ts0tUaCFGSVXkZAB41v8ya12TDhr8LK489e IIyyOa1WD0wSmoqWz+d0FTdMeS5ee9EFd7/tzpw3qiDc50lOtPy5zVNWFaP4zmWLSL9rPQZPVdeh rX77HWFHMAatTYZYUvtSozzhF9Y9z4OjGFXYHbeySYrAPw4ulg3bWPCHxOPJebaC3uyRjH9Lxa0n UYSpKrDf9SW72udU5FpBUlzoA/f/fGyGBn9920buuENMysr4ZQwATuQIEjbu9kODUcxvW7E0uw1L A73n6HJCeDFSfjeFE9tTbQnaByN05X3Bk9KUnOwpFUPM4F12iQ/6guOlVYa1cgA04Knm29JiAhC1 mY8wVwRHiIfukVV4Jf36bbW7udz9xoQsSG8VYUBwzbdRS4flH6OMr0yJ1O4XbdUI88WF2ZSi0pbp llerPrg8lPagxlhrJmfkyRE9o09VO77jug3uqc5JcwGLorEiTm/RBJLV5ZFKGnio8nUg89v6xuiq 9xSWUrI6QGf04Cvz4pFbvbSSrcBStv41XI1joSJR2lkodQBOqXjL/NtWBumVFenJV/2oArFGxRqG zCfnuraFQVMSQ54r+137PkI2CocKg/Nh0acbxo2KUo8UJPnKGhTvtzuWQdzTPsZIHmfXPY98k3D2 celd3IM9Rz8VlrcqS7NltYxdWLXz1UegVti/VcEWQgfu7Rr26mHxVlew6CvZCoEY3lUNLmiAdD9O s5NUwuCWfEvxm/GSfbc4qJcmDlgcal5DTIgYtxY7GVrsO0l6D2AtXe6vtZL9xqFO19nGHu3c38Vk AfUOZmjNGJ0MqMg/RREga11weVZJac6S8hiL1nbdpxsP0HIyx2+PRDWyBLM9Ulikk6NNuZdqw2Cj NybYnx2Dds4SjdUG6AUj3VR7X9tk2q6ENXDk4x6lzQLll6hU357vk7+CJhI7l2jTDLKqOs20EcMB RpM5ccgt7MEMEZ2SV9H0F5AuaxDfZBtz6nKZ00AESRM1wyDAksyjCIKRsKGx5C1AsFQCBT3E++52 q8P+qQI/Ll/KhXW2GG2bFJ8Qkfody930NWjPoX8m4+7XxvIyCS3J0NGhZlNC2+mAKAuYQO2hovoL yxyFM0MkVmXycgOJSwaRZkd8IvATlip2l491Sn0woRdXl5ZDRT8VE9qoa5hUsYLBoWhfCcKa8gii 2IaHTlrvgD/y1K+MYIeLCZhGZV/UsaLNdf0cVlu7tyNApGsQKZUJytV5ij9HXjJgpj92NPbytrLA 1vy12zs2bseIQoieVgd/GawPZmCbp9N4zT4Ed4OpG3Typ0nNZssARBi2fHWtEHy9Cs/mtsrDwmmr MDA37GvLj0mu2led+d68bFhYNQRPjGnjZGHKrYmYIaiW4+A8AyQQ+J7IgWPSaAO+nxLPiGMZT9Xi c0OTt99A3qWPQjl4h5FUoYjebwfod+YLw+1po6wb8BfJW60y1xEUwk2WQpELKO0Q85CQHGALpkPX gpDkj6ZXlzRSuyQrVTDF1xr41X839IcRy5uD1w8yKPRj9xLxvr/yqn/k5VRt2WLPv9FeBxzLSmAw SwS+UcKq18ofbpc8RfbPEWD/07/N2ztChfC6K+paBu0s1bTJc4CMbxsOoxIqZKqiplA083QacgCk xQ71sJVrD/l3laHNmdy/k5AOptYwgSNtTFdNbD8Wqu0JbG8+NS56OKIxSnselb+xy+w0826Td5ke PtOWJ7in5TF3jwmOwxFibU5MkpTpJKuS78xI0Iln2vkDY+qfq+ym7o4dwNGCVEZJxm8m7a29BIo2 uhbQfnatSYUVsLh0ejajh8yTTVusHkv5s/1FHfk7tRK6McFMBoFMw9DRlQHz7WPE5wsMghu4ttkQ BDnt98qTi8yVjdOxhgylOSIgZSPN+43ubMRcT355LXkmTXbVEoSnU7rAd3btMaT39/+Vm0UBmztq CAvbFBjq1S2/yQ1omvZgc7ZPgMvu+KBkc6KENJsCn+bxtJp1Dn4/1/HEqYRtRFWur3hIfqw/r2LL FW1Y6AqBX5np3GOVCbiE4KQF6x0ylsRDM720kNA7YdIWQ2Xzx7VNgVqsuQlurnLkvMkE91NMBvBX jrNj6OXYs9p3PMeUkh+uNvG5R0zlmHvE6lBnQYBpic/MhrCfZReH6Vqh0gKLdQEmEd3MfMIFVxx/ IxQnIIEGtj4NqLS74ygrsS85z2FcWpmX1mweFuO1Y1bN/DK1MC7hG6xsLHUsOIJntg0EGQIPHX7R XWddlUfNtM37OtWOpQIAE9hlORIiZXCFD5fD3jwpBkJmC/W5UToSg+veOrVv1lR3cAs1JR6UEhqj AYupIFAOek3ihoSFR9GEIrKftd2G0a6TVXIj2eomsNhwWCpp78yqoAs3PALfKuvNinSzxTrXAW6v e+ALlj8guusMBNu+ijiELy/d6t/gLU6l6meBymQ8qZhM7zfagvs0f3P592V3hqCWVvQVO6J2SCeq S9nS27FopVLee+lunVIJuemvm9qObyuecGoImrfJZwSpv7oi/wJSDEhREIHMFYLFZZC9sQx1mfKJ 1SuK7HR3emZR7d/Mk255nZp0/k0LgzLM9IfJF90y+ICaSd1lNjbGGa8jbGfbu3o7GTZ4BupjOuDx Oy04PXkP+3Jfe6YZpZ9kIzSIFBO6xkJ9PXaFNWBm9Pq2YGODhQ+hpalja82qkwJTza9dw4ZTaTU5 OYUcLGgZGjh7jvPHuxeGcv3rEUUAnOv2m2Vj6WcH6g7guOBMveVBrdMSdNOcpdaXM5SFr2zkTnRy HN8sEiYl7OqkUv79jCaJ13wDZyUipqGiGivZ95AjhOxI0QkT0kFG3mQzVKq0ZIFCDqG3aKtNK0TS ljcq0R6eyXjZMNzJPpscw51cEZJgMKnP9tayhvYLKQA0iwFSX4UxGj9L7LngltcqHf5ehwq/AuLC ZHwYTJqlpy0lW9h4xKk6VMCHD1W/COi8NWGP3NL9UizoNTwpyyUrcTVTpcQSa4xqSQUMymy7VbRU flRnjM0WFmuZ7Y6bJlieTMo8bdj3joJPZiEgMGDhh/UJTbHMxXIPijy1Pdp2rjAYwSRxqIoeYhX/ HU8zfLozAgHNLf/xraWQkVwW1Lvts3Cos8dwIXi8lE4KXiUNQz63r8k8/BoUloa7t83hf3WRC8aN cMipYzeKejvzq3yzH2+pyIDex/YpKT+/em1iJVkEcT8LlIqbdx9H9fn7uAgTUOVJORjPT6kc92zT QkjnByYDI7fk4W42O33HzfBd6IH501a5VzuNpvArE5DKPywAYlzK4o5OkPdIHUufZ0FEKH/8gEF5 2+JCLXWUtR7AL3WOB/vkSw2l8CelrsrHWoelWlHgGjsrQC+/pSlhhpRXyQM7TABHOcpb38hmBRJ5 9tDpIbdDmQ2WU3eJ/eZRNCEKSv+eMtGR7EE0NAyb1tB+2FBVnmP8b6qdJabmL6ZTijMWZn+vK/lF 9fLm0Z0tD2vzSE8174OkjG84LwSkyMqHQ0F+ECcilOObRyisWL+laToLP/DeDr0GHy5P1D3OlMk4 99VZQQ0JrQySdPOMl/osgYLLyyndgwe3pRiIKhVc9qBjG+h6s2iNhIjldstKzIOwtVqvrslxYY8c 1JtSZGDrmdQOfAEbhBrIK2aYXHaG0qN80Zqmj7lwM0wVTrdMxDTjdoNr7hzoimTYSghC+M66jCpi MeKS7iEkU3vi/h3kGvf0eaBSqc2OAM2yPu2IFTJ9uQSWPkLLmVilylQ6Uco9083UJRGHGOL+YYwR qrJdJjNSIvyDr5YALOlzd3RLWB/yJig+uJAXjxB+oQAYom8ilOHd9V9SiaW+UiR95IWW5Uk43dct 3XjgeahtYjZku0w8mJticyk/1Zxg6t5LQz61IRWGjuRcVXTb1Tp2HuJD5Ggov/j1ugOCUgOg0AeS G73sAVVsDhn33wRgeGNMQlD71Nn05FDdXmNYa9pnQ4pnTrPPO16eJv7b1ggvD0owCCFuKa2JCG0M IGHkTZ8kLjgDk7w8nsOLO8OyK+1Uyw+5wwZJIAaq3n9Y7fFc8N3JB2EAoApcyFVP3uXwFh8GfiQI MoNR1PNJ/XE5TNo07ZZZwgPTM/nGWTbgeflXkefHbAOaUkTawv2YRgY0frTvaIszA/3QaOiu9JCB 8QjSgQXbqnIt2syCPWn298zEEfevLJ0J17hioIf3d0/ETzjcUV4hvforA83lRfZt2r0zitrjkhnp ISLzDa1YW2gHOUj0KB55M/sffuuEu0/ECOTKhzS13+ruLngh5pw1HBRUiEY5N9T/jlQ4iWq4HT2i 85AaHdrpxdLt2+mzgFq4Iv4Iyx+1JxhDPWm4t/ElubXCAe99IeVY+UjeDh1xtLzNx90OtQT4vewn aIpNjVMzSPUgME9HwHhIzJhr0/+ON0v+lkR8U491L7xx/Alc8wWPbU3JNpXZg5z1BoiUwxkVSqun c/bTYhjUf4eipFoYXtg8pUUs5S/tRRH6GXFDFJWmloz0pCNEt1V/B9Hrw7KmIGLN6/hBP6QPKI5u lZOcj4YU+jLbR/Q9Rh4X3V5VUU+rliumb0tk5hI6RvZxWKYt5bFKZWcCEwfVKog0npnnw1VwrHry rMt/TloU5vHALdpMojMWyf09knnyJQ/HqMRAgO6hsq43vwTviPk0wfHkfubXreU+7/ghrYqFgnXB ikolf6WUE/zjz9rr+/Q+A1VfJxI04rHYEsfpHxaKLTOZTzzoZQmIqaaTvl4e9MqehY9A4A9lTCTL cS/AaklkRIHPQ07T/W53x0KlsKGaorTPDCw5/prXxnxgAB3U1Vr/kgysI6eccLdSYYU31LgOdgXd jfou+KWUp9DQIH6Jka28DZ/8eKwlcRrzZ2UOMI3eXtQTZpBGPu97iiAQe8Zy2aRn/T+0l4Asc3+4 N4n0NP+QV2nfe9d9HxeHJ5lAsDRIgGzuf8IPougsozNmpX7wjwuuNdOvfOx7b8a6y+raQkI330mw 5L1dPI6O/qDdT07SV1Fi3IiBmiHew+umMlLQdtyhgJ7Xol1Oo7Gp/sDLVxnnRF9gyAhrUChetmiC bG9EdDMigx0ARp0PXGbVLlRYpn2wzh0XpYbNEJAsJH+F0VrEKgm4NBD/htG2LfowRZGgRaR2NVlM 5CmvCdqVYCznsC5lGqRO6BrO00xXedDy3kiO4xd8wQD6uN22mD+Czwk9smU/BLlD2dk2E3H82VIm uUItWhhw9WjZImO4tVyEaiWQoLCaEZ+mxbC6ZVNE5D7QTS9UI5fsQ8ctZY4jemGd0AO4PDgup6qY OIUf2psTErFU+BkT/kfqKCkz9G4fp8QtibCiG9FJIKqEncLXYDtq19smvAPOlvyEXFlOMERRqLYn Il32M5pVchROzqH1DYAB81nnEGksptSZ80NlEuG81qo/fbCbPPz16A36A+csBB6wFe/PJRLGjhDM 9ZwpFk3Vtn5ghbIaP8BCnBye735/xWCK8CsAITwPpWQuDli9gfupAGgbSVQOcDTygYR77A0X4jDG 1AUJ9eYawuIi4JXuLMmu5m+ZvdabJ6qzi20G+7S9HCH7IzYP5qF65KH4FWnOpeIlHN2iiMD8JPLs gz972VvhTBvl8bbKdM1742RHn5MvZwEtl9vhk9OMMNufizV13MIEj6yUVmpQKgklAa5zRFB+egpK gO0i7Mju0KlihMpgPFBUk4wIZv+YSBGQ/XFMPhXRcyK1sxHv3bNySNDhWgcD2IkN4MgkNEmnDx9P Tb4aWBRjExWA/qUL4ifeDjhBhv2veStm9/X4EKOcm0y/P7BjM1xE7knnvD/iWRZLPoRzDOdXqbxE 9k2gywtT43mKdRxtRAB13KJHMGpjaEdw8IqmJEesMweELjoDm+u9JJWeNYwE1g1Qhw6F0SuXl8SC fYPfXcxWPnm3/oRIIRItw7n0bxB1iqmtzcOkitLamEWxFjLgrkUUNN+HAX/DCJLiBuv2NABQmx1i szT2xIzsnlYT0MtRNao0nlGSQfJ6RPVk+lyWgp+vqmW/1HuwqFHx+Y5EU8q43Rs+EzOaDw+vWAED DbScEBUxpU4lyWCQ2CMGKInwV7Dd2iCCJkMY34lNLwFFxlB3mSiOEA0CZQ8ycO3ed9w/H/qRxp/2 IGf6g5KVPEWVQdOEpT9/xGh82kstsy2qbXATi/T/ELcuroYjbZ58z6Kh53C6ZXEZtRLsdCCCuzOg i+mqS7FDhH++mObxgb2F0ZtoIVvDBxAwxGifosA7xXCawSa683Cqd8nU+LBILHBP/GnQXA4ulZL6 nD25z/NNzU8MwkPRBASv7tuD1oHMUaTzgPkU54ePhoW2OSfhZQu+K/ue3wlEY/5/AycFxiG+OkUP b6PfQg66kb6G3MF03cliV+Sjl+RcJiXg+G7BM1XVubPNK7oWAuak02Tivnw7LtqtbuejeD8csAP7 p4WvIwYaSluhcPbSwWhbonf+n8mxb26Ul7qRBjrhbJdtB/nOVMeDpQXvr/jLyVjVlM1OnhTvPLJl EDXlbW/0koyTz7G2iajpP5Y2s7UTzzdYUJlW+TXLnOsjstN09hpd6lN4T0sKGahq9bx/tKPKkljd fFNpfoIhGPUPeuXBiwPJvPZDNJ/BKXb2ZE/fOry1Te1yUVE9cSj4/wsXQ28bbp0VABolkvF2E6fv LGcFPiqTlCJUG542cLlsYKadPueaxl0GouJsPjT/HttuI6j/EzQ1dJrMMvOwcLHHFTxV7QY2aX/z VfxXCZaniiOx1YydOpGcZGGe07ZbaoJGegI8/uldWIUu3IS+o5aaIuyhEf2gd1TefI8rFAuiXeY1 bBIbviz5XHZu8svoeKdYuheKcKT/Gmebjs87sUd8MuwrlsGjWYUWbyLz2dAJKPRvbs038I9J1g4J ap1NmYzwNgusJfhrPdwcqvlCucHNIZge83dfyXezFXayJcAjGapHNxHoiY+gbSnQjWCH5t+CwhDu Hq0vkH5W7rl5zJkuA0SHtJQRp4IQBcmXF2dKlIDJCuADw2C6dAp/YQoffAbkembxZbdmJ8gW9bYN t+zDkB5XjCXW9KiRLxhXGmphvRHUgY+IDEAnF9BRDD2nRQFk/apWdy6801A1oSE/xbuXe8nMAVpo jAKbznX4BG73THMyHkfGFWNKI/9rRqG6s2k8k0R9qnBC+WWhITsK+GyMXRZgpNvNh1vbzwzIZxKu q1D/8Eecbf/uPVlRir8mXnhdtZXqRvwXHbOevrCRZnuu0DawomrTpIOLNna3w/1TuMKOTzVHcPBD /pdHnsR5bBdtkAaYAOTWVJw4LNgSQDaClNqZ8o0fyfaea4c7/mYVBOCbnOF/CFDwyTSjLDuLwcUM pV/kt238K4kRDg4KJAEcALE/h43q9WPtEFlQreOFNaQm/6gq8k2bzIND7TsEv3STkYegf4tZMC0b C9xnr4+y+NN4mxQZYm5rXYFmpVn6SiLgCXXDAMzcnIgK9BcQy3ZcSbrGIH0YFA1azLHvAQ1Q1oI6 pYDtdrN4QrPo7aUSFH7dX1Rcl9g9eawqStvArM8ymblPgf9t74AoypALFrCmy2nGUM1LRLqQ8xoM NZofIh2bhKARNVJNgNlPS/SGCiBWUwOIGdscuiMGFhWQrbycA8npjPKwOjSyPwMxeZg47pC9L+69 L2PTTzpN9iMSZ6yD1bJ3btc1Dy9ml+eO7RBqSltsMrdQZ3RyuTzsVAF7k4ZJ79wHFNBVpvFCL4lR QKPleSwiaFQsgm/rz8DhqCFVUdKzsR/Xnx0pKXxKrTdlgLOzvoQ8XgrNs+IXL8rb6BWU2mQvBuN7 d7nDjkbAKgYjApZZ5GqkvXzFzfGeX1UGJH8JgnNpHYBQ3pjuzU1u3AvvbxR0z0arb6gVPVY+DPIV ceRH43VWdNS6+yt1VJH2GUzIYCPepzEvRRj/QVVUy4unmjWFt1YgkQzYtuUXoSFwB1nL7yEbuDAs R1WRSZB1heFHFkqwO1fy9LowcYUouVBfCfqEjoMQZuMUDIbDD9AgfVC6yJfmMK0awopRvudrOXlU IJFIBRVzCC/OgsIz5tJdkXoCDdLeTIV68nfyCdI1Qt7+7Q916Z2C+B4Spoo08VN5m2Lk1V8kMHc6 iVyJvYSV2dynCuWG6R2O8FzYgpuhu5cJIbWpUgFecqKuFhN378shrfjNwM6oLHZnOReYohwXVdue aTFDXpOFtvz6+qUJxjn6TOdjT7oZ1XVFfKhgqXHjpULh4NMXwsvIqa+zuVMIbXyMIB8QB8Dh6XTF eZzlT0DGY9khKp7uQqsl+MhbAHzDTUy+gCIFbeBTY+dx7/Z6e0zkuEMSYslNEKKLqiI4WQBgnxob 2AUB+8JNvfMO7GGl0SnDpcwBjBaDQOKzvjxF1I66Zo/1XUNuLki5P3r2BxzVbVeE9rcOxi4+IeYt RHEYBTvNVWsPXpyNfy8mDP+ZWcnrHhwgLs6F2JRarTNWzkYL6kbTHqyIla17GPK52Arfmh0kw0dy mWK6rbFtRQrFIGrYh+Ij/PVemSJE4ftfVDVkDmzQafuaSLmerjH84zd6UWALiH9eJtOsyiKq9Uuj GlDI7Esnjbez8HBNwLIVllFtOjTT5TScn1ZfXjz0FZOz9dxesndea0Yxy9fyzerMwq0u4jqo4vq8 k368mrrkzy1w4IWU+740ywEmHJumQWC8w2EaJ5JsIYk8xzjZQLVn2vWJb5TDkthyIG2wy2Nj6l17 0WuFuz6d3oVyhatmltlQwreV0bl8RZPZ5I+RN0jvgsrSKa9QpQcPWCgMhZpk92WZCPy5c0SHi164 00DySiS5imjV6lUHYT704rwnrOuzb7bQLzobHBGMGsWzr5O67D0HriLCPn5EY90vRMt8hhTuaii3 is/Vpzitu0EMkUgWHNI/Eetm7RpBEB+TF1W4PqahoAFqeW8wkIR8c1unN5YxuMBgPEBipIkebVqP RXmsW8ncjG5iQ7/X7ohskIfawt/w6PfH2F1XJ7oFJyySjQCuWTfHTTvuCl9zedGV111S2g1NE+Jk cGSFQVahMxGdawnQ/ytjQ3tehm2LoK0Yoauy8PjsfBFTJc1XGyrbVA13qJFSLNBcAMVnBvQ7zNaY U2f0e+qfx8KOcc3X2QXkTKSHcPEAYrwbdY/cAlOXpB5n8MoD5hy66zgMAno/j3bKn3ROM1C/jQi1 a9KRgFONm6H9ZHF+JFBsT1X/sY3DnNsaU1N6uSiEbhAnR13gbzeQ1LlmWPzOtmM4X+KHpd0qIHaR VU8DL1P51EwcGyedTjnAW44jsNpmf0lKrR6ySeoG7y7y0xYhsDgInf8ndSHMT1bJZtTrAdBSJaXf rWunwNm1ulprMaCgjmIszXVCNm3sVHwbhfuFPkB/Rq+aDa8yObViiLVHkSGl3VraztO1XhJ+letG rrjhZRjSq6xBPN+hf0M/t41kOFa/D4pzbtq/AzP9r1i3hUhOSALp21IBYUXbMfT/Uj3KtNA/LgU8 bMdp7K9HFTOrFtmC8hEl3SsdxVa0Z4q8V+z5LSne31VmT/PL4AaZqDRyLQYmcN7z1NdjkVBrjDwa UmFLFTGVN9ZssOPOUXnoTF+HuJPAqrDUyvqD7223yMSa9eDuaKho3kH9gHEuwnmn9goQWFWuZKoC eAEAjHvsEsYIYjURwRBJOkhx2POm586D4vLmJxk54Btp2UOvOGJpKtKmAMZnTeVP4Rf9OSTQwM8P fGFL9OLmbHI5cmydSZR39d/Pc92XMM7P5OUW5szWxIao6PQJ3hgAPyoGwlh4pNVT/gb10gUEeI6H 5LU8UnKK/jztYzz0nVLQ34wxrRZDjcJ/1Co71EsUr0WXzltrJDxHTmCrCD2SXoivudzw391lGD3R 0f8374gfeI1NVuDuYB72pDzhmSLkz7cbElg/gaD/uOMEu9h2tr+CmqRb8fa9mmHclRQGVkgzs2ef yS0tO2oMroJ98Zu2pRhnCAY+aSLnddrsn8MBqI7oIlGI4V+Jf27bgD1u7Du+EouZ/dxnMO/m6euC omW4u9Y+W/PORNfVBiAnmLL/2wDY630lheB8ggGxUacg5vs249UJ682hGnML6aLu3q+lJ+e73dBh 66WstXMsWdiGtcnh4EIac6oY5Mu9PrPGe8cw0GnQJjuYnCFrCk8RIdLwxIcb8TYg6/tbUAqArXa5 gQbX66jvvTTvJ43aaiCCXJfQl4NoHA9i183Yu0pDPVAQ0Huy4iKcKFXbcZfJbCace23UQrZudD29 hKVIC2HbPrZYniUsvAqCjLezCxJbIXMt8f/89+txTJ3Kk4GiGAU92i3WuCujHua19fBmgZehItDq QGr2eCmzCGgwFdnBPWTECk6HBJCdnOH2BaFDOkbqb4d1BMdisN/h9VBE6GpI0FVn9nY8QXdzuAqw dBBogXaxwKkYZh8G4ppoy+HSp9FlkB+0mMSwy8BvJx2bJdmYls6Kf7maT/t/1T0Wz+rtT0PsZomK 5FvLbFcf8hkpv84/rayMzRwQ5Dr9Y4hD2wAq9BekAvj1ttrNRbXExOwrinDC2mnF51667PLccYai oPwysEyiAZNlx87yNghmgwUrLRoqU32WPnEj41rg/kEXSkuuWInNVTZxDSBsyP27njOqfeCKbA3k Xd7pZtPBmz/gTOQ0StUwF+LcBUZ/M4o4NtonOmuWljXTqLe66RHC12yCO6KX1a4/5j2gbwh4m23J n7b2z/CVtZzDkNFkQD8VN3SmD9oQ4klB9ZbzdEPq4bSeZL1J+1BFvDu9s1/7JY+yG3lJKJxYTy60 WkI30QDC2teCFHyldpaVZjzHWvgXEE/0Yv22bzYddAqTYtgWsUZA1VD6CsiivEJlrHzTvvjtcZt4 f1TvNNvAhK+bF8TLA3CxaafmS8ufqRk7dAxpBDicKd6ABFNLD8CyEsWS4uCGSqdx59q+tfkdx9ld VDWAdmzCoRKCVDugNcVSLrChYpxY46J/Hl2eTyBRYzEr/LnZL8MLtEQbxAq0Y1oEKB3iJtPjG0P5 BHiVbWEsI2uE+pBAJMcQjXUgUZkFAvPIwVF3RbKek0i6fzRqv5ciTyTSIej4XpTZ1He87W95uREo 8iZBAHK3lJZ7aMl2CxfI0YXeGnBZikRfSlHNSAEIF6mmCYQoB9jv8uZo2gcj/GSC/MygpZY9vtQl PWqKd4go1jnUCGUU1zB8EDIj6lVI+JhaE30rZeVZdaCh0Q8ih9DgJZlSqiAE8svgJbQDa7uFOhTU DGkzYzalkyuWwvhZif6MIVM6IOAowRowDVfgUSusn9I+fOqEG/lcR1PSfK8Tjg+cBspoMMwxeDLq DPdzeJZEufe4tZ1HjX0E5jEBArSiQJH41+y19GMNPeQTdKyjPBu/brDb1uFfhT6Q6sAnuHXfXhyz hiUwNPg+os2xnm/FWALj3CEe0sLk+NoK/zoP8LbOLUwrkVlXDqOPxgHLV16arxlAwLiKMYUpwxNq KsdyFr6UodPvXcpTSkBzfBZXFNvTWyLpK89KMtK8jaMANC1IYQ6HQnJvF+xtZHCitru/eoNNFIuS t9nh7Yb9TXtKcm5bZDYXX5HcyeHNPR18/np+JGCro8GgTxjlbhVM2tlvT+g3vOFTvrXxwNr2E8JJ DIo1uOSY6RL7lFjxy/PkswR5oawIC7ZUvFDwrdonD1Pck60oIL5YFeacnNL5UvypKc4pJDmaogyF K0TF2cAtVCFbi0wBaI8YvfaXlWbVqGPuMWQ4mmr7k4jI8Dv/pJvH/R3YIwftzVtPchu7mRf/2doT oRUXN7JtJwR8URr5qJ7f/LBu82l/skJTmXnXZpEIt+7bpVjAqoiHA0rKwAxIRNOOSWqA840RTWHa d6mz3D7ZBOq9Z5VeaC/w6r8xeASnUyQ075Mg8Owv+0tOsI+qk1cmCeuACBrNf+LHe5Ya1E9mP7Us 6Zm9yJd0Whm0cI/f2AkwRmoFsQa231sdfAB7f70pavfAi2bs2r8Jxy4dD/W30tGsB6X0hkfUB+TW OZTUWkldg5pFB3+vkIFCwwZ5HQU32a+WN9MEvCO8atfRfFj33p2VdJR4s9jv9Ro46OPWLKL2u2D6 UzI+2at+KEtMf5mDfWXM9ZAj71M6sozRGhS7FRbId8gK4sOnfj/eLyKYqeJJ5TMQ5bQ1gHK9bhA1 lqj58absvyQkvylsmjjyqHQTGB1KkzmxnXcUSddfQmie1rFDNTeL2WoQQyX626mWlDW2aOPDz8Hp kZ5BkvOvikFZRHvecoY5SrEXIotmAguhWGLop3oDCA92FVoDQKsGKTe1/XFaBupLRDgujtxlJl+y NLGAoULitLSK+ZoGQ3FpqLv+y+A+mYuGJJsmVuIw4rZiGDBEc3u2VfNMwl2CQourYS0I0L3pN21X YeBQf3Qe1X0pcOArUin9Xs1VZrbb+pQwMGWIefId7EotOeM54hp69sjf/+P2Kgl/jqiHsGoCZAYH 51qNQdb2erfJRhcg0atbpKcz2I8v6qQ/AKn/m8wPAbFfs2vWsSKACTXEcKk2SNRbh6rFvbwbRwBq hjNUWYWtDjfLydZ7zzKY2XSX2kyqf4GbhUj8+P26YaBkAB/Ms1Dd/cU5EN1yj9khs0AepjzW1yt3 Z1gFg7OJx442t1E6KSkKeU3Q6hjBAUv6g2M7h+Y3y36EWmeIwKOY87yTKtY6Y1B2DDO8uPtUp138 oVfRMN21I9Ub52Lu6ffGzHQqM78s6KdjUdvG3ReQY9lwqexcY1/9AxKhG3Jmd1AtM5wKGp8vPLiT lOgq8GaxUvzGxgEi5BJODxtAZsN9Y/CQSUFpTWHwIP7TiXDRL5gdRiKqDVyU2l+QY6jSdUstZbBD v2AMwhn5ce6sae98yBJ/+2kxyZLEajGvEfDfhl94RxFn5z7zVhUnZ3/H4b83e5kZyS83adPY0vzU RlUFePdXkpoiClK7lvTehzNG3V18OBGRIeH+hEgZOj1I/WlsvjFTDVLVu+ZemsgS0qUqxEWZOXge op83CxEA/QnhTL/eYmFPN5z1eCkvlxRx4fc/I7AjEssvg9SgECqLtRKrzwU5Xmbd+qZeDYKARITn cgf/EyasakgRBsZ/LRqz8oDB2omR+JxDLHzyWT5PgbXuVJB5I9C4QPDehwFmlcRHBj6JkwXnMAO0 k4tibF/29ye9gVCPWxvKP0rAsOTdXe2TqIDYj0eOaOaFuE+4ifeONvaFvORpin9zsCRDh8hnGU6G B/HHGYEJSd1BxzdqyMK8UVNqc0k1LqDk8uxAswpeaB156cjedh2RNzkIQ697Vq+YOrVzfWRwZLbR FK3fs5OROx16HAFdlMSW2NwVIrnZLw9ravxKsCdHMui2V2qaI7ntJrXg7CbDzESKfaABOID/8ezC CR3p74oe0Lb18R+mroyX+f119S2Mg000ikkXchK/7hrOGBPAjxV7cUncBugqY8Q8mmmpCtYMB0zW ZFpu3YHv4NyoiOkUT4EFia4M6xXG1nfYb7D2StDaprcN3MO4ETmzldfvP6nRey3sX7V3C2c4myE/ WqruQC/1Nw+yEEVk0VFXfrBnYNg2etr+aJlRepgDgxDGqSwc3yPNWfqbZs242z0To0+SXwHIOXsb sRyX6pgu8qj/AvRnjvPsoSVOBfKWy9btidukgQ4BqMcN/9MpP6iT1ADPGvT56HEgj0dfW6HwCrDQ Xj03QrUlvXa1jFQqnWSm7mwNp2OHfxhhJQTMkrWE+Cz0qlac9hi96gQH9dAavxXvkM+8sObtI6d2 8PWe9I8l0Tl+F6zCZsW6EeyXHSGo+ayuC2M+CeTCa9iLhoWE1Pi0Qoz73/WSbZKDV3tq3G8Z3b3L Og6n5SUBC0NGja5WvPiQUBIt6LEdayrzAmEXbdyZPD8hFD0lTcFGOo2E3vpJFdNJ8d7OhuklI5Pc IkD/uWLuy9obiZMCqETR32ob36gpI20ofDmqJ/j1m79tSVzSTvQVIcrjHHe05JJQbESiuI298Md0 S/s2KNea+gxfVQ21U0XXzkSsxX1eagv90/ZPb+q6Vq9PgXdVDFNzfzbJcLtvtLHjf4WmejqwSUIC hAA5jQuoeCdXrR9b+D5EtJBi85J72CXp6fkK9tHBCFAaoaSMNrmgfi9j2O+Rj+37vbaeagq/5u/E 4gfMb+ppG0DVw0sOsFAZ5bCzzcLoTRbZF66C1m/tBFVqq4WGyCOxOaas/gGCmIs2cesmBBE/YbAK ayORIj10WUCfqLnsrnCCRm9bQ/CzOrb+kc/65dC5BrIBd8BJl55uU0zHWirqMIVD8x39vZgK+SaE C7oJ6BTfEo5zJS2dP6YfhS2cii6rea+qXw1FOkh3LwEm9Gkn1JotSCQrn2AMElm4PRzu3kYfzhMk qzu+djU02gXko0WQBldKj7gEpIIsPaYThEH0M+B208OY+psRkJexboTTRGDYnofTF/NOIpgKxS5m dyg4HO1Z9Og4JAuY8uBJPetcyhYqbLeOAdL+wxbLnU97qktYEewNJov8qr2IO0JUSm8SX2lNciWG psB+B1waBN8Vlg28+ESX2leeJ7+ctPjBYNhyyUln6j0xxqv33+rA6gIF9o3I1jnYl/yJnw9dWMwD XssV8319e4lDrfNMKeftBmAAVPwMtvzWnZn6yYzb2CS8rC3XxT0tYgFzp0rtyOMOlp0GUnKVdGcF xIkvQWKxUAz2KRS010SWP0P8wydv8s3w0f8/qxBaLoKVMdhPubow+T+VYxxu/g4sY7/PQBK8q5gS QLDlaEavpGr+0cUZuqx4RYTsCxe1y7mirLVl1rv55rtKDaf9XrSLNnS/iP8SBGfAprlT7h8DjyPa wCSmrR7tmXUebBOcWePS5uZPrK2FoMiC+vV0NiVCfe0k+ehFueFkh60b7VDgm6FP3tD9e5GC+zyz gf6lzrlBf9qm4ZthG1ob3E8/ucvOByPI8NN361XlzqS6HkoKVBYHJP4WLBE1DdJRBrZzy2YWE9qI WbMKRc41Xqt7+JiW7AeomgSHiPYUK96t0lR15XQb7kcgwu3TsTO7Kk9pG6WrdAEKRjmxXBul/yqA 92DxlalUJps5KODAdBCEFc3YWKMrl9wPB0X/d3+VXKBCa4J2xjSRB4O2RrbA6WjHgUQLz58J0cQ7 r6XwBr3Zu5SWPheBDV6j6AXGQ+Kr1se6iqMOTD8mJX0fbdazN8zOoKfjP4x+EB2BWyMTmjsbCliS gCH/ZqM0PmJ/emat0pYiWfn0OG3QIhGPdIUQNFAy+MLRjNLSJou6EA6WPdmk2W9g6SUJmaW7No/Y bB4y/nBX7LUkuhwdcK2QPbAgSMAZN6Rc8uRdBAzelv9FSWz8S8L2dvM7C3aLEPIGAjpfppfGgTwN dThiAVGpBChHS+5b2Q0wzBiELcwg0mrI5xEh7smzcePsWHw53rtOWSL0nk2cWpsf4xnxO7rinqv1 NMgxF4m8FP55GWSEZzNjqcJGxjeIZWGiDCfcagT+om5Liu7VctfqneNxzKXENx6AuZ8PBl3KYLmo 68asTMHx+KzxNNwgCzgDUGcLTLsCbshweig7dIF/BcfVOihrIMu98pKCfsRRtrWeq03zDK3HI7Vl yv6VvZrwuKR3Jz6szxtsv3+7wLqRXt60pP+JBK8Y1sm0dl7LIGhlDbf5NbFSfBc00TzHFl56i6C0 KjHSdTCR1t4MY/iWR4S9gZPPCer3BK/At/+pzlEWCfSVE+9w5uEw5DFanI9qs7qHH9DztFzu/p+8 2/axRb71sOOFhDQcA+bFrsGHmZ8rKUqK1HLLrGtwbokarbOCdjqy7tgZmTDSsLT49UXdQcn7208k mACBIbhE2ee1QlLaSVDH4uYd/d9G6xeFiXMEVYDV6yM+hZJlsIACotZ1+SObNJXa6cJDAqIz4so6 uKdelGaWX0wbMLecTPXaKuipZUHSZDUgTL0fT8GrPmbNIoM/jWYGDh160ZKZ/+ybkAITWD72ICYG gQHsXMFFNLanPrvRmVivTMG/4k7mxHtWUKa9+DnxY+1P7EBage3/hIImHeIG8/BHpRgfB/xqn9MS HSx4obJHWNAwXN5AdJIH8tpmycefG+z9fE4Qx5EvGD/D8o1HtRIfLjbueYs27jX617AoN6ABPKrz hgBgcc1d7pRI9ax/PUbUHFWKPUHLXDBZZ6QD+XG2dLK+1ZmRshgza/OILdqNgN3NUZ0j8rmtWIZq swW2DCmcT7d519H874kh5SCxTXLIH7gt0QKtnByrfVHCfXZSbrbTz2hcAbHBE7W8K0HMN8AA47gr C6S8sU0ktin2JO4oaRlRrRgh5LL+iAta14Y495MZJ39jtzSCZU94tsi8bkN+Zc47KNxoA1CHCvsa cgsx/09eVWjBT9svSHLBNHKdsFF3lFo+Sd9XU2ThlqCoV2eGm5vZnWsy7M6nzZMOtvZspiAEPG0J /UXKiHy8MXuNYXviRFXk7Pff7gqZ/kJFEPgLtDhDwfjgCeZ7RQL8xYtIRvkS1MWd+HcBQcJtgYf5 7xlsXHe8Jm2r2TBhTe4RKBg69iaxkJBKxjElhG/6wZCoSKW6nZnZ3sABmlRu9IyxSiNbGD0I1Rym 9LwzDSXaLpfuXhvjWZtRa2xIipd7fRmD8F4/TwW8mmb8b2S4h7spjNqIOgowDs+EnwiinFdvBgIT we8N5wcIDuyPeQdb71BOlZOGwJZK+A1rmFQa+4eCIhl2rucm/X3Nl9l6W0ZLvS6wLpbvhyX/7Got jv477leh0j/U5TU3k5eeQdooMbbbybynu6nGsKe2Sg2K/Nl8qPZr7txuWQX69/smXnruM8tF24ww GJQm0tKmsc7UFMH/Ss+ByHYmuhoSvB2E1zMnkDhEa4/m8lMvrjlbosNkPrAA8Mj0iPnRpewPJPKI W2AN1+29f/9PcDd91ovbow2AuNcGs+lujlJ9eSyiQUSIcT2Jiv7gTc6Tkz4k2ehw62FMXPoPPbx3 8CTA0GF90pb/XsClSjwiZY5t7+aIDqtFc69imsHU/YD7cw/AJKIJKUgH/aPYXSiLPmi/rIN1PMSY lvncZtLDi5OuRSJv6IfrRqiLPRqQF8lSFPDeRXHgFp2i98oCigtxSmTZVJG9nFGa7c0gPZ0ui/W2 2NEl5+0At8C5r9LtBh7D8BXpcyU8ZIa6ggxBVxNkLr644LmEEfj5CwXBb3cymoupYpcijtOFQNgU kjVEOYOrjGrxq79HsibEjgklEyoEV5pCZsjPENhe0aByUsoiXQpXMgvlFha3cjYwMpcpr+cKa5sw bTffOQxe5amMh7QZxHF2mSZffeE5tKHXVGX0RRYNIpmbUWOmmOECr04mg3okucAwvoap6Wxhnf6z bXwmri/x1tBCrOrlNwrXRK8aubu8iohEdYrC8Iz8K6FsYPMVp7BRPYOEBO0xA6oJhKAINt0XiAD5 DXsNPLAE/8vhdGV/uULJ4Q1jPFAfV+IH/RSc28R9YzO+h/ICQGa72RNjnkRjuv59rkGG3wWAVdVM JBG4J9u2nye0ZGa66zY/VtMrkru8tFH1awoJXUCui7dmSYe0xXrHG0ceEKCmQ+uyFEDhGX+mHwmY V61Rw4IzxMLM4XLyJ8QUKCxAQWGiPNh1Xv9Oj/oXwHmONNWnd5HMsMQpEmh2aISy++NRVvYy0o5r jPYCnt+MvpD/0qZNWzS/KKQ8cNEqc5bgI5s/Dh92XBPpC+1aVs/OzOyFEnglbziitRcKEPgp7B9F qya/JifL2/LZmAxsRjNTKLW8P+PgAWmsDJBNklCYfNZUpbMw5Y+qbSM7YV3jWGZ1c5p2gtQDu7rN D6QBNpNzq28knH6xB7miFFGmbeg5NX6uL0W/PCpOaj/TQcKOWhTsXkeoLUF5+PDrDLXpwJ5J8Rh4 bODYYiZVlFE7jUD65/jOnAev/sk5Qf6o7Utx92j4FLjtoJQR48QuNlLzjnN7bMs4yZ3EeCpwGxJq 89EeftKjDzo3/AOMNl+UoTNDOrdXCKf4/DKZTgGumrIIwCttJiOKSkIfFf0wnrMvKDwRL4ZekhPT AOXadjQBSIlLxjVM9T+UNNO3BlsdrDOloCR9Hm+mixkU98T7Rzsrs8aGOXIXkta7IYBGL3yAAC5w EM++d1t6nQJhsRD17aKKeEOqaqaDGXRhjludMcbRIGQ6K5cp72rXsX2Hr0mz3gjf5u7fE3uJ8WkK 2O97mj5FBs+yJbN44lzZW9hN3uK8F4Hk4WQ7Tbh+iOfXkB5ZfSNeFeVFSXa78KhlknqdXBexcvyW IpIIcoaFiEDtGwwSv1BdzcFp34fp41GJIrXyZnDmfa+tcyLsWhTHhEFTxO9Rolls7jeKMXlRMKzr YOG4TahMJIOJxVEAeObstXVlIzrTt5/VgaxyfzqfXGXXoBArHcpZwDeORs5rE6CwZdHL3fhPcK5C NEDgeJqyyvWs3Y7xgt5kqdlTwIpoRf3q1zEv3viYkKZRZAFDIKPHts4F0r9REQqNGUo+Z0SthlKZ 8pSt8JFil0En9qXfyhp7Q64oTnDUaXWuV8tnAR8cm8pRUt8tyUf2UCyVoOzVddrRbs+Dah+ocwVp yLkWFSMgH5LLwoh0RMba1u7wSgGuXB5ydOkb5JeicDLFUW4EFVBs5DvY8dFhVelBpLrRdEtnww3x 5HIEviqIDl8dp1wh5EjhN0PAcYClMPn1DOly15yzY7sJN8DGIFcgwBQNJAy0p2GNtMzHWYUO7hzO /XYgjSHAf3yJs6Z92c1CAYBgHS3OmHcOtexdeM7rjN3uhpF6BJrKSNLgHLu3GtiHTmzgK3BtJJEe tDcsSDMBqRuw0CbBvFubub97+9vN7HLIFSI1jsRn0p2tjy1w1zR/ZZee312aSNIzJcWmDpN1Yd8W q2ZHW4QS15ASLVDmGMckrwHb3UzCYLGqZSzY68tKKU98xRnI3JuuU/Ifo9GWEvui7uZc5JAsMFzv LKymOawgmp1K9mTncvFFc6Pqk86HenmOTf3EhSUw9pPo6twXCXT5S2kokTQFpOJvdnNQd5BeGjCH WmIMwCLER/Ym1nDC/FYko6HYZCLQOiFYl1OOz9/f4tafU5uLjSiSYrVR2c2OixhMNJCVkVgZzRiX 9r6hIKY3XXHy5lNMgj5wlvgZKbkWhe544f2jXIjYUPrZqVEyeHAwr3E1+5tzZkfy3Cmmn9QuiDsI EmZtg/iXlSEkYMVR0lvylJXZUEEwtdHLBnPCQPCCNWlUA22e95TRHViL4XPUzu6jvsFXEwvDKsKH 0raPGzugBrsMghY8BGhZ6h2cOfqjnQV1bOHZppOPbLkmrtLDYx9nOkH4gH/TYLEOR+UAHy4XvJgo Tud6P8FlRCjhhVMImdH+Nq34IFd0QU65SFkvTKrcfDNeOJ+iXAHMbLCUBLSTsFl3o5PUd53TnkSe IuvTQUZiAkN2WivUqeqx5wghan9zM5Xlg7/uIsZm+L+PmF012pS5pupEGTaEjqoRHrYqNzOS2Iqa /nygvX2SJBnqJZpwzpeaDfJMZB5MKo6G1SfCfg5QXAzww0Vma3aXvEZJwwuOvWPHl6a3UWf/dmTC 3v7SFUWUzAxqe7WgrUXuhE2pLzwQQowRjesqcYx9SvoaejXOKlFfxO/cnRAyEuadK/16PBHCHw5d /hAZcT3xkJdKEqeF1AdEwaj3SUyil888zh6z21H69V5Rpg8N5ixx22StFvdh/730XtPDP6z4W3uP LW+fTUGTySRWh4qNN6k2+rDf6tGjrP6yFBQqE8hY+L+NaRggH8l6PEUOTow2JfGPP8AYyyDOylUM vICw9X1U/cvPjLpF6Trx/FKMrPX6He+b4G8lzZW0l4Cyjgk4hTUgoiUIATJFZPKYXR1vbf6o4Ike 7EHpVnWuRqCcKpedf77QtwLH6OI7qCsMaerDxWuu2o59dAcrr6y2hcgPZ+Dfe6OJC3Y1fxe598vf a7vK3/J/V5HsWze6T5ixgNX6fcrQKeQCpWM6oSVqZG0LIiLcUxEUS4ozrAxn/icsTHGxC68HOwkO ZJclrhCqslecUvtZFjRNiVhJfqYjAnrE7Pb6c3yHgMgWRg/YezIFtKCUMAq4YZNs1wVLng2ajgGD nDRKCaPa/FdQQGGmW5DAs3n+6Cyvr1ktNfUbRKKs751+qWJTAGJPqLFqoDw4p/HE20D88sCOZih+ BgP0XQAFc8NwtogEoqOMNd5XiM1x0AZu/hvE0Yz8KraAijgrGRrWBqtSxGHiypbpBcMS24yRfeGU HJvHqZtVU0Jio2iQV8jsErJJDIoNOEAfx/plfySUSB2biCDnddy7hDRwLCqlJNOiaO3tKLr+Onxo aGroMniPqaHFotwqY4luNEmaEeGFH1ck2hA3nujUw4T55Xcs33ET0ypMpyBCpIOhoknc9fylHZ8y t22LY9nxAuc6dMgBUnwsDn7zZ7nvmWx9DuqNgw+HAmbqDTpmiTIIOkDIuoohuq9MAr+DeInkdwiD 39MxpvQGx9Ci5vTNgiBc8YR3//lQA6P5V3j9mA/UR0jCj9nX0cT3hpYVqVXNv37cPlHe62zHFlz3 9yIOLXt7Sx1u3/7l2wE+zNsQggPqe/gLGvtGxo29YEbyOuTYb2dmiTG77/moHkfWKuczcSrPof0/ RxwyijVmeGpOHWicKUWmwvphKKdUh8SfHjkR9XJJDVrbShrcLfoVi4+7NfIu7Ptn1DOpNxQtG06X EfKo1BeEDXwlFVPCqzuvL0x26fzrMn8M8ZNqaqLOQJEKrTXX2abvjKFV03liinBKcvL5I3ZMf7sU B//eDr0mJPR4zM0uOL1rQ3ej4M0YjsRokNyogpYl5eVj8zie7x1zNnxbiBLlupaPhf6n10OTv/3E sLQGXiR501VkEdLz6/7TFL8elTr+FXriCttUkRMXhUz9GX6ANpYBg5HG3ivuhKO7CvL1js+GnM/K Wm3EopVpAdqJpb+3zSTYwp4uxDTleMD1RY1TVczWBlAoqJB5j3aTKKf8uk1aTh+2uzUYSLGMP8sV jhPyxdKZ1dq7mGPEl8BVhIMmtErWWh6AZ8OqX829oBilmcoJA9MqhEBj2e87AIGyPXgp2MZ7hDmE +mTlwZp99BRjH3/kS/tvY/IMWwbqQ9iXwtMs+0QwjeZa9ClvXdSA0QQn7nQ4g0DxIfCDqeOYKtKP DK4yVHeVnkzikluQNu2JFKPmB7CemUhdyw5V1Sts0l8zmN4Y1dZHGV9PeczO3dnJ3x3PaMha6E26 loZmQcLvrxCpaGomNgkhp4eGG9pEB8KwNeodzzjxds1okaFhpJ5kTXwmOvJ8DaUEI0Mj4QmFAJVX SldGtczD1J0stCcZOMpoIlfx6GRKguBf0vvwPPxTlVMa/EXV8Uki8HTGMAoM8gKGeXCuQ0WXoW2l 0HTJKbMCmhWMSeGQIPslR5lGKYOFEDAipMv18xqytiE8YsWiilZSp8Z4rAOsTtXPiUaVv0tDxxIN owVF74p8fW1wWRrk2bZIqLpbNxMXHLEBnsmLAg6O7kppeBmH3Nx4ijB/XP8uX9V9fbOn6GU1SjeW RlmehruF0j1Yj2B3DAlAXFNoJeqziJpspSsXilgV+3pyJ+WWNmO71eaMH5c8+ehPKY3/2oNbmuOk ltE/zb6sdzIJDyd1HVUgafVLhMRwzlRX/3TRzNpFySPeqPBKEA1O0AYtF+9R9sK0RFKH7d5O2WWQ TmFEh4D1wTUl52ko0Qm1LfC49mV6YhvNlRt4AYez+vES6G8dcgv65gpeKSJaSgin9AHyijo5RtGK hW786jVOPhNumKtq6ZLYKBkqM4r9sgk0qxIciWhfFUuouoBFhf5AMwgeM0v5o1kEDUaib6wcza6r 8tg4pZV4OoySh6X0MTi+Kec9189vJdNej0MAG63UOC21RZBkBOStUvvEgCM7N+noF0Hedm/Q+CFQ Uor1ezrAzJDdH+hlNrJnm6X7BuloQRWCFR3cnvkoZ2yhXXf/D55/KG4dtyAlrW3EKz49vPhurxsL FtLxQ/9mVbe7Da3z8uWNl+OUUPNh9nnakjsMk8kGHWQPg/Xj6Fz8US9LN+H0WAMARMTRHK1Eaqu2 lvF7OM7n7ct47w/q8LgGmvRBb7YSZopmzU05vOUouDx0a3H398b7HV9sFaG2JZIwo8vKT/Z3tppe +63I8zynwOkEjEKN+XQFlShTy2wJi4W23OQYcsWFek1+xBdqOxgIKHSRxZG+yPq7E2Ju5sDMewx8 Umw3pOCEHUSwaL2jn4p4esWOVJ/9bSmD7ppFBRJGak4KYFOJB9+M+Q76LV6P5OLX8BIJZFB7sWVp 312bImEyjGS/ZI4RR3C5r85zrMO/Ovu0cE1jbyY1H3BX/xQr7YLIvGfVl4B6/2vcVBR1zkFfrwi2 ei6VHQa8dsxHiNkYdAWniWuf9uREl+301bd7pm4av/1/qGXXFd/D1WOKT4ktS7bKYItnHMCrvKDz 9KhiZcsEFQuAyIT2V8lfOfaEDNVw94SBL020CbPm9+MwLWKYZdQQC3Tg9RU6Id4riiQ5D4IGxCwR +vreiUNaBKFp0U4/diYJWeYZvuCyoRrV0PX2JzRLH/ghK+dgWH7dPd1AW/k8/RW9Ejso8dQ7+Doe Q+YuUQC3FK5CjlOvrkzkxtNqKrcya5+ah/zLwr0hDUGnKxbmcoXerdm5/1lJzIg7M5F1QcDBVf60 8yWMzyxTeKdbdH35AUvI6F8xNqOTjOimsTuu23rveEG+1B6ExAkPZAAgW9VTI+Q7eDiY3XuNGO5t zkgOHUrKN3zUGQko2aEKEI8fPjyS22ouWpPV44tJ1uI6KVEigFyU6tgKUhHoytHVtKYL3kYN8TyI ttsXDLGg4gL/tBLzIDVXyoEUZHpYNztoFVQe3N7hWKPIooRMZMakPPBRukBmUuu/UKZ4llsNO6w2 YM+wXrfVfEJizpDZx3vT/Byna7o8+iVW8MtaCMHM9e2PtEDHrfxbGfLsl30dT6eQTDT1AD4VuZ8L syRtSiM6ZekbA+S7a4ANXynNO6elko9tTFYpwTmWOD6SrYacDWrhpRZjmNIq4qeEPeBSD5ZK5+IT hhIRxV7qm1Hp/+RiOha597xqLv6x3aYNpZLb8WldlVo7tHw4bjoNpgqiz08PqEvVD9+bdxcok4mY sDnNiJaeeoI5ITSRmfZPehp11Hi40GsC9d38d7uJCRW8o9VUQKktMRlWCAAhWA2kAw6b4+hI/Hqm tP7nld8Si/uBhmX2i2MHMSFdQgTiUghMnhiKu/5G+sqW4RSH2che/1brBqlcm7f387sFCfqOLacO J3lepn1VJUuZzsapI76d894VBJn0K7+gqQUKcXWpUfRf344bxgxFyHLzja0ZnFv1jUQ8GT+1iisq XjFH3RAt/o6hJGCiCBele+V3T3y91qdTIZUrep5NEF8AljjRzikRTKS4Pre0EviNViHxN3Jp2KGt OYRiV3ARYLbWmxM3CFo3geojGMtZZ8t6Yz9sycz/kUWGiQkXK450M0jFvDMX5pOE/o26+sgQ56/b bo5qy76eCXa9Bxt7zSIIxPhCMWtM0vdfqK9GHUBJJKiON7izyrJa4OPW/9+14P4R2FEhzKoEI9HW Bp9gv5Rd6ZTJtRmDvoMLV1/O2Pr9T61XMJwzLE62d0bNVkBlzqEedXh/6gjcXPnRgGBFAjH3ASzU EDy6x3y6DCQJuiflPmXUhOC0X4ccE8Ogplw3eoYK0oHzAYqPp1zOnGlGlIWegfyoolgjmKNGbvTD 3rUwROZiNmvYa8mBgk2ksAkQHxEievq0pDfC+Llye+IqnWx5aaHqUbQgdIq0yBmyjDqmvUiaFK4g FiMAs3V2Z2XEx0aet4MlIPsA5+zMjv5H0wUAgXcFC1Pga7+kY/OOMabvIt2G6SNmP/CV5vrlq0LY dTThXfv9HpmmXNqllQz7ArizgFQT9Jnoqs5YvHnBT9ArDuzu3Y45H/+a3XaNcccyhm8iHnXwSOc1 NkJN6kzTXAe0Np0VwOaxtnnO64zMSqvi7k6lsXbFYynoAAxLIEHk8ahD0hA7606UDuylIEqceowj kjq3R8NHa2Jm0xVKLl10oHOjQ+b+D6lzyeN/5gTOur33O4bQlWjBGeHjWOCxpiH1JU/CjQwuuEmK JFFk7n1NOY34by0pq9OFb2R7JfNK0TpOsW+Y6vdOlXzfLb80QjcNFsJL4Bs/MV5VfC2lL1wm0tz8 FUFkVrDAx3Nl42NpiO3q84G1XZ0djtVJTW6XS1dK4YhvS+Gk4+teOFI2s2eDeyzN8flOrHsw0Pda L3Vvuq6nTO8WHxWTMXpFqq83ehGm+D58SZW+iAl1C/it74lsm7n98JrHfRIBg27K+TozhgUFDvdE sq6ChWc1skWF6j5GY8yBrOMPePwyTUhLDe+eztcwT518WIhSsjjUq5pyTRBgHSGmCAISrztKKLbS Xn9i1QcMH4GYCX3z/YcPtSe10DsK2cy9wWv3nzj3DB3cqDdJtujA9154ZtRWJAkR4vBEOzpkiQ0r ulIPKu58r5aS0tjglooKqm/6OeEgvZIHxr2Cgg2PukBDlW/JqE4iL192qSyeRY4oJpFmaPqYkfJp 08bIBsq3/ViJIuNCoMox4ujgUNybUSUQY1sR24O8GD+9EOUWVvytrvEfp4yKB7BC5/WB66myn9G4 sIoJdqXp4xb9D7SiQ/nHuyc3lhsoUmLrkcLAnaEydHDx42VIBnnJylQdO6Wpc84YWM9+XJjYCMui dHOLf33JhaAOXGLXWl3+D5uWoRCM4EkcMWo3lcm2p9CGHgFs92JxuE22cFjFslDVfMLb7Qcy2sh0 Uo6N542Ki6gY6eUopTNVJCxGh21HEdN4kuYZn4yAKTO5myOfU8pA0hDe83vtLq0moUvwSKSp/5dm jXZlNTIMELBzmGB1p3CA8xKPbvIh0xswzBA70Qk/keOaHE7/cG9m8rfcGSoy5o9x8DruggCd9eU2 T596AOZSprz52FFL1fm5BWrhshIpV+yjvVxDvLxk4yT7o3bRNnLJScLH1ifjLOTtxiB43UhCLIgB QfG3xMPBUVhyaNFitaBAdPn7YfEt/0WGsZ6W7A8cjGTn5zd7xW/Ro8XNbp85h0t92Mwsdnfm8dEw GXKGlaET4g3gtpoGaFd11DCHE8ZmsQ/r+c1+zFp2nDLlPIV/qffaBnV+Wl0PX9nE5B7/mzTHuElO wAoFct8R2v4kbf3NwHRro0C0YNPXt46V4wiUYB1+I+wjum6QfmZQJXVj50ezyjKaWmCY9bT8zv9Y fXahN6j+zI3yS5/YdGg7K7xU46qOMaoCSVwUBxMosqp+KV4QLWPaviEfNpbYDavMNiIWBUFK4EzJ CCsIaSf4xkDlK66NIxzT46DIjypa9Hno3tW/DGqh02QyEQy/Saksc3WVBVINkoO1xIqzi1os4xYY PLe9GhurvNNS+0qg3lCLgXfzBKWnObsDgq5b5iyaGd7KnuX6cOMIXI8ACxM0Ha+63HdXzykgJJRY OjHjz/lKBTIMzhiCyVcu9Z0LUJFxfl/LsnRhDLiAsaXXNNHL67ZEnTyDuvf8IopnpUjOXYQB9gTp VZFGkSd9pvi/cJRtwEscJTl5Hx3X9Myc77ReL22omBeSuaAdilQ/+jA1ZWjBq1oVoWuxydYScjuo a0TMlvI28NB2wccjCyeCzQqqOluvFFPfJo1oBFDyu8rfak4cYiPnwUJEhpRztQsP2ESbz0aCSO9N GurGNZMgyw1y3VoGBCmuBInVTCHzZQTML4N1LY10Xq026qZ8d5yjxMayqRE1lmDMGtK45lIMjART t7LOB3fRgUKQsNGvp94QotTuMbisqrgIy9/w02DvVG1g4VL+QKOmmIFVwlckpcyHXYKeAl3csZkW VID5L0o6Z7Vzps4MdnYOH9uYV4b8MCAxwTG/omROTrjvRhCf05IsiSm93lStCt3EFQp49V5ZNBH4 Iu1TXDWSp5P24MRxx2mOS5+i7aBhdw1051JjZ2hYtMuoS2KUvU1KngU/f7IIu7ljH2tLXg86U/Dh D48vF+Dv0ARE+5pynvUhGsw8iAWpnSA2E3gpqRSzqlLKKVOv9l2W1ED55foa+kBXSlLj+RnWp0/o SVek+o3H0y3yottOIaNJK9t99LfRuOPB06hn3b7BVEsy6xEFqGiA3GS7BIPirJxsId8GMuwGmxkv HsSjQZou9TMQVJ2vj62nVSTgwsdmJ+RVbVhYq0m3jaCLVrXbSF+htPl8g3fJl4nUTV2FPlkvxq3q HNCrEesk9JTtVAWSJpYXLz86tK2j3LuUOBew/kg3I5n0ODdFe9Ur5Ud8rRPLpnCGfN0Uv3EN5kHY 6nL7o9uUdrA+197eoOcFeca3fcc5YYtw/E7jXEkJJ+VyfDlh9Na0z11yvhLhNdWUZBMa6iqGPau2 uYyUEvWNuWsolGOhJJuyYRUBhlI54YhA4OqNLnMPJEzayIbXLe4BNql/Jf4/46p4oX/pxqeZeZfT 6ORPid9k+6MkpCLVcjJBWvCw2t5RJXRJHhfqQcFQXtcrPlts72LEF53tIx+HMJKr1/t0Ahdflf89 +JaDuEK7C0L+CBaD9HyW9iA0ogKoBF5fzIDpXqNYLTBvuQnyKsb8BjAGPJ3116uBDGEsJEeu+/rd oactrm3FnjVrBzFZWAE4HRk7RypS+iROBO9I252CsdF/n6xgvGKn1vo7g5enalksLOOqsemihgsY M86lCpqD/rQgFnVkU4XXmsAN8HjZovxc1ShUiMT5FRZvfhfoTP3aAgQzXreBc57bgTxvkyjO/2T5 mRqnMR+OGrilojg9poM1vZP0lfhknVqPRkb/w35KEXfsnZB4yB29urXnIgqDZT8+Wi8PAfwhUqwQ ExTelm5ZJk5H/gLh1l8YjKXdm0jPUW/gD6JC3cuwK7uqfSZbGEqljixCBHwYqUlsWHRvXTyfIY0w 6OoGw5lVB921uqZwsbZQMOHykQW7yDDBpF+/gavAYBLUa07/9P932lTsmQ9ZTuCQEdhiUZEEkKV7 jAfr2eBf8x8UCTQkCS8sKgmC7IMoMMtsP/56FhMfyscV/YvsL5z0XGkw2VUdyBOZ7UAInAPVv+gd W/zJG0qvq1vW7PtAqWe4Prehcb1lVILMibpL7jmNDI6uDWTm4fVDBPJjVewiwonfq43T1STukBJa q4idpM4M+8yb/dgAs+6NgJacF+2e2qQDQ+LU6bmFsyn8qau1IWFgVVSk8l1DYc9OlRimpGKGdrf9 MO5KvSZnGqaaIDnEoPVNHP3VeKJJjgRL7qzhnIGVUs3tt+jNhz15iFcpbmECUVlMfKxWLwyn4udk U8Vrtn74uPCqHs4pDuhaafE3i+JoKkOPE1KHZhf8PKThlFw51mIyMzhTwrYqr4a+l0tRmtVyWZHG UblSPgFIWY45PW3ehF+Fh4Y6MR1QajNz9xLa41Vva5li5o+So59r40iYM+Z1ftd6Yr8/e4TXncGD clMBonjv5pGiXdfaKq6/8gva0ZI+VKD6fqA+AeOXsHu/uIattkrYwkstzCh9AQXiAK1psPFCR3j2 5pZucPZtMWbUxhDss2xfZkoJs4xdIWLt9QBSws7aqjvH90umHJIEjHKj6rMseS9fs6EBz8lbmmVe flcqP1+B0IjyAtCDbFTZUBzJYxoM6t20FmJGcV3ji7VshHGMZRMObr966gyR/bVRGQ5DFIlIgk0C VL7Ee7YU6gJlr5XluBuWMs8FKFcq1Y9wysU/qglKOXCVjanMOXkc9vSgyxdfv6Mnhg4IdMxiwAUJ tnI1PYU09vs74kJWmTByaiW1f21DNiTwqlUgJ90pOCT7wWCpTJOBsZXTLGTrVxIMW+tQOWdf6i3y /vmAM0vxMXdQIXPGAfCqxGZWWRtJDIhOywAGGJKuylirKVpJSTitm1OEsiw6ji8cyvFYXRhHKqrM NYDxvwvXmIQUIDKt0Yn9e8IEBSSo993aRBG19bioJBjatr50lQcQwdEzi1OKWu6z85jbCmrdcQ2M qBBlDjCmTqCX4TjdP9QjTera23WhqB9aFwjWBAz0t2rbzkPsXFssdq0TXUS1ZV3HipLK+Ff5Hx52 RsnNzoM+7T0FUybQ0hfVpelNzeU6/iFj+rUW93vyWMTSPmB335zAgxclmjGkV+7yRr3sRyQFe/lT fZiocV6t+YvqC9yi7iHm+Z9+40vW+P5NLUvIAYTsvS6JXfxCj08Y26IEHRCaMa7Uxz9bagT8bYio 1vaEYDmCAG0h4994emkybmKTFWWDJROR/2ocuKX4i8nJXKjNdO0sDhPshhjmOXv3bT8AYmXs1eLP gwowJKWu+FByWdA0ay15cfJofh2F0LGbCIreZTNXM9iwYoI3En8V5Cy36J0d0emrno7xB0H3dzaK c+U8EXO8CUaF3mrf0GHTo/Hcd4DSK0YpX/yk2ZmIu6vg6pBuvBnFcGcmLrTvVRawwWs1GP1UzhyG dplxbunK/lBVj9se1cdawlMV/+qem2eGpAIche33cPVvCNXi5x/9ytI78yE4wRnrWJfa8SJjjfWt eV1dD9lId66Ag4Tgxkri9b/02oHZ9taulvkCYWuDcI+0YbR4hj5H6KJjLsrjzx0IwtUml9RfgZ0r qifCJfQD051T5DkxJfKBlXoa7ogSg9ZPfS8+YvdiwOpHg6kYTUkpuVN1GUCD0C/3jiENxqvDe90S QzS/8p2r2XHSIRlVlcIWRBTDg4Oz7d36YxDO+yp7SVGANF1MXhCfQyfkabUzapsdQK6V8Oq6Ak/D 5dKtsi1NCntdDQxm1aHbSbnPJreP9m/MisMZLVGEAMV58+tBdF+vvwUfU2D9q8X9h8QCqxeaQWCl DiGDs/qHRNAIu3lub4XD2R5rlqY09F34wI2iIcmJXZfMV9MawH/2b2WYIJl6VWwD1E6KVOlvK0tP Wm8ntY1/hlfxJJuswjXN7CzD0MDEMYKJYxpuNgvNK4uLV6EZapU0nYCSsSaxQBj0Cwe4z4kDdsbb eH/NmV0fOvTOUT5on7WdNXkjS+34mwOOpGQ35aoB5LvwZsa8UMmXpF2KkC1FjhDNGgr4YdPiwJ77 MQDIJCzOgumVLB1r3BHj++PmCLQ/H2sW6OJrDTI0cYbviktfY/X/lJuCcRzR+Uz94VMh4/mkTlJ0 pB4Og6h24DVzjDH1a+S+Iigp/nlxu2Ohz8iAIZGoI+FbkGLY27s8N2MicmlOhg0WdiTy9NVRwGeS gcmYmlIRH9veKjVPMqd/Ci2w1DvqizRD+iYn5ZlOi/y1JnuTCKCtQOJaUt0/4SqYshW12dvQ4NSb MWw9VN3/s4ogPS0FoIfqEso6LranYCQPKOTaay+A0DRQiR8lVxIuuyleHeVuJTaVbW9VQ7jG0zf3 ZX4z8aCId4YPXqyoQHHEPoQYN0RrO42+3uJPLppD7OVv1Cb+q96hJb6RZu47tEF/xl/4PpzIQmTk LLnYV3GJnVrdYmpFGWZMlxJgmoA2Dzm6qzOir3+czk8ziiQ76ZMoLdr3egRgn18H+xxKdAqA1BiO bEVmpXLCOCC8R2qX8vZpedMh2tpURhyDDri81TDAVtA93qhV2b3+OLBbFMVumpm/fnqusfaONg7B 37rAQkLz+W8b7DoOvzu4vk6cenTnC9EiWPKx5CqFVoClTfavmXpDWjS4zJUYE0esYAiTP4Lv9n6S 6YnQ5BL1fkIWOCHWeNFjQqeFKYbtCjUhXYXNn3oF7PFKK0IZ3NZEiKFoCE6Kj37r7ZFcbOpYt0ha 8PlD1vvJTE/eoJPmo0xIXWYHifj4a2rmPu4SUoQcH4c9/+92rwllRWMjj/Re7po+vbeuDHsY3O2G fCcxvMLPLv3kE7ttWRLYg4cUNDgjBNEMDQ1a6GNNv68+w9hzWN4odpRSFIJcG6DvHyhM+jDdbuXR ZEPDBDjBoCYSpmzPDtLBSQ0ItujbVQXyMogfr7qpZXfHq7MwpK3wGLwSutos0IYpq91mZNDawlqF xHblAq1HSoxbIa45g61oicYeQ14hCojxI/iCAFc/B3EaG24RyE8s9vUOPbwxUPHGYpHGsNMP5Qsy V8efR3dG4FemysfcuMk83W4RLSiuK74ifO9OVKsrMl/EdPoujbzUnuViEIgbL3Zkx3Jj8/6Mt1At YMo4806QBZ8xDd2pzm//4i4PTdXKfAifH3J78gyOEwL+awvutqEDe6WYMBZMzR3iPSpCqLQrj9Wp P0y8e2MKVqQbsq/T/gjy1rFEKUYookEo/jCuyoP/WC7LLt4weMjwvccAYuaTR3+LR/2/X09fNSQQ EIgZXlqH11bPogVZKYtz15v4KCSgyEws43W06lmHdOtQzAw7LTLsGve3UOu2fG3JzSs/IoxQbpKU /r0VhzxRL8/5n5aFTg0Fn06sFeY3xOAFEk69K5frTGX4e/EpvihFoRXwwsl/dLPTAp1zA4rc/SIP RjnspBK4gtGHltKdWBCV6r2v+kwkOAK4pkyf/KJWNCZ1YUV0r+HzmwA0rpLBYWUFMTlJdrGRhOyy aaOw8sN7JCrBc1UTewK/1Zkw1lZ8TKGNNZ1U2PzkZtpEDDOJr/h0/uf/HSTZnz0D5WBWYwT7lBxL hszxMbQhD+Zv2nENvY/Fuds6KjAW9i21BmuvF1Rqjpcy4jq/dxSaWq13aMr9KbUpCkVCP2iahvUN JpefG8nXsepO0wBr5NT6rpqXg1cSPVgptYUslrE8KDmoE4ucKEgvBdBoBTCZbwHlu4BTXLI7GLus E8VXgusZAQ8GBpgKghmC5te16mxDL874TyDKnloB5HeUi3iXHgQOpTel3T/4KEvUuV2GujoYIU8Z TASPcO9xiEDs4klGBjUffwjO4svKbZTyOXajhJiEWgAa48jYCohMM6XZOHrcsYmAfctZt8/7OPzU YxHH6YdVtFpF7zRx4NlDZICgxwuntp5Z4FmyYMJLOZr11BNb2Bxnrwzo973BWaQ6/eSNpqiq29fP x3XTU6yZtjujU7QG65BWWcXl3e3F3V0LDrr2flQ/Ew3Tr1b//X8ie+nCAnKYshzavWfP6fV32SOn 1PepPI1DWy4OHgKaUk8/vQ+jREQ3sAuu9CV11BDCmt0EpawWn+OW6JNFuExkaJ2sCcxBlX/l1Xep eKIY4FWLXezlqP/H3aCW9abfPjmXM7hqtKc/DgzEVaEkCAxqd78Fa83ncsYZwr3BNLNC9WcmlMI0 UModZh/edVikZWcAyWR0ps+j3fTU6D5fV+dFN2KnJfTtz+1cMD7+5foEOZq1NZUBN0selYiYj5MN 3NKYqT+TYtnHpZzUvqTskASo4SwsQk5cyPnMn6jgtDKgeXPl7AHT4KpJYGYizuMkVja50uhSjlJm 51da0XkXWSCW6Is5yg4lEDUUz1fQCpjOVXltc3lCoZq+sdHrY08X8yIIxtDgafV3aZw2ptJh4/kP lp8betaiYQaARFEWSSb/AGLPtqTCoPI7Eeh+c4rZGlScFNo3QZoNlJ9yCBweIdyhDvcktTsNVDWc BWigpfPY+UUwOgw0oKZG/7uEk6H7Ukv73YltlXjIy1DO43N1iRYApsdAIi2eej5QxSePhkaTVQhP u4tZGHTqbb8gy8fvYoSw3s/i9aXE/bhmbY3elcl7VBhi/Vp6I7l/bvCyJp5I9V8iyEreuE57kf3d 8y4+jnqTS/QfZE+FAcActoAg8xURnf9OKFO+ofNDXJslc6C4s4rmebGaQXemhp4P88JMmPEdxPjr F9/mLNWF/ABumiv8q0T578DC8cSTJX7z6ebVYBveE2HKvxYojZj1+0h7o+HH/59Clbg/rnBiaaCj 3mY/GdptNKXfqIHtUWTuFiPyIocgJ9vm6Vrnp57uCX3C3CUH5axTufq+Vy+Lqj+dth2klAhav119 XIC5ikqkvCsgM7wukBufjmnsGItS08un6PBEmzWlbzhvEGb0/qI1gDpZj3Bo73pUsJaDsdS4K8ot kdMfMBPfSGztoAws5dKewvWjXmVZlDMGYNiR//iw33lbAQRNGZi+PSIIkqAk9RB5SIaV+VCH92vo zaJioJgq/DlWnE+Ur7Hd7ht+MzdEIcVaLfCnvIjIKD6RaQw3JxN6yOH/7rJJbQDla4rlV0cvlAwl 6Iqid21XNnt1KpuJoxHUhfB2TgFs7AHvkoqqQawDPWLR09ok3kZYeThbRZ2kvxcP7LzWnN7ArkgK hiivFq77zVm2sI4ZIDvBsdGXkaH78eV41P+CyVgHKgQybW1k1F6jWI6Fb9tMxxlRc/OwoiIw0UMC SeRbD3bBpzR0cDjwBewa6t87ffxaRuWfjyUN7We56q6l0rs+NcsLR6/1ulCGGjS/midmH7dveAp3 Z/CMg95UUBMrsuAwu14oVFqmpPFOpimhWmM+AC2ZQu+Vdvqfy0KxIZ2Sp8egpzupz75IMNGJ9Gsl Kj9PPLzAQaJL8SBhy18klRQdKJmNN9D7AnmtRdR+vfB+LRkWJBQvyS24D79yUDY8PD2wCBeOLEzw liX9JiVfabo1HrVLVzPjA9kq3ZfN+ryfKLo7KLnVmND2NNHJpPGcDWBDDfG/2YO4LYLd2RL8ch0n JT5xD8wPTebdv01AxTdFYjtUpuGd4dLGLE/HpPAX5b0VWT6tYRhLydekeLAhy194if65zbjHxc31 U1fC/BtlQ72KaSvHeKSyAkNP2IVm+uno3d+66ajh3wmC+dGw4FuZTf68qgR4y55mbHYpRxP5pgKz rOV165I9z1s6RZo4cRUehkaMtQadn3GD/iTc/JdM/6gGb2TolZMU8iOassCIJwkpfR5yv60z4xhh q7HLoL/ivPurMfMaR92aUSueu8rUBWpmZAch9klKOtyd03kTCxQQqJUvsfGqcSJM7qMkVE+zvOh8 SVTwiVMWaARJxAXy+nrz0PTZ6LIp07YdoLrczcMgMa0dkHwCbhTiTotA4wsvMe9Eg/SV0IorIIoF +jP8YwhAcWxPGHBsKhbgoq2ZqBGNQVnJtgzXwgC4Cbwp9As7LF4tVBPJqh7ZrfIALpkUttx0HDf0 fcg7LF/8QdnanEi2Cxw/3HS+TZG+pi45xxTxguwRUWUs4x6kxz6xQtfKWgkW/NC3FRFlH/XrAXg5 rHtytTE4tYptxfOfzpuw3wk3rogZDQb0FDxC4/OrJfggiZ4gFNjuFC1K7JYvwo3JZrWUu5qxhW5M 1A2qTO+5CAKuhDiFYy17rbRKpT8IiWFGv9qtjCkL0a0VB0VMtupvrdk9v0WtU8Ood5kmQqXGKBWN 1lDpquEsic7OFnAmITx60cG77fNF26b7kPtKLCCn0y97r0cX4w79/V4fsb6K0+f7+6JKqDUHF1F6 Bru3bagP0ZNMDxgeyRTOPJWor987EuiOtoGkOssHcE/IzRD0S50dQPGc5EoTlIYFdUEmziuPcx2y K2C1QmqilaPcyBRAiJCL/gxD1cY7duVug6aRdmUQtUuDnEx4c0NDQZ+cfUtbJtmJtFUtYDibUD2S iILDe8N6XeNtHuI1fmQls3JHXT1LC0+s0n4cORx4BmWP6db/WnyahpWzUTDeGqGo7a15p5s880Zu jeeDoDbrB548JGeq2s/ARMuqJrOEQrZNdi76Lt5k8b/km7mL0jyE40BwNrGHRgVRbDQwROLSQN/8 z3ko9/wa3GEcG5yrmi0ulW6sPiQpzhVIVCe+WUI7z7kLd6Up2WRcqzQIamvtDBIqyFBRqvy4ymg9 lbNIYy30epJmrvS1kYTEAFgz/ES6g26klm7R1E+wUeqO+XCzMnIY8cK/2QWu8TvWr3ZkxQYwJc1i HvZLrcjkWjFQac0Cb4yLGxLapfadg5o3QWhPrKX4OvxA8HRaty/wS5RqRBL/WNVwFfnTkBVwB5ph CHIYa2p2KCmhtiuJONVqYQZUERj2wtZN643t7sGWlfr3+XZ3y0A+8b/P8bx7H0W0Zfb4eNSnCnJP iSEBoFBZpaBxsmwGghs3N7dhkjeLnFRkVqdzx9Q2s+Nb6a7I6P6Cqok+FNWlQtLcMOH30+U0vXNa zjF9z6DM0bN8LjJF4zszkXwD0tEGGsi7DXzIULxTYVA4MQ3jtRuyLOHvqT0FsZJQGDmKVtW+B7is rtm26z/veO1lfgOKZcROPvdCj/NLqh1jSul4kRFU0tqecOdjnvzxx2wVfX0T+vcSNDP1HO2wpiYR kxmr//Cx1eH/kf8H42OYVMgXqAxrDYIW88UCY/isQpvsZDX3DAO5gXFvHrjRJudlm2rtK62VEGOQ uwjV+xw4B0mEgQcjuNoYaQbiZtOqhGyCbyXI1gNR0v4HZ/c/widMV4S701hCGeBmrkgrwXcE3/lr KDNz8aXPItwkKjvbtvAp/i3T11LzeQe7pV6k4/lvCDrHKTnUNdUGyabHeLlfLbonvRa1NG7k62C0 N7bEa6ElH4d+WDm9lQQgBUYUD2QAxIyPsX1lfvoz0KwP582MkS13NIa1CWA7zSxVl24R6pepZTaE JS2OzVPlMgcV8YWYR1GHSnhxZhW7j5Xof1Gq7X97ORqYsjXFM1qVEmjtTQKh5ifmOeRdA8yTlWEt 8tXnwzB4Hj0fwwG+QyS206TUXMVImkRNefHxrxklecKoOK+kHyzW8JoW+VQdKQOs4AKGMVo5nVMy CqsuBv7r5eQzf8cEBU+T5z7FV8BQQ6cm9FeQqjzyl7gbcHChJkCOh8Fmg9tNulB+Jw5+c+IvBeZf FKBbkZxPlQt+VXe7ZsVxQ1FtN075Q8YKEImZ/e5BCpcVz18NtGkoPl7apWzL245ccN0UCF1X7wO3 CSUuBkLYw0cJAujj636h5m+1bBawvYD0ILrD29L0bSyiJK4L+R22kgyTQ6Pc9WcOSbxSLAfUToe4 Fk+eDxgqzgsk8pEeQoNhPvQ6XYqatiu1hCRj/GVD4mLGOq+L1Pc3aDKCzB/WfeogtUDb3bgkSBPb 6pE+NMYy9FO2BMtIuOaDhqbsY0DtK3KRO72O6eWsKfy8AyodvUaW6v3RqNY3vgrDUIku/VvtQQrA ZqRV+zuNFHHTOiXbqO0ntZeen5ezrkFOMnNsuF/7BZ9nLgdQ6KtIRLFOTLQOhs80Py5XMhoeAg0S b1p8Ku4OKZwEU/8hSl6+C2X62dAjvD+s78Mr8k8pVLYwOuUONqEfNtIIVmM4W3sonzLXm7dQslk5 smaOqTnArXJRLHxGkgtwgI4Z/CJ4Iu013z0ZV7iYPaMgWuVwQN7Yid6Zj/GPhcFJJNZrbNhp1c1R 6lfsc77EnK16X4uaRjOeZOkGf/4i33ShOKJSJaMGQjs4qHSqroX1ujTxYEqniOCL1TjNKTdH2iOs SqOa2e/nvJBxgdasHDeE3s1OWdnfrSdGK/JFBo80M6t+UiofwzjRFSm7ZPb74+73GCcU+W98ynrm LiwEiY+bNTW1BishPnYxsoqMI34iHQrNAHJJ9Khpz2v3Oym9fRbar6qRhKJiXcbLgnR1ltQZW4N9 yUCXdxDUnKJWVbfg6F1qOGeiaeQIRsA9EIrlqjLapcWsLxKWGC689y879wtuxJd5e/LzPbpENRP0 j0SctyQgceEyll/ltbyi4xnyOSvS6O3974IynYLVQpxYozk/UcsbRCJq5nj5nb7Ljg6a8P5s8Yqs GCuOgqs+c4U+wzQPs+6EwQqRESDoQSWibaMHGEU7M3amfHeARNvItnlP++38AOX4DcoWLmt+VCOH 0RaOtAw7B0PWFkwCPBR6TQI1CvmLdgdodxlcBADMs2od1VogTXnSC62m5kngRPMz+6HxvRsojY1R cjA7Ga8DDYXoSzMf2h66IeFbgQMhyx/bNnww7Mo+HypZ06QhBLbb9lM8xEcXftUWc8wArKqG83wn E3b02yaIjweHSackOAsd7WiZFjDye/ejGr/T6ltjhP6CmPWnScUbQV4syeay9Q6csAjhn5Orj36Q 2VHrAjDJeCTtuE6JOnQZ4t2A98PdkiRMSt1x9QdBpylwdr16H/HER+BVuSeIDTTCZWAuKDTAR+WA JXSHdpIGF434oYuD08hxjy1mbMSj1N7rFbGaqvDQ3p7qdU0Y+kGsP7ZF9I2wTvUo4CtsngQuHGqK acSArkcA768C/FK/5M7xjwH87qMEcCUZ9gwsUOtJkQ6DvcFcbZ3JM/3J1S1e73/i1G8RgvXFEdgw SEgVt75xv+P3S3gTYIFuUedyRlk3pcDEKK+3mAiHvVdGpmbkJD33Fexd9MoWE3NQ5JRuJQqiTTwI N7szqcMXpmkO1pxWSAQMDJUGNWfEPS/bt/EZkpn8uvRaBKUYRU84ymZLgMEXknBu9nfsmxefFfxZ j/s7TH4Gg9fsOfcxPrp88Yg1jcskYAbYsstGnltOKdWDbRIhLqE+9Gj9Uu2eEhBz3ox9nmu6P++/ 81txprSa83YU+v6kNp4rDnuUhx2eLgTeAYnrxuAwe49aRFMIU7lqIER3BZYvIbt9PaQ4goXG5RrH GufJbrMJsdx2cmyjwfKioCCeubck0BtOd7OPu7nt1R2pSXg3ltsHhrUZMnD5WDdujrec6pWGS1r7 y8x8IF6svAq4vIfuAUb6zo+vYLjDetUcMvSAsJY4vOvAxOkOO7zCJsKRRdr5kqKTC8jacUzZI8Uh uIqKnx1Qv4/upqPhp75ToP8ZokxTAOxL0UpohqQPeJdW606A83L2YYyqf04INUeIVlR+3J3JLzBS yaG+bsX0rQAdnmGHCONE3TeMdg9pRp22VpIkQTEDHfTu14EXz1XqQhotzQVKUUFl9KAGP+tVc7cD ODyhBXIsvI8AcTDWsH3z4bIro6noVikaS01arSMDH8MBwBnTp8rKpC+VvELFAtU9WqO/bcfSs7hJ zSARM++kja4h7UYKLvpZCV5O2d+TMxrvTVHvPgyct8ZhZAXI0rAGHC2psIvNd1QB0HnddAEO/1oU MpDVxEn5mdEDZTqCX2NMgBPf/kjcvH2+ANCgYsRG5RO+ssNZds1SxK3QhTW4pvvhbF2CAFouBpf5 QWKKstj7g3/rey1ey+ot+20ncEWPUDWOT8ieayLcRMZCriLGqNH2fV1PPZZTwF4I7XSKik9s/5+e MmcH5LGMkG+Wz3gTpeqk32h/ewwtqdDGGSgtR3JeRBWAqXeAclmtEk1NNP/kzQAaVS8XvlNWwu4X pk8JFI8ARj1wDUso+JT8NA8mJfo6Q0kPMbmV7pp5x3fdP1/iVJYax/IwJKdBStkN50WJ8lhX+u5a gNhVsb622oT/lWNF9cRMF1oHDrGdA/mHUdGyk7v1A1T/HLpkL3L5pilPlW8um3AXpqFzJTn2q20/ FMo0NBln+TeT6/R3GCZ11+2RIprvv+m9M578kkgKFxLOzo6C7NTOsbQevZUTScVMQogw8J2NLHho cwCpsWDoU6EJTQigq2TgCGZa3wec0azw8wP7dbbotUAGx9nEoPKvtnHr2czdANMIt+pM2q9y/x80 mleywUEBT89rwAk0eM0qzdVvqP1kvyJAA7BpxUxdvNgdTn8bnhGdRtxKzJrqNvFsU+LKNyo5mGxZ mHUHX45rPbf4/XSrcbmnljnOnVz9iOqjzo0h5LiDqmvuKxWbtUS9nYFEEfxm89dhv30HNaciJeEz YrRgthNnZR7RiHfuXmXmORnZDxg84WjeaAKhhlDvfmmD/tk4ygsSpJgu4JBrvf1aWrukPZWomYYA vp+/Y9RqgN2iT3bDVKRWgniQJAIiRheWHOfvGqe/ek3Z6A5KS7MqsLFV8LHOCtmB9+7edn6HnVeT rpngVLPPePgYoD25vdXnJCoBK0GeJXTWE3/1vnyQKTxu09Olv92rSOYSpwCdF7bqzWhHBS46JbLZ O6sfr1D92yCB4aEkYttX8zYZANZgQw4+jJfckHL3V051KdU//NPE1RGFP2OqxBs4LFBAtINW+QvY FWBAjq2lDPB2GYx8CIrixksu/QLvHRegPT1Y3vaePuqrLDWruZR/vFRMymPeSRAIQwCRP/qIrw1T TtnartzlPVflQMxe5oZOs7T9WRfaILglh0b6Ogdis8YUW2zfqZuwXMvZKUf9g0PGhMzkJ4CJKT1r 9dhbnub1JHQqyyu4d3KrZ+TlJKtXFWze0XMIcQzUvlTEZmW/Un/0oSxvXadcTy5GL/pFm2HE2SeR HVCiFZJMVwb3VvPeyDe/kbmx2lmTjG25UaRVYE1ATQjWuOkRJTVx0jMXj069E+5XEAtvDInc4/VN x6A6xXqElEzHsu5SHjeqfx0rB20AjAkAYhy8zAq38EmCDPSUU6OJOQwCx6oedEviMDuxlCLPZy3L RoWsz9Ci/FUKw263/J52kKe+ZhEERqDUzBaQQeLhsF71OHy1Ol69FIXBKiHVWG+WnPqvfrN8kt5Q FI5aRXDhb/SlnIfqH5kTGsRY6Jjv8XAtsK0wUGJZOTwTgG+yyXfLA9NIMik9y07yuwOnJZozPyjN R2cLjqXYfnm5r/Utsj2ZiBYdCe4C+igkym4pA7+phxt770BYaLxv7xvPiZtoBzjOfm7GN2RakWha cysicYuYXmA6sttzEWYxTseobS6BxZw3vHQPO4gthGDCAsdErF0+zYHQhzD0OY0Xf/HxScTYdTtz 42BpmksQDMzt7JDRF0jIJld57pJPICrmFPh+giHum81e4dVo1dKjQ5U3n/PtZiyNt5VkKuAWBms3 RFxL04t/6fopWtad8KmUzG+8rjTUoeM1pBYaZyYf6iy1tSVpQc5DeCBx/a6dbgfUkooFLUL5ef4e uX8UpX9yDotg9dnaeUyXxedoQZPqT4dZ6X0wyifirF8qpb0iy0r6NZUWSBAE2xRn1QacLsKBRGDi iK+Hei8dYbEWlUD9AH1dKkzNNYc+7iOAlRPn2P1/pDzlSQp//7i4SUY5Oj+RSRIfNyGc/zqKben+ y0BCK2ZRfKI3CKkzwQc7HVPUNZ4fIK5HU0kUNMcY5gd7oD/ouy86OyZ2hw8FzvMTrNjX8inc8nVd AYDr/sk9LThGYuX5hNxXxjboodsIBwlM9B5QmBPhfFOHZV1Dip6xHj7gQghwiTOUFEOdbgPSyIlf ag5YqH4IO8+bKint04JE+jo4fom4VvlyzQKYPiP67b33j+7tTu4uAoEjnGVWBxGfFuX0wLPvKWnf he+JcsmQ8Pd3bonZ1rMb7M57E5qChUYd5GSATTmE0+/Zwii5X7KyBZlYAgSxnCOKckMsI0B7/Wbj tDEyxZ3KG1BMsIX1V0tsTNw+F8KQpGc7vjjAsj9kIdSeW08a/10TwKVaSstgLkxWimG67Mr+32iO 0Z5RaNR4Yw87Rthhh6b+gbeyd2+Mj4AavJH3s1aDaFLsRbfNuW+pZwgmySIKhzqHcXcq5qcb2/QO JSbPu0s5QqJtp8V5koY6O6VbG9xW5W881+mB9NYRhadJIoesQIR09zwjkCmAv5+qRZPFwBSCHQmX AtG1rJtpHqlet/2glWRRnrDLN0puNEElme6qYgW8ZW1rMOPVLL6kmNna7vCWHhVDLX+iDkA0Y1Pv T46yZ3ZX/EqE6CdIeOqB1QEo+zYxxqaNlY73eEfbhW3dfPNrPjsIpmDH3WbcN8z7Gb5wPokirUVD o0fPBpHVWIoEO+6zjEVv4ir7GJUki8vjeUw1tLym5ujjDru9EQlDuCNSv8MkQmdFg2JGJzsCN3Nl ehUBOegZ990twPYKzOpzVbRGTzJDizxK1QMt7YrnzAyD+wWi21Pv5O2C9q7+W32axmyu7pDyvZO3 dxi/bkH9ppgmH6PNg50yup2yb6vhvXteYekkYwaUv0EJFLXu8pAyxex9LN7SxVMLWQU0XIQJ78g7 AhVm/GGX38PZmhcHbdcD/8KIF+feTp+O1ZYg+47bdBDTs9bDf0vsBcSYr+Nxw9nR+zMsUvw67Fqi PBu9my2117tVcp3jF54esO5fwhTXhNXc1ybwr6au+j3eBHBE1+s52gAZIHktLq7bbJiJnHV2OzvH TfqQQBzhy92Pr3QZAIuXsKiczoDtKCN9LCdXffeHM2O2k6I61X8YV5Y4l8NpHkFGxL51NRpnK2GS 8USWPsph7VzuWDU1WPsDWoVi+Dua91Ua++KUxQyQJVr4LcHRDYczzwcUwEiQwSbBdPlEhBW0riKT 8PPTa6mQx8iBu1TL/gmq70ziNciZjJl57ii/2P3UGQUbpVUEBovVVULyOD2dw7iXEjNGIWZpdo7S dnk9w2bTAWaUuhQax3I3cp5Kbp5Lid/VhpC4Xj9xePOo4EHgPj0TQzhEJfXdeQTPo64jCqdWOj9y r8nqiDMKWfOpPsGRlnO9wpazJaVYDchIY9BwfbhMvGdM1QmpEEi2mGLQAclsrQIQXm/cVG7SNLUj m3AzfwtgHPmiaYgtt1ZwYxaCdxP32jtctvv7nbKF/iAuxMoOir1Slx7Ldj4MMVM+pnKXrlu/vDSh RS3JqYuXw82HBU++/8p7S9JqVnEvXo4n8KJLAFz9TlmC5pEClXXj1QWcmLqpVJ6RV1HA1lu+j/Uc O9vxjzVcJfo23hEKNJO3Ve9V2NLQwBqyP+zfVW4Q+UnGDdj85Mw9sSE4t2EcKXpoYKff1CR870gD VrgOSzJoDFL17jRcz8dGREKxf0Rhj21OOiS4Ugj5ZB8YlpOO/y+2mxDWOPHvXN3m5B9o+1kPyWjF cd39RXvatHw+ipaRUrEE9Whsj3fz/3m+ZhK6yMaqMXy6q5RzsQG0hFY7SdMxrh45Rrz+2/Kxe6wm HwlY5lpLyIc1LGwDokubv4Umxg49ECGKMGLylrMmRApddcrl+tO0qFpOLN1m+dv0WEu3pPGxbJe1 JJSdX5SDN5iqoZOpPGSkjMCcVrU/kiL2Rg0F3kuIomFxi7dqzb+FkYW4WiKGWd4Vaj/smXOCpfBI OpiLY6napT+zhQVHLZmjujzdCeYQgj74ijzAvqDFYxot2zRUJS6VsgLclmAAw5mKUZ+a7h/n9P80 hE5oyJRKGW2k7XlG2MVJiy8Bg2N4QAdOigr9wQ1riIupQkkRDKrzl6XqJg73bgJirmevdGpv6B/R ZxHptaYminMBTOZzDiB+WHDGKOCCqgJZjficbOg237lBKG7B0+11pK7rspKps7TutTEjnvditdH3 G37CzRkbpvGgCmtsjkVuFJ9DcRAbtwmbmvttmZaYidheYzDYZcCQ65YZ4yXuwdCHQOLB0Z+IV5EX z50QKB8dVG20ENd9Sl4Xl3CJgOhE2CsLLDM+XTKS3LBsu552sS81MYi1yyeyyVte0DXjAY7UIib2 kqjTSSsq0vBXqMMTHxF/ywJKn7NZaCqS30xbH8ASfLtKsCuQSNP7PXVDRhjoSHpPhEk6AYge0NDf 7AQ7mRK+vFOpUunlPcEvUYV2TVotnQS8yprgpn2AcZ6B+P2wWHbifzKDNnOgxYsvU7imUdnb24UE rnh74BtYNme0XedK2/Worpn74Co+T47upJIr7UBwu05nFy4juYz2+MsqOaloygxgcGSQiCr94jKg m8AlUKZp2/A/oKhOzDwUMQ99tS7qtdc+7z1d3LNukKsEY4tQVt5hEOdruuRAGmdN0D+f4NFyg9az cJAuRlZIAbFN2A8ZGS9YDmmctT3ECMZIOiohzsq4KWZyu59uEjUh3djciNvbjeve4dL9SIJZkSPu ScMlln3jiFLBuhghsqr1B5kKtJ2hSKTagzwD8mB/XFK9zv5C7ocBVjwwRzc+EonrTJ6lTAfkPFN6 HZqrG9oTKvA51vwq2QBS/qsjFk6t1xe+v0Q+ImrpFGDHPou5r/WZeMCPF5dBCsT/CSH9w8wCedJc sMzQ7cfVhWzK40/sGCjsw+5+F32tiav2H4TbwzbsRkm6i+dQ9S0+xDwzrliTbWuUYc1aXRVHzR6j ZrqwfP8nTWRD7zApwhTjN9O3/FQPgfDF8gGtU06s8adV2vUZ44H55KiJMJ67lZVJ3s7f3o+xZc1w rQ3r81U6Fw3CPuuzN5AwzU6nXJ3d3QuoseQDJruYJJK32/8v+tioeT0+lshcbIu14mxSX2/cReA5 vl52jPEFII5vAmkIJECDrqTkt5RkSACvs4mVgmce1y7SC8q9g5yZsBuySy4ONIWfkT+Pt8mMtYGs YTxObRhUaJFJU/lMvB/hATuYoarbsPNL70DB0UJ50sXuHzpssVCUrdoppKr04L2T0AdFPT8W08jZ 59LEkG7xp7jEzm6jl1Ytiq4s/IjEm9JfuWXWhAkZoLaCfJ1yPti5FDtNxFmbIFYfO6tV6Cwa6Rjw 2JZecIqkA9WBQn+E33nEE2Jko4sd+WZi9Gzgkda7zxsTRETj32xoSUoSgdZp+UKbuzIcOHd8fpSX buPhSnxQwmVj1OxeLv7nbCExjaE5CmZquMBdyJJ3krwI9LsaDUONJp9AKhlECdkCucjHXV/sRcEO a60rcIm039B2S6psKBLQvcFJxHGGePDI2V0ADipPcXmHs3HpyapKPZNWMBhEsOM/xMBa2Eid60FP mA8VvOFgiUnqeoj4pnjvSfOhO/TnMNfc8htFy4EFXTo5oUkYmcu26Gm2uq7LLo4lH6z0eE+RjhLA n2K+Yzd/p1xRs2Sij8+jef2lkvzq3zWNqUIYgI6xDDKAyge0NkhoJY1oQX/dgvNTwRrKhMjPbRcL Izbv6uwqGTCb6FlrYT1aPQFng3s8GYqUzVn7DXuP72grNB0RUxKSnTYxCCJiA4QVFG8SJgaafBlN kl2ZZbvNGEzfm+NLXaP87J2MC4fRo2sVD4HQGXRSlWljp/wzEt8rSKQVUdn46KYX7PMkI999oUu5 iekdybEo8VsLCabe0sPrsaf+oo1n+V4q/s02lINXl2JFihL2B7LgE6R9MmOrxfZO9gMvNJhZueRB T5RpcjN5k8WkPnUBsN4cyfFxCjd9FLLV0fX0i5ZKURXXyKf/FeNGfxXsObuH1kEYUDvyfnv+EAEv zUAe5KzRaK8r6oqf/2OIcPaUu3zp7TqM2DoAbL7xEuWD/fyxRSmuoEA0sS4aRrQXEc3bTe49miZR w6TQfn7p3uBRxKfRYIuLdvX/BGf++evpxK17Gsgr18lFNI1m8JFTmUzzkkxPTasp841S5t5Av/Ao 8nIMSRHTowrVVgf8b2TUtpS8Sxo+Ld7f6TaGu3ggc7LQxATsjoTM+7THC4AMQ4sfVxiW0Vm/a+m9 dt9zjpYEMCqRnJ3lj3Fsl14i0XoiHhux0HQYeM5ga0sNRRdNaQ9MLvCVO7jsFFqvF48isZBRiAJi spE88Lg8CXYTGZOxwfRspMK8KJ3WlZCNTo8mOo72QHfrNbNhAyDdC/cDK9V/CpqCvWfpCq/g5RiE wYR+BV30dYbAUfdLlr/Sqx7wKvw0LTE6GNGpFw1nC/MxDBk/lIVW2f/gAFYp9ivaWNEYtHdbZpGd SxrrH4upd038QxyCyQCviuDbmJ0HL1h2uFeEN8Z5BDXJjTctyWCCfZrig1maKB69qV5Y3CUouI3o zttIVYdI5Xm+tpQfL7EMSsqFB5xutW+TTFJDsPsac2zJkCdyNDNTxfOyORBvAwRt5ojzxYDUdeMK u1sHsuNS6L2W6nReOWh5yr379yaYzsDrNDyyFRdrPoantw+n+EhhRkOI3XS5bGaHuEEFsxOooe8z LWOY2hjpqvHam2Yy9X5g/3uy/Rvn6F2lyCezBBYbBerLxIYY5rxqU+Ox1mMHIX6O5ci/zyaBn/DO 5hC6InvXPM2Yr6tsUAQb2HBXPZYLx6JKCzTPUaEIFhzFfKTIshl8UNSn23+03sqhaG8ncPvkiKcB FUJc0ve2I+8R5JxLMeDsfEeZAEUKUx+dWg9g2nDplerkO6hEgUZ/BYr1lzne9qefrlTR5XTXtUXa lko5Zgp7o85wCsFtRXQZLEZhRxpZttEBVNgEtBBgr7Yv6c5KAyaonPxBmGeFm/ve1QpriVztOHKM 2ujN59cqLPTjlNsVJ8SPAmNwBKnmJRNPHag2qjtGOERVa6o/A/vDyYjMH+7La2rup5RAJAuDTqZE 1P1f3tDQwaYZQ8KYCl8cbGokBgMKmyg6nskuDeHJk9Y22l28355eJJRnUNIx8yhoUQTqeWk/4YVq 4vK5iDPmh1kXg7Movcz7Y2E6j0FPSn1w/Y6jyVlain029BKYFjrTz/8GY4nMqtm4aFA1Ca28GOw0 FcFC9GrVaPZlkNjdVf6XimANW5ajyhAWZYqzgw9Kq3Bf28zs0sIdBiXeqB50FGl2+h+/8kP46yEO +KdIkE7Wv0UDgnn5RwMlSqLbD2VU8C7Y3I5uauO6j3fAriQ0oSCnaXTj4VKFRPPZrejakAQLXM2l EP/e8pVmr1lB+35moUbvv4yG/w+sEQIHh3/74nvMEonv+1N2X+mF+CJ5aqopGd7CgpKmoZZm+Fyg m6le+fsl+LrRmVBEhPiFygvIDT5KCC0f2FgF/Hp6/6KAyDTy01YG0g8sgzvVP+umqWVYjgJGdE5q Jve4DRXqYRD5qzTsOo2QGTlO0YiM8UWpLinXJr4K87mIeF2vOz6XJexY+HRQbq9nde2dhQk6s2bd vJMQPe0mQ3uBu36KByWe1qZXOnd7pWTCZiTkDVA2lvBVy47Sn8YBjAwjtKeRnM1g5+uKU3E2WojZ PldxJ3/jQFtK5RYiN/8EZObBvHTYt+P7EjTgzJBDvQ4iBNkaMfwva+nwL+TScejSEJmlkmJdkLs7 WLZU5GU1kRoAFdsfXNJrtMlLsOIweZOve8yCTB4OW0deDd4PFBYkNmCRMfikzm0W0ofXHpQGVpzb sairNHU5mFkv741dI4oEHcHkdJPLJ8MWmV5qNuMJmQEA1k5Arsq0t2xN3J7sj9PpwnPN0UXugFox WjHULAzefVU1WByGf/7uCwdSO/982Ad0o370iuhWKuYmG+OP7mXQxrPhv9xbDO7VcSx8AFYLVX6+ p7yWqFqpV90wFfjNiTaDePSEMI25TBvOLwdaf4Zirx1odlSzoiYz9famGn2lTaboOg3JCu5eqWgT viTPwNxpptWbAyoH/PYxyOPDS13/wzx6x+hXV1Vm3lzFIqoeQnh5zOGW44LPL1JLXU9UsBl+tYbT 2emc/9irTdimbWCt/SxRvu+ig3gzuZce67nQdm34H8WKWA3xx1FEe1WTSHxex3et5y3RsRy1LqGn U5rkaV+MiT+cO/gX3kAyfVwcCUd7a5b40g0Y/ZBYpe967Y/0+74UqxfLYHq89/5mpC+5wvNgA0k3 wjpnLoaN8RdBGMO7InFouv84IM23ZXTIQ2I+QY1Pi/M9qFlo/09pZfVd4zglQkoI7dwjdsPZez9V +ScxeZ8HPhb6zv03X7CQZrKi3m/+4A3I1obCOIQMLWF39dJYlanBSIMv7YRcdixHSz82loLKAd2t jLLzzKZSWeYEIQfk2vz38rUYqJAj6Hs2D24B3WwlZBBGuQNC/kjweGdA6q1ywGNbTL4/zdJxZ8xi txCEQ3JoOK+Lp6YTwI1kqbWfBg+UZkhuK5gU3wWs+p9K4l/Q0jUpbaN37pKyFqZG9MRqnNe9MLFI fjEWTSXRk+3zvGI3PL82AWZb8jjVPTw4gtLMkNIO6wnUW1VSvCIu89b65PAo2+xZmaJI8T0gbyS5 jX/Qm+K9DN23/joGYLyS+cZZyPCRhmbFNedrNCsiOHBmdwoCrwIRcKOK6JFtPR7e6lsULGuopMmy Qsm5r458Gn1WqAooAe14ewtcn3xpW4t/Pr5q1cF7m3BRqE4YfUoR+ljIT/9IFLui2yvzm77isUi4 NMF3q95/fQ0OugAA+FcG5X76Mq/AhJiPhiMqHad9fDJqSY2Lw2Hlr7pbjVyGCtlS/tVJ0uLSI0BO P6d4OEfqci6c6q9+Xf3p+pVRQxGZRpExaL37Elrxoe3EAZkaeHHCJtvK6UqXm2zq5ee9d/OlGO4M H44s5pufWjAgTGtR0pARQWzomlggEe+F4yBm1IgGJ8oaAMrNi8Q7Mu1UEghPSLYsYK/36SKzr8yR vy1FfO9+hVLm1BpfxjdRT2d5+lPLwq8n+xafU2E5u8XxB7P7sJKD650ncrYl7cAallmupw+l15Xm eYMrhUIW7uKw1KEMU27ucNe/a4Xwdv7QZHMCeW/x2o89EH94/7nE3j25/zgfAPBl/MyjnS37TF0N KdzlXnOfEGc+oInT9diEGNoacBRIgOtryPymvxu+QtT0eU9tuMqYm6vPTJekCqJ+6oNlqgtptQOy DqX+zeBKiyDwURqcymcRPiEa0Ru++jXsJwB1AIeiYG1PpQFtAvr6SIsjXx4cjVsALB08xHIrsLHB Y5ov7nNZ8+H0qbQ0cwuOceojQeRfikwdNaqtrRBqnbQMfKdEhjsefH2cuZQ3H8RH2aEEv5ZPIcV2 NloD/UqBt1r9H1yHEBXV7bUUlzT5dsvEIxg0lE4IIn2zxZI81UKZ3AoOI0qQDlO92M+qBsprcT29 yMQd0YXIA2EUkFVzrngp0CVArGnDmW+vTrE+abD0cQgJNxbqRZVBkdC5FKpWKuJUWuFbXlO6XKPj Fg6a/Q3RW+4x0ew+/Hbqq8cEFJQ6VpHKOzliD1cI8pPDb+lczF4RiYcq0GV0Ukf+F0l+iYEdyZm+ K9g4LmLpUvSpsygUu7pKCujow3g8t0VUze009PZHjdUGG4Il1lImvDdiCUQD4b7gMcUyWH6Dw87K tvnz5Uw04dTRWL7DsuOoAXoskVBb2fs8KSCkn9CrQo0DMiKJDs7+xN3NA7i9iZvKtRyqz4raZzqN 1QCPBRM91XlI3/oMkDadSMqWxw4P1JtS7T9BxTDzZDAqHV8bFN1sHabwFxAgalBZnikSwd6gvo/x NlEk3PaziXDN8aIz7fBdhyISb+fV9Np21a6EPtg1/fHBYa72kEB62HU+FMqQ3Vdt7QzJptHZmJMA lPVca65myMlLqZfvUxYLnEr50qMd7bS/Kv7OICFh1bs8r9LSuBeheujf6ayYjVAaEFfJQl2C78VD DoF6mBJyGHycuhjsu1OKHsxMnB40bElLHWQwq/XB0/BJKYX5HQHanVs3WiDnFEliMKzUVz8PAhSy 97yS1QaFjB9ezUhhTpkZpMVgNWK04emt5fPdIpEyXZ/7lZUD7C08X6K1FbUN7wwCp27+9vgn7evC xq2rt2e3UEaK3ydHlbr5SQjiUr55+5blOfg/k6XAQ2i2MUr4By06q+RiI/3ZPvjmAzZ7koZ6CbuX ydYg4XkW9JYoO8SVMAdJyAuld5kqLXzZdhfH6P3Rf3VOY03RzOON7yZ6EOcwbd0JgLt3LWZoAy73 RWBxHOGhlCsjAscLLENclO+DXK3QDeTjNOIWSHeeYLAKicWkfsnwb6lUYpszTFOVMQEX+4ChYEeH TPw1ex+Zxbmtv/HgirheXuvcL/5Oju48WDJsfU3hL5ANIBtJywbxdihgjp8/9/YHgD/GkWxYwxTi Wn0vTTGzSjQITTRnSo0uQVXSG1gj+meoP7t9mAxwHpV9/tITQldKb5LgHDeQvCYoPO2OkgIJtwLA sk9B6eBPpAlC6OwIy7387U0RG3EUlBH/LKGMabFKjdgYLwWjOLRe0vshytccEMXFPZs8RUnkyl3d LVS4QBD2kTtlbdg6K8B1EBqwDNQlfSxMmIBZWEJri4OxbZ2gRIER7GF5hIff1bHYjZJSg0cQCtO5 kI+1XHPouKxe36yxprTsviOtpObcqP10cLzCN4NbDEljE6yS535iJdEP5uQERXVKvzdB8kNP7F7p nA9CqJ9qlKE0pIOBySrGqSVpY6OJCNKurH6BjgbiygR7SPT8Dxent8waiAf848WrKE72w6VHGieV Xib7Kw2SsoZ3YzkMT0pC8EkdVG1AQU4cT8GwRSfhR4DjEMpY9LrnyJ3w7Xnp0ZNz7FZgz+7PnYei 7vHmPvecT/ojqQ13zSPStsAV/VHtwQC0yumcsx34QoRNzVgcFYycmRU2kt1OSp9xfC6/WVytbBs0 N1WDTBLotvvU2U7JrjC1Azv4FClczrH0PP6hM6l9AGsTZRjboMVXdiEvkX2IGBe6VjNB5CR5C+Jq 713km0EbJPx5k1Mbh5If4/UZG7AY2+l88ixFgLeK9yuqjxRCN1ChoyWqrcSkvD8MRGgfmNhCTJXy mJITTdSL9B/M8yfdSuMHJxwGEQzo5Zdl2/WWIfFc8rmLCXRDoG1xgpaMZS3eYUqkE8OpGdL+uRZn A0nOhoouvzoCp0YPGtg/FxhjHdlMQT93x2cToYJoYEy7eo1mixwQdxfkNQu2ktndngIujijGuINV 4o1alCCC9Ohxm3ooFZEROksr+ysFzqOgjJORXZhRImuEtgxFD3+Cuja/PE2O/yh7Xy9vQfhLV/23 jVmC6ALgQGkT812QO/B0iwgO6zvyVXx/hqh7ksVdWW8WzY68hO4wxNpTLNVJ1Hg/Pae/Vr/ZvfKW qMgGJDAgTPQIYqKHSGFWPgfrMK7myBU0rV12n2l7z17s1aGpa+ttTNyf9XAtK1X8GAd2FIqCTnWL TMrwUIffkfU8nnx5UST4rRuSMUJQZZC41Ge63VSHtVioyB21WBXImfK40o2botDF3k3lSE5g8m3Q d1kPOPr6DzX4K3/ZgYGk6mPLb9KHIJViHAjz2Xo4cQX9zaZGnDWhmm1H+2IuJLtvqxlscjpKxl7c s9DF4UIRyDHmvI7W25e9RS9l89eao5CznEkpkZupDa9gqudG81H47wEaNxVkDgT5nAWXbo6GCyc6 6kTU4HpBhNg9ZvD+0TyPwluc2AS1iX7Dzj90Zcvg58oHOXXVNi0Q2m30OVx0mYwVVCi+FR69jNZk V0Rcuu//Jnl6/xlxBzaj3O1GSrHLuj3At7orOo4XZgEnErtop52yXOS/qqQcb8diI3QJFyuC34ol WLs92PFPBxCUL/4nBEdU/IQiHjvliwo5gckOoy58Qz57o8VVJ9zELZ43TN/M/dKW8wo4vW0YGvb+ 4DlmcY82/jThNbhRmueRPsP4aW4kUOZRoKmKEqUt+TiGCrPtZ+3NyXswy68OPGxdEAC/r/85I7ig KvghVubWT2d1TD3imK5IsSYlv2Ttw9ocgxMk5yBkNJZfbfeOrxB2vFn+IwmrQ8A03IhE0tq2mN/l ldtV/97uYVRqLlZArUXySdEbRfbMRrBCxcVADZe6EoGw/LNDZ/QSBtr2mBxF+mhujWqXAOlMq254 HmyjtS8xKC1coRv9U8FJashzBTugVluDq4b5/caOVG5o/70aiZTUHskuUL1ZoxvN0C2LHakVQ5EV +6FWWp07vfhF0PbQligc9g/UuOjPr8Qk/jRutSzVLjKdOtkpnJ/BHVMf7Lwv5c/zH9uYHy6ahm7U S+01KlCHugjRVswHYMNjp1XHPgr0JWcXTpA71FP+WCTDOk/cLWeO9KWtK8nRsx8SrR5YRh8lXX8g z/PFw2hGp8a33NpldF8MVByZVLr8753Ga/hmgz3DnIIVSz1FpU5HuVKz6ewaeKod9LOVUulrjbJC wlFxK81w9Xmm+h4yrkf6Px6OmB9ng2Pcfeb4+SVFX2Nbg7PzvPXeIlacsCLRNCy8EdOLOVyjXj9t Q1mXiOJ4KJCtvVD9tXEU/gK9AXHm2s/1ZGXPI3AyNdYnOV2GPgeJEP23Pec5tLhTzWgghwU5rjKj 4ifoNGG21tdzhu39l5g4IFbzbSEWvtnSDVTc+YljKBMx7eu7BjMa5cOpf8OF3rsnCtfP2d5hBPBP dpQmU8RlmMtQAG6uhU7Tbiun2gIKWoOTwRCl2qNC+pSHS/0SFyZOZ2JY0mazQemZzjPLF8CUuuSZ wzad84oT/CieG/ZTTT3OVYkO9p6qyd2JeMu7BnxwhvMpoNmrDxloqR3/HIskseeJ3Ampg9vKFLzb WstEdPUrlZ4qjji24I/KYJiQAiVTLhkJLNLF4VCgVjA1GCrn/VvraO5YjjAECYKeST/eNUcLcvLD r6fPW4kRTtl4ey+0IZiRqaf6nos8M77Vg7t9AX4vzGcBhf8FYCRhNfzfq6mLDSVyFR+t3uu2HHvA piJ1nvWDz82WqyYMTY48jMhQ60twu86udYR4UpWX+vBfc+UKc4d84rhSV/tqKxovQMs9ecL00Flo njlPC6rx/HpoGysdXcaVxK9xfLqx0qKHzn8NG/Ace5j7Y0y2uIUFI2TaXPq4VIMlAjUTg8QJ1jB9 ejaPAFVh+wEZdpDOAhgS5aMcoPI2HabrSwbnnw1H5OIIImWcuJbSVvaCEd8z/EsB+2jaq/x6xZba 8jRGg0frExx14bF8P6oA1qK7O2RIxV8EIwCDO00sz5FFE5QcjCOetTPXydWXICpq+1ltl8SkqZGw zGZspYkT10RXBcpJCV/RQ7OcrcwyAJY0qlO9j1bOtIycRo7phhysHC5g4eWEO89B2vh25/b8z4BE QcWb0w1Q+DBEYuED9814AQ+1EWh//72On+DF71W3C/Vor4y6CORAAiMwBq90fpk6g7fZStYIx60t h6B4PV/g+VbTSRAWHYAJQxDHkaCqUcIq26GTyGpml220KQuS4rlTlVtV+GDDxKO0TU7xKgkNn2hd RXe1zEfXpkHCkSFGbjZkEct00AAT1rk9vjuZkg/8fLBHgh9bSBlkRfb+4Z+FceVcEeenpDDwo9Uu jx/E876e2luzZm7Rhnn/qBOwVMRJ4pvqVx5op1dxqhDcmKWrp+98vKIRux7mmU8rHmpN9OqmseEK EggUjwCDfOQH1/FvceoM8r1j+b8A39aMdgTyHoTnVVIixxwND5dYqY55j8C93iIYcl7jCrgmKR0m TzKswme1ShoVgJHj6jwMUDG5WAwv3p5NPBXWgCooZgzQIxmaYKWwrM47NFpLXOROcIq9At7VTzEo oeILC25FFIWBE8zq8xrJ9C3jVg4yPJr30YN64meG/mu0rSyeziJnvg85eK4hRedfUI6aZeId/dtG QMLFb7eBdlykBSWMv/s86PUo0mfLx3Aj/9ch1yTOZ9TFqe2cZ/pjwBav0NYI5ucRMWWyoh91DGJg MM06WFu0y8TU5HfmtEUAyEQVd7hOuaRxhJ67H/KEGluqxTcBTO8wQSzlnOcTHjlROgqX6SxcwyYG dD2g/BnPbtznOp8cSgu0TMuMMpHG3k25tMVlweO8+5OR99qry13dYYnK9W2z5hHzqUfkVUHV1Lw5 GGHxY/9JNMevrHUQ070vAL0yO0MjYi1+UHSRgVAZK8YFHxRzDz3hKplgrAYhvW+l+RHtBxxStvGN wdXwNOr8wXKPuP2Fnzc8v6q6I2u0wVUykNH2US8VI339UXWzjV8lqL9x+/Mu5mgufc/dT7NMxObH o4dG8GwzoUMkZwE1tGK6CSO/d52draV+4vBY6Tk6Gv7u1idA2zKrYYVAOzt2b8U9ZRBbODjwIE2i gWKFJE5wHoEXhE+LlEhgdLleeGI0LHfL8p6n6L9XAkPPV2D1hXKKgT2fnc7a/fpqk8x+r7clvCuh 2ZF8Zw9r0yJwZZ96QIk0Ri4PL93ISR5EfouhpG2B7V6JrBsKB5bc6hYb6FZ00chPgJWFfj340yBm QSLNlOCgThMnqjCPPsidMtYYjCVFyRid+qOB6y9WGXLGdaUlvxHl/s1IAr4Jih8ywnWwmy8/ILyu iixtPpgIYgB1UR+iGRxWKLVTQDQKfuxt9BHW01qvt5fCllXKlH5QHJREg4j7pJkppcNoW1kKlO5w PKMKM+5hRKv7/kQyyMi0UoPoZqfMsWI1ohq+F4xLpswn89RppBqPaEdkXA6k6dJhtV/tRR+gGeJ6 h0SxoJ+UQeoVIroVspED5VyheXA26mGDGi2aja0s2eIIPPN83L88C5hn0F8sCo0Kv+FAqn9MU4uw Bdny8VpASaOMCgxg3ZI+WN+OTKDOcS8837Q+7B5PnJHN3N4IyPJikhZnFwNDkYe5zGHwZFdQe/Oh JGmp2tfJ5tF3SC+zgTCBXFuAHV2mIcjvx7IVX2crFF2EGKJJixTeP5YruhpCupRbwIm2Mzhlo9FO DZwvPX1Qm1ssgzbfLIN8+6GkEOtoo8iVJaMx68IO2E3RF6rltCwXeuBTJ4PGgMPAa7ArjQIzswck DEXRcugibbmWVA6wBx8UuhGO162dIy7DQx0wUaujzAgOY35LIsnHrZZFgKlDsgkh3TOfwpFhmqov I2y52D6/bcbp5/j8YeiDxQuAwwPKeUSsxGtqLwfPQOzwHVZ2X5mWG93WoMm7UIYn0U7+LR+wGXT8 726AVcRNU6PalRl/HlBghh6YYt+dsPc2gd9N78Z3f6P+B2XWKwGErG1fhJM4BCQKrYXsHrp0Cwze 8TXI0ROyhDAWrdTKY4QEP9uMc3fz7tzdJbvyxE9rM8vT/uHeY4AE7Y7X33IzPtXM1e/p0JtgwBFc vpDT4w4Qpb4MvcFNMVFzU8zsooiFB2RokhPyK3s1pYj3CvFxtF4iL9zrrku9senAfhDO5MG9qEU7 aUjuGavi8XZcwISLHKtS3tcO8duYbMKbdPdd+GfJ/mpTUXbkqwsMIRdCjk9ec0z7nFB2F1Az466d WoBfQW15fc+x6pNQZlGSVOvFkgKEBrwJoS+/yQtCcTCBVqvdiAqTyUr+7BjW5YSAVfHix4ukfa20 R3vMhA4UwZUitKWCB8SsWO2yBAY6D1JxckGDu5XKQKav80IkjlLyzYaRMqULvOOz9V1TZOGykNpr 6iE6gzG1ALdjMqyd8Ujjq7qulsDvmAfAREekZqI0FqH2U2u6SysTuG5fI9R+IKayfkXEKk+5kljg gRUrEzIfa691OzcW8XhhcmMZa8sayGbKqW0hAvJC7MaJHztwPU/clQ9xX9Cn0cPv7mo2g735nYO4 g/gPJ+dYePBzPr2NOwTUtHv5bCdSsRoemQ5tnYTIHIJlc1bjAIH1F7NelM4Fdkj4RVPNOnLT28kR Cu+DKYUaScNdpUXdYv+nWUl/n0IJguTXo/KoC+qul22jPIvIil9WtxcdhL+m9TuTP7Gzl4/YxAE4 3ocNDs9b+HS0lVDjC+EgthFjaRSSfpiN2BlBIPlmsgmQ1eo9flkPDZBlJDUe330q6nIPw4I6i92x 81CGat0GQ/SN3XQDJceeEYuuYrM963Av1uuRfagoUKfAlNuWXl5myAu1vuOGanYtQDKwk4UEy9oA 2OfOnxtxAodz7FgbW+tocr4IztbLcRcMwCeRbMyHE410PAYre517zRfFCL0TKCj/47bj4Isem+xs 3T8N9FC/iB4O3J9yjx5O6dOPhR8ZGgiLtY2dpceRRLkKeBnoiyawLoWZsz3tvGC9Vvo3VxNGGQHb KxjI5rT/Te7dI3u2YT7zazoqKXENYqVL+fqxv2VMgbKVPdnO+BOXcFBpI5uitrZOABrA3JlQc3wS QLEhsOg+RrV+u9+y/thsSnlgr5u/ppjGmSZluUeVEhY1tL7yETvBOyGgIXKkSxAqHT1eDoSkfvrh CZDQ9QugWOIaH9Ub39m1a9/bEUEkPaTeUJdtd9fZ4QiGfjxzaN5Q8KDrLCgBCS2F1KFYy/6Y9EeR uAnnJ7YmbnlBY4n/n4yRswY/zzlBLdPHHFWxgU91cDWIqUKUwWuRAL/Mcx0xRnjtcW9cDUMUMPo5 go3yO2H2I+fh19nvqMxLOj1CsxCjM+DEc47j48xfyPR4rBqarKK1i9offP+PIdR8SZ1a6vNps+5n K4GvitW75bXSopJTdfZWCji/EPpPGQZcqvrxtkGtF76dFq+CGguJfD4siGE+oGba+KWkAZE82FhG VHpPKIvfPLmkYttAJEvgc0UAYI1Axz9/JchCbxfOC5YTlxqgnp/LOZn010z57JwLhBgDyZY/d2+3 c4nEOCetUYmjC1K+QAgkUP15FOh/C5LGFZ+Q8U8IStKPFsyDe3FR8ohHsjXmVY6EAqfSQxZpgvSL tXMtpJP4oJDinLCwMPIyattO0sqtxhXMO0Sh5ReAgfBq7w3FcP/hSzFeHF7FFwvfXkawuACHpHgh nF0OyMx3xH0NhlD+djW/gyL4Zlbmpq9paqr/yhVlX/zWiiFU5mr68wPCfvnbgNxiYHwoltQNmrSP IuSLfiTsLDZ2fXvMv+M8KSKo7qbjEx9HOtUgSoTxs6ebNPmElYMDIGl9oFKm7nqRtHuz/NU09Kj5 NaqQjEp53ZPHF3tpw1M9dDJwe6OBABEex4RbdDyTBNuZ20YlRHoNw0+Up2RsDyjIbpByiBd3prSG fS3QL4IdtYK1+7Zf6XcUa2NnfDafjqRMKDORRyTTauuiR/ShXCgTnjU5/uDATU/sktQex7WgxtQQ YmfbMKsVUQc6VqhOqvSn+DUPJMPRoiG/+IBJpnfr12CalStuF4ogh5qneX4NULUH7xFju9DwuG0d erSX2p3UEGMjdeH6DDFsjNTvSt62KFnsY1jGgzo5EQB4xY26VZfLLJuuUZpXNQ5BDvLOpiCGxFPu 9HP10CqaMjVCdC1SmfV3JVDdfmDRxj6mWiSJDc0ta+SPPuPH5dsRvC4A/rx5T4AvvqnB68i+1Hmn cfz7LwIfbd2E+3Eaw6/kmv4L8k4rWf5/5R/pd5IH9hd8heJNQZM2F414eMCrvzZTp9lhvpStp9nN u4+ZzduM8CGXtR62kQ6ReLpafZ94otLgQIjoQZ3pb6lJaR5E/CimZzZ8KP3w59cF6q6uLEdGZrZ4 9gIY5UDWh0+TYp/DCzpXKfP5VclhXHaJx2GLGGXCo/dghu29JKNXP+//o+H0TAd5SwxQhlfWoF2m qSGINpn9Gfs6B5cTM7VIToJwl6bDXM08DeEq1UJmorV4Y1P2WzJSMu8+yyU9lCksqkUegKQT0xtf +y/nsjiYCRlhLX9l+YLsAa+axhgyM6gEDjowPwyHaKduwL1h8kgjklm6MFCEAyEBIp+P9+hMgfmE B7KM9S214D72MzlcbogmwE9+CmPlM2rPEQx/0V3wcEgYXRK90vZsVySBzbclWY0XYm3UIEC8RI8B 6S3L+Prlv6ZveEntFamTAz2gMsK7agrMCkd/ncbWSeYMHstiXwsN1J6hnAjDhfKVCChqFc5a/lhT 15hUI+GMewWCfWb4ZfbKI/qfuEynXo+q8UqEo+BMhphZjqGn2GkGd4PDasxDM/tHy7usOXyI2HJW AMTnxAWrmPlPq7e+pFDGHdfGYdPcSOstwDN7Xm6U4Kk8VpsBL/B+p7KG5TOuQhhZbv0ali1GmHhB QcMWD5yZJ8PgX4+f8yFd7tricLR8AuTBy798uFKYq5KUkKwg+TalwlSolqNk16ROywnX3VaOFKNg a1VLQzsazd/XJHqu/oNZYt1WEkItQjVGhIQZu6i7Fdk0KQ6mjUpKCwBg5YtqMv35C526rEt/Kwkf q1rkzKCOoYU48W19iQypcz7NceG6wVlj2Qg5USWmUjkpCuhlbu2T5Xq3vq0PkvIfDvGBn4ilAvoV U6dz/bHZ9D5ntE0CpYmtVi1UZlugE+eK0xKF4C4FcgKAm7TJascHRNN0mxsox5WHdH9KxvY+y9CU JCLxPqFk36cnKszX4NvTZA0WtJ7Z3ewRsP/mfRVqbYNMWWhAvIh8FSdAEX4QfKBFJ3/hHDJLyc36 Hq/iuia+JnqxSaDbr2VbaDYWXkSyMRBMKoye90VNJ0SNkql5nVsy6VTmCpqw+sPyBcKpdiAJzsIg Dpo4T+pvd2Kx06B8Xro5XCTrTv+9gAeXfi4BrP3oNidhlWwzBcCxipez4lhcdbCHMYwzg2G2jyv1 j9urazMG9Yv6qilKROnHndFU9X+5nkUNGLowfjcLUX2aNWvODudC3oJiGVMluM+WOwJ8ttAyI/8E Kwtw3H81bquI10SLGhEnSUuSZk/S4vAJ+MYsADSSwTzYtGL0Mug1OX9215+/cf8cYdKGtQyeAH65 thgkmMQ7g7/xnIgfEDCOaaqEv/DJVFLHgKmWuP71mfC9NWykvlM5S1VN+pWQjg2VJN7bZt2I9EJY gF807FcDlLC3Npik32ujVwXHiPbQKuoqHE5WmccO2JHZ1Q4HQUmDjM3ucMHGsDvKy9WBoqnu/8+i uN3oKNObvD064J+iq6Qn3Vv4q7ayUY00f/bDE9zFzdPAFQOciAtD3pZMP9lZSq1MOGMhszsWjjf7 eTBkfAGfikX5WcXB/O4CdXPOkYQ9h2j81Fc9jc+SIDdCf9i2ZS0LnJ99rnc3o8Gq2fs3BbpQlV2z KJPVVkCbVyUyDwvwDoXcAmzNuI8JutQaoGNhfIkFZSR+cy6jo21OuzOX3Y0g4IwxO3HbSyNM3jke ThYkjmq/UBGJHkw8MBNsWmMNvyZM7pLYrdjw95kEQ5gFNy1lum7yl/Jwf/XO0G5g8RdrSV6DMc6b H4LRozIeGYfL/mjeTq25tLJiTYjZxe0T5XmgdGxVFHQmnt23ZBV956FBd8gbikN4I58AvwW6sgzq 5cngb3s9+AXgA3LAtdPGT/IZ1/sCPtrB8qinF8IJ2HOpw8uowT1Gt04Ecw0qxxSSmbctOaVmEY0N f8UUSU3sm57aHeOzSq3NokN1qCDKSxJlg3pxX7FKYTxoyFGH2Bra/IapC/fjkD/m6o242mHXASiP b7Y8EF8iX3CKwcDmwCWX1rv4Bjt+83rsMNDeGs+rGXHzan5LwjumNuhYX6abyVqdmtaRPMx0mRjd H8oiqT/TflK1D8rQzej8uhlgn+V4307xH+8BkfAG9JC7hZGM4txu7lqJxqOGcZI6Mn8PjBAnooTs Oru1CNYsvEGuynOK0bZbVrAIFKEca4e+a8DEXEf7GpbMHN3Y7bQ8cXA/YWnsMsRzqwiEzDDK4oiP CZSbTwwk/wMEKiBLHmQE3I2uC40mUdHpbUGPn24oBv60vJ7SYdnSiHHHI0Z+1Bc9S+3e6Zrar4gf 17SYg1s4c5or97zcXY2i29L2fAhtrgbayleUehG4s+Flsn6n6g/6QITiHeLwaJJZeOEPwfc+Bdsu o4YmVny0/CJiRJp09TE1VwV4uiQrvYt8Qh5/m6kDFtRo6nKUiBmmWehl9R1nkwgAHCj/HBW/m9Ry 8uMOEKWJpXkTJdoZIgARMJ5TIw+Eff+4LVEBPz+oCkKcyJh7xxW1jjNChrGbQddoJs93prvAZA8o 1CBxRL42mjFSp8K9C3Ut9hecAxu+NpbX5raCt+QGJZFgbbireOe8Y8kKh2LtSuEXVL9v2Mq+wiA5 Q1pbcPC9iCzFo7yEokdLiGr1kH1AprV+qf2AZ3+w+Jn2GwRzUMQN2w3LvRmXRujYsgvs7l+5iFJO YMMm2yRjIEqfwueBemSt3Q6wKwnB2GMsoQ/YCBWCdV/pjcJRK8+5eXJpHfAqc3t2wOou0rf+gYqx UL1HXZTqlSnQdmdobFxXTx2xPPw/940OM26dC93NaijCbY6ZPf4tA573WR/aHt8sJuu6KWEomJ85 jqtnFp56Tmsoxl/Cf14FWwpAUQyZKbPAyPnraf5GEFsEkzOg0gwfKcopM7/pUgfCF0G4mL5ZxyXS 6WPzHjyaz5Cfs3RnaQIscYK0yd/x/KLmxjFjDrFUKNNqYBAgdqZ584BGIVTdIgry5N4q24KmHSoF Mk94ZTA5bHm5ieZSd3XiIKUSWVkorI/m6+siyGMAb7cV8ZCARJ4GMS/N2LyC5HlQwyDsJs6L4U2o I/Y9lwlzd0n9slpJCVnZQnzcHsX12bU7sSwdu/17Q/AneOjLyupQG4h1icuxTp/Q30ChQRHIMKko e5XbKqbBWejwvvY/6H72cVQZ22i7H5ZAlcn9VarDgufW71uNG3fJA31Iz1e4OH16SGiVtTZvH4xN l+DlenZ4ZPTpaHScOOcujJ6chhejtmj8k42Nc6MLIRHn3f+HOCZa/xa6L0xSOWzCQWoBkte4SIHe +6irCcSvBaeJ968scG+M1cIlU6ZkmJfcEYU+GjJRoJAmjgyWnZMv9dMBVyArakYjUGP2JlCMIrgQ orNffD7Msd1e2FmwIzOum8h0ceIBRSbKGYfFuZqso6mxD+gXrXMKYCX2JW8e53SK4P2qVl+oF2P0 41lW7W4VB1IjcpBr7f/cax/wPHflWdSI5Y4FHpZ5hIIoi0DDLh+Zg1U7LnVJGgo7YrSyF7McPCO7 bZDcDCs/vgNRbYQxk14vdYf1E+VzeLcHLhtIp4K58vF1gJkeETmoo1wRj43X4C0NE97uN3IesmPV 8jUwkJ4zIbNpnfg9FS9VaXClgX5wKpitG75Nroi8aFDv6Euw87C6IaAEH+KE52IstHN1bBurQK29 nnR924mo2P7fOoGsy66RLM9nv3ZWy0vUbR0/O3T438rJVkF36UHUTuU8VL+6JPCb7hZrRhqkX4ij hH1UDvRKId0caV16Cv3SZpEUseUaQSQbJH++HkHnafeFVUb651f/WbtHz3N2WM8aXIVXHHL22BuW SZJr9NMFt8ARK7K/ZsXE02d+pAelBnq0RFrtxnkG7CrX/0OEh/2VPntkcsFiKQ1r/ZymFxXErOiy 8+3jBU0cNY/VApVVj5i6ULxcOE2VbUjsJMnKxVufSlFTr1g/DCI/r0O6YgKtM+4+JCvPzWzUKh5I f9xtT+yILJBlyS/ReJJGcq5t8MVaQUksqo+eIrKaJwbjO66FoRSsqntIiMtLvBzWm0T3mk7qKAU7 guf37vDWAGn9GTIZ+SaqS+7w6tcvdHPZUVdsNQWZq63qIkMFlMNQb60/4ZE47eyVOWYOQP0GBHVE GctTL9Z/BXApH/hMM3d7bYCcwci/B5bd/bMHpqC+NZ0zc8MP+eO/ULstIAF+TJyn4y8plkh3+EP6 jQnoEPznEgWdR6K/VLtRYpP2lhXCXVU3KlciThrOnHpUUWCuuViq/FQdoO2fIitTzrHKBhzZsywr RmE8zxd18s9kKNxUZBpt77/L3iOylIGN3rJ6e9zz+/BGQFUku60uFBVQEubOz/nvOTvZbCw+mUiF fv5V2DgMgua3/C2dUXVew5Stjco39slLwOiwMnaa6G/ae7qri/362yyWBkxr429ZgHDDiFG/oiib OiBk932AsPWEM3iRN9dBdz8OVoahWA4FC6kFyOQ792zlFJYIkQCAHsQeVW8YgwnCwiGtTUJJY1v3 8Br060noxw8LsVMlw5G5iFOJ8c6fIMlWe3sOaKDDQ1bGC0nyUEolmrn2ABOxqSBPExhBmL3PNVMm ZuzTCJyIQUDOSSSDitceGOj59zCs4l+3MHGte+or/ajcqsM3Zt6oEj+auOUBGerC7M5F5ELLtBvX F7BfLB7tMyiy+PW/tnGkueRo3jCPVXmdDSt4hn9iLcnpgPpr5sAYA/JgevcSifaC6qmqAofnKGCe zwR77kUSs8/MDZFYkGnGjhEZrnKkBBB5S1ppnZ54C4R1fGQdh74gazDZ1IZjsYE0bC5JHIoTqVsN B6stXe4SsfiS+CP0EBDR22c35hHUUsP3AncLfmxB96oD4Oh2lGJ+hWU9c4yOqCIj/FE1h3+NJJt5 dL3NbvnH3r1shG4QVDSJhKpRwekfGEXbZLtneWjxFiWESyRfhfqVbsxlCD3CjiTN4uIWAtKcpG9I BLbnIuKJgNRB7pIrY8SWdFDutMQ6qWm0SL/vo1MjWbujHDRSIg/aviTSFOwtuLsWo+drtP80UR96 t8hexNG+Rpxk3r99JP2MqFTzNqY0+AjYNuUPJ1rIXmijXKENpWGX6llHPycv2YCdKJgWoGZac42C +LOr/u+39QF1qo1+e3juQw8E5YEXOLvH2+3dS6ScYGRfHmBUBCAcMbf/dwaYxi5fJhImbfy1LTMl mYyUx93LdU4Txah9A4Ylua4J+5u2K9Xltqqx7LOM87DeQwFCzlvPRpHWWyLIlQgFvWSe7vOUq7xh FnFNbH/tjwO0JW3MF+DrGYhfDMPhhRu31Jp/AaRK12tr9I6Vy/hZmjYSXFTCf9z3UhlUr006zbmC nGwxoboZofMp/n6yhgBXI1HSFWD2uzhVrINKluR9IaLFGl/ysAzR8L3nfaIbRazsVTbEu1+YyLgs jZn2HAGYbCKLNWM387mq+3EVeBK1gOCP+v4s5/rZ4DWXhPkbTKc52wg36HYRA8lHQEVFoiJLddJX QJaTV9gvwkShS30caIX34kNbS4R9n31qCWxWu5Zbw21kIbUAVbR3r/d0xpIPkMzu4fcAzuOdGlMp h5VL3gE9EpzvXMGaYvNZQz9MPTTNwYjK1+CWCBSnSHtEoiAPxlwpnUk2a6lgCxT1uSNoNBRUlPcP HrZ05WgfjkUghl0N7Lxyz9PrR/AFjuAvNFN8qz2SSp0F0n5l9SeXPf08rmMM5uJ0yoN2j2vv2A+s 5rsO+z+jFOh/Nb3gblUdFbG63f7Yvym67Kdchrzy2G/wp2amOMxz1YkG4YC1vIdNxjmf1n7eFszu mk4+iKAo4asMV8X5j+5eE4pX/3i9kj+alyDEaikgnqYPFjZ7YNRWJ0sqnDa7lrDZUZdC+l+fk2or e+KrT0zGB6DPm7cqpg7YvQvbfZkKEaMkTFNqBsFYqDTGYnNXbokgkAvDZV7/Q6R9dHl0TZI4PmgX rT0NqMH2KMsadLV0tzWzyWvSHiYD4iHTJpPusiZyfFqWP4IwGday3Q2uwVQVvpk8ECtq99E+16+w acNWH3jz6pxa4v39795WMSOdTum8GKh/N85RitXhc6BrvDdJ/OR5QtD/SB1bBQTtI0nRDMBKL3/5 7PvxYK4EDYFkzfuPuBhzb4btE7uh5cQutrtDVuevKVEiOfyEpP46F/H6s0Wlt1ngHSJv6oaPsBkI giZUEpmm4La76o8n/P/TvY4GVYpOCz6jk+qcV4WdP9sDawZb+FvaXPRgUg1MZwrxamlxOsxUbkZD Qfb5yqAqiXoPskrpwpAGwse2LtNNjdTSsMqHCJQBo1r6TAWQXyI8r+0sTLgGjjJ3+tqx9xBq0kO6 Fll6zFHZCLSsJXq6TQPjiylifP3Ll7zioImQrW/XHEnWUICT63wXHi5sZk7ZmOVv4F6op7hX50MD TNmbGkSKhRNLgsZxOKLadJSMQiOrxyOPtliZ7nrAeTqUyrDqGVPNdDKZRVju0EpehK747tkaNVow p1IKj/M0Bcc8eENCuJz1c/L0xD9WlpYx7ibvThB+VpeDPLnM/Gt10WffUBSAt9i7ZVgEmwLJZuXb ZrxL7q0pzLXwy6CwGK/A21Vx0dXpaM5N8njK6gVVhUK4b7UONjsGhtWNXZVf7AMk0WsmSz4mdRIO AjJu3Ysf8GMF2hQsTEey8N2PsXwX1g7uI6mcKB45Y+oexMziBqsRDaMyXEs4xOf5f/rNtb78UQ5U 0zkRTwsC7N03PqepHMs85PMtErnKarPPhLeCXZk01Ydc+mCUk1D9mPAeYa0P1PSdJX2I5cYDnEBK NpBe6X23OFpNgGFgNriGeR3A6h0EP0+bzyGwK3XHEAUM+nc+HEeUBasQ3wmtCY+XlqdHNBohoPwv bN3XgITa5Q5PiUsFRwM89Gyhicn9/7GqZfq1Re5LdYmiIfT0QvmBNwsl679mZNlVuQ8PS/kBAhJQ 03NNlaNeCoxp1G7p7qTDk/wcOIcadfBMxeLwZvDJdr00Cr6+GZT28wFkvnOCoOgEPvDmO4LcwCG2 nfkfrPHxClG+rPUjuAAxgVM9xqBNO49eDMN7VPopSyeWLgmu04ncdx3VErWZ67FHWR6nbJlWrRZa 9Q6dGgUAp6QjU9LZkVhdp4j/V3YhYwCGmBiahxDbk4vVUP8AU3dVITnuPC/8j3NDtZKlDTNg6jbG OMaMFK+PaTeuUKjulgcT28TtSQcipA8abVdLvZKCWyWCV0vOLL0Fg+k3Ts9oOsXDqE2miyYb16lB P1lU+7NDWuf8w83+w4GXW4L+1baEmxWE2Q1c4KGjGLwIoA+tuZVXIKoH3PEz/vigdFXaHcplElJI Iyax++DDG994bDtyXeEty8bDrxyC9Qn9jNHJZXMoOAsia9VwXsQpY2UkSuQBUa1dh+sB9Fmbnevp 5kFM3qOfuVNwCReCwJABW5+MxkD/B1OOH3GBkdFN84G4YBHemZe2X3aLj+j4B2e/bO92gg9lza+v zLz5UxK8ZYc2UnXKTaq1gmgKoyf1mQezeH6EE+BarRKS36puTp8J1NAWdkanQxT6c/X6eZWFM/In TXsHOnqRGKpnkT9PljPjCIUYqskqkL5r4O0t3/TpKca/XrJFXYZz6Y3s7kfg+PtCz6um4Ec+CIUO X0a9D3OC01sYrIXvCA/J7POf0B34Od/C048JRqV/fmCeVoZUa0RPKmxSkZYyfd6foRXICcvkfaVR Ss3G1ANmr0ArGBEMmIcrl0VHmja782WguGfP9jlcFClz17CbpnBd7fPeYwOVqwJNw+Fg1Qp6mVuZ SeMftGOTtwTt1EONXt4IhT3UwgZVS1C3bFQstXJd0tV3l2KANRXLVW2oz//qaaTbxDsyvRtPeyrD kRFM6xUYYxKUZ/ALSaDkmyfa1Q6xajKxJjxxOOaTzSg+M+ddIw1LZXFqGBVhD17reFzaJfpZ5Sv5 7l+l7DmGpqf3t5jpuvVu6+YUr2Yxo2MTCd/XxgjLoFIukot1ihW3QxLFurl5kq5F9If31wtSSdXr P8VrFmbLBtMbWSAI8ZlT37QwP6xQuziTlEal/b322AbADUTXPwAVOTnLartQXfieTCA6rvfVBcSL NtJDu/14yIPQxYhSONqGG1vVZGeCC09nBJdhJByghRTExy1bmk6MC+yQYoIvK+0E6roZI+pNKZRX km5cxExW2dmtog1DiG+CJGCX3L8JhyD8MMorBPZs9Kk5u59iqz4xdNbKkS9f6mqsIgbBSF5IBY51 tciSnT5d76a9AlVuIafWJhwC/UJcH/zEAyGXwlAL7S6WtqJH3WTXHDIp8ErsU+wb8gmruWfWwVf8 pSbGRoa/+mRQWqMlp75eF0mZlx9ubEfSGw4Xb0GHBaCFQcqBypX19A7F0lz4pmFLZkiRhMGT8XvY 9S5taPSnP/jL7EV2XMFrWi4Qa/tl+uIX80WKVTBAmworq/2A+rR0pBk9BfvUCV0CjvdwsO7cmsrc /cv+IT+JLcF32ic7aqGlpDZns2+hO1oNYLZTSyDiCxrMic23NCxqIkfV26n4W6FbQq8vIbJN39uz PBnL2dnY9sE3KGRvde3dwa+xG7hYjeEyCrb65/cypN47asj0cisG6eQpE4b8xni7o1Wk2z0zUIcx IMBu02v12d3EhvrKjBb5pSejzYk7YY9Jw+oCX0DxtR8Hn7FuurQ7z9PD59a6s9l0mqicRRg112XX NgKoA7WYmd5uKEK030x4HBhQjmqynEmOr5/vFJnjLU4cm6O5us4nyPYn95tKOhqAuZyAUzyOyem/ FYXBludZnUp2/u29fCJYo55HiMzNYAQG/BSor4+c8YyUJ+iyWAy8wmxkrzFYngEjIivJBuTe7j7H tFRKAvBUBs3usB+QcgZX/s68nZP0YG10kKN4/Js6sJ7C8N0cG9eqbR74KL4drYeCBvDd2QjMaTwJ iZ5llLw+Ha7LvBQrZaDfUV1eLQJhGVQEcU71h6kCNmwAnwFm3QEUODnLV8aLsoiterma584Mm/ib CCEwlDH1SHiUuJ/Rdo/n5xlaJGY4yXL6FzxyoYjzBR7lXTZjKOZtgNSWnXC9xOBAKZ3YfffetOb+ 1yANjmb9mkFyxXyfeMTz4FYbdZ2VVIrKBvLZ86yHnADvMZvfUU7Ae/HANmTqLfjoiVT5KwtgmhAB ETmxd42RxWwXKmniE++3bxHVyBcOnJsDsEZG7CdROfRQeTJ5lQfx4QzCiEaboJHdieCTIa5bpgpQ NPq6G/B+XWphhSZCkG3MdeIcaOdeO3+d7wbHOJ1KVB6Exz1Yf9o9sTH6/UOILrscsEiyHRjS3ihH iongGDQzOs68eUEw//OG/kE4Q0bNmE52idI7sIk4QYc9KkOe99OpKUKe3otIDX8SnvIUjGoGABIs wknB+WfZsfNUtngCCeL1O1x5VSSw0jVWX3zKJuu5e7RrSs/aZtNhKQA6MLnyrgWb09X02W7+hVjX FZBhIU0/STQUW2/nu2h3NMXVOCDgmC9fWfhtf1CN5oknoJfeCFvvFkGPqJrYex71mVD+KIcMzEzH rmUNzQFO6ZvQkQCmrioBuKafrBLLSM9o5gwcTCFayABurWcqSeXftjDfI9TdVn6EyOP3NKsFE0yy Czr0GLUWdUanFPpnwu/MBNlPesziuN56dLtIHtaDleK7vpNT/tHdVDWy1MO2v8rmE1M+h30C7Ng+ 9wbKRG+K+9bu4tAlncHIL/Bd7dRVBKQyv/Qign5CFQW+66wycI7tbsjt5OpzrbToYEnzp8QkWnZs 3RNDe+7swQAVy0eUxuQMFPASAQpzhw2C5VFI4XPf/iN6gP5Kc0v2dsKVwpI3eL3xh/99l0hjIhCv BfBAWJ304DWjoFByafBDcEa66ksSdlzMcmaFYxp4RrLonunRUJm4ZAqcRFPmofPQe6V/cP6Oq5e8 FjdNUuUa8fKLQR8/kmJJmNH1b+Vkn8KaN4Yc8zZrswL0F2PB8LDpVFqdoyjN2MyQ5f3CeaoMeGZH FqDDBTehIHGD7B9zDzFktKKFZbT0LpLoF6IhwVizft8ZHXbuefleCkqbzl5vo3d5kweoCHgpn+Qu X6HeO1KkEL+UB2z8DRr0yjqA5q8YPz5eZ0XNI6sJ9VX905mUVfAAXRgrF8/2o8DlYjHFBQibGJFJ fZUxEsZo66Bo7sjdLfkjs6copE8Kew4QjS2OAhAOiMPfTNlgPpTutAaPsmRTbZp9hIsZ2ENmmsf/ Q/znd1UgbThBuX35E9W61TaaqEZXSixvFaUnRDSdyKgOUQCUW+jfR2u43AKbDKLFQHn99T5/dilT sssZEWvYiHKrKtkGPvHUtV+KFo/lZCHz/MZUieqaOqMUboLQKsCJA43GcCV8ohjU30P3stRpr5ZR UdWvlpynZrpnlxozugvJXSlNe90s36+zf5VdqEOYMsaEJHlTOyVlnw1kGDEb1uDuy1lFUJKROJhb d2nUibTi/0aH1ZoFlVdf/yDUABfATLhmr546rs00A5JruycqN0opusNJYc1ZCvU/8EBd6HZ2721Z sjHQhgTt+zDavqDv0KeFR2sAubDThWvCLk7iUQ3+9rECLVLWCfkznFz0cqow5J/nvfnD4AnHmr8t 5UskB48GbsajXWWWSds2nzeMyHk3di/jZk12eK/SGQQjShBfdlDcu5GTo9ll2qJK4qod2TbhGr2o kJRwbCzxdnMTbxm3SsJ0FAxwfPV9ZNH8nWhIx4UGuhK8fBw1oM3HskKTYhd97OTW85s1/mu2jrI9 UyuDuaceuWrpZgZLj9pchgsovlfbD7/DrCa+d07GhZkEOaS3+1repfMHpeU0D7c0q/z1GUdJyQT2 TuggkvQXlaGZ4wgza0ZJ1sALb/IZETOt04asCzogZ4574gicI+Qz4xCsBj5xZNiK2xTyIn7P9Avy 66T20ccJtadNrMsc+QxpxpXP5H43c9XSGcC1zioLSGU/Wdf+XG311knDUznZioGH9fiiHfvoKINZ 1RNKTX2Ip3EMq3qmKNPr9HQ0xDV8K9/Irx0dvbRsSycBJwKv5Cgt3aNImi0+lloExUlG2o9y84IP x2sD791ARDXzDjajzs+m1qVBK1CO3c36W9gLLOD64a9F+Ky4iawvcuPCvnjj09bJMrh+HS186hXQ UzP8I2B7hfga72gzxbbtPMXooTaGZO/wb+2sAiZPDQnAFrN7rOcppb+F40ab/4aI6zwy1f0Aa7Tq dUVD3M05IKI0mJ75Dxc5CIfe3Ebl0HorH+iacnb9IZ7dIqVK47X35CIvkU9MirISLPHrcryL6H5M yqPJqsqLBaiNuD3OYevZVy6d2BZNGY7IePggCu2X1sBnEWiJpdakkAw27LjNjJKmNT7aND6qdXJX LGe98yN2RuhfiKJ93dbBBQ6pNMGnFSBS3rxmztgw1/RZ7IwgFu/fQzJfrHAus9QIMztUBIE5uOD3 uul53AhDbxNTiaTHHVSE9zyloxosT/fno9mkAmNVqMjtOk7wa31sr48IZ2l8YORpUX7KmlAaOEK4 P9Bgdl2Z2r6gNsU82RpN0Z5jSgYONcO9kFYPwzVF9c6RBW8BOX5nmKyXWc0TLgDDgYmJPhzL1sLk Ncuc+w+KzgmApKnlP6ZlSdriegj25gF5jc6roQ6J2DGHJaqroz+Ob3S/hpbF5XwzyNIiqblc+LOi xsci8UKaz6c3oNVsBnmntKiRGC99IRPjvY6EctEFE/OIzExZYiqlT1XRyTO7fCgpaOA2V9ua67aj FvNE9Pt7oHE+tTVjU44Dj8oNVc6yvwP+GAplHpYIVI+TfjcPhrymW70cEUXnW8tDn0xO32fD2Qjz or4D/fiwKVTwBor+G4n+uYmo9IcxE8a/znWh2x5AQTMvjWJTi97p2hbDkMzt4zAbcmJTWYs7K4k7 L12YG3dyqrl1hT9xVgkkVgrjsUiUofVe/Dz3LidNcVwU0PwE8gYPcWBRwWxDXipTLu/YJKhe2sre BXjJmJfEMnBoq18K0LTG2kQwYnXU4Mr1vWY9VQm9hnGHn5O5MhVDjN0a5vUJO78kbSy4cLa/jaSK CtRUOyefur3hfPcVTkS3OORkZ2kADucHejVnYHtzs6nhiSiwwwzgKtQ/UBNcJBy+N5aNHuoAwhml KEbD2Xo1EVYGLWGTtE0MTWaPoQUll8eiBoytw8aPdhJ83CdK6jbAcdxgplpYbfMfimUQKr9VXvy7 I650ITkyZ1tZYht+wdB6y3srlCAAqDBBSGIpnU+0WQ6J+ANS53euI/BNEU+nMqjuUyRHq1LMaCym F4roXWH84Tz3dmRhysUJnrXgiiGC+lKx9AFDiOmyFfBMMS/Wx5JpfCp7FCPOxutIos/45cRolcZ7 T2r2A+MVW7Rie6TdQZ6/Nwpb1Jq1mdPklLvQ2VPPgdVczNItZcJiNVMudSAdacqZVa6yPzjWHpTp EE8aA3cPirbcNULGTpxJitM5oFwgl5W3K8lsPFgxVZPH5hOS2jZALLb700LCgxcRcpasxvOBamR2 AX/T+y5Al/wUwyVgu4Sw4WqWeDPAPHNskMQUimJPj2SL6oOPRZUV8gIovEmjuET4OQbrOMwXN5CF VopGyKqCtSXgy9tJRbLkedYeN7Th1+Rl51UPHtGDEnAfa6uVlnKCyx+6XJJ0qbGRb8uav+fvZ41p xTZ6+fTg/foZbethPF6HFNxJRMxB8L09nDTnF6NQZzjMqGhIG3gA+5tl8pqv64RuX+LWXeNhhuce tBQGJUhYHrokFEg7zQc53AKQKEHhKOrRbiIMI/AL+hP7V5hNN+0M09qJyS7oPPXGyLCrrEc6uk4m ENUapn3Q6cctmh2QCTYJUgkQmkBoqXQGBLlIzhQMj9cx62QExfHE1emIHKzGoIqQmdpGXygUqJyX 4gZ224StVTwZ1QB4tDY/yvdWKFr0cLEcx4m5XgZguAraGUinpcR7M/NG7NRDpp+hkFB7lYAbyKN6 xDCzGn9CnkvPjDHU4ytcLxJR1eWZdNrT17Mg8THZyW2zVJESXkt5IXQekYH2YgabcoBrSERQni3e fkezkLjBqu4qlgCNCCEFgQfcfsUNQwt2bsNinS+sVoRdiM7RRAFl4h5iwL/LVKWDTur5pPn8YU+w qizqFHz1RKhCRZqC2sDy1gjyqSSgQ31pWKBCkOz1lMpEX0GlnoWFnDwdo6O9H7uOvX8cX/akGbxs eNnafmd/iQZ16ueZ3apXd9poACbVfsKoe/KxRxIq2jbaKs97n1NA7hnG+QzVGRnPrK6iDVq/9tlh pdsiKuIy5KB5+cX8bGbIYT+uR2DVvNR2H8PFysjzxK3vjomj1ZZURUSE59vB6Cy0Sk38KAK4646t TwP0Lg2zhc78MTQxSSrtxbLvmJQX7/92Fll5Hw77rLiFA24KThCJmKIgctBbYwQu70cOv920JuDR omxfcOuYjZoreG1mY6gTH8FnJXzlnthnbrlTfo3y7qJGD+rM+t7/hDrbDpyZzSrIK+03gDxp72fo OwZcVzHr8HFVauJlRtkOMgx0yLzqG31R6nuDODxOjrvv/VhjCaj3bPiGBEksJSB4NYZDWf5kZeeQ Wd2snWe52lzjIMlHYcJZKObMXY5G5di7x3Ih63EG69SdoDMRVyZHaTP+/jX02f6RXvAxXi/DyKXi v2I+OH+M82kgyP7uooh13gmS3N9mco/jD8lkUxLAqYtCXWncp5biVqyDerha56jqvAVqfxlVFsVa t4EvWS/wh4xhLIME/dS+UgG0btbJWNvo6S+4Kj1beGnGIayyNwz5P9xsuZI91LKOLk9+YCm8qAl4 MMqH/zCWnWHWnM+pWV6x0nkrL5POeoPIqLrFCnsYxXXkuhTSZDPOv/nbvDx6Np1rpk9WBT0aMDdR IX2UvMuTK0vWPDAh6JvEJddMNMF/cqK9ebPKbuoxcJBbp2nHSmviGG9Uz2y692zWuzFnZY4yUYbM 75+kNClVkVgm3cdGtaB3YXXdRgMC+DLUuSPtPh5E+PQImWM+9poLoqpThxmvYJr16p53KVH5tr+Q TVP/4oZ/TPtMUpFRUFrDfBdN3V/ZmlTw4W/t9zGq/i8MdlUT4c5vSIyq7A2NA08+7WLnR2KJqNKH Y/w/+5sLy9vaczzn+t6QhLE7sgDfrdUroAGB7L87le0/3GoSsA4adgwfUQnwUfuIlsBBydM7HnZz EG9OQNFsVsm29VwTrPDE9yZiKb7UFzm02kzQxjPhmZLb2J0OMHilEnADL63B2Z6pcNtodpNByD2Z EZdsVwVSlaWA98CdNKdC7YuuC8MVxFUJCw6U3KBZtMPyLTbOADS2kD7vXUc9ngP2j3AsSW0rcd6u W7Sr0pQnGnwt4fUmPFJMPLZX7kWikWME0a/GZUVKiVPndoRysiVGu+n3KL61UjLAgIY50+pkFPwu Llz+qcpNoDk8ZIqrXu9n9HJ5IrwGC2CFb3J9re18x2etMdkBlaND6/Qd2fZu3wmzUc0x2ZFeuLgq fsd0aNCYAIdvAWwYLoizCtX9U1/rbz112UU/uG2O1wLhoH2d6LdiHZrC+r0w9vvUW7D3BQFjOoGX I6TmaVeD+DwlhGL14bsD+xneJKrEhGUsMxHz0oFpT24mJnNHLMbh9fDyfoNQRsnRMVEpGKd6WMUN wD+PdIgEgDoPZ62m3NsGvHdhXv+1GRkBOXi2a8Hs+IYpSMZXZQHY0WrqIyQdCJEmuJ3DiXKcf4ub 33vTEV8ffQiofYoSfsJppg3ZJYzn07WJGIo81jLmPdJxP4XJuXQTFKC+alSuNch45YuU2f6JoSvV zd3BJH22QjDYoD3L5Mmgku6vT00bRGJUXet3sNbbzeUnm1lGvMKxr8uJsrtrq8s9yAVkS4zpO9DF 2tXPBlvEcP1rZCy/GxnHHlaFNRLYEBmFyG07c4n0oQ+o19eXUkzeEgDdHfhnWvRUBHbdUfXnaxGF 8H0WrrI9v+fBqvIZU2LJCFNW4OkiV1ISAU4Q/fj+4YjpUKoYbiLFT3XZqRKs4RQpW5Hi7acjD7+4 O64X02kdnOqiSBIATTRcWElbmd14ju66r7gegWAzGukLjZv6DI8cMEjGDhpOby/NfW/YxXZNOfOp 22fpD7QCLDu3KV/Su7Z87WIe9TK2MuwAR9gcqzF6uAH7q4/xdy/F/EJgNB+pnKXBY+R2caKXLD/+ jcLqwxPhugq/eb21izsGHX7+eEO0RUndnm6yFYi0ls4cxX7oKkAaXiqPAiW5kI74wRn5eLsshLBx 1qf9/WAgP3/z+fBTDuEooCcet1MLWEwzYMQYPC/Pyx49TqbjF/ocy2zXyUCER1G14yWq/7t7CWn6 iJ7ebjKG+NUKOI0BURDvK55n8beU2pWQslN/KYgH0lKM//4cRvUwzzIfQeOIWnpOarPYaX4iDyGv a12u8VyD6vbvW9sjH8Nt9CDKEW+zDu4iGcVHQQir1qdmG9aBu5gPnQXvJED1V/Ft+tj5ESCtUcJN 7d0LzjekSx6vkDMVm+HrGDMjtN/02uEe3iWBuogSAP+lMpn4aK7obEw1yWfulz1l5/JNlMKbFyYl x4scoMDGLmB86CJHn2pTrNCs20zL2hpRKBIAHMLe07zI0DfE4gHr+FS4xj8bGozSluzLvE1RjNr9 69nUBEwVQhavw6n4J3CGAAh2De2g5hBWFJ4atTIsD3A5shXreOmv1Vc8y5kV19/GUnqePqZZmAJ4 PrATDPEQdJAZxZ1SIud/YgRkLAVdBTk8pm3EuE14ifs9dGYnPmBvllhUkaCFVLIzALZDbV4/sib3 pi0I1BJ/eQ54+SHLkYIcJHaUoBYX8mW8cULvb7mESoiciMLffOrYyngWg2stbie2ooE4yJOtxQgo q0xH4jXVYyAbzH5FaKn9sSiJ1+yiHeRt2E86FeuC1PMKS4zDIKexvicvOVvL5FEPtrToVvYSvnvF FS8ivUxXUL/r9AWGiAedOx1U0nA6lU6cTc7spOvYFWXR5H+hysD4GwYq2TszZpt9tVBrdog6PH46 qvVzLGB8i5DGgKRew0cNsJ+/c7U6E73GmDKXtTQFfBEbopV+wgGpt6eQLYnOjglsZ9qIJiVW7osb 8gvfiP7Iiihx7UU6DQCzqKajn9eXJHgSS2/sYWkHmE1QuS5Z4jQvPLDYvzN67vnNeXDbtHlHL9KK qEpHh3qn7+aKytnt8zhbdwU2y+UpK/keQ2EhI7rtlGvh4Y4dqvEg4FBlppMT5kMgsP97DuJCGw4O 6WMY0sXwRWseLsLYhwNYG1GNb70AMOOeJ7lwV0TPHF3rITH0y4ukEtLVD/78yzvedWjtwPTmRQ9M YNv9rnng58WFpVODx+WkdcIe0zjwfXz5EuVDZlE3Upncwpk/a8XkOP+3tFlkQbJ99AsLL19rAhOu 2TSqgXbdPmHFxgmLoWs0PNG+O8KPKJvET6A7aloI487thN/vjUgn8wk+XDUrh401FAVPDtqut09a pGxzyjanl+RJV5BFjRbPVBB8I5lrWZHkBuI6dLQzwTO6l6LVNDZILtUYDbN9YoGQ3+I0Le+TWrm9 2lnuCWQOMD0ZNHBBezju7Xhtas7DgaWGNigC3CogENANvzklxnVSK0WDfm6Mw1QLlDSwcsxHY+5G zH8dd1P9uEoQi9USUQ0eYqgSvlON/j57KipBJ2cVIbVo7dx2tlHexFEHM4KpLmA+4dPoXBneo4hZ QGeTym0bwgPgjRAKwmF7HQCq0NXyfRTwU3GJfN+y0utc4Mh4Pcvz/cTFZEDfTKHLt7f5YW/IqqRH SaPIO9nrHEUbT/keNJGI1VEbMjsJUlu1NZ+0KlMVdxCX0rLBXrnFH79wI/7PcOYVREEEgRdSXTTg BdzI3yZ2JgK4oVUOprYHWW5b+7IgkR8kecbi5uq98tEP73QyySJ6n1fO6hOWnpm74mviqI6SlNnC 6APVp9YqXZM34pmdKQbqcEF92LKffcMbLDuFMSyWy7fk8ZZv71CJSUweuVbp0tWc5Kc+75F7IZMg n2c8TavhqZH+7bZ2CRzP12yiLwzBFOqH6ccVukrchacIwURxlxPF+qFYXYSp6UMHjUwCKXr462Xj RzCm6yFPKUBtxAcjyWwlD/TxUZR4zSvbPNCSKoyC7KSXL3YJk9saOwIqSxFxva+uUtdHw7ikWnp/ LHMPRKV4RHJ27oAHyJl6bZDX95FGgJMwDQHVpZG2U9JVkRbdkOxpOI1g05hfVdb5l7FfCWc++auf VeORuVD/yTDvm6equjH5/QBBIN4M8ZbBLLxQQbCITEQxRogs5i/MPR/lD/tsWnZDpdj6hdeqOaLx GiXlpsFol+Efc8pRzPm8nDFTPP7wMvfScdPJ3m0t5GGe4kfdRY5Knxxbn6S7FFwtRGgd5o+s6B53 iwTdmjRJwe9JfQ6fciMQ2PmSExj5t0krOoAjd5wtXNH6KKBKIN5nHsEGeFSwcN2vqtDHaCXsnN+V faQTk+K+mhGhpOI2x/KERfB/nO5AWIbcAwUGGfGlTkpcudkyJPkFv0coMIkU6RjwCjoL31d0e66s cNcgUJnWVM+SXbLXYLOOzh2KNPZdwGzodjlZf0/pmj59b5oHqpH4VzwGMDBOFwnp8skBHSLyg3xl nex0zGYWBEFYqC6476bS1T5MnHwd6fxjS/LH7X1H+ejFKo0dyMi7eWP+nsAIQu0HGxo6TrcfwssQ bLvnMxhBVGVIPAn6tlG8r23sw87uMwyU4Ed+oidPRCZAqM3IwkmoVn0zwKaT+p/V9m6BjtUzzVdw UEd4Irx/qobQZtUF0SKdQti1zTNyk58HA6G3jMZUNiKHK8KGI0pHabbSdfrzGbz2igYY7L2NuG1/ orpumFYBJfMwm/Jy892mpQmdFwPEywi36c9o6bvR/98xxyDSLoCNMigq+F1jsg9yZbXUoyaLSO2N Jti+aX2GBLjpTulhEgE4twJFca0DOmjF5q7nT2Xt41TGIC3mncoKoDEC+K6JKWTdFEwm9paCOTj6 0N/xRvOsH6ttfXrZxJGrLF6+Jl13l9yAY12bxchKKcXscYVEeyv+h3v3NRe/0RbhvqWn/b/hLT/G uwdy1AWyjjvC0hinGY6REBqZvvDEFMA8DhpizeHxtaXRbUOJCkKQLO1o8QJvpC0EgpuckiXh1Lmd UlL5Nb7mNLFj6B+4hkzqYWKorq8f7Jp2YLbpN67UElygq+F5LgAfLmw4z44DUwzwdSKTxA+dpTJj haawEwxgkpdS33oGEnhs7e0WrPXLyXrMZ90A/Y1bJOs+3Ux1NaSCbtlxDFMUKtB/bJmfMR4UsLcF 0Ja5E24gdQp2uZgyTt/zElph9rKMKQDI6OQifpj19bnkZ/3TmCBuqjTpVX4xRxjAUWYpnyOWq/YV sj71Xc2vZde1+YdrTQ8OiwB7041Eyet5Q1qBYdfWlViEMEbU51HCSkBfUmxa9k1nV4WxaIexqX4W ok0hYK1ppta9T2bpOhmEhg/7i6nhTUpzEML7MidmW0vlMEp56wcdLicOtX6b3kb/niaX4i/q6knI 96IxB98QrNtpqKLz9W80Lx3xG/GvvzJEeT8FUBO7Gxy6yc+GjvPJQRNur8ldmoOE47x6ypTxpcX8 r7gLs30LBVhYMkfo2biVvPC0KKt6sGKyQbuyV08Eh+RMhqs2yWO+sQNWfmK1Rxk9MHs4jj4Mwa6x 7wvbaLZsCiaQ3rXY4VJkybk7Y7rWO0iSATfAyYQReYqbGxQqsDlIswmB9SHTn7tNzFrVRLQ4ksV4 yo+w7CR41ETnuk4EEkEU0945k78nx2x3CBLuLMig/JOYEh6IfIqe1CFwsFPY62Y+o3rpYsc8/o6I 7AvA3pn/IdMlqrFPlm8Jjii4W7vwPIuBjabgAvS4uZ3eTxBd50Orr47jju3WjiXId73K0vtjCqQn gqSOiT6QwxQopGi2o1UO1/i36CWIAExhhv86CTtZAFs6MLJ+jbfjGBfSxfzQXAn1kGc/U4I/GvRe mbZkCjytdIzpxWnBuzmC9j2OX3YAQY2ob+iUxQeLMv9w0BbR/puIImO4p2sDFp8nKc+7Y39apFTc MBKP4iUO0ssR0kNKrHaDrEKhZy+tmKR/eOE+fX47SOz3xPvUTqb5b35Y5UiOX6SjkHFpCvIrqhOA D93lNlDKHqNviBTvjSP7ynUJc8Ks+uSZLag97whwk0AQB0TsYY8U+qVU7y/T4Iq9JintxQLsXBVQ O5LnYJXtAV0cOfRMGTZ9CXNkz1VP3yYTY82qztZLkw2dAg5W/lV5tRNEcS8wa4K0LuJgimqzqiVv sSfxT6laBBQuz6/7Ebh9Ck0q2+KxNKaa9CLtj+DSCitqDqeMe6/UpvvKcjihSbnp0nKBYQ3D/5yD zXbdFr8rEqV5/k2TYZ/WJ70kW9HwqohSfrLVcPcboWmhjzm7XV0+7LIgTLAt58vijabGgO41YeNF 9whmCnKyHOP3g7cIKyoa3AnjZDIzoWG6jvoZTdIPvDUeaiKv638MkQ5qaJDTb6HA7l6q4y2co5zt u25iNtVv451AK6oQmQucX+wAMf+NPdSW6kzHLkzlKWyUetfBNwhaZwBoSRKzWh+38MJUo7juLCRB Exh9OUrHL1HaK76w9AlHpLSHvGpJkl4r9eCtKDwz/oVQ+ezAkMLvsbhIu4aC4HDn/XtN3uQEi0aF gDUcN1GfQDsSmy+r9viqQnvSWYX2dKHBRV9Lw0ErIvEbaS1IsvFhui6kQv1WVOPil5qMya09UWDo C7MamZqaEWhGzrC4b24sxxvX8OhH+nLO2a7SGu3a0c1G1unzNp7WdSCqROVX0KudVMemBJwX/sEy X8b/VIlo8jNH0fj+QM61VFrglS2IJYLp3vzxtgCThXVGIZtbnTR+33ffOE0abyBlVhoh1ab1XXLT CMbLxcwaKIlYrVSfeCZ4dm/wr3AvvX185eEOPPB1nnlqHDdsf1JM6sMJEaPdX1QtU1viZpdtiPmC KUmGVmxmOTy/AG7kPANgv2laYQwfry38fkWaD9OaQJvz5qEs6+EvU4v4om057nX5yN5rsmWtRtPT bGt3eD1MWXsNXyEqI1V+YywXD1Q8rv3lvZy1JSK5u6nAZ11AYZgNrO01WsBdYtQOwCHmlhD0s2b5 1edr+j6rmMx87HjkBfUr9shF0fgeWmhSq7xGhCKtgkpcYUxV9olQu8lt525PFpbWmH5yfOAs/Czi CJJe8V7hHc5mz47maSUdY1AjO90urcS6s8X4iUf5EzDLEh4mBZYZkM0sM8Y0ZycwtqBevP6xKJ+l gbl8cx2FMNnNlbqhenBEL7fqiX10YTm0e4NWl2ulBKin5SAzsleiOifQ82PEGonSAsdiNaRc/IMK +80mUAIZSNY2hFWEFfjLEwEvXGGKGZbYJ6k8qHyyaU70mw64FUXc+vcNttF/MCJrZmJSXtRKDvjW 9nJq43NS568+ADznoQkXELuutIfs+naUFfaZ2MA7YIak/tYmHJoI75mnOTokkL3Ntzo9oO8xunSA iUdQlvKENKnPjyx6Y1WBg3Hra50ak6Vj7YfqESTe2Un2iPIq3S7/SFWXVnsC0ygaqmB29gA7BmJr PSV8C7IX3Feym5dt6Oo04w/sG6SVGzelgBCcqHVcpkjTs5LTxcyevIYXv78PfUhEUySTo0mU/zqD 7R4iffN0SPXlX8VVjs3mFLEGbsc4FPcQXq2NM6zL7q0RGnfETX1FwDTQ1nrbX/8FC1HJrWDQnxn0 aKFLxFzDBnC2Go7Zs+91S2eI5xAbmtGHLAOej+CAKm+Kd7gfLTdywsAN0L8svqUCjbyWIwLJZK1a qUjpqYixlsXJ2ZLkZV5a9mIAFfI+DPm8puFKaRJnALpVcIm8LxnMFTf7RKA9yxEmqIVi6Nxxf9ZZ 4CZyTjTk23Dcwvb91jNerzpQHLxhMFY+UQ4CFlPeeE8O2f2SvRBrfagJ6BcpDGAblP4QAneKftTC ox2+Tlk73ZT2bZ9ulPajAqgTv9UB5l8I7xnRzHJh0KWcqriNVZhKAGh536eCuKn9hJJDBzXVx5f4 aabG0/YTC6ylXQfD1w6rQ2QL0ikN5Up6QOVZP0F6+vv36wuc+Y3CkdPDdloEYoNc7jq529Bm+BA6 m8HyKL+H26izrwRfoeKP5QVlz7JR+dGFdoM8JOoBB0x8WDrjAjmUItmnmMlVaR+Ls0OWtRCJEGCa JIe7ZaFSnuBH9cVsC5E3Js81J00FTjc84pGCwLidZ7MbxJjP1cPh81zcVndkRAoFWYgGRdgrBRXq Eji43/oKHp5hin0PEsDgVxe4aec/3BPH954ceFiNrrSL9ABN5uKkyQrgBu47v5Kl4FG86AfHDeM2 06FH83zapipxSqGxr2rHiIY8JNTPfiW3WVlWKjW3Wh3+gLY3JJUsY8NXBjUZNdNAotbetWZEtyVF OWjIfHFSMpoJCH4zAU37bzvglznPqz73tKLiahCCRrgIlUAo7Z4Vst2pFYfxyHQR3NgYbTN58qOd 5SEtVTKrcDqI7ppQP0eUxd91xJouNW8Fr87Ng5bSzDTN7Z+PScv1Vy6HZPRkffjBiuYs0C3vWfWB K8IvZlXBghItz/0j1RsS6mkIIFVoNFE5mGrIBwK9EeLCAGGT8hKSfP7aXa5LYP6HcmMmacTJSWsp 3yCezihRWdwb7Apbx+S/jBrtKsLnky0oVJ//csS1OTeCLhiCvFYBoyy1Q+AO+eAA1DrIlfEzZAyG hQMr8FqWzsNDCmoMRD8vy1UwvsIc7BVl07wehG9SrCnhzdDVmRr2uKZ7+F9g6+ibsKcG5lebL7YN kunYUb4+dBizgbHK4CD0SiT6KX5vHr1VKoHj8C2vUXYxnK4NR32DMFwXDpCWmcx5LCLIT7xQWh/B ipio3nbnh1Kp0qYql4mSN9/zsE1YgjyanKmt3ff57mMRmm+mOiNoDOBxBWRcjA3iKHYDV/rDH/NR GpVjzsdYUbmCd9/fluAF2vmA5lGbSb0qxhK/uMFC2MNSDZp1QVjp/HiDoTkF135g4gLIpLavV4Sj AZ/bONldmTyXwXSRPtNKqKUd3mYNY5bpyxyADm3DddG4rXAQWaaQiBlZJUP7Q2LsciVtiI1AX4AR N0JD796Gox6ECRFqlFSCOJw6qfr/1BC/udOz1hiTmOYXH60LdD9p+6XGw00ANWbtOfEoVUd7CYl3 kwoX8x8LQ3DeWw5bSlKPEGhX7RyEtZY0+HWyxsBeRAv0DE0D5Fzjq2a1+Q/DlL4MbfQfuHDOXfLq 2zyp1KpEqMDk7tE7o0W8Pohi+ZoPf4218daJZ3/yDGa741r/CDxUCZPVBqlQsjZkBCWm/T7IHPOp aOfCXrxubnWXzm4PQMnYFbeDkYiooXwLx7M3+Zqy3N4I0ZiqfumFj5m03pkn4JsXPvF/5UtdoPsT qOihyFYfGJSvGrtsIHiFtXxoHrenfqD81o+K5WWU3wzHgeHt5iFsM4FyXnkeoh49Zn3Nk4GDZ6P7 U6Qa7hObPdAsKu8DyBS6J5cCxyZZ4KYM2ogZfgVJ9JcA/7dLfGUMmphYBreGJt2k/vIYgwW2idiA TE5DO+WRCQRBqusnN80cIqfcBNv+g+ytAs9CiIGltBgYV4pwu/EVh+NRpTBOH+tk2/nLSdc/t5wA s1M1V5a3d9wdvhjNyJ8neVa9fdM0AS2HMyuqULbGs1s3iDnPDIgtbLh7Yg8iur8iyx7WdWcnyev4 XLD4qBhmjpoCo6QdIKk8g5wM0SLkX3gsU/tL+ht+R+iM1tOtMtJH5HkstEKqCof6e0/PVmKzW9Ly MxfACoIj0Fwr8jIgzkTdrLYM8BQ4sFn4uiBEUtQg/YPOS87k1y8+Q7lSGDuA3TtYZS+GI617f/Nw V9tPqSyUc5Pz5jetv2OCnMH9UI6f8ZHR6rjYUq1Mkp6QhjMzSVBUiqnQ7wvnzaPRCieWRSzkKl35 UwhaCwufkISKfHweY3dAcfK3RKUg5vgNe7SktSdIflKh0YYKkJcdTApkHkcbce09UiCL3s6QKJlp 580BWUfELpGoG2XHH1Xfkx5xN+74NtOkbowXHJ9TB7p0xVCqdsYXNgKRiswZIWPpwYH8LzUj478T x1TfsY7HfIu/lwQOxaUtwbg7KimM8LTECNTHmr4oF0H5K5J0VEg/+d1ydJa3BtF8oBHv3PiGfm/9 HawEa53WQ7w3JHfY6TY9WyOAgJnlaak73zOG+GXolsJ6YA/ypJzlv3M/LMzi5ACdXZSsRP81Q9Dm esMNHYoo03q6etEJUt0+RvPVpyyfh8D9c9dAG8TdQU6jbUIb+4XzhPDNN5XwQe/1VVhqZcNOIBQe wZw7Cxi4FiBcYJ8DpAR37zVEYQ7xKJDWuI+/B3re59hJKtDvL6JTICWVGdgCTec2x1ZD1SQhQvNM xwsQMeGPEdhgLpKt2UCD/Gcu1AzR3yaZLGAnuE7WuBL/M6r54OYNYZmXG9NVX0COp7JDXRplNYW2 yYOsZ+UP7+0S7uTNF3iRShvgM7rJ09V6RKItKT3tYXwfqcnpJsW9332hbIOnGFUhMVSBSJSxqKP5 +hRcH3R+XHmBvZnr8y9gj1CBd8Y6XCw7dOEJvrYIVQoqiS9TbqdelH/U4q2Z6Af2xO0fqcUY17UL b5ZAU1qmxxUlP5kWn7OJDonuofamU/24cPEOMNO41EVB+j13j4t7BYo/WPMdOkiQIuro8j53Q9Ua phFoOCDLgV5Yw3fWFhsFMyFOeW9MZ2FXgTUZwIJy6tDsFB+oWuzKzDX75IudYf3F35KLEDkvYeHx Hp5MA2rS97QPlcTz9MqQQ8pmoQ4VfoWnvwiLKO9NaGLTihZJ9ad95rofJXPdcfVFmfVHryM1lOL+ Uti3EBcpzUJwLcco8IV/z5L7j1yNfR2x/r4Ep06rZKqAI9QyRAjl8PliOSspp4470hAZxewZ4FGX iE+PAPQzxly5gddgbqPMLr+X50JJ8GIC9ildKAOcdXN3TmDZZWyhZ9UWVGGOmcTD1IlpjpZNKXXc 4K77aqkK4iSBzhK/MC6rDmzrsk1PUWZvICSFBoe0A2TNvbUG0ITSLkcIJUQNNeyRoNjf/EiK1J/n +zhfqDko0S/GAB3dZgglD2bwvHDkz4sr1++CIv5dib/PsW5MgfiYzCWSdHmHSzntVhsRO3hH6Rd/ ZlXGAUge14nhKvKMUPjiRBq3NpPa+nU54rSJM5C0GNHIqELolaVtThYeVRL6LaQzTMc0n1ByUAUK qdZIHACHB96kTq+dkcei25KcQS9tRqjlU9dvVFNnOPj0OQRPZwC5iyb1avO0IUZJdZ2fRCez1pVY r9oSaI/0fwpGJL0mPEl+KVxg5qw9KyMH9GdgPwRAfY5YE/A5HkhNT7mZj/oYS6fUTYMadZXRJsJa /k+5nOyixbZOb3MVaaz9zFoqoDpzYuT+lUoqN1G0X9SYuyU+LK0L9L6yvnAcXdoDQMn4Mv9+8RtZ jnxUdaaXQrRhEP6Tmos6yxcKV7Ez6X50pfLKGCAeGk47/yu0q2/LtXRm6RTMlQfwTWJTENLl5KRu ihdjqfd+FiwSaihR7WzVke5sk57thhNfakwi62ZdB+ycRue7XI6maqy1V660MP6iCRcrQyCmUeyd S4uw90WlXf5z+Yv+TmJ4eH79k1gbNlLfn95gMq9Rl2HVMUA5jBq57PNANpoB9rj7700m3sz42Y9s ZO/pQTRE/s6oNkOW4Kn9+IHmIvlQOvCfHXGhRVIHisjibDcOgeIheKa9rfOS/eaCbKSIwOsNrxnw DZOHyCZscim37eo+m7CSbg75ggUHkkWBEGzqx6eMa6JpI8ploiEKKgovoqF56/qzCT1HS10hWqg8 P0b6ydKNeViW1MdrrVaoAyEdz+K4D4tKk0B8lFHpJZZVyu7s+/9ad//U4lqTBelRAz/ZEwb96NGr g8WyrcnPPfNZipxVrLAEC2PzyekuCsXZahaWVQumtmgTtC7j2Ol+JwcQqrV9QbPiRKyvZpr/bBJu BCnCaVBZFlIITxUO45zIaLkeiOFEc9v4vt6OSOHrxc5poO7f0uyMPeHU14B4S6jH6P+xMLGoI1jk r8LYT/EljecB4Mz00xRR5Gxwv//rOf7popYxDmauJHJgj8APdTlxBVAzx3Z5xIFMhl5HgmwpMQRe 2LbsR/0AgfWINoN8pKuFHItLykbJPdWtzOM7crf7qIIp2RhGFhJUXU2JL1qHyrJdJCSXB2FmCru0 RqspidGYq/o8MS8X7+L91gFSPCzEol7uA8B265sjaZM8+xJ+pwtRaK1JmWeTjPZi6qsbOtBhgiIz QhIsanqtChGLewQyTSz1ILy2D/6dDflLU3glTKyDxdx0WpWD/sh9Pw8aVlZjMqLWwQuq1yREigQK 2yzT8NZ/FhfVpU+9IDW4ZreeKWJfRgNTYJygy3tAWJclcEvhb76wDzZPjYAPg0xYDRewP+Jn57Pw OxgTSJZRnomyWjDsLoWr5ZM6/a0sDcoqLmwnFArUq8eSCsmQybq+M5mKW2hlWp1S+6vRsp9NroPO pYX7NY93GhUWmB1E2TuPASFQFmSqiJ8xgy8HRB5K6rhB1pb/jHe+V1hqevx1IfuslT7Tn6f/sHCZ pyYhAMQ/ODgoyDfGP/Ab5GsTzRAaQJD4m9VvuZ95YQuZT7pplKqde8grrMmvNkVcrBGEhhBnC5GF i2Ebkt6X7jhweseTR1ur4DfSAdMfTzVSJ5rEEMFwlkLGfq5Zl7/SLM7/fgDH3NnRI+82yMJTO8iF a4Q7RpLEeBAc5Mw0exyaLSr2kJFXf+/llOH3iNPe20XgUm42i0zNjFirZCHq6IzDuahJoj4wZ33D ccAz7hXGXQ6h3zvP5zV3ydX81rW3wlXgx9PlOmmOYb+UdfRFgcPUm0ZP8dWO/zRk2wyQq9eIGUcE 2/ccdHBkOg5NR3mxWNjB6+lnQUdD5eQh7nVEklJ1UvD72JmOcEvtAE4avKwRpBVOratkRWmWaOIU tHIDOS1Wpx2y/dmp+ERLk2UK77n1tbg7K7stQJha/cDSy33cm4VED+SlZBkTxPmWF0FtXFezVIVk Iap+hN8imUsGWhEDROMSyKOVnVVedhXv5AW66y4coZjDPfKbbWgA7Sz+FHX99Z0qn68Tz3M/3nF4 8fU0b1ZZ2fH/2ZR89l2kfbM20Me+4AFC8kZabBnvpsACumFdPNjOADB3ZNTyMNcSnxSDw7UhpAkV f6bruOqOJgRymaAYAir5VYrJkHSprUCkIIyzL2anL37ZuTSmFOJZ01CNJH3ZQ1lXIvEikdFp5RVt 7+ofWhyYx/4ivt89wOO41Gtpicxm9Sjqla+aP8fqU2QuOml89xi1zJQcF6OoshtR+BVPJvlqarq7 doe/wJEdbQMjghCyArxXogeAfodpvbUzsvVvdIPU9+erdC5/s6h5xrAnYkE2Hoycu2aog67ZSAe/ 9Sn+FE1ZTH6eAGkp+RJfKUijr/PHH27Xo7+BPWxM/89eE+n3vNqGkwbOb86E4UUY2jsl5N69Bdqh iltrPJHl7p4oYax2Nso1Hss9VZmJSXZ1ExgSruI5Bv027xrhTK+POzvvugKeELqz6a8WyJS39m6T nYYxP8cSNHfay3EJs7Jx2TD0/RhFc1E+nVa9CONQzuMGQAXEa7WP5Vaj3ZI2ic4nOLweoHPgZbCb UHUppO/NcmflkMmET6sb6LBwz7hi2DalV+sYGEGHBc/lVvejiv2903kvYaPLs9zol2IuyuG7cTZ6 UsmjCIksyMH7hPtsQ3urUxmP+/g80xn5ENhk0AisEj3m9EBSrc67or6Bv3z0QN5CkyGg5S8x77Hs ktbpGY+EpOyA64xH/bWbesC/eJuN4k3Ijh7tt0/2e+hKtfadIbK7pyn0sJgDYTgOxGAlizXO24ue G9zqicu10wtoELgM8P0uc9f4iPjd0wPbllfSBG7o+7Z/ttVzuWwM7h0XBbYENss+QCp49x7ISohl dXgJeK582PskTrbRGa73pkG0N81o09RkN+8t0tK4qlitF58rvbd9eqcdq06FushCQsLRlFVWzOWv lZqA43QxjXOyXoj+DF33JKjnWqQ5VC5kDiPN1p/MvZ6oFK64ToQ7EeuGqAgKAhqkuRwwbx9tM0gs vTr4AJdV124elmVqsFF7qWYNu2Q4VaMWxmFNFmgLwr6C/8TPckvbs6qEz7ChLYjyyTj2jUEXQsZ7 afMJrk6mjuOKYQ2NvRb0NPb3FZKHAk2N6oqVvMH6JqjIFuEuLitxvmaCWx3mcP2oWqrExqF9MP3P kZNIeitVv4X5VO0dW+tMAtMM41v6djYT/7cJo+L3gcnt9cRIUuv1SXE4Yh/2FhBV5Eqo+/CHmh1o cROJC8PM0p38daSeZEXgqz3mWzyQ8IiUkGSXRwMEBz5CgUagzEdKxSwZeP6P4YWvI/kcib9uzLVs 11+IGw1THSjzvXkzyd1aXSOIvdoNH9pzt3yzbE6wrvLnjFtQzrXZizIeBbyeo29Mht0gjgeyACuh XsQuWsxQ4KQInzvNZ23aZmY1SAr3P1OzlnckM1P3VViO/UNEIYztCzMX9zExd+1/wT/cs9SQd0mR ErkEO9ZI7nuHERT7vGCyAzWQSXW6Dkmxz9SPi45Y9sW5J1toBy8+O65iqIGGK9Ebzp5m394QGhSC 7AxDVRcPIkTCr+BJr4p56PISAcjkFM9LH4/aZ5vy4rDcP8lCuwcTfCrYUrnIuH4mooAF7pVlsoLF Sasd0q/CCRSYLAlrW9yOgUqrlg+rLJkXdK09OGETBXduCfFi3dkI8hs/WlNUfzYcHeeXeZwQ8YAy PAwnFiLBqzkWlGp6vsVn+6wo71fq+4g+21rKZagpLD9WsZzWwwMAjwNHiDf4Cimfqsnva7xFsuku 1yDkmpOiLfXhAmtJMlUtaeCOnSZSzuLv5cS87peGSx5AWhh6d8LizU9rDdKbFX1dHWPFJ7qFq69R yUTCXZA4VRlgxopsQfjPB4XjTncG6TGgzChIFUaKpz7ZftKdsWp888iqxEQ6lsfpVeHmDwQ4XOJQ DNwLoDGf20jMgGCXIrv+newpMaUTNJ2DyEAgOtWI9Ye4ltUH/tq0BJegARmjCoYrvUhnzmYhwFXe +rw5+cRqkVDCBZeq59SY3aTxxJ+j7PIIFt+w/ynyrbiQNUqc13FcaiKhgx1Od6OKrpmMhXw4UuMY qMFlKR1ifJwsnIyMbBn5uQob2EsTyqBPORCAssflkQRZYgkFb57ANv34DM5QY35u+JMHCUw7nicd Lx3IXTNewIMjLarMqG+F00EGgUbQ+ya2zvU3qKSUsucyFYJF4a7OXEH0fJR/dBeyL8ETTdmk+kWK ZQjzXHk150QEonez+pSNa5ajDcC/fahJxWrEjm9sMGLAeYlHGca/IhZwZD+E0twSMtyggnGaPr7+ vBNoz8U19UeafD8hK6X4meS7CNP2M/l/29Goa/z4nRQ6zsZrxCodaU3km6LwanO0iDRiwL0jzZBC TFaa+S5/ap0bsjKCjKMqLYenZcleV8uEZzDSekDjWPPyWs56rRFSpxZkW7a0cCCdLkkUaxN1QUr7 z9JC7YNlAbqVbKTmYFdJVSS4ziCG26cuiacDjTezCuEHU+2xilsIkl8hd2q1xJfNdQlf3TgpLceo AllhCBRJGX1Fuu9x8luchewlYsqFLDBB9wleTiBvfQxLmDrROmShS04bWM0gu3sfbNcrbuw8aDc2 g5hGumvF4zIkIM3xc/a3HYy/smT3/kF3VoUQV5aa/r9x+32E7/YqdCd4qJH4xTFathsH/N4ZB0Cu muRbKMV5fgl4zoq0XR2OsHnGscUA+zbwIHsIkdQVvA6QYE+jrFtYVRTkSxeiYogjfW/PZg5sgG2m eaNRWxEsd7v+iXF20b4IVyFy8T8e0a6YXTtI19kpCv3JIkF73MuBmKZY3TwIFOJ63cOndmfFjx6/ ywKLdjjekoCEWEf8GLDaMWv4lmDXgvaHy21YsqGD99YL1CwIJZsacFh3xLwW/Cwvu5pB/cdFg8dP bh4TI0hRBYjNVipPXm887Ck4eo9qPOVHCUHLNMRavts6dCDtLVqfrG9bkfrxfOiF0tHhlHMkau+n aEIeCc7gNpawsT1S8ib/KhdeJ96YgIkTgCV0W9arADBpK56uRo8ktKkYD/6DPcLrdhBDyvtIFzh2 tkPkKNBt/b8OrpZD3Mt8waZonSk1KFbDCSPA9Xqn1Dl8DNxhYuFhqbW/GrdkpTjZmPs4xGsIdnGw Jw3ArFDM/U40XmbM77T3imydoSnQfCrStDu28bv3BUfg7Lz2JsIvTdC1/4Mdf0c+MJ+1Iypg4VOy bvzoB9e5sgnUzo8F52XxXq2aGTDEVU98ErWW1POWADQs6niYoAGjCi9ySxblLu8RUIUtsBEJTfV2 Y4gKUQ4gFWLsCqkCP6HppVPRMTpmft/kL5K/LFZIV1TPKrVlgt1UxPdeFTXXKCg908fzgBQFDqPo tCvcKKNzl7IEXJvk9BlVo6JeNezGXqdh1S1O4tdiucRa5RRvS+E7x+GJB77PcJV7pBdRKovR0Ftt 3GQ7O5qwRqPAPwo6k/Qw/gSHwg3GsUKinNbQgRiPuTGyWS1gJduVgD/L3DZ7gVkPNxFvka6JUtFR KH60+5Wv/SMxTspHHz+Arzwz7ZZCPhtfQn/JAD0Ic1mVCrh4JOv2Bs2jmT0/mIVSfOFFmO6cg3j9 qRGjt7XQ0q6LBYtyvB7WebpliF46QNMb5mfHmw+uoCXEKhkSmjLuBiFXxoTgpgdMISBHlbW3DRlX Q5N9JBBHrWCbeu55gpAt7NyM9EQ6tmAX2cZBu4LduasP+coQVBd3ZdkbLXPFsKciZUoqUdfyoy62 ooICb1oXCrxh0ekI0dW6LEzLtat9c0vGyHUPsNQ+clGudk3oEKFgo8dazaFMgI4XST6+Pb4WbUBo T9hrzY65MTRXshd9FbBJ1sjbk+6Z8T0FBSZI0fC4rZ3SSfldc79badgs6cSHMPbSTbPDXnWUpndt kM1GfFIcjJyKU8ukdKRVtyizi5M2ILD1HKumcq79VI1FvkvvMxcuSUPlOeRobPeh8ritYMrjGEAC 46qC9Lp8dqPjqyneW5VG3pd7e8LKp+MycIczWjnOr2AvipJ6jlT5Fi2WwC0lqmLLNCZ0LGR+kyqK mmOWOdXlWZe3Z7slnHVFq+3qN0+bMFbrXzkc/pjfw04uSjO0VQ7WLK/bkkMDjAjbQyTFFGOK6RH2 OtL+4A4OntZc1zQV9uExlDBjwcP+J/hIQc7pOKp1WEaQIU6E+fu/snElNWlwyPE4cYm0JKCqr//2 kDxmNwnHVHHrbNEwfLX3cQwEAXgrCTakaylMsUa93rzN/UVnLSPbNDYuZFitDczK5UdHFs47fGcG luI26P+3ayWv3pl6/ZBg65XBKgHqRNuglkMV/lzXuyhWFKhUdW3x7b4hwBZbM9zSq/GTt+WjVny0 MYGSAI+fLsTMH4WlMfTzP/jawXD6TJliy5sFoxz09X3+XXP52pQ8MUkzEZhixoywJ3IXVdBCdqVX z+1np2LhMxtxkNK4lQIUrjv20lKmQKi+mgEUU1EvW2o7ScKp8CIrFjsVFApoC5eQ5R/LRFU6t1qN EQ/aLRaXOf/QcWb73wSvz6tsHXN0NdyneubFAn9weCWNyx1Q7InpVwFYl1wHl+Xj5k/d6SlcXi9L 42Z0+JWTp6W5ptcvHhT8jUq9sQrGMHSlVqNbFCl1AXzhl3ci4dRdZLqW8L8/NVSgnQ/wHVisME38 KXTN3DtG5c2bZdLOAWG0UOhHpV49tNk7uzCT4yfEppy1AnuiNiSq+NX1EsV3rLu0QaNVoauDlt6e owlDVT7CY980c3GonKS5cQ8ZJSYYctyPVc5oD88KYcQrmBxW5tKFcORv9t3NgMrcDhfp7GCxsuE0 TYMGXqtSJ+cwmuOgiemZFhYoGuUZPLP603lJxPvVyM++bIsTY3gTpEmi81seMSOYAhzV+VKQnEw4 BbjeMZ+6Mt1ZxAomVuiPe2R5l6BpRme1aGT5crwBmaz0OG0stZWRkoElEsJ8gH/wyXCP+wJHf0EC +EoWFbqwIlmrb8YarhaidEqMuEd3FMDvmuqxC3XzXJe9tiwfjIJkyNTBHbEylwrPSNZJIECNRzkd RnLMLWQ9xI2j3eZPdunYC9ns41oGFAlGBKqZsZQeU0yded6/h+Qu/VfMH94cL/afuuudv0G1VG93 UDPpQ18+BedQkKpcdv+Apimc63MneI88EmfgsrumHAmUCslp21432LdZPo2E2RydJanRgNE3lL1X dE1snINVj/amdkzf7mn7LGe+cgWTKSdGhPvbhJuHMeyb51Ti83JZdKJt4RXr1d4gDF25f7n2BNEY KHYunvb3MjHczeksL5gteUhAYlMMysNOiKkPn6PARBWWCOMvdR++ndD7LaoIk7aIfn7GiXWrjwNN VhH1hYmdtLZ7KqrxJ77iuV9WiuIu4mHT3nuZXVOPU0N+QYrCoWfPQcLZ5yUI3RDUIJM+C72I3w3d e4o6ZuiE0Gd0iWjZSM9BnQOUf/adJyHvd2Nmz7CYfTRPGHRncqUtRe6Qy5BYY4FmbTCiy7WYvhVj ezOLCVGaE9cCAB6zVFmHlIPLxHnGarkNeao8hoNW/1azJrLQuDXs7Rgcnzjpow+TBExBSO9Nm0fL pZuDID+Xs39DzkkJerRp/qNenF/3iULjIhsYOb8KGMc31TChBmXg04W1UL0K2IVV8P6l99UYIs9Y d3j+mwe8IMq3x9F8ee/ZhhIt7/IM0QEZ57etMSaZOJZC448Cchv2wnmLqgwjR7qxBD3P8yzKPTj/ 20hCXTDDTafmUKezSe2xyJH+AGPU8AnzkzfxFGsmUtAp8s+KWhZ7BdqMWqjQrfg9KtH+X3hbY6N/ Daly+NY4zF4lQjc7VuNiYxA0R/vaTAsE5ci6NfbAoEY01rVylFufV9Bt4PoMSkP1uSP62mmKgPvx 1dx7pa0N8FLJM3+4bQQR32Q4Fwi9ieve4Zjfme7+FGFZZVpQ9gscbGeMyet3DELURNQhF4H8YPrv 16QfxyQm/I0ZWb2pOwbWwjBBl6NqaRlOH6CUacG+vMhFslx0DMSM+D4cMTqRnn3rcWnHkjCS3iHz +juU4GVy1WhF72fjRG8po+vxHc4r5AQgx5EwMxOH2SQmOlWb1CIS3GRyGQSTdhXQQDxDOZ99r6tq 4nhZSTorgSS+bBhfMRJmgfVfc7OmI2TbThLcT+BmLQff/IBvrlNpWmAegtFcKpugbhbs9rIKcX3U inBKfdG17s0QmlC68yklOawOv8WlFJ496bTRtvAVo/5CvgJ96n8axI8ZonM3DIaB/N+PvWG1fr4j /5zlXzXYoEVt+WCRhD2oKc5oAZLzHx4TR86ZGqZ83apT1iTUp3uqDZ1onUvcXk6W3UizKW6kmeQm 64DDzXDatvpFyCEb1eUrZ03+/h7Bn69k9PsxfL2mS3dgDlhr7zT9LWyKhdikJs8Fdbyfx4zW2HWj kzEuP9FYWaZB02RkGxIWQn6XodSXZ1K/N/P+Y7qmThgARfiFb2mFf9LDjl8VyL8ErpEAyiHPDaV/ ZOYH47jhvb8CJGaIu6Qr9JDLTlTcM1twA+hdwexRXS+8UHi08ic2EAdHVOclBpEl6z+3YD5L5HIO TY7swzBaeI8rGh3JNi1ctBsHcKW+pxejFhzEUSKPvjW23iwhQKeWoy3Vz1mQDW11qDmgS7QDcRu7 LBJetFuPd+KX3DGckmNvzH21yl+ELROV62ngK5DyNqtTQR43Ra2ezRZEfwe8vTfyDDQmOYXY8IrK jCdlRE3XPeYKmoIofWUeHATWP/1zvYiDN3t1mJKbnzxBvJLSNZXuHBvduzM4NIo5I30q/L4eWXBR GBArqNUuF+mey2KWsA27ql3plyFIIfLVD/Awekrm0rVVe9pNETSqlPzXri5x/7SdNzEL1d7nMMsz IzFrODt7phGA3fef5xJysIOOXkEhoAP67aWdaSp/zMnF163EFKoMeHUFRqFml6k6E46oQpnovn4m YZBp7SwbhSG7S8SzY7q9L6Wqqmf0o1u+hpTfOUBakWDNn/IzMzdOPBngj7jEqT3iemkkeNc5Pe4d 5M2N1NY3ryRbxSaHSO3jxoS2pnaAbJWm4JbMJJHPgcHwNlkT9mICxQyXwssjZkCSaOIOKnEFCwra d5WX6mTLwDlclDaVB24j1t6Nk3G84Ore1grDTLG7HBqoGlP17+2a1uZ0fKhBcFuvcXnDCIC9pWQh GpJn5wN1wFh/3osMXV5JykruZA+Zb4rB1LqHk1vGNuv3Xxr8i644AMlTBk3i0YFAFszsR5W3f6fn WEPO8vVvfTwUOmmotI8/bqUa/ImCrR8usDMCSq7xcMr9+SbdVIzfb84TzMWb+r5q5MJWdHN6oqUN X6C145/E/C7FCMmqdKRt8xrtsdQ153ot7Rg0f0lIBVUtStrCCHP+9T66tHoxsowhOQihBbRonAlg tHxoR8Ktdzm9ZJASnawU3OEBDALwSX7MCQfmfvp7zrJWczyg6VdbBlr0EFX5UJyoIpQljkxQtsjy XPZ/LqPaNNSwYQi+RM5pkglWOwEtgrONGnugXuEOznrtsVMWSLiT3STFpCwhSryL3Ht9QQsiCH/I 2u7rIpSfVroaMqjqhfBL9BV4MdlFM2OQalEFUwgqWW9yvq78ypNYIA7Ww/C0i7fL0d3sV9HrMixM BKx+eVHYtFFghoRx+qjtpkU6/wouPWEC03aWvJG6LxVv9lUVdQjnGXAF/OUhwNUzhuBPDQIUfKxk 9Aj/klIXwiXjnq3p70a2wDsJgNKAPfFLrrsSVX2dmXE4MzHwKhQFvP25MZXo6+R09/TtMEf/LBs+ SGW6m1PJpHd3yrtE43ba4mgJMZk+kk9M+zthEiGNV+fGrhEa6EZWuYa47TVu4ztwjsjj+lM3yFTC QdMIJV06tN7zwNSW46EJEOw8PkQRjld2myEFhwXId1qqFgJfsaVVn7efW0uM46iy3C6Ph2E/Uq0D MUAP6Ht2/+WlhEis3HNYuofuzDX7vctNrjgVOY/U+jvlfmajnBwv+fbqgon97+BVSH2+5yqBtCvY Z9TSxrqEC2y1eBG6j1caUx/AEHyQlckkrRvLc+2YDRtziW3+ABBAVCbwT0zW86bS9X8KZ/EE2Fxh K5rdbfKcKQyFln+Uym9j0S3n55O4ATcScPUH6u26kG5wUur52ENvPWvUbVODNMVOTatiC/9wk9u0 dZHDi8B0u5nERG4ijKK7xL9C++YNBuHDFPdy5I9jig7V474crzB3B1Z0GSlzYdaWb0FS51qj287N ASWwBymyf/tOBr/+Q8eUBqwo4L98cDqTvOUQ8qrThlstpId9BiHaWaGU/5WAzkwblB1H80bE2yr/ lRWKygA+fmpAix5cRfCHa/xZzkXBSfWPHi7tsUfWrcDsUIiZuf+MngzmLw96It25eRmVH2m7GKoQ zR+ia3YI96+MXg78zE0xAPi/ym73ONd8+wOIuxSPc4gzTXCTXgYmVCw4+HjZPG2qgfZ4UmbKBh6v KJykG2sWeDCJqDLDKc/+Ql5wUFannB2COAAkHJlSpkubgDh8+28JoOxBkNozeMoHoHwozBnidP5K vemaPgCuyQEX81W1xWJe6qJinLrjKzV/Fxo+C9GNbe5MUqNadw23zV87eMS4UkywiHV1hW4t8Lb3 +vJPTCARnNuS/497lxibd380LqqmQoZBAezQYTs94wA3xkdyaqHMhS4sSgl1H8oWRiEvOeH6fWGR dTA6MZ/7+QSiS2LuFV+RVNi2ggi07XXYHUYb0v9eWkCoDemTSmevnslcsPHKRGcShZANCcuHV1aT 38ZrZS0HfTK3k2x5Lq/Avi/fD/iZOh8MpWZEifJZaaH1ZsSroPUhbJ3FdqCwRPB30xcsLZBOQD1k ojMAT0WVk2yrwDjf0N3Ywikekk5T59rKqf3F9t3qoUfugTL46Zbv0xHVQ2mkUNCehMhoBtsveFCQ C2KDchZY5xUXxmJGJOcCCoVKXIcXpGgmcftBHpETsSOt1VaNLB8+nbQNj66RylbxAfFsBcdPSk+O 7vBusNHAs9WciIXWN8WyJHSej5eB3PNlEyBfLRHxDFPHRRVRUWFitAsT1C2hrmFuU9oyVUo0VuMQ 29uxpd8Ecrcv9FUV/zb0LXz4YqtT+mUvUfZG7IHDJdITmIpoEAn8aqaLy2YTQM/uHq5ZeDO1QgHs m+/IdiEC2pdmZBz9nsr/dKZ8JfKW/0j+bo5XJwTibjdEejaC48QA9vZgT/OMrdyq/9eCUihW4IkE fyP9rlxuq3tCgIpYozh/5smuTsG5KxIJQ3w5t+einYsCDdBhxgT200pzHiF+TKzr2s+croaz61zH rokouR9xQ/QPkRZ7XN+m/uqVFL3z1Cx0Ufg/n2bvwzjEff0rCOGwCyXihTBsnOwDHmZMmxTkKoZJ HVUu6YyXt53wBdejxlebiQ+nLGFIBNf6Yx3E+ArRNK4I05rW1PrjptiItE7gZMtUd5uJViBHFqnb CCfhywlcn9gWnDlDG+hMuTfyVTThkeDuvW4F6LiJIncB+7OO8c5Ep1yVtmpNN2d3D7oXW5RUPa53 d83RMtztdgRLsD6/Ffn5PcU+aQZtJqEvzklDVDTf/pXfF6eOPjvQSgv7/Z52rpoHmCGqIte8KeZ5 vIq5VUstHVoSFf5xVcnZpJphf+H8aVuYGy4xR/IEtSvnG2kPpubHywJoLMsZ5R1CWfdW7pbfOAAN oke875PElTTlSBWUJOpea4GZP8yytyWF/7mM68jko6sR5kvxQ617I0aT5OGJVlrcGhvAkvFCv54K LG4/M0HEFAtjsjzoG3Jl/TTTzzEgDNvpd9KEk+2+quS/l4C/qqjc18VWLbgJtUanVKg0NDg+MDMi sTUtMDrhNkO9Z+smEpmnWxlL4B1IGXw/hA6KwYB1c3x3tkZOM7zfKYlcj2w2R6o5bz3vj4guI0oP tfJgZ/tHI3jBYLJ4IUXvukhqdjRbVpYkk4o/b301eQxDYUMJfiucJT8IZ8cO4VC/PqiyUFDYlZ+m NPuBzIOE8QFYuigaf/5gYP68xoYqKZKtfCc31+9wlq5ca14YcdZG8J/cnG5/osmChXBfp6IyM3d0 AdRmHi55/LtAPhuaepyZ8y3alLhS1hWpriOZ82VKjVB2UlcD2wKjcbHnXbGFHHtQ2AGpC6zdQkPe dA5u5Lg8TyHForp/RlVA5unhdeLU/hcd7GsfwZJ50XPWDI2zn70ELguVnY6OR2mx7fcWEwVLT1p6 JB41rHPMk/9yoQIMo3Ngepe59133CDwBgKs/u0BL3ghMsgtawxX/2aAim/I/e+Eaydx/YSPyAd+s fzHSHJJrbda3DVCGCYVg7nysxwgB0YSIHjcKxUcpB4FWX/C63Pti4mj1jdIX6PXTuPNKq7igbFQC 37bom3d4b3M0oD2SFpz9bY0y1n50b6n7wgGTmA9vbOmh/UApsBIdSPS8KlsuHvN4COYI3+b2caRT vmh2m/L41yrOmdSfU0GE+2KVEMfMD9W0v71sJc2szMHp9bgtKd357BEIGFgKkOHD/QEX9NDGri0a Wwjke12Wr052Gv2Y53Qw7g8p2jfGVR6BobBuNkYVhR7EIf8iw3iO2miLmRpzrwGJTM5w17DPs47I EJlkeq+W8Vw+3b2WeFCCoi3sVSLAU/3ZhP1y4E0DurtoL/JFHBc0TuUDZC3gRku3D0lWXAiGpORh FuDd2xCi+Z2z49GJYEelw39HNeJjEMlE9UsLE8f7neK/jpombm6p6CJzsvhAh9yc6XE3A+BPnFeG X5xqRuNBj2C4JgyMojdzsHluSTKALbbRTgmv56urpoUsBFZQfwJvQyVKptL++B9HXfodvlLvjmna EihmdtBfYoqTLbuBN4jdpYrDbUr7RPbM7AiWeWjzRtV3SQflXPIKKsDjirUV9apCdUiX6OQvk0rP gvQBmmvyhWl5b6pZm0tz9xAQl7WIgf1Odg2hHsSUDqMeOOHXLMpcflpvziwEecspX+WiFhRvbFHQ dwpUzu2P+hDOqTVw1vtyKNATMQsiF1j5d/aTXo+fCqv3pwiqFcp3wFMX7QAiDMv68Ss5OC5DX7CF +wsWAVa2QVSYO80sSYddri3/lbhBPITsk3kd0o1zMNdBLXPtOgLPu/NZ6CQtPmRgLd194cW+J71B BOdfD1nTZLGKNzBoclITMps5CW/VbriLTx8xXLmDdpK8+M00sK5OF7G5UtVP+pfchW+Lk1Xa5cc/ Mra0BYmRSsS81mIl6vsOWoN3WIyg+ee5BQVWt3WLU/ezixfC73vl6SbK3FOfqx34r91CVbzsr/h+ v2HymHip7cjVuss5pQX8KAKYUjmLpiuKB/5ANhpgbkKI2gCV0xNdtBiONTtgxR+fmpkKJ0bggB51 IZdIjP+OLT+0hSkoosPCMV+IkD6pNHxGMgMdfMeSdVjtTNV4JWGadWizdamdRscwgYhSHNDG2acv 1OjRXGgGYdE6HF+P4z+wpByvooZCW5PKyLgOKV0cygqyJBJkNjDM7HcVHKlovqkEDjnvpkT1ygsQ anbecFRVwNkA5RJ7EQ8D5zkJgUrRB04bqzK+iKsr8D7t40uC8N8Z/Sjn8xO3efutiRBPsmyf8Hlr x33nW1EH6D5DzAl/xnaMxVhvefuEMeP1BWMOq86nB/1QdZPhmGj2e3C2o4g8ZOrxH2XVCZ2ryNGn wlr4LM8mUrmn+yUBZu56dUqxGUCdXK9B0Zm3B2CbqcY7HTm/SPOk36VhEU8JxP2Bq703l+VbFP/+ mbbrSu9Y5CS//6Pxs27Kz6pS+E6n6n6h975vFN7zbbAnBtgdWHu62dLtUsepCFcti3igpB3ziOM+ i1jdHjmZ4Q1NZe9CwWnSBhlosGfJgRZRamiE02MzdTF6KXzSgdDVSU6gTyCHFquHn2/M7Yu2sVMB BzME4Lk/W+rnsxe4c10y0LSNYvOcMfybIUR+NTvyVSXYWehUty60k9o9fuLrMkhzq4EjwxDh99Js v/HWLLlm2C+PnvCmHBdWW3Gp6RWkXeg92ZatThv24cLE1GiC2EyySK1g6bclgDvvk0Tl5boC2M60 cMT+kV19AHK8tghjR7qZmNyiZdsMOVZIBSp0bk9E9wbS2U3C88MVLRvZ3KQFfKAts1z2MxTbNbv4 bqDYkzXW6Ucfh+UzE+XcRv4MmAy1bRjwn6iz2gRsThz9E/hECvCkyi0FpmGAMffWbOGByPUMjBud XhNcsKQkCnozyANJmMvxW7+Gu7HFfScfcTn5C2WV+583VqyIUEJ2UnXz/zDp2jqN8rhPM8pLeUAt eWh+HtH9B5+QLqJS+0I97X4WmAoIzou1e3cKBHU05VCfzsdOeF0dNWef92IEARMR0zrg8Yiyk2xQ 11pLBNJg1ZmBVxi1SNJiczfj9/Wp2pWGKcCwo6tlZvwjT/ApFeq5Ej3ZGRl4L9oU4KoaiSoK/6FD usKuGDBMum3j9T0NclP0SqMoNEcvtiFBg5G0S52vD29gNjX2omHc9bEW7/yH/3ba2z9/Qmzhh1N1 P6ROyDWPjembHEOe0Uk+DsFpjW/Wg7B+p+ERCZ1cCEXvRafc5wd+Z56XdZuJZbYsteRTJ5VtqD91 5KdsPhb5e5Vh8uK6I2jWf3Yekk/7tCGEndnANGCLMx152pwdr9Do/J26/cKX+SGxROreOhR/pn9A zUGL9W/RFiu/Jxd3xtPO6xE/Ow5FrwgeaXSRtG5QtWegB9ElEoF6oGN3l/Frd4ufHakiYKQCns7n crY5h/XB6YPLyl4Q+7JHPL3PRVV+/A7tCDb5nv93oMAilC0OeqUfvQOGh6QwPvy1vR7sRcmid1GF NVZ9V6sa1zyqRXPRwGh27Nco8j+3fljQbaf3FFEXsAoDzUnlSUil8mLxckeqO/0mPQkgARJ/hFvn M2op7p81SxdQJi2iTQGPGNbnm24qhEZjJ2yh6LFQfirylTq0EcSMA2z5/MNwPZgJ5YA1TvLdR+NJ KIQNjcZKO2kc+b3nvA8tfzPNWeA71AKkKlOYF5WGtOGABAGmLmTZiDqGG8QsJhT9v85R6WscXhvL S/qF+I6dbGAuXLDC7mwBSguc8/NhWQ8gPRcd8mM14HK4vgE9oDR/Qf0Ek6lao6vQMsrulJD7r4v0 YihdIoNUTnPo+rRdNHVqG5wbe4sovr3Dkg1G7cehjugQBJW9jSUGop6x2QumuSBDpTRxIE6ysk9H DFC0bgP3Jfc3bPIX+eFv0/XCD+9HmvRwEnAQfuME4e62bgfU0QxTo90TwzX+zivhocABH/tqyw9b QSBPnF9csO0/3oGUxfngOWv3L0qJBjpA159I93N059C8CQC/d1p6yHwd5PtVpf0i/6DwPlu8Hhm4 XNSYTY+kzf+Ko84mdUhkx43/0+EMOC0neqQtaFuE52ucLOaobwJtCOjnBG8YKLuQ9ogRnLvMsclq LgeJ/0cxHe11jSD9hfgZ+eAprO1cUkdg0hl9P9hd/LT9V3TmjdlHMvEtUnPxIvXn8OQ2d9mw1Yf2 kT8JBlSSkFnDOQwi3xfMRrUwfvbL/R3tWmZLtnYH1hvfhrVpmW8CzxgdB9bgoWQuDzWk7JvbdKql 71lEi9fbGzLY9KIxn40t6BfZ13msP3DG8im14ZRjpMyp20SCwMnKKTp3g9YXkLe2lp1aAjhII/QH zwm0QE25me0E04IrtWmmJ6lTuModnXWheE1+Tk4R981JfmF12YCrFo3uyHer2i078Eacc3Hd6lLa bfQNnZyJcQkXJazFzJkNpdKtPtBwDp9NNsDGVQ4Ky7kn3M80/5DEyqhhtE8xYOoaZABDytDrARdl 0BgTMgCGUhBUHLY5aBOxuSvNlQEanM6drKsRDxKs9afTmjEHUWdfM2gYxszlbLqiZROaF+ihZvIf f51G1XBMZCpo+q/0ccE8QYvS8d3n0TubKeObCdX6rSBEEfNagw6zj0RAyuh7IjIvjRUdpvbCVUY4 wXfRg76wI1HHvBjftFQLrXGMdjotte9QujREe2WPc9o5gBElTcf3QYYo26KnUNmwBgbDCjW+k8rj XViSXMaAsLZliPe7581dBfVGFdK3LxAV0OrX8eEjawhWPNw67LGDhyrrAOp1dClQ0mfZfAhcwn7l QIK3y0t1mwOzmmLV3dKGp37hSx+vGhtQdVR0V0pr50PKGb9aObmGRv3YIL7Z2wOVCGX+8uK67I9Q HFud9c3oJ0g/IWf6SJe432JI9fr2ZdLhnUVu0HoiVQn8btgSYjlLMPG3LBC0mKAiDleoFxfYxn4N UJWHY9qHYZU6jYlFNVBNJqB9H4FaUtbPeM+vvy7Nh5H7C3DcKZdWfwHDAuIu5Zd6Y0m1PI/f3Ukt 7y9H2ldodjk42oIcVFpm8Jn3k7lGQBo2d/bO+M0P4VTYFs4dEDNW8vVEG9X98ghymS2+r3MbdFWW PVXC3BMUxKS9XPjTV86zbUXq4LLRi/l/5lVLyx4UzNU5cvYvub4E3JDnthZDh6HvBJAUxy8/3MNS t8BnvQVAzWOlEjCq58doJbCWAscULeU9SSwiaK0vqxJykolTjrMdSYNGWImV7iwVVzuXNRsxYDaf 6jIbJ+JvPvWvWEefKpg6VS3kxni/lBj9rTfUoaZ+UWbWw7bTTn/qok1A70cp1TGo0vSaKhiBSrQm fg8xSITjFzGJIZbqDbNSOEcaEdxdEvmxZ51Po4K/7NdhMy9RqUUiwMam/+xiKWhScOoIyy7jEx+Q BSgRCZMXq6oIp3SwM6o5Al5fstIDFTGP5eP0/SRT0CHWVWxybaKgz7U1b3QlYXAPgmDNHXxW0mXy +LyIR9kN+9wgC/EGNKkggYqyyA4aU+kzGM1TDOGlzmXuvgq4cLdx2zA708vFGF7WyiSrHS/ho1h/ eIfDeUWvg2z+/GbqyfzFm/d4gXndAbtu7FnbAeu4sVZAX89Nf9oB0QyCQHAOz/0ERwb1alnzjEwj dp7aQejs/ixWrs5IyPKMgZ2d7JAwpYJw4/MV3SLuoHOA17OOWBl+ZWyPgEwy9PawTcfv9vRWEOxp CQe2ZIYpBY/LDPxeFu2kic7IT5BtN5eXB2xcJBD3ugLlB0/Bg7R55sOuvbNulYjjsG9j+7i3o44O wRIA7w8v8v8I55dmK0AYriS1zjpfARMEDMA5nKle6LvGbBi7EXHkIFyaskrTSHsDst9iGXlnn4Iq iPUFBaBdOTMJoU1kqIuj/vOZRRR1CuxsE+VRVyZuMFqTqKTVKyx7MzTAcAuLT/97SeDV3JIPJusY jgyDVk1D4zm94HH2npi6WVOloUCtj/zpYv2sCd6e4AH0wbrqQZgA3reePxlfFvjD9jF+HtoJimBy 5AFgReg3eD0nFRkodzvTwV35UOt1vUmiVLpv8W/k007vl6hbl04bbET/D4jJqYakIn6/X9AWhbSy z7cxSWAH9Wv00VWT7gc9MYJ/Z5sObSePQsXaVIWvAjo8aAkBlt0I4IQkhOvEIOUa25pZcJSw7vSw oY96ZrIV+Mo/twcXqCYUW0FF8b719VdDIGjaACkl8njiSFVsJPie01nACR/PVtfOiGLucNeAEnmA 4cY8Rmt/4Vpb5yYdDs5GprLnfF6j1RmGo2SNk0e7pSa3RqfcOYCX2ZR5exnzKHoum65oDZ7YkZz2 XtaFtppUr5y28+GH2xBF4MN6xm4uORuOT+7v4lSLkDUWuv8ycf91o6cYdESSzRKOoBYhe7IEmXsr Kq7Rxh3hmdCkNDGtJ4INhQ4ukBVrlf1lDZc2p32mrzRUO2vwVehM62IalkWeaSeFj9yjRAnx0I7S CcTLSOg7fqNEe2PFM05IMi0iCHUtaE5FYtQsgmOXLy6AYJHr35IF4LTC7BlUUSq/+0HmombovDCI 5mrnqnZJV2TwKe3aIlpSV/eJoyYuGYphXv9ua1Ci1eJ86VAnTkqNX/XPzfnADE8iALQYvtBnL8N0 rhsYK8e2zR1WJ6bFfDlp6V1DfqGMJHemlMbgmjAejUA+8trH//xRafvjHRLs87ib4vC4UQTZp4gP 6gNeC56NvT8STly3J5ROcrJuP5Y0ic4lCC09flF283kS/umu93acn7g8Ttjuzk6JzDZAVt7TWwkB xC6jVlVZlvn/bJn5aVB38T02VJdv5HFs6fwjhS7lmeYP/OVfPp7tCAePB8Sh2qAB0LsgUN+a2M+m ENLBM3LpAhhJTcJGGgUDsIcivUGCbliGBykM2+W+MRZff4QUhh29VNq+IEJ/J+zZuRqxnMsXfdgM rIsQ2jyjEcVifiXLeTDcRh8yCv3ZgbKH9fXO4MMMlQgrx9UVE9W/u7vxs7uISb0Hm3g4PVahvX8R b90WV3gztjIV3hYFOOU56EIF2RZuwMzWY2218mf+OyA/pchldiQPyaPWPGC9cIbioIzjs4kGSG6w fssIyAqnwWwbdhTd2qjQ7Y4o0nGweKydD9tsSIK4H8Ro8wnaOsFnNskMRBOu0BVr8un0G/faAC5K d64b8CS2moJyK63r5YgBoZY1eMm3XklvPib8TbTc0ZVXdn+mKECCY59hF9vmAY2M8gioPf+Vtrng OIqtxBIRE3CK5IiehRAXGlqt4XIHP9ihBGUZBvBtkj/fYNO17Zkw4eNmBDknwhNEmEcvYNcko3Id oAY6sDhqDuqHhioqrfwANvk2h3q822vWXsabBaW+y9QMp+Aam2E3hf6ICPGD5aDmyRzvL6kEqbUm 588G73oWmzxNuUKGvkpTUsIlyJDjpfeO/n8LpqklPrJ8bOXWXLq+HLz4EHZAIIKuITz3ZI+KquDH +5oMVh9cSdhh1TUFOv9+UdLXq+8ObErub/FbXdLTg1nfUgAuAiwSYTGIJZYeyffaLnPjetBwZ8Vx pkrWyL1owX+yVgN1k9iHpL4MUQpKnu9Ltjwodd4F9GsQvZ7GXK+QTlo3QCl9NnVBOv7eA3ysEOOA h0lfbPh11y7sHFWyrjDlKR6Ob9pspQUdJSjwgLRTmYTFIgtaW4aObCiOcyQM9YbaSlxASCQJAQCq KyEjTdlFpMsZhRq1zgsXNWcSbsBlLxUdbsUQLces3COeBD+mgxN7cDkCqJP6SDscmLJzauD52bfV E5GZzI+ZY4dy406LMaQWQxOO+JPkFHiVFdj2n4jJhjj9GgERndayUkBSEP0B5xgkDnolYLkp4nQY HHl27URS8MLvHUCM0t9VmbHFZdyPgVuF1zHWIRJljyLeANOK4L0eI9yOieQ3wOjapcbbReTWUe6G EYr3iYEft9/BYILUv9cuh39y1QJtFm0wJ9+j2CrpHf4vIonEXeIvM+mLHzuCrSzJjvt0OY0zjldL B0aO3C6x3YbqF90CC+8CwjSPU+PQ16iez9hJyQuZGat3saHx+/XbeQHA7JdF7orh1hvO8x8rkVS3 9M+ADVvmY0DpW1cRKRdpB7rn+d5pe4oxY6VxfcTlOLPAhUO7+gNOLNJ94hQxDmbzoJDVQR3p41VG tCozZ3NjRKY9JU2EwULiAGlQdV23dc4ddFTPdc68PCJmfYXGw8MOamvnr4uD7h7fe841I+hRx9m6 F8HhdE17UctyWWbC6mC5XXUENw9J2trFsunfUtt5XJ1JQyHGvzpWTwkwBGChnUSRKLbZDRvGW0wf cHFaiFcFJvTRtJ030VcAq7gaQNT3qMYa2Ldj/9MLpRWai3hNg4euJZQkChQ/ayoyb9wJbQy0bOK9 vhGOndlCsE4FRwUVE6y+qAnMsmQQL/bn/foTvE1Z7m+rvIqco8u6ZwQ1FA9ddwXace1HOVPXWjmg 5l4hemoTVrRAfYQ306hw/ihDNAx3szcebrWr+Uc5iZE4Cz4yVIsM7EcAQiKGMuquESDD6jGSkUGn xruHUNhWqZmcuQn7+pOBbUikTThXfRYCkfe4bbsf4eljP3WIr336z18pjU3yCuC+q7CC588KX46K e+i1Pq8crGQPOwqcC8j83FSQJJ3RnrJvX2ElyvDQZDeuXSfW0zcKaVwDYT8kNMVd1P4G2kvk+hvA vb5hxLAvmj3xYfyK+CxyUjlj7B/n0C/zkqEdhV2MvkB+ab0+XSVI161AT/2qMetYmrQ5P1JPQdpF +PTG6kOT6QKF77jScQRlp/3U0m64MSsPADpb08u2avdlWo+k/YGHMLFY6i2OgKwVoDnQPg5XaSQY YwO3+xLQYvrJ+6WjG8p0/yRk/LcVz0IlqC62XUmnm29JBH5x6nELQDKTR+wt+U2d5Nqvf0DvoLza 8JA/ODJih9QlvspMkOtK493ulVwFRUo4QIaB7/HnOloIDivb4np6T+ew4mUtTSNogQVzSSyBNw+X hVh161su9Oj9HEoBuK/Wb/YGP5xIqaB7c5u6KzhisuqBEgqXNjSOBsa3b8NMqimIwx9hw2GB/bsD ZNv+ClrKdKAta/7ht+iqF9DxVV8pjMtDWieL1b1F9NqEBC96CjfRaCwzzH4umxjEu3374ow7oFzO fIYoiy8LQ9TVs06evgFW6R5ix4Ia+GMFxtm45t3VRXJaoG5GHmwep7+Za+Xw/oNPLgxwyQqClz78 Cj+GgUrjNPLO2QBaopTBviV1aD01rCNWaXaLCOLoG0vKrNV7Tv/mtKrNkLoaCOShlNotZqIarIW6 X185cpb4tN6OHIbSTlKU8FfMq9K3Uu8Kqg2rMP+LZkmYSeofbUFaXV7rdVr2QXVJ6vIGsaVl/Sr/ X4ZJJdPRgEeP5bG9EUSs/42mVfoFGBllQxIqoxG607UAc4HZlkjOl9soB9WHZaJ7j46D71/Xy3gf 7tO0L9EGnQ9efLQ0DQRsBWw9+veHYLZvFrh17Eely0QHdEviBdjDU7eM+iKTqZvL3DGtsDY9Tr2t E7dW1uBlvc7d3VaCbetX90dk+wm+N2R43KaGIUq9RcOy0b+bBa7XEiDavIwjikjoPSqDG1JQsTts 6Bi4KOJrTjfJ899lB06GWx4kZSY0oieUxywQwneTw4jP7XDhApVJhruw1NuI18d4uRmpiAq4q6SU lwZCFoDGfvHH2VsAbG8nOZ7lOcw+/V4q0kRC50bhh7iZ39yakTq1kHAm6+nRedRTEL9Y+PILtORh 1IAhLyzPpA1JkwYeVRxIK7Y8bFoKeo9yU4DZrZ4YPRBmtGLJ8Kh3DBEI1LOFyzmEonxarfrEXWqx YTCP9C2cFtd+upWEIIH1V6AIWByI2OQlMOJKWAbdy9DNjmZSnX85Fc1oGQWvZd+kCsyJ9EMV1yDU lmOhKJauzr/dHsZxjT78UHd+TxLWC42Uu4znkHgPPcy08TtONsMxzCqxIBRSSuvwCdzNzWv04pNJ PB/A3Vl9G3dJ4iT6VXffMEYPz7kbBR6W2NxeWFiWHN9xVjepG1TLjGQCZNTEGBpve7RGnjAYVmKE oKVeFJ51ATJ0hxcackV9H7/c/fAQh/axuOqZkrySAiyFe3BTWvzMPmhg3eC/KmvqCV8GSDtFgo+P MBw237FhyVLBHkU5Np09uX/eOQ5dhB92wIcHcimmMEcyqduiiVFTVuslpcp3pqZGXJJUdEQkj4yz gFXmBv8/qyr4aK5rRxX5xr8cTVgH9A/GvzBJLYgoA3DV5L3oc9sr1Tbf/4bbH1U/pq3VW0+vA/Og rsvJl6N5m3Z/OZkstZ90sB5mERQDN8tykj3lmYXJ+hHSfzlTR0ZvPdb2jNm0w7EGbfuJduP6+34s jFKNvihFVYnWJ+wlFgvysJxm4R1WjX4LyE9BJdMH4YFukhscWyGX7TsMILSrL/Vg9gbnbwg/Gow2 NZOf/28fXhu7bsvn1BWUkoiuWFHPbmeY842O7Qsy8YSyWr7gdQZRAyPjAehSRjHWvoQCZC5Nuv5d nLO4nfqoviKkjLaxzWgyXUmeQBUzIbfKXnTJtAw+XA1r51SLHhEPvTauAo/Lbocp3g5d27eBRCFi Ned1laV47m8QhgEeHW8uQC+qPH1ixIVpdYzKk+R9XkrOQdIo53cdxO+fWED9tyZ9/YP+yDKIuaUz FZZ6WTvTPEidlNN6zjDcjN0MJHx+kPIQC+khnwVndOMTIt/Kol68fmmendf0ksia05H13SOSOgQF frBliO1lDnaXup4UtSckED5NHRGA0IQTgEbB/bgHG5cITNyH1Hx0W4mGnUKvY3lLN2kMm4Gf96a/ fXk8cYTPUbTla4IGjKnUqJwAiEFqX4FfP0+g7nFow2YA84FwTHWwaEpXk45dKlMrxmhEiyWgPx1E 7XvVGpfnpqWnXBh8tnsVWEg1TxrmaqPOF9ORbMzPn3pPqNdcQtSB/h4vecKcjKQZL9CNRhOa9G7a oYJl/4LaoBsuKfhKD+nhthXtzEqVHrrqqWprCcOqXo0kc4cChbJgIqdbC8iF5t/n1br9gV/q4NxI udCoTFpQB17x490qDgmNXbbELLiU/UddzkE5nB2H6Hs8vNOZmViOZB8XzHTPeestS8cTlFOTbzNN IjqoWVHLZi1sPhiY7uop8pos+5oVhquATTCnX1zo6Ln7kE1z/y6SYUR895qDe/sR1dcqcSpjZqDo tP5hF3lqJHjv/+Z6Je+L93AEVsRTn38NHSa8tzmr9s/uQXAExs13wQeuBHmjvBnniYBTRJhtF2mx jkzwfI2l1enj/BsndV16DUuvV5fvFYX5OT2c85SqCCYcQLuC08arlUXgEsT2xxZ0tIxFEgbOSFvd kSgKTRWGaP1rG0A2kjDxqx++bfPvbhiGNkCNWpUV1AjQJzHwf0wQkDlsihO8df35PhH3vMXtfpv3 rwZhD4ulATnUOno/gZBcrd31II62rIvVC0BGG8eQW3Z35eSd4J4mNT1C5tAgqnUfPzb18UfUEWBr FZ8ikhoiYX/H2sCpNGODwoMSaspnvCHmoLExj9qHqr5otK0kTKjtZRz12JwmEqfVlD0G/KPWNCY9 Uj5RlK4lhJCwmvW3wwAAWnNAA6D+60vvhXL7p+jqryIyDD5gfY/l/T9LO6gVQI1yMG2siJ6bk7dR sWMlpBWVrDwvRQLeeyb45jWuCmxKZsJx9kzLYe5Vvj4kCxWdgejjyIF7Cr/VLDGp20btpLUwCuA7 xBGE0ONZns7sQrX7KT4nrRWsOpyH93o/J8VpbHFaK6SaEf64IKQwx7I1O/+Isi8GaH7p9dp9EbLm kfBlgLwwkX3K5negMesDF9MkxWiGoLOnV+m/Ye7ka+1heWtTNrFvNTFd6Ih1XQPtd4X1IHLZ5PhU qugiSFyI7qd95FjINfKqoHM7vIuH9lm7EspTL1uaUMRms9Osi7EAvAH36wnG5ODgKxiaWftMn1eN /PJ5Mzkdt8mN1erLYuN7kYu3zUV5+hLj3wdeJi8Rn5IN0QImLO4X4WMknGHkq8lZzVL9oWrC2+2U jsC5g/4h3fTL0XfdoG0uSbjLJ84EboY5qI3UjXJ73pZcUGrb10cYYQ4x157w1hb70AoqeP8/tyw8 Jau0xNgCYjmDXbOFObacAo1dm2z0u99AXYrixm/Noahwh3R6bglLizHkAqMBEjQ2+h+8kyLLi2tr FI7Y50tbtKfBjVXE0tHn8tn4KjINeF1YEnQlYewmWuRc7F+ykpoiRrkjzDLFMnClF4C/u92B1Zq6 u0fe2jesyXq2QOjo6qkviHrB4PxkIjrbY/cPGeYA93m1zwZvJZwX5SfMMypskTeFXsh3oaVy8lxN nHBnc6MkGIrmtTKtbIGSNQFxk0R0kq8qPMLnOUi77sOpnP5BqRiPKIheJDAyUEb6ebJ/lzXmtCRQ Fqt6vlLTcu7V+f4A+dffNNd8SfDBQBbaDir/gBLsFz7XqqINznYRUCRWQLlDa4ooa+RMaskRv/hI LEKKPKDqBe4tIdf0YhJT0eTvO5YvJxI8nlZ1crHBkQm+aHqRzU6FY1qfI1aA1Iw6xArM+00kzkIX BA1Q8gKXJOkgXFEpv/Uv1OdXHTmRogU5Z5FSFLRLLItp4qEbX2GwZDV/3fvU0UxxR78fbBHRGDKC 39a6X3o1/ZGnxu6RpnE/7O8QG2bWqimF2eCuQtd8CQsSHM8Lmcd2XQdvK3sgXSXWzjjuHvU128eA f3w21Nm3UbzlQ/Zmz5yScUGCHqQMUkqExm/4X3pBLrchP3SnqW8LYIo2Ol0JkIyu5jTY/Fz5gC10 ebmKd4J4Qc4n0MsFlc+NjgPcZXWEI+iUziHdmU4ejJp+w+SQjfvbTOdnNn8uKHF8ZqHUCze0MGDe N+7Oag2Iw7CRPnLhE/0yS9PzNTX2Nwy6Eu/vs+RNi5mTud8TF9+4jC7AiTJpOdRTUTPhUurKh/j+ N93Xe7sXNi9nMQENyl2pV2A4IIP594G+kiN/P2N66MnQl9fqxI8G0hEHIBBpZLkFr6ML7BzkyDpy tVuVt0QDT9tkBHM6L9k3BOvnMeuE5F47EDKTbbukbGepUcmDG55NGeMIljD0V1sxJhBhMHzw5xp6 CzxBJYDYgThqMH/UUb0vd8pQ3SWSzHvXKImEr53PcF/aoV8j36TSjwcrTyv9ukZ7EkTpmtgk0mPR qYcpfCpcngKVBLsIhPLsONmdVLBFAFjeyD0iFS9dQpvNK1ETlz7eB8sQkuFagxfxlVaMOn7tCRSy RiVDyc9CnYWhfiKD5s04ayTScff537itiT0X4yKkTo40KK0LrpbjDLMane9vcSiR78hg03OS0tWI 9zjpSyuayaP1eWhapZzfgDDF+RtQEpNPnnKAQuQaYcSQSFSiyOnn+ICwP6t71CPjMbr4Ny6Z5Rw7 Wqql3JHNuhxxRw88LXvHBMYoDzmdoIVbqMoUNTSnerdicrMMlGNEahyG7liST/+XB/grLvHTzmPb aD8gzXO/D1WqBi6maqd28MeyXPsfN4vb+uiV7pZDIyRal8RYlP3ccQ/dVBVi94AGc2lEIAZbIjIO ChIok6AVg9jTT9HL4bSYbU7ykz2DBq+9VneXkXKx7GnH7fl+KtEN6fXRFMqjXuDqwaAqCyw4l5yo YGi0+xbm76yIpyUFtZoMIePd+8ipUh3/c7RHDX5AYbJ8cxM5rlRhAHw0PFmAIXF37ZPil9wNKmzW SNR2nOMb2zwt2zlKHZlKe8D3GtCQf7HCi/KxL6PA9ZrJWjgXL4Q2ni6sydG0JNcr8PLo37tO74cV QLvK4duU3uJ1Zzfx6OV0Ns7BI58mBhI4kov4RUl4efVFSSF1MUXeWVWuY/Tiw05+07Z+Xz5A40Vr RL3lgzPbhIVaIIO+0StKsxzFXrt+vVAH1LVa9o/Um9BZzqObRyPKnNr9XBoPsXfaRRuXwbPrVGTH 9CstDFBMkHw53bL1IOefFXE2u42RBQjFyICwqm6VJy1VslmPye8qgBgHRuHhzBhoqIbUflmjKdDm Tr0TFceWm6oJTi1/V3wJuRNen94hUAqH2W5R2zEi7+jAaYgDkhMzx3uxxXdwcBh1FlDxFc3mNCCy NnMt0FHpNIU3PwD3IVfROM0V+NU8f0sqQ3P2F3osf3imvzW0lpMs6aVSDpOc/hPM+seMKb6exiIg HntKjLIip/By7VFh6QLNH+gpL9zGkytv6WcPrScCVxHgrqr6sY/B98Jiu8ZCE9oIcfcBYg8+/r1v GH3fv8aIlexlMFUap0culdFkKYLAt7VnJIV4mZOV1Zyt1EWUYqqNpKdRyKjwKfbEASBJivgZFN0W UNU3TJ8UxKVOhLpIWE1L1LemQOjBsf6itSuTHsLtEJYyI09f/ShEOwKtM427R0dI3/wJKK2tSeUF HPBOgFQzH++khwwiXAAD+m83mkuyDI52h/EzsuqfLgJp5xso9vH0KMDPEx43CWSQJWAER2asC7gG XJ74+EcYD6EZsKXIhsSgXnZv2oGWTqhLi08pr90EphFJNlf8lanHf6/kPZBnXQXv/IDqROsg2t73 x7t2untpoqkdAPLjuBQxPLUrOYcMqP3Y4ftIHb8CRpfD8qF3ibEyF0/f+SUb9tOOw51KoeTe8qtD N4upEeBgba/3ivKyzVGrHd73KrwhRVj6jsbX9KRxg+IFApG1uv6eCoTz/V7v09DIFWLz8yS1q/zq g7Fai4IIqoCVv2tUwABkuHnbAHNfwNt7OQLcrSjlqWbSVfaS8S4kdSf4JKVmxj0tgemWEvBmhY3W hWJrwv9XqU7ADN153b+QY76VAoymuhIRjfDnEMyiAwInEA/LYfqaNw7DGk0jmBTPMrgEukzKcnyb g+sA9vHWQjYr31orNceZSJB09j2PR1/ruUFtbxXHsIU/Y9gpDMTSrNgbtxJNSbjd+cAuO0KDVqQB zdhrezumplSYNucsFOvapS4OwRnQXnZBuakcwgGxpzT8eLmQwnlVI3B1UqTg+/MtHjnyZtudlnrE BB193Q9qXBrP/12Zfaep39osvrSYKOfn6qZMbbnHnMhwy9VmqZ47OIDWgYmymRwT1qKrozEukEdI MkT3uC/adIqmf06jsvitslZanwfB/yW/aWjBiqfAq42jXsnrkgvLr/U7U4CEeCZ2rYiABfXYMbJL ABn9avzy8AQTCnNTwx4X5nRn1x/DJEMe7RtTVVa1fXAmoA23lLb6HRLZ4fojYBTTWoRJo57q752Y efv0i1VxInc2tChAeMIzM/ywS9widNo6kwA5tvpXzHKoIOW7PCxVNilMoVlL5+AHsOuNyNBVykAe gSYVYOCJyQgkjM9bceyPmBdIBZnGEpMhmjTwTWD43ApI/tf7GSrEUAHVpdfCIPXYWbm5oNGCHq2i 5ccBY/pZXkohLKwv+DgOetXm2JcPZDRfxBlLZeCg2HjnbONppG2OfQwNKj13PdF8Ek2nMxJ9ynVG AbQEkhB7CkAz574mfGgtDMw+u9tBTJpAc+2OuhwtQ0Rn3z1ShpcbA7xvsAQ1G3E812DgUNuU7MNu 4uKmUGtaPWbG/KxgLdabgRwAULLa3W6udoKRfMibVYiw1mOuCNtYn0nHDKKk8GmrKhkciCN7eUkR 9IlQxeyZponpD67oWNwugnsdvfYfbRAwdW3bbt/0B/wqsZo2FXXl0GAqN0X7RcF5zXAA+FpcTq2X QrCWji4RRzQ5ElwrPXdjb+WXugHszEF2zJPPSKp/WBUhjiBvrB4pWjjsIrgJ6zuBwhX52quUNVn3 D75z33U+QVFsBrt25IUH039oU49zeqRMJac0CLukpWkIqwrbkwzd6wdOQZFyEh04iyO1QNmwGiWA 8aEYbW4urKAiswr5XkHiOog7eM2OJNMVAqygxhGNl+ISt53aQhMqZECAYWDLF8KtbwxoVhF4pUPb XXFWftggKOAD0VvFvxo0nozzjLQPD6BQivKJorGn87uJOfs3m6aFweUXU0tREBf8NVU34KlDN9TH kgjaPpNgovZN3WpxsKFuOrjNqri2h0q7zxgvv1YYw/b+mT1njjY1toKXb5FcR8A4hsfveymC0E1+ M7cVSO5jOfLeSs/ej9GA7hqm19yHEenAxIb1AyG9fZl3z915M2cWKqZS1zpZHZO1N//dqXNAAkC2 JagrftL6xp+nqqmwjlP5gVEffXZr0CmnIFLb9R2X7fomXfV9xbLDRfDa26BYNK4BDxRVOSFlCUjT hecSwZcUqF8kX9YpX3exU8KaTahBQT1lD0h26ce17Nihh+8ZWcoqBH25+8vDAoXj512KXnBwATcn D4hlsA2SzYOPo6+5GJ8P1jAtxbPtTwja8CZArXVNFt7uaBWkX2C1V+ot21iVC6R4A6X42txcJeqW yxW6Sl6V8pkNGhJiOAFSdFdWI/qHG5V/JrngrY9IfVRz9Vs5PNZbK800Tp9HIqvEcfaO327WL7sD 8QvJlPfDrJnY/6mu7aHWM7a5ybFujjgJv7e7d6kSbJ32QqXEEvrphf3Ovi5UeIvrFp7Mn0Hgoftb kumFsPac2U+Is4JyK6zKJW61NT8hr5/Jdipo+e6KRp40s0CJIm+FgBqvWJgkVk/Ayd5mGknbtVF2 2bFnbNscp73Tefydp3FQOb8whdNzOU2xgELnTk+wFtQihwhCNSz9Hw+JenqrhaaWGD6rC7GMDhC7 djkR8F9dz1hEnlHG8ToE2kG7RqwvoSymrjPUETAES8fcuT7SFepnJgwE3/MaOQnTpWX6gmbMKwDU wlJfotmusHBFI+xDBsC1RibqxOMwQfCnllwyYKXgewtjtO3u3BKtP0WKVHZq/tVOQDp3neQurkXN 6z/Y7hkQwZ4avBIhWklceMRq7WNy/Tl/YzgQ6bq337TEagRBcQwMDrMR1T1PdttbIfj6rfR6DKJ4 ejSP/NHX2piDLb5L290kbiXarwoGLsU3XeNUjjF3CSUloPFL/g0memCdOAMjgZQyuhX4dJcS09s3 ZnccCiUQjZ5nCsuUXFNP0JPD/L80Bbni0EDYlzA4hyyn9SsessXxTBsNRaA/YPGI8OzWoXMCK12i rrr+8/tXFeepBV1mPuP+Vqp4Y1R4wF8TNmXUUJm/Xd67TcALh8yLGCUhP383TptcFwESpC2DmSxq EIZ9Qyz2sVeTBV+vZxMVtzzbY6fOZkY2OISzDh1Of6PnaSHEnDT78pRfHVvkDY2QN3xDKxmaI/kt 1cSj7M2GAqxOnpB6yJlwhiJJ0gSZqF8Kx6P1+tj2uhOxNaD4NSKBw0el/BjBTeqLNa0h7e9CMDdx GUTE44YDOset0+QnTtStgha9iNRXBHzutbK+iNyXNBGRN69kslV0o2gO6NDvTuxtyAqEZMVvohp3 nAqZWs4jEA91UC7JW6nfzS078L6SXMZ/Km6yRr1G7DwU7iFNUDL8KV7hqXsiE7GURpXclqsXdsDL bV/hqDpAzHWkpGd4n7G10bsK3yrDmm6R+aGY6ZNy+aaERvvbGHCtEVHu0j29keiWJStt2WWpOs2U k0QbpUWwIGcCebMSb+Yg7zAY6ODd6cACiTeN1ji/vidxIsuRIsZLbdpm1YoTsPLD7c2XLE5J1QVV yUJfVncHcWws0gzegdbQsLHXQ7YApHQHPkG4na3o/Q+NhWmstUQbvDDW/YUBa4zbllmF/NzgkXNx Y/c+hoWITSFhcwGnCq7aHwzN5TNjAG4yrfezd9d30IHVC0j3iDYr7HA7FJUq4rFIU0q248Q38m80 cYHxbmbA2tE9sA8J1gx6YISDxY06YnutLEYEa+1SwPAlrAO6Uf+CBymb4YT0YkoHdhkk3CBnVdwF 3H75WH/LNKmKkSWLb64nK+gTi2lojYqH7Ou7hj+p4Kpc1dZk5W0FxmRLOhxPMP+p6dhIKI8426xp Fy3Qwur7EhVIxIezmnvHc8MQ8OtGn65QLF0iBE9GknCye1krDBiRd8nglQXBrq5YLQSwkpWCYGEd 7z91RbmLQld3MwngAMemepKa/R14kxLCrConeX1NAsIgu7ObEiigzsJMkFRsVgtH9VVlfZtFAvk6 UFhYZN2ILrNOC7QARYJx1MQqp0dKuibY0+3b1Zqv6kprRT9bBrf//BMUJ0sqfJzdRTnXJ9JLTj/L anMAIdxQ5mRf+SXwG/Q4DeU+aPHFhSA6PzeBOCDR9dbTcSv5dF07baLXR+lALUaWqf0jKDaTmcwX n/U0B7R/j0Qmto37due3hDJqWyg1EwKG6BDiqgds2KM48qjxC6Q5yCy/uBIGQez85CvHsXuRR7Fr +kH8/NBBfviyevOwW+plQ+EgDZicRtxIggHliMBGvvaM4US8e37sMWpQZwD8jp2eezseuR6TC64S qJ2EYY9QpLkW9a1/L3KCQuMgi16HOUGRgYMfHruFT7+B7GrofLNQS+G+PDnxqtoddl68jjS4b2XD NjUC1UM91SD/zP1FxZjkMgdpsnGTJ3xaQPFzM6sXe8uB2OetGa+jHusxgZHmeartgNsTUCVs7UFW 1+oLm0zbOcQEdOdfNr1h5vVrTh4eDURsGTco6HRS9udqhBU0C+9elsfMWW2xxdWNWWERR7vkziXW yiVjsMN7UB4LFpRCknWU/jfTz2p78wpcZthfA2LJvHCY2WTUVPtyKj+Pq9m1ebJSQ/RyvRWUANgP EdGS+PGhzW3VDlSzovlQPZZn5KCgkybdvWy6gxRGBF5+QnH/LQPb7NdskQ0OLZNbJqs+Y+2yUQ5Z /138UDVEOrWMSyWr95C7pd9doj49lGYyMC36GyYwaB60DtsI9o4IDmyMcYWPRKDcImkR/hoU7xAF A72xbQCKXxlUPe7KB7/2AqL35H4Q8UScxBPUdQALg8iSSZ3SyYwoWGui/5eboyOpRSli182T2NKU UcuoQcXaOFES8mNbNnrXi76NnWk8Fj7fkTquryljTeUEfTdMY7p/Kw6YUWpC+/DQMxZsXVZw3//K a4IIec/6I1FjJAFuSHsfwGN5/ph7DnmyKJ2/nmaJQIV8SnihBiTHppMUWMhCbwD7t/7euLtBzQ37 D1fC7CyZHH1KKYKsXS+a3ab3WEIhb7Owc0hjGxKUTrpTKMTM4yc40dHPS3muQ1T0dctrK19Xcgii aWpwDw9oXWM29VMHApnXGfqaM92i0dY2k7HUH65IN3XypLF+uWRSlodqVErDR/XIL+1lfUHJcWyC 1XDNdrGLZJL3UAXOVhrYaPbu7L9z/o/s7dv0o11kqBsAnI0XrX8L32IvfiqaXsSnZcKyHsShS3DZ gct7rHIPgD/Hej+73/UkNgDFcEbuvbMeKQrvk9Qi4fivVHiTrNxXaZ/zcIJ5Y5COu23WXbU3GOd0 l1SHBHpfXhqmLsngc1+WapnxC87XCKAiQ3sIJia7nB39fldbtGb13SCYugrUG2cc8PSnBC05XGCo Mo56qfI+NcpMLdZh7/MjuLYQUe4SdMV9uQj0301JW2aX+93pEcDpTCEg/vi084nAiCNn75ZkO38+ KV3KzuHiE3Fpi6+6RIWA9762wT8xsYgeGD6qsYjH0K2HxHAuhMaJ6IvIvnOlCVlQUsksaS151H8+ E8c+1Mw7+KJqkIzWB4escq+bDl7+RHlUGNTDxgKPhD9G2wO+9WTYg9hSeTqHIVQg4sTnJ9kx5md5 lp1e9aX5ik4dRlcwPfPXWyOtlyins7kP6btKgRM9fZuirXpRVWHQMvgUzV1zHrZfpZI1B4lya1FU BPP4FT7Au9bG9DABmsz1qg9uO62Kbs372jR+lzUWU6/mrOy9mPETNs/J9D9Ypsno/LxycMJQ0+Cm NdH6FJ8geCuneqJy8d3a9ELPmQu6he15goIN3VNU/qgk3maaW980ZBHwoky1R6tDRbc8/CtW2AG8 QCLhatn2FhW6A9oRds6iNThJWrO7D8tr6xsIdiGeD7NVuMC5s44Lt90sJZ4Mh+ky2cLjuAgatpyC 2opEekc+CY3FOt6lXW1b55xiyEXrP7CET1bXHnZxBeUajJ5o61C48PKQy5wjfwEsNtfc8ba7WI/P PE1lCO/lMJqL3Z7oX/IWV28A4QfUID8rZ/sJOkkYLDsOgZX/yy8g7uKtrTS9sVMRLP2hy3ZrJQyD KmNZCrg9+h5wlmsTfBsnvcvbGhjcKFZwGGZzlKwBM/amoUwnunOtzusjTBT1ViysSwOUuGeMJVhw QflsQ/VfkpqSHPRqrZkMroA5HC+N+GbUpUX9b86QbA3qAmYRLRizyjfofDnPutG9TpJdB4TBh63n lB+HPBb+cEqWVkY6VuvsOExL69HNRDS6Q1hfWLFHHGZDtEPfcVBHFg2AC10crNrQ8DN/aqB9claN 5PfVqnDaf7+5hIUjZD2gYM7iPKoYTfFf4osIVoQaWZPmyEWPLC6NQzD7HQuNMGkdtfioaCBdcjya zGKVIqH2PH20gb3v8LRl5cHyJiQdbKlrJEsnorcVGJKXpu6MOzp6dyo+QFGXkElFEt1Ovzp2MYCB reOoj4rEE70RlYT7xa4evNugqg6o3vkTzwH+lBTd/qwO5cMDy/d+XDgYk2sgjCn2hO8mQA4gsAn7 jNjlng8Vx4rYuP21FtNINIVYOd56yvGC+7av1eNpCk6NXFw0ylenswoP57YWGyuguGzgFO10+5km 9BKGQnYJF8BgkGYQbYgFZ2QUeOxcIm1CoMCF3CE11iiaE4Olvwb9HPoxOOsapdjzMF7CsmsirOmX TLbLyEHzfXe6FZZmzqjQYmbFXP6OzC2uboPp/yGQe/OZAWUTUwLg/g04YPcqovghMtl3gAKrgjCU /EkaMxG6TcIHA/XiCpXkl9mWdvA64ml1o9UXlbsmeSyWqfEVUVjWKnx/27BjZhuzL7sFVq58XPGZ UmxzoF+lfz+g6BDwABdI8Rol6qao21rRQZWNCUjhxrQDMDELFKrvVxcQyMGQorJ+0V6lZfYa5YM/ Elkj4b0h2uWiwyn/6DLdxiLEN7NUdelh+fGQ5k8nCET4C3c/qwzJLXds0l9e7HwiqHB8YOO5GLEO N4daBDUj5eSX3IB+iv0Vi+LZ4IrwigGmW8SZyeeQsKBOdsYGn9Jcb7Efde0HlFfmbID03bAE3QPs hOh7SOUiwj56+ekRFTXS7rKzP1rLLEpMVrNPcj4qgUe4Sg5SYh+etjzXFBg8yc6TN653AWeZgiW8 Y1bFWDErnoZuUlZ4K2IzDLaUy8/QHoH8rk2q1jC5znnR47pa4xAXmK3sSyoqhiYiJN0uKTyvziIy idy0BYcJQWYsWyAHhsynCQyxThjsDWUEejs/GXFbHV3A/8eB2EJVFJCgtEPSa8dF0lPN1SgJVjR2 leXlq1nb8LXkYvzxOtWnbOKvGvuVuHinfx0i/lVoFvOAS9jOO2BXPo6W/kJH/GE0rp9XgWJO5kmv fo6/ns3/22ZnV4ddNbZl/MuUPrb0AYVXgRAIUDkhxsXzjZC14Ia0qRWqj6EwCbEL7+Nh2LIdgQCR ySEjesSh+Kqg3OCZeh/+0vDhA4RSquqvR0BYzr75IJqlMzKEMhXmlOkmo94wgR+Os/z2FM/sZ1zC 8O3hhGmn0JhLEadkVahZYxenjILGVng3tzNUlKCclpIKwtU64wbFq+NCkjRZgsY8YwuSc1avvV7u lnFblzB7aGFHNoKX0mGEtF8a+EYuZpiZ/GurZCvwgMo9XWtvykKay8Hqzhy28NBWb1n7Ud7wHoMz z56h9xcG4dNKDViFjj4wt28TLmMt6msV0Bj7WCDBSoqDEe77CUNYBq6bmr20kREOghXEjimlYgGa sZ9+MaVFfBNVlwuywJyuDV84Xsez63Db6eDrc/s+vd9RcrG+DboKTWY2CZB9IoEwP4sXEMuFFI2W PS9PqP/vKRTQRk3xRAAZDhH0QxbbvD7om4fMllzfeX9dGtVlt9xrziS4G9sbGeIvSxanFDRq91hQ QxE8kVZJTenfK2cYk6bamN/ulTZKJ9rSCR9DXrywq553TMwYvACDfsWhCGALiGXQFR2/uxC43wS0 c5FGqrSgD0GmheAT5rvyfpqOik7N9yfAPb5sWdncDhd+MVD5ggkt9Lk5gPFM17+laUUKTr+buwUI J89QgQnqGb1U5iubArgu9fMiC8tdkiO2IlCGy5wEZj5voE/FOvYYY5xHpouBgHEx6Hcf9YKRgwfC CqxYJAIYGejxMzrOM/RnOxcLNxptrgVpm4R7aclcayQnKPPT3SdxY43Z+PV7jBugcd7U754KmqeC YmaT5XehS4pkxiTwKpJdOY36NRVOQxc/fkINDpv+JmpwTUMApnHi3y3V09UZjasEmhBjIT8GdsWA fvWqyCcQADfx1XXCEeJnaegIQwb6RrwhWJpKNHxZVCl+uW7HtHAO3XKk/6kaqBSo8uVHEhoTd9Ez SJZCan7XVV2zzLtJ/4W62IWM+67GQzWVYVzFDtrEq2Gq9AVglPihhbk5FS5kxhJ0M4rEbRhCepvq QQTQyWkSxG05kUP++supkDJidzyZsfL9rJSP6kLgiyPt81CfWjy8OSdA5MEdAkMYXx1Q/yoJykY5 7UrKz0MbYUsffJqQk5+FfLit86FAQCu9PA2Yye1vyKTE1IT8M7F1H+RVRgzKgbaYH8xIYsTdtU4F 5QyRcmdNlmND4o20WyhCj/0pCYQ3JekByjx9CS2u7BINu3kBcA0KjS5v4YXJosOiooRmPxJc3KpL fYHqAHlOL9xR3EUga5YuNSZ++YUnO1X5SJIMMyYl9bDOU/fsXel/owvt3sRiGCmHj6BCX00ZsJdb 1pfinGRoIJs8gnNBsP00xS3VwPbPhGc3vl3Wb1D5vRRNr5H+jp4EGDHZ0nVjoUEeV3+h6DRMyLNG yEpFJFD055vXcpRYvOXrhvcTr8uQFtsk00Lsd7SB8gy8tfh1QZVNK+1N4ILzLcX0bXVS1zMaCE2k 2mLo66t1kn1ONmkJ/p4cmm1ddt2hB01vqGERQRZDDIGp8QHg/cg7ZnyIVQwdwT/CHmR7G1ENbohZ sQc2lcPeTq3uRjGhdBUe/hwewR+LMGIT4Or6NrSN74LVjlPEYg/9gw7+agVlXaTmArSuuagLFlX+ /6fxxP3QTk97QG+IBMqr+giztwD8TIkJbrPUMK6sOYNB7vp4kVFR5Wjxj6S14msJeo00GEsZa3dQ q8g8xtGgnlNaalBNVX63/hp5DQqlq4+sytypXotnyGxCoG2eAi9+hc3tsXF04lm+seAvfaA0U3xH 2AVSxtq02h6MXVforFZhshWsSMwlP3z/wJLM2AJ+fyIOt+fz0tnECgXhJxmyyzM5Hs1YmezmOpY2 mKOnTs1FcMsCN84LFsBngS26FTRN1l1O9DG7ppy/gzYGAhqlt+aDWtPliGmKrek3XWUJn+to3bAm tHSLZcvfTD7C7DeEDD/6VnKDtJRlOYh0HB4b8BTDTCJLdWFNNuwrJhnloPH6JghLIhprrj1eCM/L XesQpuoWRzYAyQoRh3GzPJHTVydmutGhMtxodXQ6xZw9eeNsCp5teJ1JcmigFtH3ZEVD+/lKYJiX sxF66kL0DJXVwz7afm7yPqxl30YyVO9xOgcBpWsOG0U8M8/A0R1pkYj0YUAOkFfxjQ2QrZI3fbbD VeTuFfS3zhHmFV5D9oPkDn326zmwWMfeYWFYec4emQUk+VMveGEiwO2udXBCNi831KVXff7nJXHI 1S7kNZNmU2z74xK3yCALGcr+j2U2cxmC4QnCapK/N4JTFKTQWXFxessc5RzI1tSUg0Yv5QmOo7En OZDdRiY8UI2BOkJR8PvouCxX5hGt4SVwDWyquM8THo9eCw+Ci3zxhpTaO3ahKHmZUm9zsbfBQAjn pF9PGG80IHaHeS0+YD3m0OriBrgRZepVQdjsZq5aJs1kYwUM1K2RW2S4LNIZg+q2LXAK+38anl7F THD4zlLqmgiXoBtpsH/5kuA+QFoL3dJQJNJoHjt9SahDwOhplLFYVUwcSP4MK0B09mwQH9QDz8XC 7VNVD6d2qOjkjpEnOosPPZdxJmrjs3GkupEzPJu2EpU+svI9hPwXik00+iqkWYjw1fPMDFZu8l7a TNzIRhCnAJ0bCdEsCQQfx5YXI7ohsDSvm7FpWpI4zJHDdbxN1EKNtq7k2/tpWrwx/Q4nxE9N8+Ci uiWHDukHwMRWa70Y5KFQhJRWo9ccuVPHkaH8TSY6KIjHqjE5CydARoOWlCI5EiXZP5CH6dVBru5o SxP0JrMc9b61NxSeBJJxgBgGsuL3ZR+pL631yIXoR3iCnq44obcI1AkfC0fKPSr6WggeOzrDK80d eOB3z6LNKTUtDF8WTzcOcVvAiQAD+BlBNyf3XvtT05ZnNF4RN9zIR5IYjUnN2vSiCFdNkmFs5uMq LmBIDlL2ZzKwNEu0POdx0CSC+AcgwLN9Zg3jc93R432F60EGyLCTMyN8F5zCYjlvjALtHLxQ/K+F CNjoH/s5W12BndUWlF4Q+3OvEOr5/MJ1TnS0ar29ruiKIxmKoh2zPVE2UryHe3IAMJEI85zaZvWU HfMjjuSSAhT7GAaKhMKGbSdSv5gWOGpSzSnAwBskEoWwWxG7Ou9qLRE2XsxDaul3mHhvfj6yb4DV MumaNZfgN8DteooTHeWM59AAJ4mZZKeARge74vAlS170mFML1IiwhUScuL9lwxgdbOTcxd4doz/J 6SeU/+QlKgeJ8IObjmFQWjLG951Y8j+eii5PC0GMrjmRQ9vDZO4COvBZ6Shm2+HH6uD5hfh7brxA 1suMAaRO+XdPzFTQbdW1gbsQATutUZsFOsRdxnDohMea8PCLEZuIdEtt7OBCRjlweTdnD85bDm39 /QUIRr9XyrWTu1pQZNc4RdsY+n2JryKGTguHx7HoEkmcoJRp3TefZi7YpmVq4BWxrXqG9gFtPo/K 6yG2fsVpejteEexjaSqZFy4rKK/f+Rs+wihsJIZYtRJXeE1JR7ILa5Of1HSTPdSj3tNMGtQ9u6kt f23F254o/MRshzYKZpixNe5ExStRyeGF0yLlteRJT1lO0XRNe3sQ5UU5q/NsX8KXXAdvwrYpxiri bSCP39qXOLFZnXR2c3F1l7SbQkJODq8DDjHW9nHXG3v1fdVI+C5pgsFxmA+Y6JgdKV6cFkL9/5aH KnRPIDyHPKtJ+xf/JoTtD0CEkxcr26NQ1LGgYAGAB/bw4t1zFOquKNePuKafGodQXeBikaHghrMh mvD0hJJI9oCJycvdBthEEjng+4f9P+MxeyKdQGi9lm81AKRgBTNIaqQmqy4a6P+/eXnqPHV5t7Ja wVIRmjF1n05Q5Vl0o4zXic18RAQe72kHUQhqCdFnRF/ZK6kVltnABPTKS75QIt6c1Iruh+oW4IAm EvM6TiDkQDER6E/amllPIPAacU74InD062KRdcrGGfX4mWMrkHRb1DewNgRyA7B5O6rMifH+fMPy 4HmSVoaqpspoFlwZe00rwsrkY8xud4c7I7YpaqnVL+dhj1PBxJnkWYdGR847q/Ti/anq5z/JnYRw uVtij4zJGhwkMPyOLzYAOQW/46yEC7kcw/PvHD46vQwTyglfDy5T0wKGiUtM0a98QCfnBceX0U5B 79/OoIkMv69nBw5jXnutNZ/G8SeDABdYdDvK0qVO8erJmExUcznN1/8uuwxCQvmBxDszf7svSNXI monY0c/UujLb1gA2rVSsqsbnAwagFv7fmHF4XlD4O4pU1nQT1dLrLUK/8Jin70HZrmgURhUnbMQY j19OSsq1tGRm3+3BEoDbWDbAyy4YANtjoRXGEXMx6lD5HCP6d4FMlXRFdwVOG6Cn5FL73ah+jZyu 81yHu3v5h1O9XHXWgMMkCD8pPqjHDcta0ZCngfK4ErF2Tn+dtRlhwuAvlSODlzAGvP2rU5P86Z1k wWE5cCEKe85r90+MxVS4Z47dz1w8/Jnw5E7tgsPj7o9YKNj1JJlOR7s6GozslkCIw0bJ1L/6r7IF 4h5X96F0ERGkxHLh53ModttILxnmUytrWuRY3y2/8j2TvSonFsIN9gDz/ncoz3/D24ZU6jeAGupG TxC7rAPaesaRplR7mALYJrsubcHqedAYXcHOLZ6xZ8Xr/q7NMR1L8V4kW6hnFeyBJRKQGevQQRCB EsYDxPcU776Qewfqh8JE10AtZjqzKEYkfl5CjRMMkD5RS4C3CZhT6zBLh/vHYnPDCLqTcoXF09ae KbXGt/wmFMi4GKmRdOtXyh6Un/kn4UyVvrbmQCMLyGV+o0nDicIofcHxYCDjZnt2YEDLRdtlsqR3 IFZpome5OTLJ26ekw+gGITpZzMeClTuEmE2eCPUenME2djVIQUCuskUBSnDlo9O9fB90RPEU5qLU XX3khMCy8WIJDn3ZUyAdO3b9EsS89B6do/VPgtzG+8Bco6cGv6eWTy6nynU1CSpirxlI+itI8PsW R8v0tvRPYIT5WqqW6S/HT5/6QHdIhvCYGV1tQpfKGHk697Ih5CvBTZW/3td0gNCbcG6iihDg3eIU 28TfklwgTXd7rkomx//CWx4+uoR0+N0S/YYSIbvUj4pfynKB9iRoUTGb5OYOeG82g5bP+TWD/lYE flBhV6QqYCmlBxYrItgq7AsN0FdWg5Ple0ZgxC9jSF9/RWWofowf8xFr9ejcnFd8dDeKI44zpVti zYyR4+Yugl1HSPy7gGOkENlxsc9sR580EcLut/uvW3lJj9D3L295a6P9CCUGuAzsJinDd4ff0pYZ Nqrw05ilvmCFy15D7ulG/o8+X2BfFTaE2zZH/0fPl/tc0JR6vXDbsxtCQVfRZ/RYZzD9lf8Jp4Ew yAIH5lLl1sa8W9BYBx2k6rijllIdXZQM4VibKhPo5Xtyi2nltWrFOp+V0cxK4dKlvA/IBa/xplNR XRgHxxOM1VuaxNvESTca8vh/zJynod8JjxPyveorUxZ0QIdf3XyishrUui+4R1TSkoSBJgVY2tz4 xVsezD5Y6CK6dFSyR+aGdLU35c7f5L2MdQOeFbMNJIftxsWgcma1BoWV1wplRIE343L/HoBnIbFs Dn71Sj1jmjumAwexzfQ8UYLDM/pr6Jip8jmcMUnAuk8gFNdesw7YXazfZCiNjCMqDQaReCG6Hi53 g5ckgbALC5XdZNnX48OwkCM4WvlsYjf0YrqmzNoxIY6IGJJMit/24NPzDzwcdGGdUKrmeSde4L11 /pF8aP8nuw0rPMc01HO0pq4MRbtPMY2NLrekAAuMa2kkAPNiQS1BUHxAS+Dsm31MKiMOxJQSLggJ 6jghzkWQQ48cZ3AZIA0g9ZHoElrKZwpf60/arp/xemfLtjCu7aa2szzIhXxUNGH0I2uzLL6kwMf1 UiOQ/veh8zB/9qkoKqUxUlAlvZmFuPsAaL7ntD4kzffVNmwtj1i07Hkmx4i0iLnLRUo/teMCakbr tg2JE/aQxFJoOrrkxZpKCsZTlgyxjFYshbCUPJJJo4F0Y2WWu5ShTsI0Eqswxl/xgWhr/ZxHmG+3 gHtfb6dOqT82XvyOad3GYHCElCnM4xzOv4wrlaaBYwY0oMy1NtrfBygoIXCHDgjBEEgrVZxdKsm/ 0LPzo8ukQaMjJGhmjDDkNCVCHA10PWNe7aOIDMKFS2Db83KFWTIjs+ueWmUpJxlJrK2l2WZxtItJ I7soy9zEQwnbqNkxbqw262Yj6FJO7FrKGGinFjn/htV3ECB/jTyzgPHQ8kyF4kKxA37UB5dywfOT vB/2YudykwD9x2T1087Ee+cXbh4o37/h4W1AWVMHLKKgQI837Cwl6Hi/8lPqbFQhuztDYa9dqwOt D5vZtP30WnznSWN7LFwLjoVlYQ4BHivbFbm8V/Mzek9QwxSVmRPGMTRMtmdzLdcT7cRkVFa6a1dj sy5wieUSXDnexee7xNH4rG1kZF4M/GZnCfijSp1CQ/sHQe9todXOFOa8RkfdF1Jpzt6tY+owmxma k0FVC8vMCY4uUNQcTlz8Ua9PuZuy9Jy1sKVl5vm1UCzYcG9Lm405NcteD75k7BneiBqoV2VFX79V vF3R6DY+2rpmuRrVZmKXqBcO7Ee3pConiKfmAW6vfleJvuMEO0iNOAA6V+y46Xwb+oPdys6fNa6x BAcnnfdVq/hVdzlL/Ertl3tkUaBmy9F7nmdMQDsjNaE2VmxJlhi82XD0EgIaGm7oswD3OqU5hEik C3z06T5ZnACIIK/qsX523qKpIL69GTH1PbToZ/uJ+mxmAzk8Uylw3iVi21GWLwAQrTl1tapWHX4E 8A57jhr9U4cWYGJiTPBBSunXhlDVHKrFxn0WgH6kiyXtPAibIBpLslUzAg7V+eIznAc2OOav0DQh 9GEVV9gVHYjnEBJUTDbsBgtInCxVQkBfylddn49Gw9EcegJoo/Q06MFkIYHXERj81PSUa2rGO21j XPVPOB6omMIbBn05hVxviCmOztLPW5kO1mfOQvE2lxs/ugGJVJcn9XDTgcADQ1ljNC2/SC26rr7P 8dx9xAaDXole01+7XziZn8nQts48G0hiF7BbnnehRw20I3o4IFaxNyolowfT0DlQ7bPNIRTJpG/a pn4HeGy6Cx5Q+Bzjh2Ona1xIWShsT5s40oxv1Y8tKzxdG0h1rIahxLqpsdQuHG2TepM4N+vLX3jn kawnTazvpe0MWNCTUOwtNXVOYngrj7Wb7F/uVjJKBB8fy7xAPFvXi+OsMFLmetzBtYXXjDRYRgPc qzMBRUPDMoiCBJ/jh45nd031UW///LMS8IW7Ym60VL+NwKrOyqQJ775a6c5oRtDGJ6DrX/Sc4f1w C7il7XaGjnCCItopjs5sWianpS5LKVB3FKnhKxR1Kw41vBJ5HPQWB6681ouWFpnNQLfujg0hPXqe l5mVZEREyejJzC/a3lnhlMuPvXdLnQ/QIiW1DFhKDdtdMM051qlv2V9rQJM63qMjhFeZByNxk92a j6AtgM+U8Vxkwa3HoHLZv1bOnQngBzLLodHZWeA+OSC5l0uEQYVnxfxx7UDMNI/A3Unpc6mySpFs NBQ1WCgiA9xTVSYXatbaTw8ZwAZP2dIqyJtiLvAvqQoQ3Yqz6I14n+feowkO8CUtlvhQQhU6Wcvw We6CtmtJuN/a5GPrbojzKrrXMBTKoiVVAao5uNGhEzhb8DwYguuTnrpI/6b1vwRXnpI6vlxHzLJp 1lafsuz0bsEJZ1wjc+I2rHg4fkzkq3RLdBCELBrA63PYVSnb/qFE3VAQMJj5ps6kArCGpvgekOa+ QZwtBU11B8Pnn7iq89gAi6Y2vq15dD2UsCyit+6YbYdGthhzyH53n4Q/8H/xzdZhyd2FK7FYDZye RGp2hgRox4r4741gGBY3rAf1ArYpX5eg4pr7PgZ/Ve9Rb/6EUTsz3GiTYewwBGB2ploVqdqBWZx+ EUhxuA90ud0ENbW0FwJXkdDQr3znDGoVAZvliXFrB4eOZTMoAcsrWh5AgoEeU6bV8ruLSJzp8za5 bl4Wt2Wa4Mzx2TH3WFT1y2sxRDkeEBBpq54Ae9osby3hBshkmMtXajM4LnVI63AQxKJU4w90hC9P h9mL9qWdv3V+L6sTpadWkEH8/uJR7n6WWKjDzjZ0+zLsDvUymyoQyshtmA60U1FRme3QUAEhprYj +XL0C5cDkRsoWKDEhsdeSbvXfAVxb3vco6h7e4wU1PikAi/TZdb6LOQGkI0XCEuHZhHEazAlPSzx amhcxX1Y+CKGZ8tb1UPR34DlvWHiF97pefppD/fdeHvY6SGftz8lrV2Ba3T3mQ15QLQ+d+4rT63U VEM3GA9l58Yvqcm2x2z2NJhO9e5RSAFECwZ4mIQ4elZF218V1UNJJ9ckALJnqRbo+2FTDggyyyEq a3Ka9pO0fG50DYu/rkXdX4BlfY030dExcEvjwOgSGfMN5qv4WpZLmCwlG0hRgK8IOlyczOAJxkWb Vf+upZH0P0vXVlU7809egan4QDMhq3875BUU39KoeBA7FU+eGk+DI2GjdDEpMkcsXDktUvzJrQdo lMgypa8wq3/JpSwhrgzKHPKWKlOZcYLR1JQ+4B/huUv4NLXYqKMOqAVSb2I9TQJBtkZr/LPTs1xA 64VGg2+HIxAHbE6cuc+KGOvcKBCOql4FSfBYnttdo0EXg6YNvlXFAKSZSK+MWV2eU2WJnGox7hjo bgYXnJWCmLS2h8IwGURrLm02Cwew/dAPIkmS+An4jyNot4DTHn25Xt18FwsfxlfbhUJJWVdMHpkr kHyngaTtJPb0XEJQeM2+ii5uJxTJYJ+OU52/9CsXwUHqywwpdv34mHvCl46V4Cf9Llx/q9v6+NsT YcOwmWqibAc59N0Ixn97xEmy/+l/CcBE041zTOsv3ArE5c9+SG6Pk9ToFRWBVaRkOBWGIKEYLefp aMXN4MGqN5AoGQifCt0YvPwJ+iqzChq8U0tn8ElcgO+s2zV/hGf0YfgGSFL6S4F0gw5gUqZxvFdG 7KxkeQvMLmRX1+DxI2/64K/jsdm2E4higaHWTPBITmzPWiYY+gj2nF3gP2w8cO/w2wJllf8M434k F3kYCMWl25KqPSLccv5r1cWmsqgDpL/2fM6Zgi+45Chn6jaP2EHoUUC9RIq67XpONsFGBSmaY4id QHyMjOz5/6NkuryD1D+/+WPoHryR/cJzi/mCkY7mMgjGJfpSWex03muC7GjbN5VGkY70n3IlrE4j 0WdT0/TYLgORItNHiLriV8K/Npbbandg8hvMTYYVN8YY9c4oSMqkrfPAJIwgiJor82zP8DnIu5Hc tjp5x11woU3snp8nbfd1OGWHIJ9IBl8qBTHTc1PPgZHPd+R8N8ndEvyRs6SeLfK3GQYpNTEm4Ka3 aLG/TPjOfaGwHAR/3cy8/HZyKzrjGtBZQdgeRMyCxYQhWNFgvwqxw0TpI5ioHACaWollYAr+jztq w4qF8AxZ9e/ftQlvdl6rpZDHMOb5+eqUL3oUctvx60hgzd1OGu8DBjWqRcSN0M/YyOIzNanmzwlR 26fWfMLB6jgAkFDcO7b0A54bj3x5ceeO+O23jsdhhfGqa0pfBE27vmjHbupwOvm36Ipp6phFHtKG 7BpDpeJ9a/E4H4KmIak0/1XEgG0lh87W7VLWAw7rQk9GPJPXOkPBB+vFUfD25RMiLWYrxNl5Z0Ay exa+4zIJ9LrKu/5XxYb91UKVJVrJ0VdaLcigQPn33ovLzHy4FKutNhwwRBLqsOhf9d/klDx5HlbB M1V99fRCp2XN/lVKTrVfgVuYLFufmZU7bhBfvPTQkkBEV1NYgHRk71D6ugiYlAND0UpzCeS+uzdq khY6xZqTz7fVNFnUO0eF5Ax5pYvO80aMxjbGpYkYx82yJaKLJDLhcI+ihvOGNcnEHB3caLAjccZm utJgItQlSltGw0lCrEW/X0ERDO8W0N5OwMvfM87+tmt7adJyw00QEvm0sG6+2zHD43eConQfN3aN r4tE/MjF6ZI/uYutBn9IiR8ELvlmASqu9/hdG/myNjGHWa2EyfkSGupddmetDcsRZjckKqhEiLCW cezPFvj+ubWCut8kCxYePUqSM6Yg46lS12c2tUcR2Quytp6bS1CRwMEb3AUSoyDzMkHDgTJ5U9DD xjcYBoqptHZac3KEiB+bfFwQ0N8fl3L2IieFHyvj7IiiWuAMdOCYsiTu91QskzHBIBKiMMh1Nlxi a63GuQuU9WyX8seLavuha63zjsazpKUXSD9vWb9nsSUZ2xCi/Y54TOSCQaVMRNf99u/V1cd5mmZH U092kOkpph+ayRVX7/wEz1cZcSQTTjNBUagVMbu9OviyFS/9K4S9V6Gss8EFd5qglLG5sXdU3EWZ s6OMCoyxTjb5WH2sXcNr88ButE25DLY302Gbk85COUDnbd23jwwO+3Byl9qb0HrQqKGdzmfVas5p aY8tXpw43/ucnetKKbKHjZtZsQApUuXSTLJ070zBd6CRe1U13HAcgjEXR3Db7UqFkS4M0/W7PdBy lkSkLDkYLrHYtWoen/6VnbtTUli+3yJtsdRyYUCfrsbfLv+6/x+J4Z3HLBW2uS6hHLpczJUZrYJt iuCVpBMCpL5OE0KaJIZEVZto49TT0WRBPXHjtxYXtnpmSwcpHXkC8LEqgCU8yBkVV9+NzX2EgwS8 6byHMYvRzViCK/oAflya3UeZ7xTk1tMSMuu1XZ5LKEgCVRIF0TUg2c5/I8LNBsduc2RPWxGrbBWM WLy4G9u6Y2IG0TdWmhLV2LBouXADxmXiI5yHmeC3Q3ZlIrnb/27xA3NRVdrND5g85uiWnQ1oldRC 8xYCMVr7AZ1etRVMH8rtjD9JVhDxSOU10Z79PnenE9FTredg8mkcaYSLeHHESYJ85uFv6HVAFrY2 +NkNB7p+lpx+BvHlpLWjr+eI/Ieu9Sfpl/DVACzhx/u7SGzvIW8pW2necv5KPIwKmxotg0Sp1w+h i2F8BbEe/c20TnK9gwqGb1h07YPZZrpABlczIAZ5dyWpZa8KZOv/KP/bGA3Tjvq07RkXzr2hYsTn fM1sUGDdWk43xVx8eqBkTIPXs/WHaZDXwkO/Bw3n79AZXyzF/VpYka6blli000y5iLQdYN2Aq4KS HjhzG79VGoZfmOWis0dEaC/DM5rM519cdkyz6IKbpee3L3uy/Jk0rVz21q9A6B1i2DwPx4yytuTi 9pG5XGzM+yMimU+2T7B1zFqBbPoWTw6VG2VFgITHLA8ytc1+rBiNy+WwKDN55IJOY5jfK4aa4ftE jSUcNuIaD6PBjW7ZtmYcY0K1Tg/Oc9/e80SP1HVj4b6+Xa6q6k7/1tDKcM0nitMBHYhFJk466dAY OphyGqAQZZB9ddiW2LazF0tFz99v/F8rV7g8MVw8FknjTDK7ppgXZDmYhHLxUC/lHSyghr3wiidN jCzQOE7H0dFYxVvB+oXIgheWfx3Ar5W7Qfej7hN/X3k+ZQFU7gGAUKtAj2LPRKL6non0nereJpww cgaDGSdVYblBSGLLoB1lIRDfF2VZFoL7nT4WB5mXXNy4qILNQ9l6MsPinJtOc+eAa/zjWMLdfJ99 QP0B+HcdRU/lPcAGjEXT7vBnXTaJJr0P63XCQkJf3brIzbV/j/bcLCa/qQqaNAT5YhFP9aa4Zozd zqJMmWo/yktcA0t1qVagCI9MsuXGWOnKbqiUuyq2lv2KU3BS3bwLUMsEJ9p7YGRiERoXm/8WANvc Grmr2Zzrhlwljhg6+toQBBQxNdKhhIugfObE+T5cgHfPI/VqCOMZI30GVTUEWuSbQZJTsroPH++M 3ge0P0KMxlAlvnWekbMqHbTKL8NXKwgA5Az0jLLlktvl+gFIkyFB6yy33LnUv3+Uc3E/6NNHmb99 JSUFSQG+fqLsL+cvEi/4mGbd4h0CD1RsKsd2MLev9vRdxGoKaKOUOQyJxF526hH3Nz1wo7A2K4tc GhOgebD3XLafVD5la6hcBWCz3miQ8BpeT6vKMoM8CF5ROn8Gqz4WZXlc7VE70auF3tyXiIGok8Az ioPMeLYa+pBNRBUqX6WEdvxGKrGr4Oz7cSCqRFA0NNERXNmUoiZsZ5FpD5MKXg4fAmAN86FOzdie 1PTd2h9xurGLZALvCk6WkXQT7nstSNa+JZyaFPRxb524DWNyHS4AAQVjCxTN/2t/S6uDq1IKZfVN /aeyBZZvlUvnog/rWiFzd5EkKRnsFy/dEjAOZB5FDq48YGdl1UJTbEp6cTJnJ1/NiVylC19WQBRF TZQ8TBOrtULmxz7cfw/lR4q9hVqISkbjD2g+mhK6Y+BkksenBw8mVB3Bb8dbktGgKNKxvlJtqUgY gI1n8BbSgPjw1MSAJG3wHUMdwJLuVvdNyaIPOYzKtMH+Lrpi7ZATge3Fie2EQDmA2MrfknGeQBA6 IRN7EfHmG8WvzbWKQnGL8etX5buvh6ItVObS1EsAuOztF4LG03Z5YdV5UHA8FI6DmYItUowclIko 6hDr0pvAD8UgBdShC3XqOYjjRV/q4QqKbPAR3xrUqRKXGdniuSovVabjt6j9pnJ/+/4LXIWqXFIn tEaIq6UJpBt6gTy/kQF8enxf8/Za6FedFm1S0HzWcFEanmMtWLMfCkWKsBt1B+8OQnZLNELy1F7F MxHSgzdvkju5ivolNrJ6ogBKcUhMFmMHoF5tJ3jo1KN0zzo74wknqITd6nAqvuuvXBZSQADLtIDw J8WLM5NlEbnx+jNSvrFwcl4reMEnuqjZP/vqPWSuNvllmI9U75RX1epuvBqd9x9+f1okDXLxXMMq YQmDQHZSmFIvbawX/VkwVqAOcuK0D7JEUNrDexczivl7rDyJ/ZXTwpjCyiH/orJw0X8NpV39TlSF izi4AxnoVTNaI/Jyy7S+V8tV3H6i8Uo4GGfdlgZkl2dM5ioL5RPdOFn2cDHXsworAFNNGVlULsqm Wb2AwtC9J3w86GFW/i3OnpEuB1WMB02Y9SORx1IuKOxAaK5JOouJlIxyUdQBe3Urtf5UZwzX01kW PC6Q8y5r7psBJcQ/gyot7q7teu+XCmRN72Z4XbeD2H+aHjPOMfw4/mMv1DYa943l6LAsNvxbN8HL errOEfnsaXo1BKNw7tkmCLANSHwHSfTzcxqjsZXo8FMbRmXbGxwLf+tOyr+ps2GZWCJW+4N+cCCq IA+Bp43xhzWAY7WAPipp1i9dz6guCBhleTwFeD7cf440h9ypKTDP9zFUcfbYkcQHgpaSS4a45wlx izetuSuHPR/vyhdffVIc67o8TWjzqhGL/Aq+CC1d8qPEYzyr87hh6LEye8QSM3K/Gz/xMtCm9AdC PkJJCmJouPDNbiMYVHrpuMA/TlD7Juao0+N1NXhr912CCCm2ORRJLb71IDFmOgfncMrx6uoN/CPb w7y57XT0HlxGgQRapWDGVSimMqzcsv9BmI29TphsmIVStf3V5eo2Z//mEFy0gJx4BwfNoq/W21tr AVpFDArTSzL8s+rDaIqu6hPPy4f/P8NS/7QeCAq6QRE+Qel80UNB/4+hQdhVBhuWyeBU7KFb0fwb dZAqgO8+1ZvlvTvF63LVLzo7hHk6qqxUO9SAjNEEf4XhuSzWk6FWU7ymO9QSYYwjdln6ui9X3kkB Pnfgn7Q6WH7V8tOpUdl42MZTJyxFshTp0WgFVHO8LR+YVEBopnT5sArdlV8IXr+Z/sezxWaSU8tz ZXQ7olvdt2/lxScfj0AXjb3OVVqeh86IvEoDN6IPNh/NfcJdSxJYDBml4fvKShc5GLZqy7tcPtgY RXBPh1ix6Ln4f8vbBnQ2RP7sOEysu2OcR/QloZjwmAxTap4AZaUtVOseyxvSBHpWTzPaKXt1sUex hiHoaH62hNcmPERzfaHJzMUU/VaprURU0hnjW7Y1jDAN24SQmzhcAVuqRvqyRLwKCHwY8pm5S/eU 7DpaWu3sfUZK9M2ZwKSNKlqWp+awEFlf+20CQHmp61V+q6JCBfpEf9VyrSl9QTO/R2ZWXChLZkh2 ZjyZ/e3JLQXQFdS3w1Ueu4eotDosMq9G1Wo+XSnBlcV/Rg9z3JZDWp/O57Fz6ORzSmc11f1vvCjr QJ89MXbKWcXJBSXROOeBqn5ebsXJsotrVsZw8UNNaxawVHnw3JRFiMMOBnsz6D4FFJPdufpqWr7u jGcPJ8Xc9HkW92cOI0ssWkDhuvM2xnK0oKS5ZkwRGbF1Rkkh/oFc2qRxplStPrkSugwKd/M/IdNi nlQY4Ew8LqP2P9MYNJjW1RczgWAc6a6NosHvR8v+659YMlUpa7Uk5kq1wALd+sXiv8574DVCksY6 vwQ9GT79tP/D6MLDAN6J98kGA0zqv+vmdo7o6kMinB+FnOZBzs1b92LJ35wCTaTWbKQznz9xNhpN F6jvtFWz2S6W171Fth46WNebFQyl2l3IezpcEku//SEIKin5jnLIUsyW+T9wpE0NBywqOvx9xMlc TFxNjNDQWpjyuspvoOCtKCzjHENsR6vIS9TgCSH5wIRnCNlyhUVue5KsopVdEJp5qfrFri0uvf30 Usplv2vxQiJ78TACmLflGDuut83HaRaD5kskCz3vxqqKmSUUbzEhWr/vtXVkxtkkPG0Z1kWznPOR zi+kJpsSryMEsxf8mBdHI5qjpkXzniUQcGR2O9rFIK4YCrJilofCF7eI1uRH8sVgWgjWcCt2vAUx lNFAaJAkyoSys6GjuteY+zwE4kUR5X2H7YawQBwQN1QlMZbR3SRihDujbdEGP2CpyLFifdneE01I TDpTUrkKcOwhJPtTSPXxeAgXJItPA1hWkBUg34QX2FSGGDC1v0kvl7bkCyj6HP+hy9zIarhTezpE tz3jrQO4bGgEHZrVWYYRwf6CQYB0GjUwVJyNlRPXM0GGciLUmCzCxLOBMfXdPgsqPsDgWlBRIMEd qtvHMRpRyBo1bYf6U3b3vVj4DXJvYyL8eIoHOjHc36+1RO+8J+tYSKlAqIRxhBAuvTVM6M73rvZd uIze8CgJy6IIZzInBoaal1Qccy9oOVoZOOvLywa9gHjuv7aQ3eRZCYNw9q+p+qBmGOaIqwl2gp2r hxJjrD9OI2I47uFeMVkriK8HlRr0UEbD/SB6p/iLD6rbW+uzDSlouDxCqfafpPkvhRgtl7luTBkW LfTa3hgnVBu6VchoqBqECGfKybdW2jBv8dVLu9r1591zwnQuRqCgMJ4aEwcUp/OOSkvxJP2hONg7 0wXJf1r/DXCF6bwb4yQPr71qJ0wOjKl0wpjsK2hRMSs8OuVp3MatIxT+ck8DMSUkohHoZ3E9OU6u /QCwTh45MtCc5EMS12Z2aZMhTxKi+OGyaj3Spela6XwFz2RALy0aMctGKYAMKO5g9A0bt5P4Csat YuMX84QL7e2oTSxxpiFKm90jaPwC7T4I/cyj6+G2RkBFPgAc1OsemhW437xDJRe9Oz7ScF3N4mYf m6TrahTGDY4KwKqJmrfa1sMakSiQrGviM1l/JpEe4kM0FAQkBtOai+SsA3DZo4nGT76bGCyGCA78 tdgK73ZNc401dtAAFMnu1muq46RawYZdYLr484beSKtNyVWOqKOrBRP++YmL2b9mH6TPHOr9YfNR VJc2h5ODfkLlM2Cs78aS+LjqR6sKNaE6WGf3G8xcEA4NBggpjqxSW3mgfp2TvzfMOMHTvDUbE43O JixO/PKNNPdzPxrpLRkLAqKjswcBkrsh6v1SVlC6Mj5hycxjakVd5qUH+6niuq+puWIlTRevfCqu THFKmOGSjglXCUVjk9UV59k2q4HyYOsrXrGX16QR2EwEPwM7Sdk4iqQUUW82P8MYKrptusWi/8+O xVDeRyLoZOA05W6uLcnFGkqfSiRqkDuVkFgiRsOz+LN5tkZq3hoYQjgSJE7so4rtEj+nDq+/WYJD lwFIQZKNMlg4zKzhHQKKRv7ZL2+c3Tc0Ft8NS0nTwqKuBSxNGM2WJIhji0A2bY1x9nh9/j8FxO9n Ak1QlDXEMFKY+JEg4nUS3Knsd618oycyLN43Z06BttQg63fAFSyEKQq11RWBc97B7kORYJQ7AWK5 lGDZHMB/++3R/3UImX9mE4tmbCKGuwxyjA/wLTlljVZ+00c1/w+fIDwe6BSJpMcoBb5xLWHMCRtx 1Q097GPbMwIH4XpMxeVDMsUkCl+64AZfJqN7Sq7kRsFq2GrlPVC3k9SRyMSOVT1LNue5IYppHdRp G6G1FYVYvCdOexuGHoofk0AKLp0Tz0Xwg9EvgSEfEuYILcRHEQjTHNi2MFtGR1V4bmpe1nXBLmsE iJ2yuJBQwk9cgfcJouF67sMVwBFrZelG/hDz5/nnY9w5ZbELgu5GIHf7kAKzkJGtEDwa68fMWtf2 DLl76DV3jBaEWKx7FJsk0r+aZpIFMWHXimwaIHfvW8chD4Fi95jQLCTnMzP5nJ2qIWgPnooxuqCi lfcgsk5NuZXpfprGm8F5CdukDjiQ89h6nQEeu7JKn4L39w3GvduNy+3hMvTsViqxZfAWjAswVKsh HxAFAaGSsYsKIfeM9cHlF5vKUYoE/9cOmttB/2DLrtLfCxfMFrtmGy3KOM8eY/i6y+YbSQjVSQyv l6AXg8zOWqrrKRG1CN3tVxQzxmwQY72MDHVEnMoNvY1csc3l1J8qtBIR4OX0DTKv4tvXLEyXgOnc MYrDmF+C0rATbZIa9KnEdp3ct8v+Wf0HpZszlH46N3DEMU1eRxsIp8Hgmd6E+lNp9ioU1NXrf3cp clB9vJzkzsamffYqS4en/YpBdX64lTxKPp6bWOmwXPVC7STYAlvnffvuVBdf31HUDtWw1K62OG3I +M9wiqMRWv+HZEgIIXVJ6IrykueoqD4N4/WwkvpHLsqIXE8vux1OytDOStl7SDtshapqN5isxhfM rydafZOYRLGlIPs8wFM5HLljqxTakFU9WhrmN6FCrfz8jIu7hYVKBd3GrzKq5hF0cJxi6gBxHD1s moEh6rfwVUgRe0NYOaIvydjcFQ55uQ+xk07s+epZSxa9W060hI4T0qkayry6J8FR2EamUfo7j4qv AJfrqJ8W6dWdG2wEdGJmzuY0q82RUD/rVhUSFhXxw5tkG50maTczLrHQE+1t2bNXoGLSSbqJmfhR D1wsPbPkz4lQjE9ydvlXBEpJN5ZZ0TNK9+TBjxXr8QJc+NbsSYf4cP+OD4hT4GbdmgqITnrCKOZt Njt4U4oAhllx2jkKb1xqI2X+ipYyeLwZrVqg85xgynD75pZfGgcXs3VqVB1ZvTDEzorIGFp8DbvF hm1O8NryKLjlY89BwCVZT+Vc2kQ5gKpmT/BmhDwOP4aXmglP1/jLoleejOLInao40xUJBDfIuuE4 vuRWEAceDWhUdeWKZ/93liCd1C2nD1bBvWCLYg35QPfVq+bZ1TWn5NcKPYDRdE0xoqWmU0bURT5P E3kd+q23Q2kHD3wSKwHCe96bqH0PRmWFvszGKrrAVFxMx79yIIaIDxArS8Hkozix4fxRhfvu0xb+ 52YL5UjbmSaGfhvKqjwe5Uw0kEQVBYxIQOR4g/CQhV2NXBhGBCpHESpZu3scytsnOXGGaqa8H3q0 TTJsEohuEIei60fWr6yPbps+tqv5U/HMJbwNNQggbOF3FVfmC8/KVjGgbrwjR2lFZ8Oy6JX+K6NT jLcwSSRrRi5wEdbv9JgSTmKIBq5/s9C5brBy262FkHQR2eywJxiExX61ZB29sib+yQAu8krI4d3n J/r1NKVke32nCEMRAbw7FP929rUDt3w6td3yJkoqAYisisMBJ0gBpq7GExhb3PxJhIQc7amDiwHI iwuqCBSJby/sInq+XkomiN+6TdJWRorlDh051+MjoEq/g+uKy/dFCX+YXwmzymJcpMtHony7LMsT 25eMX22p51iqESHp3JVkphjsdfYcEWswVtm8YYzqqaKEA2Ut/qy972qx3rolk+YrD2jlckXxyc96 lUjLIT8kqHlhLtOUdJ/aZ9jwcLtna+Tn9D8e7PM5dLv2bgssi22odd4f/JDaFCHpgVKmIv1ClxEG elmpp+DMbGJp59DD4cmYO2ZLSyNYCKKWtss+qjlYy2ALUI0lDvOVjEibBLAL3iHlJ14xDllJU8BX GRC9+5G35eBxN/yRYEB1koYnL/u/9QN+6dx9hjK6oIOF0O9LaRB2A68F1tZ/y/iLnDB89fBT+kSh 38ISt9YS+L4sHf7Dr+G8wkmeo7AbJastxxPqZW4gmv4ds4jxB73o/0DasbTOVzJDq3WsMXgnYXxq NklgFpxo7b3JMCMZaQYsmWUy8EM97ep+Ob0qwhOsoEEAKhdxT3vDtJIPU8C8zqwdoN5SOoBeUxpB KL/PL4kDG8ehItKwvrwG1xJImEOhV+NYHPIAtHwILxeki+mT7bR5n0mKfw6AMCkayuiveMXcP1nl Y5bLlNquIqCcqoLjGyzqs9SAlPpglDn2c84A4aTmC8/sN2G263KrhYKhLJOXOh3/tdftTSz9c6C5 DWhn6XdmvJBASHVUNQCT96Sh6i8nfUGv53be3+WffNme8ZBgZt71hodDvohRi1Dpn8UPqi5Zla9D EtRF6SG4QumXmxXbIV3BxbiH5/j1/kg0Te8ndDalTsYYHyOpLHgmHei3Td8lw0PqpWrOLeRoSgaL iPpaTSleuR3GLsQTbZng1J+Z6PKcygA9RwUcjADffON3OlRN+gRWpV1mhQxala+vq/NUslPwwvNc OxafQo1rzPQV8MezZ9kBt2Es+HyWyuupfs2N8baOrb5VaR6TDtGn8La6zLmIQDlQvGHzb3NPYQG7 FHqMWNtSoZgTg3HYd2tR8eCgAVgi32DS3e7hwGZThI+HE2YsPphM5AkdnkVQyp/UdyUQ6KltVldr 3UC+JaqW5YkvCAeFPrdXG4D2jgKHN9S1FCt23LFFIOZ5VfhSJlIk5WpxVjPNcnzxgVM5uUBoEVc+ q7PX3Br+zFrz3/6T1qH9c/FLYt99BSuVl7ju4uJwCov9mZoV6ANOOww/mFwk4L9TDUgbfKZVKrOl vmK2d1fQhWDXaGnLJQSFbERQM2cu6AmtSy91HCTPSTw7QvyAdD/YP8alRe66vvgZkuDcqGl8MaGY GQu8M1UdNe5YAb+g25fl0h/Z4xkrnxL6rwlo1bYljB3e2NdQm11Cgyrx0dyIJ0XYxIytAmMDtdbI F32tlrn149UnrDA2ZuJNWskd36/ch6qLwIJrvPPioz+etDLkIgxDRagMvltCe/XhyUk7EgqJ+kjm dq0zjIpzkCI5kbEXSI393NryZD1llBJ9b05bo7T25H4p7RlNjHoKaCZKNkv7xVwBd1R7AjQDLEjC wvZWRmqcqNLK67o2ckbJW6pfPr8PHUfu0BCmB0vvTLW2zbRIuD1RVJtvWh0dZ2fFPPIqJ/PXP7WA vs7xCQ82xJYAUH16Z1J0wy2/kqLqXDyCImr17e+oGP5LtUgmOR9RB5HI+oxx/0TYbABLn2hPHvmd 7AURbBvriW83wswL2grQIoOqs6XQ6zeVcCnBqXlxSGtGc/rdtPmSgaUL9MDvA0cekF2mNJWjeMq9 IAlVXpKwb+BjKlhjsxgHt8IF6wh8Ktbv3KyFxYEfKb371lKssZUUl6GXfjA4wIVsWtQ/m7XHBDB9 LiJbULDVZjNfXXeKZ6RqrNZqfnH2HV97jxXEISxTs2s7FpDdFjSv1rfWgPK6u8YLyeTQMk4VKqt8 d38TR7JSxYGJN61lFH2+YGfm9xIBoFYIMl1IfuEjkUULdbhzmIJjqGuRM0Xf2abTiKpneTo4qQAJ C2IkdHWS5oq7XsWbW4lVceKfqTV7ax9ecjnQ+Bq4RpIQPV2T82qgAMivSuYDuKPwM8Rl1Be+9Q38 FU0aqD8uitoWg69pZs5nBYi92NvPJTv2D2J6WrvywKRVlHoaUBnteVuzBD1/AXkKzd7/WJbMKxqb sjHyZbtjZ3aGeZ1deHmuDnS6vR73pDCwZ1Bol+1FEOzbekA2idBir0QnfEPgq4VnnpscdsO10vO6 alFUGcxVM/Bpaa+GKJXOQqRU1+CYesbfFVBjrGhNXAbWJgAVwKIShR6COHtP1tPaflxILLBFHj6d mTLPzqqlsv8P7ti/cr1Au2Hbs6+D85jBsn+bpkN+8GbyamBmsWCW+vOArO8Af/Nr3L6uWfnR8Zlo smAinajdwYPic2hHpM1MxXjt7hL5NAu1eVWVUXplOf/Hz8DBYoefwXNhRYXp3gTiITb4oHDBlFCi qBBubnu++7pOG642/uYZ2BDatbb3QrQSQlk7YA0g3sTEaMarHfC+iVltiQ3OXCjk3kFrzezYoNIf W8wdGPslf5pk9+yAzJjR0UbdkEuWYW0I+RhCjbdgikEjRyFdaHscpO5x3t/dnVlfs+C84YRtubwi /TzA3njoA+W8vmAasFm9+e62h+8zNn1a59gNrcM78bU+Ij9KwPf4u8zsIWIurikeBtFQoVSx0TAN cLWk4ZtHIFf3nPNkfZ/8KPJh7L9e1qJRoCRSjghswEJOKW4P0gwTB9UOPigcYhScS+CuyZaUyKAr SmCBhoq8hEE9VTrqPtwZ5kIs4I7vU9UD/jDS1ArUHnbLEXxYybgOzF6ma5ZWjyx4C45Agw72+79A SufHl0WINhsYamuLSPsyPIq+r0iPW2VzDFCoZmCvjbt7jcTEBTcF2ZRVD69/l5QjtPqLHIxe31CO j8DbOU0K7G6mSNSdIb+9RhzoIWXwvpQlKgipvmFUqq/4+0t6NMTo2Jnis6qI+Oc/QDYjkJ5iKFUQ 87vTT3b9c4EhVOkR3GnYDpHAyqxblsOkkVA6jhRYtQfRpzmc6GxA87gJpJO/9TMGYA/AWwwlYVRH JE3a1EIzLHyoLJ4ESjGz1tim+8NPgOSp8EWLw2JVKoXtaRDDyj+Jlx2BgwfRgDgnKF0Xr4fLZY7x NCKX35IWTKQJc/QJADxviXiJqFaGDVqBrnI7k3LgO3T5s0yYeBCvtErpEZrTblG1X1iwD0gkgM4X NijwfqmYLo+6HvA28Qj3sv90N5kjwstcfxaHKxJJ8W+BFVDnon5m7AcN/4yGhaC02sut8Fd/bTB6 FltGzmO4wqtqqlSvK5SabWNhZ3KYJe0QKXWoEvDnwHYacrzXx1zRYLuf0zFJX1sJDX/g/pBgo62t BYhrgZ2yP8rsBBtvEFZbLcUAt9438GmB0XsKCkjzL1QMsbaAQaAmf0SGpk7TvkLhDOmLnf1icbUe WEgZDlMSHzx6+H+hpPU2dECcVljQ9MqxPIZQbaX0FjtrU6tqWcB3RqAj3iULXbB1WF2nE/0oxI/p PzjVgCs9NXhKy40ctWaLDCvG/9HnmJZiVW0GRPwvV99lN8MrACg3SKKIj9aWBk4QxJgBz7FLtMy4 ThkxT14EGoTVaFiNEQieA4idHplLwwJiSW48R3oBdXZQk6FnJecc8pnLObX50UUCV9Q8t77cY/a2 UhnW9oc8fM5tn6tBx8EnGTMSIUaXZ8XfGDRlvqgfH/WuftiMhEKPPUMknWN8MtnIVBsEg3nqwods cxPw1UuvUr2pgZS93FIpm0zME4sT0gDJqquEjfoWt5nQiehYwNIZIWzyDrwIKyWPQQZGzFypoEuT ZZL1OoI/ci/DhifuLQM5CxbULdvhxcg1qFieoTRbhHrXKAC2AswCReqRfMEc4AghLKASOTVYCVUO 2HM/d/fNJI29NXjK7SKp3k4S+q4Hl5AS6Mm96rIOq75BFYLFbUH0UpbS7Hi2DbUJihGxBGzu05k1 3H0VyXnFJkNbpH6KpIpZb8XXsIOhDa2MAzSa+1lPI7TsPwltptow/2Nv4wklIhyJffYgcOcP0fj7 bPAE74NZ9A7lyvi/8vWS0pE4FIOYQpNtZR5jMgXOq2lREzkpVLGDK8EGMkhppaMe75tOyD67Vnez s+wntvBFxfDfX5ws7ROxJ6UQgB+qjm+h1hfgvEKgZthacShMrcgOngc2AJkKkfGHLJUB/RHvILb3 mnhPiCv4Z+vbFgizNw6S8zbSqkMEMhewRJyMPUkBG+8oZD17jbsrq5Mxdu4w27soqg97zRaGIKoN GgGVheAe2koeNhITiUKtyryysKxjnoe0hK9ijLvEn55O8YeS7wcMtn1qffY165N/GW81XVlTOKZb vhc7VIvf7rmGuAFebT665Et2SvRoLjngvfp85IqXJE97UlAQGppQwERPN0iL+1v27ly2SI8+ow5a e9pM7kYl/1xo0J4+j5jKwgbm4CM5/OY05wdtoVlKZnJrks44lU6P5AV8YrrFk2FLkFQ+e8NyZ+h+ Ye6rt8Nqg+1171pxJw05P/r/z6QM4Uiy7Ov557fhuGuFg/efS7/tuOViv40JsnaU4Jg5hLVe8am6 ZrFFPuGG7o6UAzynqu/dqbaKxIFKliifkrBNxg62Ep83+09RVPy/B1Dn7laX63c4LEuCWstWL8Gp 3Z5brTTY2IBsEegzeUQjXrBMRc/0LCtrEyXJ8vKPlCdZEqpJEwYtSmRobpZMBZu2kvMMGKuB/kKG HkpG5NEtrWq5l1WIIUFT4J0Vf/PWug40aDbfaB7LCEblTVJ9PVQof82E3dH8oX6fZbfaXX7ZL5tt CsfLSS22gjRQ09a+LSljDU2W99HIkWuD44ATJQlcLALH4TPkiy+Eh2xPR7s3kJJyWsW/NsRhbzPR QhmNZ0RfRx+iv1myXnqa3KqT46kAEDfBPuxNddvIBAVGE9rBxcifezs2MckcytPkrJrxGn1F+8p1 y2htvUBRpXKS/3xpm7yX1W1BY82zPBOQNcOd3HV6hy0RoxPTWh5WJknlGNiED4zuNRKaPblaMlTK NJDt0ONitTJOHcFp9JOADnBsYQTySXpB1BXXI11xDofeLJMME8vfLEdAkNCQovKQtf5Z6gXsOAuz 7TTaLPfeN7vY39aaMqBoIWtAaJa/rXxXF8HYadXCTi0A7zH0F68oZ4/5hc/fL+WwQVPijcVtVpBd 2JvQq7lleuYFb5UYV9jytuNlWGZ74WCQ6GbtOEFSk1cgQl/TUxE/nfoy1uDetpZIdHW8uV2nq9HO R4d+C4gGmABSj7Trky8ayd3mbjXRc1hE4xTAKY+TWLetMWKNpWOpnKm77fxoWUsTpdSYFFt2uQ3t DCYrxU2MVNUP5Q0OU08BYfzqCuS2LdqztelsWNJJq1TN3kVg+JGZMOwdbzYpVpZOYOU9LjWRomov 8C4KazD/QQ1rloVCvo2SnCIvh8u+6Y6BiGx/JavyntnCHvPpuWt2Z7ewPagxtpI3CDfPls11WtOA vYeY2UhUzgYlCK+nzZOBT/E4TefK2Ji9GJBMdSHgZm99rDniPcGMmxkFS47f2dsPl/i5fS+qeIXE GNa8giYOH+x4gSa2Zu7x7iDG6IdNN8CqgGLacrpMZRdajMU6vX03yzL56qrobr/xbsXZIl0JF0Jt 3r5/ryrO2zfHC5fEKYhU7w0+juRcCNZWgO3a7tROKw5CHUWlpxdCmPCWEIlMvkHaV4a2tu4DwfGT ASBZCgTQP417zbmWLAGUjbrPf9UmuJFP/252WCbcqBavqcea9hPPHKXyPjn9m7ocg4WYNm/pvocC hnKUmC/RVlm5s1zdJnkhsBiBO6j3EFcOSu2V3pREQh36dIB/jmbJkXp5T1cIf471sFAtlU84MNr0 IJLtDcczjtLyjOTN+ntCHoxoFdc3MTios/lyr8wvP7qJCsr2pakzeuQMJ3kDPM/gJgOHKcoIuwVa FFWj1IwCzIfBmMrm+jefqi3Zjul9mysR8d6kLp6BPcdOd/NDoe2z234MKpKplP9xbhaaYv/oqlv+ RKl25RevJeFs3ij0AqYMv7V3K6F5em2nFWvQldIXqrA7SYsh7Sp2lWXoBeU4qIJ6Abf9F2nM3M9j KZtO7WhZGKB6zkVDopD0kvvPFlEL5WfK6tMOnSjWUUuRT0lyVPieyihGlXdDTgjL5HzK9SbNNKiM 3k3HjXxOgo/6c69xmPpnOaZmBqY0o0iXT/eT+TaIZZIsp9KZ4WH8pJVOGFVMOFVpO8p3NjS0uJzt LPVlBun7fxARxLzcSHjIPPkkW7hyBMG9NWPrXEtiUSZxvw5TtT39REeq3uJr4+/e76N9qxRLuoR6 8Oynidn+p5ExzxmniQ1Qy7HnIoIw0IrTT9dtZfb8a+RwClPPm84ilmPfhM1KGEn3RcoWDa+CCmtU t7weOs3bglWVbjFCL5vDApdQobEYDk1IQdniXWrqyfo9Mv1PbxMYpfr7nUGCgzx3UIc9D2J9tadg 2rnerhvy+jib+FWaDdFWTYs+siUHS3WJ22V8T2xOZYGHHnQaLUFPD12d0nJagbo6B3RjigZlcV9v GX8+mofLCzZYtRj2d0yoJxzuaWmxB8h3tjLirNYeu60nLeFiQL8NJZMQTowvkyUbQhP/8W0wJ6Cb NRX3xJ14KFdv2MZtUNFyqz6piIZ9H5UpW2+teDDSqDrhdx3eDRMR4ZWLkZiicEcNOHZevLQksioc 4vaBNcWePwxbuLJ+EksZHwGYZZRFL9OhZJR9eYyuzba8DLJfPBfjImg+KcUFgCK9gQwwTcx56Wnk Y8cMjPuIhJ2s4r3GU/T/YHDCEobCwDUwZDQakjLCTdZ/gkzVrxKJ+Hg9fY16kxlp5vFlklFcBztA Y6vMhyHBHl1ZQf0VCgClFwc07tQ+g32ydZMQhWUcdX6mGpl1rCAoUMOo9TSpnFYQWuOb1DChx837 j8ioih4o58HwV6JUuJx4JslnRkxDRpLLXVEmpA+eAI5j8Gc13fyMZWzRsaarE46Ou9A9bMG0Ae00 ahZ+FXDhTTq3aO1M2AaioETW45ZEokZDs2SoElUe9cwS9wVJ5Y0Cc4gWCTy//cooMfjs5U1wESgY M3fWJl8Pbgq1R0AKS+11xNGxbXHCKIPX2C+c1lZjf/AbnrJjg9tBQ0d39sdeMiwMTB1maE6A0yTH 8Q9J/vUscS3e01wRhNsBwO9bcN+EMkKKtcw7jh5g8GrxidX4rJsXpyGon2S9VFkPvn2OvMLYfWX6 qJVs6Whsl7LcIqIM4OmD01ftgEOc7+C3emY8LPYqzwYaztzrCPxWnF1dJLy472MsiDYdGK4YY+Yg +cgmSyQhe29+2UaGAPuQBOKkQ2WZKqGZ4CvJAooad+Zf5Wwwjez0BiCQ+P6VjGDjQqGDdXnyTesC CL9Wn44Pcd7gHQpq24ejjUJIPKZ8mL64FFaZi5G2qwEvU560cBFPGUdD0sRVzeupune1ccMfojtC 4WQcpnRRtYoirO7UWxMkSqkMiCsB6Op5lQAgH03aWVCo6QkRhV+I9jqQzyeZcEHdkBXmVkMCrgiA hX36q98tD1+Gv39NJNxiV4SfdJkwovvSHXjK+ntYk3UNHYEGITZrh6etHsKEsyQ6cv/GDm302wMr M/PXpbSwJJhOg1kyOW6v/GR24FN7yPrvA5jGBhRlNv23iHoBBo578mIdfNPFdZYEPNSc1hDEMpIl 4XlSoRIOtBkeVaQgyf+/bWeqlNAVQiegzZKilnKhuB+RxU13ei5UArYR2DrbowKzx60S0+6/BiFY 2MUNQlcQJ1WbOFIbOqLshJJTlMp4DGZV5EelWk9kHX0usg68GHVulBj9XbqA0IUE5XKqwHhzZR5f Nn8ThMTrP0rwdSODvmenxUE204Z02P0aXPuUVj95HLS5eEZX0wdkNd31rA8RJUlIPyKu4DjLEg4t gWrxz80h+tN2qelPjxOjLHY1/MI6lwVzGwm3sIVywu+HWMv20v6+VPyr74K2M8dZboUhRqVcOad6 By3xFo0kJgC6N8yCAnpPCRxXxCIsESCTZfvYhOysF+iSN1M2b3vvNr9ttiTyoY8GgrT45ADjkvDe G6f7n4QH0BDv2LHxtOMiUCCWUxrbA8JBiWwbrP9PGkLXCfZUbWyG8C/E96mSwSL7KVsBaIooLhj/ 7KYa5Qo8sgj6UFJRa6DSOZtf1Ey3jDIYWg4DZ8uABeqik+xrI4idF86xoAuBC990XAs5ft6MGkIa KK5k3BqVTHXHj9K19BSoIUgJFS/KjCXAscwchzzT0E5/JUKI8IdadQsiTf/e3B/0WPyMKsa1G7qT eQBdszVkrta44wP70Tvd1hDXUfWZ7yyxhuIfi3chZdEyiTIDksot/MAo7IzdxZhB5HDGuQld9y3l HFxK4dUJ8uRgme93Ft9ISmKm1I08WLdlx5BccxiPlxQx66EphbCjnvRF+zYabuH2KabqEKtT5KwV nLL33TDuhHSlzXZEIrsPUMDLM01HvzlrKQbU6Jdyl/+ZcFJLE3UFHe8fDcA2umAub9DTtcv19Azg NZznNInCEytFdnld1qA/Hq9u55CbgtZpqVp2KEHJ3iDb5hM4DcF3FKpF/ZYXMjcJhk2c+TUi4DQ9 jQJerRYFFwFscioG4Pgmq1gzOKmR06bNuEBrw3OzlIcyaKKtAC7SO7vlflzDDfqG0uOv5LteBOpL /nkJ19y010KRpJF3gSmeqPS+v4zH9CqIQVGvFxje5ARcHK4eH60H6nnFKDnVzJC5W7U3Zy23JKGF V67/GTrPyxrFGB9FKQ+QAL0Rfe2hZVzy2Jz9DyWzeZdU3BI+AgB/RcAWcmfLAVBOiprXAKx1u6Vi prehZIfGxaOoYJ1Vt5a9c7SqIzWH1uS7RALAm3CqRZ8ypf5rEzRTi6DndArWvgU3hCvDffs1FFtO TnkM/zCw1MKrLOnVgXAUnEBnmRudtoIcU8ADfRXscyhZMy5lHu+Io5srdjjQ4gcxHbRlZMDqHiPd CdxLkVGZSCCnGICS9rHn8vr4TLUDFmtL2fMtMkQ7ym3OvB3iibAqlD4qvnrjk507DwqVHF2kSnSL fxXm4Lm1DawMRG/T74w+bVyovtsZ2idgZMg52Z5gd+JE+0fnBSIUgiAzOL4lxt8vHwC7dWmaGeDY 15olmlk46tG6bq7Md+amLz4gyWU20pUQ8WCQe0kNz7U85ZBq3ENQFkfLjt+ZCQWZKRFez3MiBRfg ppopJ8eNCdbFKhltvb4QxiR8liwQtEkNirEGfJpDFk/XZYZguA9xg4x0uY1ez+i3uS9i6CTvr6DP K+1pfMdSEVuWZa1IIUQdcRmVrkDGi4hgnrhtWcK1Z9Rtz2aLCGY/GVZVnNcgQkTLpXXZG8GQ9nzW 1sd+hbFzaRF7iCxXfo/y6HKIO0pNT6iRi9Xv7iX83WU2IrsiElJ0K/5fYTe2tf/HB9/MCwUiGIrk 9KZs1KiIUmKH6zoSE7YkjlNerwONGQZ0K+0O//7P3crmswsR1El52pNnyTpL/gLJlYA2CjinZAQz 3jvbcifq3FWENcNwOmDDySkDhl/4HLa2KxLj8p6d9QQQOJiWuOyk5PTA5kfV7AdDE3a7Scc0uvWd 3HKTTRUxzg5Niz1lc+c7a5wEsvv33GGnVlTBDUG9nD1cKJxxZBjXvSDTg351gHECdwCYK2xJFHUP z+DBuP92q0TDkR5RuXjrDaDbMq3+DyPHgqR4Ie2ea0vl612VKBAJsV2W8MkSoz9i7o7nvJP+XmGm zrUEf0D3znCAb7aD2WBr8k9ZRdlAZM5zPDADAlf952dZSBfOevz9jsJkODcO+DywaywxQinqmrWl MBtK2EUNSwzGeaWdqyzfXEmA14YzyMFN4oMeZzDftQKjLnR3WZmr/KE4LG4NNioTRqLvNK+06/AP YizTmA+FqYiPb/Aos3DlxxLnnNbouFXUddNZhUPTG0H9y/6C8D9Kxp4SFe8TbiAV+jsFA2Q+8WbL smsrjTj+y/NUViW+EZJ71NiWWt+lLfgA4E7nzAO6bfb9rD3lFC2GhEPzklLR/UQO+wMAPowDoA/1 9eQu5wemM1SVvluuQaIKByYim+82sU7CDwY+NErzioTKi09MGRd1lzko3uBk0N9jKt/iAyWZBMmy W7akQ3VHyleK1u/41Ul/rbIqzwYoNcQPGhEl7VqSHKYe491C8wTC0zJUr0oODz0tX0J4UQNmV7Pw UeKq7cZDWsezrsY7Qcx83zV0AZdDr1QhJdzDoFEcNBsl+BvnND7rS+DVr1noZo3NeFqwgekgCwgB P8j24uA9hZ/L1esPxJsbvWjVsePfXrZnl1MWTuJeKcu4+tocW7BLUVyQAu0cDP6K0D6T451lo98p 1JUpJHuue8D1vSVdwhlX13Lsz3JHY/gWo1kh62nrHYfCHwLz+oOTZszmdcF6GiAB+/RVg1Ks0q/v yHe34b0MV6Wm2lQJAESK3/+rdUk2pb1Ux7VGfFBZ6EvQ3l/j0vmqcDubL81jz9O48YFSrT8eTpzJ eci3FuEBRLQnwWhguTSp0OKQBKYcrS2BdVQgZ7BDUEEU+KzK+03WF7SvvrvVAHFYU69OQRvY3Wuq IgODBBtrhgF/GwWYLLMSy2vFABhizSf7NVCtg7H1GN63HMbdtbu5CRXW8E3Bk/dyj3sunH3s1I9o A1Qho0QDWokwEajcGMCcdUINtPsqlSMquHrPHjnWfZxO4G12+R2EETBK8jrQ9Gzzl+Skp4PIHq/L ObXDv7EZkXPgoGIndhZi7XngMMONGzF/W9LP9KzgmugolYhqzJXp6avTkYBy6DDlJNhNWyjseMN6 liN+VzluVH3Fa2QRqCHD8PiX33Qzq87MbggakKqcGkWMMEVPuEqSqZd6+po0MlED1xkiL6VGWkVs +3MCp5kI/8aAJa90z3lomxfto5mllcGtqxFm7dXHRDA7X3QhELAt2SJdSlF/0n7G054J8bvlqD4E m/UY2wqU5ivMekobqIZuiOh4bh0GLH2VCMX1aho1g7iHpolDrNhJI+ecuFIp5N/PuBQ6InR63iL+ 9R5xsKskaGajWPlgQvLs77RtMkzUbIyueRtPZrGe2nYvRYb+kgHWQHEW6bIdjnhhmutXOLYcMoA5 dgeSsPpfsU0Aw788NtRw5Yx5fXH9qSabIkdXr80k17Y0rIWhoan091aX/rq0UyvqAB/zkq6oy9Yh 2kCEJD4+wEUtdOK/rPmJdLcJpS3I1LBhm+qgEFsPCDDAkNMxr6N7GOVS+MsEDN0pZmPBP1j7nN3q KXsQlpRwRvpbWzwB3hoZ6NA5iY1rq2/tY8X3noNfz+1fD8Oplu/nEyrg+yvBCHW3/SVzD15MHx3F x1relB33nD2MR/z0NMDYPAbFRq3mf/OBhy64QNS72QJjuaKwhqy20MLNwZlLMzsxtmoDmsP8Op2I kZfGxNz1SZfagj7rFikJt87A4Lh1JFGu8CSv7Na2sf7BPiAWU7Kgobg0UznxTkX9OpdGxc5iP0+f Po0qEHx3X4Hlt1eoj9nGzLvkQccMTW4vgAlJxgOjtEgJSpm+ze3GkfP6gK/8xND237e+Z1idEi2M xxz+IXw0CE0AN6uSUrPWac1ALSSYWCm+rG6JpOywXiOUQZNbX6CgvBX+u+kRsLAclKdY09kcTOB1 +jOhnBb5rzFN3bivG2VuEr4ZUxSY9z6ezbgZWKU80xOcedi6yD+Scw2cdsJzkn9YGO3SDvJOJnjv 7aNIuSDvKoS/gKcq/teBnZKRfFKDvnumZCLMsR4JbWD7IcsZH/O+t18VrHwY5QPv0QgAYd8vN2Zi 2NL8OlLvd3xJZD3SlR6LmY2GnrB8HFKJyMT3hhP2pktPum8nB1oT+uiayOy7xczFVzJoDZYaw3Ct hGqCdzBjox0wz7YjPKVB9eDqyYNODrctGBnz/gqBT+MQIM9z1wBOO6n0fRetFSlk/PdnbPDV6Pqj vM3Ku3gYnNwifS/DFLVIp/y24qN2UnVCz7RYMstB/6x8gozdm3NbQKMWoMx2+I8VuWsektCF9WQ1 NT1LCJPH42xgeviE2KRS1BVO3n0lxcry2rerzVqGkpa4uJg+HJEOAfHT+M3tGUJxrLA57coL+P3p YUgojRYaPEp4o8D00pq/Eh8sR95Bm9r3eaDrXucQ0r0EclJGxinZD/qe1p6/JlYdvG+1auor/vZf uaHsqzEmHw+eU63DHlaO7uroUlN88gTDexDfU/bf3GDc356ACevEP+iTPlPuzjID4H5CgAriNfaM BmQtsV1jHuSUNvqRU2zWxBEcCwvMHtmNP7WZc9J72L2uSoK7y6toI7mCBPCWn3x8bL/sq3qEMD6d Mm6KcJMjJMJdIx88H/SyvHFM/LnXYPE+J0IToLss328L3gdIpoMhh8jsG+xLcYi2M9PDaCSbGk4X WGXHGUFqyUvPAKSe36w6ACtjmBegZU45Rqmf6Fh171O0tNQHRo/cT/Up5ZxyYRWn9pU3/ODbPILR Ughket/GO2Is3oBGK3avJd8wGnyF+ty17FeEnaIBm0w9R5EmTkmxgbCjMyFQJ5JUuq/U/DgVSves ljIEa2YtABi7k3NSYS/zf7KnNDn975nftCqEEKQ8y+tLizVnhTbe0+WHLIOng0+IsEpcELLInGYf xWXLPDKsP0Qk/XSVcvTzYsHSLhcCOCIRdTkb/m0OSfJPVU7heXHqxNXjycoqMwwCR0SZ8ck1oCXa 8MWQpy01Tcf2ksLi9Yl5lsBR5Hua3SyeXLhCZ0B4OFytQojJ/i2GQ5eMzTSIOLvtSicx9acMsuz+ dWPXTJfeW9ZU5MyaJ8XydQwp61x+kOQfcK7McCBrw9oI3V8Djo9iCKoUOql3BsDORlqZdtC6kaRi FBE+oYnnghgbWZIpPpULlnht/PzdpcsHG99NNZgR2Pv9f9ZZ1On0eMgHvP9jklfHtFSeL3W8noME kwM5EmSbtx31AVO/PJZHkZeLLmPGm4A1Cl213WA6JOlVZPJvLFHsBjeuLQOGRNsvuUzpl/CFHDtK 532R8rYLnH/UZ0Be3kahfIDMYU58ALcYkCQUTMPGqSOYyAO3qRa0PjBH0R2Ci4/k3lsih+XqpZMV bqIE1IdaR7czSXtAw9PH3Gd86GVQgqUwCcfH4jeJgja3jk2vTtT+us1oQ2qYAUDDwJ5a7ICNKENQ /9OHQ08OitbdLsJpp/6m3B8FcIiw6cENSG7qfIKgJkG9fwSnFtZ3naun3B6kXyKA2WqZt9QLsZAi VJffbr6Bsyik/1zNcDZjZ61QOATxcYuN8+8LomfmRQgvfT9TEjljegqewMzcQ11a7lKAT9qtU5oC FbzQTg9trI7ndMJ3qSXkmlmQDSLlasmLKm79+S4Ka7PpyZ9iNdZNyS01a9MLoqLv/NHmNARSJWl7 w64uoxZsDXrD0CKgcTPJ6bbCM3psbnFT+GK/VX9gTEpCOJSiWSZvKQHyR7b5V2ECc5Y4CXeL5ehA ZZmtX4CkNpdSdjA65dXAl+gxohuu+pWMVeVrnrkmElSJpLh9Zr+4CpebSWgj73MTNfjPSlm7zPw8 dfU1X9qcwes0XdleF4S1HygDLgS0lW5gI7YOZI5xohKSQS2u/RHeYZJ3rtCCzGxpt0sCv+u8NcGY 70Wp53PfF6WW2rur4H193Kqc6YbX6i4OoClbHlPNv/RYWfbmplfomYRB/412765p2byFMj+yImxO 4tK5yF2rIcp1haz/nMD+WeZiZGpUsRndJDHa6PwycozUNNS71RowM2kC3LtRTeO2q89R50UUfbo/ dr1A2SMalB/8N77FMcah09JpOl3UeYFYLOEj7diCSq7/vAYAlIQehPNhYxoyYwQ5B/w/13vurV/r bg8Bz2iB3aQ2Gn+rshXNNktzdNITLfx4JiBgWcARQzqhlq0Q94M7aLlgX9IW8VhewtTyRukKIqeA OBFXj4W3o9QvaIkes3ArajYAoJ/Ts/Jf4/ygeBEwVy3rAKRpOUc31EDjfwoK9bLUPCrjoBczC4EB 8gY2DMuiRR6w+3FML02RIuWY1VMvEwnIndeqRcLzth++hczy6xB08ldU6FidDgvJm+jS61FQrjSE 9MdnCnmtgJcKbhhyUm4BGpEm8ctMudVOHHFzmYUC3yniALwLqlMhVJoKwXOt91p4HdQ56LBxq3kz X7lWInra0Lkw4c1TFBpmkBFpaSP+z6lzkAWdCsZJmbhQCE2Tpv9/pwhC2YmCbF/LlkvzkRklZW5X Td9BaBmL4d2aoYpB3V6I4tZzGIqSZhpFlvWJE9+8Yu5x+yQZEIkrhBXGjdxxM0BULoyhb7mCPeDr QCzRCuabsGh+g4b3Oro1yaQjUA3Vw4SvQkm5XTEBpRh5cGO5prVqC4WVdo3KKNnpsO1h+5XuQOL0 yGicBji/sw/z5OtlxisURBt57N2Qs2g0jiSv4ViO7ZuQK2Sxkz6fszCdRFUd9q04Mk94E1meA96I 9XDxo08PnlOWrpO9p2tpFz+ChkVtjnQJ4kDuUNZW5o368mI14PnejtsxewYw2L2/0/yOW1C3no8X iGNhljWP8N+e82yadD/g3x3NUqWIOZXfeTQcQK8NY6H76yoXAgUrin6DXHZ1Xpp9FxeFawvmz+pk 0BjDu1txDWxjULP4qqbfju44Rk6DKYhWBhdnk8BXnxhqTq4KEsdcdygElcGPz6b5r4JJDqCIWXHJ aykp/xLVxyipsbendDfBb312kq0BkZ7pWu4923HKqtu3tgHNGT488lxwJbBsDWJWl1WHvHXgmmac ar98pZN6qBAu6jLeA3pJSfVvHfsq8dqqH34YZiE2afMv5Mi0My7Q1rvr62EU9tbyT4SoW97pBGkX kBwt8Muaq3uzMPbShbB7L3ZQ2vxhh2Rcm9N0QPmsBHZ8YT1UqLG3MXCKQuy/ZlzIfPEHI0eR6anf l1YXIa3DCahYo3KdCXmFh/m+hrE/KiT2tFzaTzv9thBoAM+/SB44B/02D9g6Tl7wO2NoLcQk/a4t Gp5Tl+rylemDA3dQ0XwSMIiZJd1xFALxlN1DvPUjKG5MYXj5L23A5MX+m0GX1O5Eti12FhcCBFwr wHUR3ebT/BllJFcmxfIOTNaJ/JMrG35C2nVtS/ueFd7or60HPI04Vy1jszA7RhSUaGnVN0ki86qz a6DBqf5i7BaND00iJKhJDntoO1czH0ZumE3Dlj/IYfRHibGrJ9CHBnnY49ohzIIgC+2AicS6i0df cH5CwgzBumdSmvkrykODak5d+m56t+e2HGZ1U5u4J+Y5Mn6TlUCftJs1fz9Axsb64w7SFGVlriMX emGkeYKoiyS79egk4U7isZhF+FTirY7j0RQWp+5GtI2VLGRaexuvAeTV9O18aA8+9kB6xuMQj63H 2BSaW25uaSj2HCl8mwltDG9wRkYOPik7DyODdo+0gwe5bltLVXvUura1Ha671hqKKuWOCP0yQhiC G56xpxcBxeaJuZLxRp56wM3d36jiWeeXnHPfAZI+GHZktz8NvVdorXJq4ECRdrYy3Z9EvSCbKe64 NXr/Cv9xYu1q0XGzK3xG1bSVA+zemySDLwNY0zO5ewcKM+eX2Rf7o5NV13eOjBn5tuHWKx3MHeZw qYWjjCL98dR+sYrwYTt2BGgJskSr3AqSCtrkWdK8krNPPowhMY8reEXaLyFVJ0Jnq25B10c2X7uc H+yXoIWX4jIoOCFDgoNkNzrk1yIl8Jmpcl2ZxRATN7Fdze2DQ0yRn0A87z/gvTKa1riO6p3WlheC w6U2cDaH/lakyGQSsaugz6BtNvt8klUbti+OZ431x4+Or7BBhlNDAtKoUu/Lbv8m8P265ehZWFRP QPzd5b+TmCbOTv3DtzDXUB6cIoaX426lHBuy9XwAeksxyGq2Kux6sl4CPaIzYoWmlZo05y7J0xDO crqLTOtaN2ohpwv6iEqy85/SbyEW8CrI4rg8jKisPP4wLExGr6v7bvz9fC+VAn8UDlsNO0XyBCeR tATM41Dg9wyZErco2Fh/G2PjT+W7EGsNUu/qfMKDvtdwB6qZpohq7Ujnfk5gjq/fiEXGv7qdZvhj cRflFo0rqi26PwA7JHw1Myze/StrINa6k/xahOzmLARV0mIUqmO6VgdgBhX+GDOPHqCivNCEZ4Qz 8yAI4eNji9ObgFj1h19RDbbfXjeHjVvcBkdFTN5YYut8wN49XPrgXR38Djdlr4VRvIVMCyWrJZXq n/JHIUxXEKxNtLxkaDpX9/5zCvH8hOwTg0PC5RjboiD38uiQPm29uXSeMti1a+KnHNd0WWTA4pdD kxKdLHvsOsQn1MKoqQMlO0IHMYO7xh00fY0lulrWLYBEld2ONSojK1/9gNzwNyBvb7Z++e7aqq0m ho0M41b6DzjSYXpY5doPEIafYqZTVkwEgtcqgxGwwyHgx06Iyu8c+QQLoSgCM8raaYMNWSFdb0fI sDn7Qrc4mYZPQAm9WbS0mxRJft7SuqDHSWXuRBqO+2Y9X2FpKW6gPhAzBPj+CyBQ5u/lFGFbn3id ZFJ9E+PGmIcCW/DH2pJdkdPC7RL1MrmDGKZU32C0lU37JxULmcKolQ8Hhzyzn0fy914Q8u7tAIMH UP1jTQNVhiCpOcTcYvHpBDC0KhJeRjFxamczJDKD5NXDPwYtlala1KjgRZ0jVcqzYpGwgPQ3WsxF RsWU7RkQSHqtSh26oQcnKREmgjU8dNeyR3RAPRUPw85ir+tG+C7YHpCshnY/epKfTGgnEuWnqiV5 paGlWz/16saHW2MMCGdtpnb6sDBVa1bH4vo8GJovfRgGgxvaLbq+6VUwtADoBji/j6V8zazeBKU1 m6gdPGRcnZuHlZtevok+sgwtERSlpyBaXr3/QELICbWyHNniZdBsrYbCxIpWfREQIf745hTtgGtk 5MRnNyGGKqwkndoh/ATJ0fSkJF6SY+VPn118NguBurdhwllFXYIAcf3cmimvCAM9oPJLDJdSfwAM 2Pt7bKEeWDqrEp2n2dl+T3Q8STpUSudhnI+2FtNUIFpEumLIBX4EpHq6i4Cxmnzgbjcm+Ovdz6TK qiP5Qaj0MW/13lMMJU7LV5N7jTMRTHc05iTgnSC8XRH285HVigGqm2j6MI8/vLS4t6HDBARopvfv tUAhUMlUHPmavSwLVE1twxL5U5Qu6P+mR9yONgTExjGlcWvIOUVj9D2/p/ORgPps91/PA6eW2PB3 4ttXLBrmugvgYxatLBi5P2lufYLth5PyhFiG5lnuD6U/HJoNZuw3EVJ5/wTFRM8fWyH3T4BjbuI+ l1D8BmGU73qYBEOTC8f94rargyanhD1jjOMEUQsuL3bC0bNWaSfg8Pb4nOjxlwx/lbfF2bOlqhhC D6SRtB1ufQgZlET3bt3wkNAEROOz3S5S4Hg2+ir2C9nouM9zZ4m22IKzDJmNtl+Y1Ys2Ld16VWKp fLjLejCWO3iQwu2Aua6aBEQMnHewVVedigzFZRvGrPOpGMzeq273prWp1PRQbosQy52OBtaw+iUR nlDj/ZlsfDJ1LvEbHAyJAy0b3KgOXXlCHO3lpdA0/zrVXAr3ku8FudNSOy0TdUWe+21Mo+Y64RSQ nfGF/sqiYxmSDFwqwYByuYdYt7Ujh2NuoRpAuFqpT6hwtM7x1Q66avYyGJp+qiCz/2IsRR2XTQca ZiUIyWltkcj9LLV2+aWX533v07Sv253w66Yv+CNGxBVHbzX7q68ZMVCA7CpdNIVJtnySkn8ueB+t 96xIiPkB1WCD49m+oG1jFI12nF2mRNvXUapKYjd+5mgaEUSU4bx2kX462zPgJkR2bB1LLZfmu097 VUqxsoBpMoLgaH5SCisQeUcc8Y6OhS92WC8MAf1RcB87OvmVJvM0GDE0WI0DFcuWgPPBhVI3wmpD +KKukGcp92wKLFwZV5WWDc4EwoqbIGmKv0njxYMRWAedOW9r6U9r3CtkF1Qw70QTOPD3wUz9F5X7 PEk4EuLq/xErV4sOt6e38InVPcNlXqTgsW8mRwpMtFpIVK22qyCN3gY0cVx2fLWPdIEcAyARhP8s MH/2oPwvXB6VbBic1ezYfnAlXFXOXm5KyMqE3GM2jS+rDpPtF7MmcD6cQ8w46p81Kw+/xjj65gd7 oWOOL0J6CSaY/nM+u0kanByuup5xhVlESsOedxapLlEMjDrvcy98U1MbmnzfUtq36ADAlAYMcPWU W+uZ5Yyk9hbQqAnh0myNQkU7lcnNRPCfmkVBkDI0mdliGi+Xh6iUFL1MjB0FaLH/aFVZj9waMHpb hSALcbyHkxXkA2YjT113XigN4V24nkVxs2dBkNh1e0Kc+nUyqr0WXFTipd9RFTtAEIC43UUCxTfC 1xTrhxvIpIAjIpwiSlqFVAQHBspVJC6MFXyP5jb8JkwNSIMiqnxQDLJqnVPMTI3TMWKvJ3o+1BEi TzZjbsakbecobmZaJgCd5G6XKUq/a3UX+1uvX5MnRGt3MBv9SiUk2VZOZv3tyYrSdBmi/z9/eZUl a6MDEFDO73DPHluli2q5vC8Ya4RGHZtWeENPgQxScVWPtcT/ukrsXK4PrsuiUj7MYRSpX5jxLfCL FMeD1/vYPnr9ADFRIS7EwN21wbZu54dDMQvhsa+4kgNkBGQhSvpMBjQeRlk8MxC0EmMPMmF8j73v yA7qT6GdG5WHURU7fK7WGwwJWfqvjOp5RRBfxJHjXlnkXpDyT7pkM8AplfyNorqlxCgs2zqyA553 im6W4FFevREoB/spBEZJwzZYS9AgUHMifw/LkhEKpauKyabLauwKuBrpYCec82RJQ0uTIFRbl1ek 2AUA763qYZvbI9QmF5XsldROR5O2F6Olykbk0DZc1qQ+4/811vgHceHzThhsReWh2Tzw54FdIudK dtUAwSK5go5j0LLX/FMYvAowyy7NO/Tsttnb81zgRCkC4hWz7BP66TvvFlVlzuPaFUCSplkYstLi kHhddDQkLVC+BKzntMPkusWCgyfUP4X3/V230+C8wq4QxJkOd9hjpPqHQ5bNdIVbZP89LeJlI0l9 rZs+nnU8bvWTD4KDXeZQtipng/4iHCcHqbA6VCnvkt0AVF39aGhSscQuFqMqqjaBu3XddfFfbslJ zJ2kBcix8+ECT+CDWCNvtxW6kRThw8A4Ev/mrb52va9JBWMMLE3rQBqAGVCuEY95xW/F8lLvSDWF sGlbLTT1eh1svQ5Mu55iPY54+7mqz9OFvQdwAR2Ldufaiof3WzwmZBFnNugxIH51d3p9DhA7xdcm qcosuP9VTYkLZaaFhgXMgQf/GEaBDVEqp2ODLyvaHvz9AOAULoKVLvJk99jLIgTv+h0RFBWheZV/ uAXz0ABwjNZy3DjZ3FiTNF3p4re4OuavKgL0HRaMS4MSjk2tR72bI33yV1MiRD551sxg1v4Wi4gN aaJj0xKsXFMmJILzOUcLZzXdOCW1rm2XBXjhdpgBSHTE0pqVMzgzlpgvLoFgzGW3E9piKk403HBY sp1mEWClKk7iuyMYbycQbGOTP7ALtXoeOrKVw9iibhIBB6jx4bOFsPHc3bIQMpa1MdWSxpwlpGky 0WIc6On5SSNb0A2W/rR5o2YK2Ggam0d8nW/DDz8iZ/NiAvmrKd4wSRd0QP2pZs7ULgyBhuy3uTLP e9+1uT27hMJzufUTrxmFmYFb8/ZvA/C+7wS4zP6pQVH7p3pat5Q+fB1DkANPw6+NRVFCEHH4KjXd Ixc0nFUwNsvSnzj1eVteJYi/qMau7HWH8vSJscTW2VcAVGMSD1uQQ7025kUZIViN/vguoHpoHRjQ TPqwrdJmmcKHiTw8CNjR9p9fl+ZV8Wb4BkR09wRe8h5nM31ohKgW2Nh50NVUFesJuBjVI4A0uS7w MQMtaPh65wg/8GXBUx25LH3yozRGz5FYJzmsB/6VgBp5pEEDrEZm7caQSxzt3sCWaTgGLeLWLMNU 6O7c+0E1tg20+V5FKFahy9DW0aMwKiTbS6jVe9VntcXT2nR9nmwK1FypszsRk6aBeXXVcuywC9Iq 1xOlqddRtq2v4ul88KV3O6VHIVvLbbyQHSaokwpJjra/18u17KH28Kf3sXq57/95+QzHvb/K4gjl JqenUv4wi6GJNO1aWf36ekcRBsEe57kqyjEjaUx3XmOWwQo+EhVuTeoU1hwafGxVY4CAjD2vUSWN TyrbPDDku76JMJxXd7fXQGXoTFR1xuzriuP+8D83J8zCAGh3QcFXFC1zwsi3AML03Lb/1zaD3B8O M72LRjjr9J43jJKvZbkFBIUyLrijRoqOoBCO6S+9pe2IMDIeI/5N+R24IPUhvaVSlaIogt/hUkY8 +IHgz2IjBcnenVmGrnyCOI4TSCIXZIig4CkKHDatKVVDVl1Z7LX9br9EnzM50bxXmkwVg08vUCY/ uJDLGnXp0148rqpPCngOphOYkCGve7OrmEYnV3qnHHnBtku/AXEe1p0Q1b4XhU3KHynlbS7WnmgT xLIAU7yP5BBOL2/x1AN/QlfON7EGG0WyulSRYtcWuB3VN0bYJngNq4BOMyaYTT8DesraoWhsWCbp uZha4lto/u5xbkoH/hPnVHha9HeNCdCqwhzqcc7WatSCSKktJPRm7IwoVsZq+GlK3OeY5XD3EiDo lDixByhn9MnC5CkT5swdAjOKrkbY5moEeVU3Ddja4Rbf6M7AjrwfjM/JnKYQXgklS1GjyxyIGCiR EhfenBGuDR6VezH0b1Fm0+BRm0lmCgEaWhNoyh9Z7c0EjGLyJVhICYXjix1xCpBFOac+ZNeOv4ff FVVMR0AsXeBgerpPjL1jCJv351Vl61o+WKU1UB38gOrCDufAqlTnHJ6e7fTBI/2kICUyCcZbgyDx i+9qpyCbATbp5dFjr3Ak79tstYFYD6tawfnyGRK2id3ufXdkrkwhl0W9xSiMidhglTMKQygJdCig Ug6jFuRHcZCzFSqJI9RVpKow+X628SJVyQPmNW2t42Pl/UCuVC+2tGZ520TH/8t0ExOjBMA1X3pz MUaB6t+HPIlwl1hlKJCTh3wEiSk74WDQ/zZRuhIQWoZwQrdB/QyiFO5jgpgfBEhqXpfh2MLZ+XPi DonG5BDwtNb4oLIyaHE68fqgZ/ITpknhMGKgvLptzwJ+Y34hhHz3H7lCPvGDGgBzkG757oorEX4u +QCe2UV36xczvB5jR5uiz4dsiqjmAp4xkK4LZ4qJgSfSiQqNWBTyC7Dqvs8sRjOMoKljQcuj8JbU H0et424dhBYGymH72aR8gjWo6pdUa/xk+YcWpXQl2mW2gtGZBmk1fe0GWVPh/syWRw/67ydnWT2M iyFoGOymrbxG+dD5hm9pFkpHZwqT2SELi4N1zGVfrD3uWsp8UqJaNZ5TiVhSzPKuAz6W9FXgMKS3 8h+NOsupxcAOQb8rV/yryVvUOa59pfC54Sr73CRk9aVOzS5WtPd4aFmOc0yPLM3H9POE0YZvIIFB /Hhg53Hbre2Wuseea7adgTusoWI2wjt3mZZqTxrAwxKxK7/11L09f/zMejcAxDY9RlP0wRItjQPR xicNb6jaNhJSTzJaViUcBX7plSGaJAUnmtnO6Fk5I8shlVjvWiKOt22iRc++ke3WjodvwAcy017W SJqVrEjtrXLkiMgwogixmmZ7xXhEngNoGVsKttzrd5qEvqd+7PhL2mcCSyq9xDHu25Efr8USboQi hzuAI3I6/Fwb0FiwtGfOkQlt0xD+oQBQmHYHVOnW0vZ5yI5Zaq606fPYEQLFyWHBLoI9RDPKX8Py KFEtBrANkARFTB1Ch7gJURHK1yTqjQfZOMkJFNQfzoXfg1yXpXRtQl5OnFnyytgIJq/NIzq9JwrT mmg2XL7eiP5xSRGhRO6dg5aDlf2lxyJRxnmQLkfy0dENuDKFaf2R8KEjHCeEFOP8n+rfMzJBkyOV BJO21sb0JvwwN83Qfwlw59wIo7DuRSpSBEaZcMNMuOzhC6O0hH/1ayfcOll8pO6fAqjF01U1j0Xi xZc3ZJPiZ5iDdG3i60sDdapXyxgX+MGgSxasYEc6usCaZBsXqi084WTDrxtg5vJjUCMl5ZvWU59u RI+o8Goz0wf7Fy7klzjdSy0hruf9EF7Uplw+65ehvvmT8k2voesq2eFkaQgdI6qQaXUYV8bwSKoR RXo1U8zarUVSkog5hP/kxzjG+9sktq2zz9ytP+NTQc/e3xM27N676KK+0wQo3yejllT6TTZRTRH4 QrPgL9jqHw0Ug7++DrQLmnibFmVyYmuiLleFjElgBwKgru33LN6YmG79gbZH1Hm63LEQbsRLiNWQ GtrvFhDTp24VfS1Kw6eWepsv1z+0psnUNoPcI8HnzPK3uTZeRmrDgbvUZn460CCSZYC3qpn+bbhy ixu/bkPsN7HDvcJow/TkWZ17kB3kFhBamvKmNCmgrM1y16ez1xTWUbYrCcddXhfFkBOHYT6saWfN xRr4EdvTv8zct4JNt4D6yU6VDusw2Z4y2uEtHbbVmVZHEd3luEVSK0dePz2furirdD0cLvRCKITR h+33/4nVqq7Fsw4t+2dde60Jl4nKZXbH0chewemfoHp9qc/ZQKiMHzJZ3m/v9kq1jmzBqqZrqETW tF47R+RVPo/+Fn3zOaI01cyfAua4P+WWXkTVPc0EEqmXIq8bqHcRl5HFsLoCGTHbnQh5okcSfp/q 0YyquEj6WMYDUJlM1PtE3n3IytZWzujXAsI+NOerWcoYoeBcmQjU7hf6Hc8dLEykrzgU5CF8DWWe mAv/ZBbod/pMEoH++e2tFqxVpCT4YJbrUIAfBOld2qV7/lLaM7AHLDnXTtt28qDEBFN3PZWYboER aIyfqwiztyxfGU3UeYXibjgPAAI3FObT+Tlmd/qcRIZyudr+y7hME7hF+wXifkgGls3nPVLw/qjD n30BVTwu4zh3BwL5r0EF+rpFIJoGjVzQlGyDxfnJh7yGl9QFk6dm706NXUDuku5O+fYE323JumbG kJyowwjBoJcWV2RNeUOGdDteJjv+8tKwPlkU7HlAbdb9oVPVZb/rS9vOTbdrfAHl0kMejSXhNnam 6mCV34OrFtJzE0g/bP/5PoEjKtEK3wTrCzEWng/jwjFh8G3HWw3IpIddo7MPYGacSbMBzqKdn8Rk vGC2TZLzIiYPs9pW14iPVyNJ60N2KWIVFJMT9eRx9Uj0ZVSLd+4UYaPuy5ZZH6wnQMnYmqsMbJNI nja5R7oxToH143qB/gZj8QORDKyJG+r7HxMa1oB2nGJifEU9ychA33YilXiLKDoCQB7Fh88/99l7 LoNWsxdxlM9zi4CnltWiP4f5HAABHfULUqKXi69pRiiS7GE4jS6HYoNHf/8qpi78iFfoO70aQqIw uJIiqk6SEBKPG6U+SpiDr9lI1Kx/FlXFRjfTHAkRANKK3mA6xs/eVGe1VEWt3y+j5KUz10I6uM6x G/w33aHj6nYMuWJSIBxsuydfPX2K5zrfk0bYZC7IvO2dQ5LTHMJ2Ll+UK6e3Gshyx3+qDkZlu83z wwgCVzBF7LZ1OnvVSNTYT2/d2FojTpXLELeHvigcA4QYB9P+GhnitDxfRGzkyyFh7m6dLTrJ0SzA 6A5Rn08m6D3gaSmdY+lRZ0YSsV1as3PWOj391QZySOgcjvnFP+vJyi0vygmDsaHWtMHJiCtjZ66K ZEt+cUAgl9SIzHYLAtRAs3K39KgwJ46CNafCvEZhCBs+RfKQ6iATUc0+guaZWQAmMPfOjNGlaBFs 6dqjyOY56A2NHb9Azm08x9cJ4N9yhlGWY2dn5Lvw+va1lKo2neILZdekpIW5YIc2hoPzDXON9/SL z0S/TAYbByBUubRC5HvCwkZ3UBWC0NQYsve9VDYXwMDOdc9FGxn3qKdnp/9gjHi/1Ctu/bTDKMJl 5I0LlYdd54cZkhktFQJoE4hjn41ctrq4xhAMhU7Sil+zltu/PwS4N0kMtmH1Q9q7TFTVT2YDqlq9 17z7caNAS+tKOyq0N85YJtYC0iTDFS8jHcu3a1i+DyEB0mSNSMjOUfJwf41fM4GZLpVbniI0iBeS egE7O3XTpYd1lXOZ6u0OcY2e/VF/rErWI4zpTq2XZXURnpvVXxyGKym0AtbYuwkhw4LQUpA5/orp EyCjVkRijkf5uxJhHFMOlTUlt/llh6w4c4AvbLAgfnI2m29v3QGbemw9npapK4LSwF5ZnchGEzxP AKB4xPDoiVS5jB1lW5Cse8uWLcSd0K5176gIBsb8ASoEnmn1nLmWxgqfy0FeuHWL1boKOZA1KO8+ 0bpHcVYIbAWq8fgZ8dEGpxxg/2e5tA/yEVYFhV3kllHP499ht0Dn53DhUxnVngj+6sQ90p0cvkgS vFFwzeDBSn6lIZXL/goLnqvgLpI88e9Qao65Kz0B8FqO4Jc6I72ZEc1jEw+/RIsfAervM95Phlb1 6cD7BSk1wD/2AB818m7T+YNP/TqFzkGq3BGmdkKeOcFUrXHR4kGO8A+C0QfueP3zK+tpSDMMRvrY sXS9oC9q1EOqOF2jLhEccaTPZLMtev7ANqFDSg8TkQQhRdjV+PflnB4vS9AM4fmlz7GZD6R8Bgh3 8NJXhX6A3Fn8Jnzcse2dNG0cXABk8MVFnlLsZF7DP+YGqDTnMp8bBvx+6AHtKAyaYvlOYL93NAGq F/MyUZ3okWxTPVOfaUDAYurBBKq7iyRUMIB+RRa5MmY8r74U0bbVio7Qztg9FBTUA1UJDkOtO2iC 6JwT4tNXr3s2PozTimhuWogk8xgb4D4c/fo2bwza1OVOQO+v1ZSBoyp1vQYy9SQM1J8d1RUfFqoC 0FkPfc33TH9r7cJxk66yBJKKyE4bM1NwbQn5VqMUD6vq4sGomKLhAU6zTbPp8KsgE3GOys1jtrWV OYLCc7x8r3/ZyyNPcKjUVCKrQTzQ0aqxTrm02fiYZCGFy/ltUkj+LGNkrpT+HTpt394uFKmbanKz Sr/iisJ34oetjrFkODLgTwyeo6lnLzG/b/a74oYz9fYHWDzaxwal04UdzN/ozsBNhQgBbJ6aMUT3 3rn6JBAtFM9KpueD6+GqOUBGMYPmbnEDt6QtUtnj5HNxCJmMk7gpkDmOYu/Z0Qe5G1wwTSG1X8pC Kqgawj0w6QgVCvYcOV+XUTk+BnNHJIfhhZVhpzAlYp5X2ha+SHeY8HZ+7Wt/ir0jXdXRnR4nKqFY eKfNrQT5YpcPeoCRJkED8nxKpTCP3kVPl0qQEq4k9/Byw0qbgmZqbaJFOhYXSCZ/CYgXhl1dYNJh VcvIWV8twnGaTGuNq2yYC32FxYD1WHbdxxCx0sIOsUhaRTW0KR5c0g7yyCkFOcYzQZkK9zqisyKq 06lrDeTDHf4Nqicczpv+fWYuxzqTeAkpSizHd/H6xriLvllcJEhOXMpvq5GSCp/p12B5feyIzgZ4 std+XEFuHhJFvei8pKJbCrxN026+BwLdwM1r2df2xOTIFBvHSUFn8/0lnZ9nUDEn7lglIdGHBY7L 1QKhItL0LW9SkLGJ64voFkpTQs0Jzfwz2win7WM/F9q7CR3hMjBKfW/DLUQgRaN7+cJQJLjeYD6e +vbAGEso/LB/VQWY3W00cq9HBi+7vhWnPVhkeK7rUuKU6SW9PHaWvhDXoiSD9V19rbvDE8HXWv9i URS9MEQ0Xcyc2PfJV2X4KvXvmc7ECMeew81xXRWzBoNUFUK8vjKA64rq7iyYuBEfBgIxMfc3kbrh 7LZGsre+KArBqtPH6Ni3+1iTTopwF/JcxnsXkG5x2ZBJ3Rr1g4Dk4T8ePv3PDWhdX9V07/vAsnCT /KVURmhs7zc+HU8K8jIGydBK71XnxdFBHRLsU7LtvnbhIisblFmkjdyq86c5hkAmfucV4/NiFfVJ +qptI9npzE3IzAX2PCJePGUo6ONSOhx/E3ose7nh0cGf3NOnYxTnaAcutQw3dfYSDor66cqChEw9 L0DzYrsd9Wgw1YgDYgaqvLmhcm/QJZ6K1LQuJAAkj5oW7dLfRYoBVh2HG7R67eQEPpF/8K53eQaZ LlESAdoJ8Z5oZ3YndYC0rAEGW8BTWEZiD7piDgXa2gOzdY4AJCOJ1WsmbASk7RiJmk8bhY1h+bQh IFcOuJDrBj+fBj4fBdRUckRVb8AOThA7Mc2ef8j34tx7SlP945aUMdZn/elDEKc219CbNG06DOBu QfHlLQfv8UGMxvJuYpC5TjKICggn+Js/7Nc9UBG6NHMm0n1CcmrzUvjuAgjSGWAdyBJ5LHycsubg MSsWc2+CDHIPeHtUEt9v7w0PRBGkCSLVw09VBI8pRpH+3peWbrM8H9cUdt5fDrSBlKBGCcedmtDu lH3605IUkY/1VEthAI9VIiZ9omjyqKTx+D42RUXJkBYBC/0118FnHRn8mNVj8Er5zZ+9b7i0+x06 lgCMs6S/EdUU+SXV7uRTHDW84IH28D7w29++BcAJPweDzYscL4h3GuntnaD2rqw8E4e1T7c/6hyh WxogzcwWZdwEehVFfwefmfiFe8vKY+oLUJCjHt5JcwX04B+NhqC89aHSm1MrwqonYcZ6J6tncP+P ja10ckFeh3WimFyGz1vRZXYv5qyrvvmruz6jIPioyT5YANmEvCFDyYo1lJ4CtyAXQTSKGRJKHepw 3UMXINBXEGR1b4eX58JRNTTbk1vY3BcESwrJ5x6b6KnHcrJ4mf4FiRUzMd5TLmLPGJtJQqYv9FJ7 Fqj68iP6i0IU5X3DMsqBYIdBdr1C7kMZ7Rmtl4p0IQHKQfKFxdVMWKi3Zr3ubZArj/3WTzwi+5VM nNasNnoxhbwN7vwiRkI+CwZbP/lH5Fzggq8sWVYEPNF7xTolToHRbSOzeNz58bsgm62yi1v11NVp vQ3E+VUZixZzSTCFxL1cLmsNHqbxKn6gCNZHCEZ+JdGBAr8MOpAafgH+818Q1672fSDdgqzA/xUW a7Il6IMAOfP0/xbLtStNawODr1lFOgMPI9m6Y8Ozuzp4bznFaOUXuoGp1F9NG63xa945KJMbtZVz xLZal+RPTYEMo775h60lEu70fYqC4qwu7ANSzeJKnCGdNgHez+OL59Fo0oWNWIBRMhe1YiA2VfMA KMtGxHLns0YV3AQ7I2W94k/gsVgoPcoY+eZO3BSb9CSMKoONIRHADj/WasVeiiTQr3yFxo6h5ZRv lrpFA+ksYce9s8UxnVuA8SBnTWXcFE7++U0WvHWxERwFJhro7RNe1kjiXt9NxrG5HEB2yK/Kw7bz tDcAJCrCUbMG2GaHgR5YNo06j8EzzC4iVBAOubYuxsE8JG/8JnaGaONrBg2dimdGPM/HaflsLHYC 6dKN2kmziAyJ1c+DCJu+QVRzu9caep9dlDgJwpznYraUqDZWYdpciGqqOKdAZs0Z4tQFeuohtZ2n ihD2tzNwPiDVf3UkMI2ymhjkLuupVRILGPBzcShVSuACLg7K+T6mYElmF1gBbH1/alQHpUDtKSDb 9O+V9N7DLjniZu6R2Iducbp2irkgEoLskzLkoGvVHkPANlGxJOgUPBzb9AwFwT+i9YAxklcb/UJm EtLjIqgsgMnDoFdpsSIoK0IAUGKA8EhoGft5QB8i3EQUvmkWBaFvm/GqNlN86m3shUfsXkpzopZb y7IwKu1rBm49QXHfFGe3Y3Qo2UWC6bDCFqvxMVsoZ2m5UD0U3Hyo8OuVXlPrAyE511A53bWx2UzJ XRqYgrY12KgUCLG0BcAAteFZVgno+sD6S8d4Wx93LheSDIlEUJhZAWGLIFBLqiyPYIiagWTCE3yV kzZxB0ohdH5bF1fe2dplXEDulg9sZJcdfH8BlUal4zSp+VLRr5GWToHiWUDuaTYo7NHxTNGK+JO5 PamA+OojyDPBCgAUyw/ARS8SSGqkwx9hTrnXMY74Ui4fvowwQapUjHTCUcbkcGHVFmphNgOwKEnN PNduNiyukjtf+BFkFXEmP29s37pyMFlmUDMiqxgOcRJoPZ8t9KNWrf7zICyes3zhk+vb2ycLyMhF hiD1z8MkFWAu5QYajlRMDJK0AQOPgClgaIf57D7H2qHDy+cKvqFUpxfOoP3zs+fMXmNOUMZaaErE pcPcRm5YrS+nsU3vj6frO+N2kfM7tlT2HF/0QNu9CVyUbf69RECwisYi5WA8AHpJtrURUJlsY+o5 t3XN407rI5LLGMbiLpBsBnwn5jyrPhmy2d7MwwYSElYEAjC70DwdQdOgIWH38MZCD8W5e52++Fv7 gHI8gHTi+ETncO1re/tFknzpZzrgdVVfABOGNq1fIkyvSl/8d/huhMo+BUrD5mvLdrsOjF85b3r6 g98Q+VNB0qEF4tTf7HxanZLi8Yk1svpt2ntJEco5JhqalV0trzdEuv70RF74bmnSOyYZFcTB05UD TvT9SmJp/EcmwKCNpsX8PHk6FOvhrhE0sDjsygH0BsYOCt0k16hASrlkXRgLlljGclRS/DisC4E5 UfWcL9IGeMzHidNWYqpHSG+hC8MDz1ctXONXouFZjDMQwmHvrAsgVmIv6QU13/WfU5/UzAQrgw0i og4Nj4MZpnJpOMZtBL0TmmoUrk5tDuAXicpNBIW4UkincK7/GLT+95tiaLunl5b3s5X12wqMaFJS NwMjBG5ulTlmyzU8Y5ayeXFSzVaxwmWUNPKv1VIw/nZY/SX6DT6TwRvOoH++oUyjeg1XBO3r8YGu ILrwiDVldlObBiOFHDAY/Znq+xho6SkqfzAXN91TA3euO6+ZOfDlotdcEuUwuHUcZtTtGZJaY6KK kE1hXjjC3QyRkQfSss/IY0q5W81QZAB9ndznCk17n1uy08I5PFn8wPsskG2vem4dMx1L4luxsqIw mVPghuSVPFKA2+Es0FGZZACh+VlaO9JkWqy/47OgBImfUgyC/g5aY8jUIdW23NVZ6W8ZRoKI/844 U0aVeus2mWoEZRov2zmpX5DMDzdVUj4Zee5FiVpvoue/mmBzaQwi2cILJ15Rib2eCL4MdPuCPalg BTpJp7kExf92VQ+OLcIQmuUG0SVw65NEFoDlYMBWKrUM5zg54WU/2EDrmZvOr5FWaWiDv/BttqVF l1+kkemMOP70UPX8YytOeM3KQx4I4Y7edF93V2ASlCs5y+PY4nhXKyIjVJ2zuLUKOC0lPAas2Q10 weV/WsULakV+APYHRvBn94PNYycOoQXKAaRQ4pWLINh+E91gQtkxWkKJIbIJ9kO4xtv2RAZCFM6z Mk8sBcICAHU7gx5Oi3Od+8ZtNqu94FkBfFU3DvHFFk7NsodWvdq+K0ndqPjgO4a5X5038dEFmwO6 23ErkDpS9eb0HzrhjNdvxBOFaH1yQZcqWjQOswP8gXOsSNSy00u4CcdO5sYzH9ALqPE80UDIwJJp 1I5/99BdxKchOavdqBUYFnogGPnZgYgXs6AXlQh8eBeFPHkPEMKmOrnqKJXNsIGpw0mIMZeJLgJH w1juXAKe6BVmFJ6BhK+HmnrytmaaQoFlB1AJ1S5fzIkv3FTGDlqKNPRZ82flMso/rojMQPIlt6to DX+VZOzuGJxJcdR5k1SBMukaDRpMPuHHgNk9OXd4qZL/ELITXXxqJcZkVbFdUbtTc7CGVbwuEHCt cRE376G2AZ72O5kZF9fKUD9sE2CK8gh+TJ2h8Ry6F7OSnfvflIxRpCR9VzeS5XDo/cSGJt8ljAcm ledZ5vAT59d2XJONFzkUI8cdxl2RgGgq+Cc8RakBh3K+D5Y2PIfn80PC1reI2YpaLZMB7buLzWPl JzE0OxTxutkmTngPF25K4QvAv8XseVGw7B6pnJStS5/a7A0frQ/ecvVsUDq4xLhidVoAcGQ86yyM lw/zxq/Zr9ih4O28eR7ewHRbU5Mty/FLci7FDSmYsQc3Ahij5zZ4uJyahdoAL5EgGS8pQi4Gk0w5 +cdgjvkIG2n79btP+QcHoZXUKgrlqVxEQ2Fer1+zS3UhUDoHFCOPBkz2DP4pDVr7hsHqwcqRC4fm 71HKhf3KcgjfgnvPwVJYpSOp/HX+sD0rAtmrySFVGXLCvGMOuCScjRfPp5q53AhrQx6LsbLxMbjG 8kaTszea1olLIKB+4H1+9IXBqcglOoNFtYfwbYHIRzTqfvpaexZ4KJzrLH2mme0JFQOYOSSB/Tjg o7a6kLRhzzWyfjYmKhZZmXLZLUWm6irnU10eF2F9X0cVVscANmt3RMhfhLS+5i0cl6pD1IZ72Gx0 jIDc+WiRbqKsj0uBl2vXUHCuXGgE61b9Iacp3ojIrPikNkInnaSi9K3xFk9OHpyiL50byfgLb/8b wvmN+1cWKdGVAPrhBGsknJYquOSA2SksvFQ+9RCt7+raprZ0K7pONFCq+Y9gayRiEgMMaM/n86Qc t9cEO4Zp8gv5tfb52kLKQFFPCqMxMHt/itt0YI2BY9k6Z0cy5/gJrkdkU+opxg1rYYcxbWYWAtoN ZPRUUQG6e6Mwv9bh/sfuLX0q4qajPx+BcaST2Lu0RxXMYkN76ZDSsY04BPZsInpsVg6Z2ZRNu7iH Y6j5ALlLDTAQCPDVVRtHqkCR4y1vwLchcmn3tpmeCI5dYqhlqyTdBw2bfGzdt+yQywdpBhK0uxuA H2wfarTlM3F7V0YPdqi2hTpyTCHvrAsWRUFk1qhHkdhufghY9iodsEH9mPe+6AQIbQYG1OuwmFjH jbjLP5zYaCYGFOvX7Vw9m/hPe5x4haHLWdYiBmFLzQphgB16KvAmQ89KT8V2fd8qYQ53jA184pnn C3T+s2GDCXIAAWkfYCCKZL39O6vEiJ5W69jSavh4p+UZrsyvcFOoID396S/aEedheCHANR3JlAZu 132uJYXafdfJLFU6UAYEn6twAwocWX2v7JAUd+bhvshynK7ozqYKWwiZbHwGB0xHWcRIGbnVQzbb tDytcZUK1ykzf1Hvv+9LlBEctv7gk/Iv9UuA08o66TAiIo0VaibkAUPF/QBxkBpKUQNLuOlrx7uy F/b6bkRgsnPahZziNhAXsoXO/86uNwRV/BkHRheP//uithW1pks8Pem4Md61aJir+7wPfgYi6O7M H2TqPQZmJxCCVHzQh0tfGwD4yU5TQ409S6MIHjaX75VOdsDKKUPbBJkPc5ShVYdO/sp6Kx46i/VL aUFnGkzsSHoh9DC5F+gp+oRp21HUHYoRSwsJ3iFpgx1iRyMiX9JvMmBjYL7Rl1S521LutxpOujCV RNdIkOAdF81dRKTFX6AazaKcnsLoNIKNc7sznwIml+/iMfOdIFf/x2VHDnr/9M+p/PUKlTwmGuDw ZWh/4H4VpCVYHWxYKDIBjf4t27eOKnvvcjMHBm0GF7H+TUMXEfv4S0SF8lqQdm3TuiDUs28iAW6b wBlvV5kgTu89Ue0xwy0YzRPrzT4XQEVn8Y41aVnLpUbSKWZsnoe2qG1p6CDje6/9n9CHTyESih7I v5TMtdPwlZiyMCLIxQ7Mib5pylvMNOLegEpfO+CwVh0u+l6Jdh2SL1BnXvqN/DhVStlLEPPf44Kq oUIqUPAYJhSTE9AvSvDKgLkvyjr/xkPQhuSkq8ae7ayKXvvPj0HueU5xrR+IGSqJhi3jBLYzGvHY vgBEv6d5Oe0rtKALVuCgGzY/iOT5z/aSMlidC0xZN9peGpDbMapx4ypcV5Bl3UQbNVvvvPLPenD6 ANZNdkWbEBP7bFuQ4dW4vRwlBYimsIFl566HUMMGN7wmOAL596Av2FqnqwU11+YjLfvpYcwEfaVc cJtDDhwkgvSNsVBFeOXl+pSJu4eIiz9DNTiICvzudvGHS49KHqh5ZSkR7pQi1QRq/l6uzOYw6q+q mtfDKT3LTM11Oi1iiNqyD6DcqEO2FU7iCEu3d5q9Lc2b02jwbyLOfRHNswOiz0/CNes0WF+JbZwE zrpeCoBlMGJQXLkzRJJ8ypH3vcMbiihRDtC0gNMwm8xllkudB65Skji+xEgLyir9rksypz8cTH5p zCpth4ZSK9jpHChDwGijyScs1Kp7V/nNekqwGbe62A83+kjde+fQyfOLbLuScSLf3nS22e9miYtO nV8e6j8UdrSTYY+Pdg/4azNWRofvURM41aoeQ0Ah/MNNywHARMIxBFrvMBqqVfb7Plpwh5b8snnc 3V8SO2ETYICjFJvn8bn58v9OLo+g6ZUvHmp9ucMhuuWfmMeFEf0SmthL9PIDjQt8Gvw1cok6l24d +K/HHQZj5xIPx8MN6L3CsOFoSW8s14D/DFFevWaGr5uLqJ9WTbuoGXlwnHzZAXw2i5+DiAB/C4fR DQvgXo2Snzn9F6jlF0dULgNtI7K5bOJRiPjWxXXw5FI1uWMxx3USC4peIvow+WiqVp29X1NQRaSl iiJXEKCZaUc4+nqPM9XQCOxAf38f06P0x3KRID7XKPOifTc7NRO58K91Pu36BZIjseid2V+dqTTI +feGYW/ZwsNRGyARNo/YakvOz5amy4sVdlAfELI1Cpjg9LtZFbRmzDgV/vvOm2crjvFOSQ1hI/Bc IAsUVfqXwd+VQ2zGe9QG9ONak9nIU6kyyDz/KtrTvrSSbuPVWJ3nzRad2HETBj97DfrqKi1WSETi BQx08F6hANBXnXuFW+MUxNUC0vkmDWbuzsC0fScnHrSEcHBcman74EI9VUa9POFPtktzJIdrclpN 1Fk5e8CfVzhebIIO++M6TR8fVUU4JYGmH5Z2pR8brwzK5Uj28mv79jKjzXLojgNZgexkRZlcvknJ 8mpx1FAJhKfQAJr5J7GS6zAQknpk4UU2XICF5tIKZMyA+XAkk1lxJ7ofYUqWiUXr7zFrvKijv6Po hZxz1UaMmnC0VXPw0hJ8ApKK1KiSOC0SBMGKcEg7jDZHtdV8lvee3YmU622pqJnmRzf9lzZ2j1sa IfnE74QiMLB/sqMZtuzDpX4VlsIRr2SJbVYfPkSPrWHXL/8ZvhjWjx1/hPNvlLrCQ5LQqq45h/w8 VzWjHiKrbFKNASLXKTNmVhAX32v36QmIDeruPQvwce5D6nn6q6wLV4ToM4kYH7C/fo8gPWZJ9/Kv qk46hsilJeiM9g6QXGYbh61I7irzME3fki7qMUNSQifDjAZ7tHDAC7tEfhEyy6QbLaW7L5P8C2sS kRrcwLy9ylqxvxpCwmfwln8HMi/cLgrFJe7qmSlbF0Ec5oM5LXFwbo/6fOxKirrghmZpOpnLsts1 3V3/NY9mR5yOiPDT3wEgxcsOR8NA53cwTxg3X6M/6UKVRd/qBgomxMzXiIMK62zDSi/lXfB2cPgs pJWU830JzGbqMG/cXy9RhK0kgCLpet3r+1CUW2IDawYFC4IGhKBdqcvgXnA7DhsZS8j0/OnP9aDm ZnGCHXgY4+5zGJHFBWkBE76DVicxkz4sTVbaa469sOlScPOJ3FxRNXe+pC4GM2eI28p7L0xKBajr QY/id0dGUULfHdztwyQtC/1ATaRxDZ+LsfqfZmXF3uFzkJtjdQ7e4dDdVzdG085bc3bNz/GqpvVY AytSL8GkuZEh7Is2BxYaQg/5QDlMf2ZZnEtQJnqzGz+jMLbystM4q85laXmTa1z28uY6FI5hjf2w OhkExLl2tSLdBJbo/mR8tXygTNIg+a5V4tStXyEazQ2p1xCLj2+xoyD3OrQjT3HX1zFo7hVi9H0j /NgYKavywLcHSowKMtJM2zhsYbM/AIdvcAypY6FxgZOxIW1gU5dvSdEgItNCc0tbP6p4PaujHzLF jrIn5zgBTFwCxZ++/Oy7WrW/DTFJCa1GV3rynLxBfa1ov3Ge//d/sWvn2r54MTTuaL377Zr4431j /9oQ8bsKK4X9oDE0htuwfdMu/PmSdddb1rv2F2K4ReqanW0Uo71Fn9HP3sIsDR0UxsxNDLiA806b z2vuj9C/oH3obmQ4RTNg3D4skp2mv9VQvLBLb6nUQCmz6PhIdFmjFkzqj66LcNuCnE5732gcg4Co tdqR8iCPWc1mRk23W9u6hzwNJ9/IbOwCuxdMwqIVKDT6/YueJFsQ44wlWHtbrNHtrVYxy9Tpa6e1 RaJY/PilfKUqcmyrMe3+zCh2VslRBObFrC0TQ6hGtGb1lOTAQhwStP1ypDZqTrNRyugERKHEinOb 1o9/VBtDDty1mR9bMlKIg64zcu3Y754KOKfmPp/h7EvZWH2VWqqLoIrToFFh2EB9k2L+2DJ+ijr2 m2jdIEV6//B0iI5mMXaUaoZ//Uf/g62JcsOnZZQ0T/P6cet4WiiilTI5zEqJqPgI/MsbYp+H+pbh NorrARMrvleem8h4N5yB0AUii7dPDeXaWxF4WNKggAKl2IKl+1ARUmZW/P9MmImd+wQRD792GS4F WWiHNSPa1SvJaVxEosfedE6SR4StfNx/A+InOoAkwrBfyi+tmn7X9sAGce6nZKtyudOxg8OmLoi7 uHGgXG0iZbLAZzQrUehm3x8v+EAfsG8+88yfPt56lad/VAj5PUaN+mVut4f5Z+16DfZOQk+0UJZu iU+2Amba5Jkr/D2rRAQ8/0epcIRVs5s2pUn8CJgjlLexc2LWxcntvfdX0lQLLKR4S2bQi2APxjU3 nnEnLQFOqkiapOxgUB8UL7RkIi32+pIAKkFtG7NKEtqi/6XWvN3mP7V/lSGSQGa5qcZ/xFupElti qpzTojJBV5MvD5RN4bQoe/1sG9lTnfnAVMLmkP1HuE9Fuy020A02a556gXwv668vQKpoUgd1aHcz QI6iog7TksKfmQhdwmtT5mXbBvN0h3QxC0paBvunqqQe0sknn+adaRI3Xkt7ztQrZ0AL2U549pzI losaUWzjJHQNgaPe/pGqJPHD84ztAnmIRUyUWMqayxeqldMHMcBgMnaMDTW0uUVawGG2u4CO36mN 4YJDVprPCtH05ENS6a2mqqZ53BgwINGxNeDrNmvDUX4lGKFl0D/xGfvQdzAgmFHCqiDk0iHmZPeQ nDQQw/ObMl3/iglrr9Ruj9hfD3ghMZo2rGDvsq+vBODtinRAAURbs7HDjx6dA+1QhLwZoUuy1Z+b JjzF6BvCNVTPoTUUcNbrGHbwSIXYAA1nx74l82tqqPDcUSxxD2s3V1X+2xS/i5dVC2ijD3offXQf nBbJSWz9A7n11klscpdsJU8qyRKZ7wZjPXU5IpQavN0UjZHt3e2Z6rWVDTDcBy4NHCIMihqQ+Eyo XmiibLTB/O7A4yez57Td0mlEkWF3iFlFuCq4rXZrOpoEuI8aegtsvPufwGlhshe1q55JObhSHYN2 v0oVHvqfd3jy/oE9i/4r9yCzl7BjqpnyJw9mYL8N9CNYVuAfDKPPnymByqZTtwmWHBE6LRIO8fK4 rMoGmLpZ6ywRTXBQSlJDqKmU+REAOk8ZAopYgATgXcgInID9C8y0S5frEfzHKevNq/owHELC1Hdv GhV7ryIHCFfBi6/Cn0wfxMUiEfkRYQWwpECK88wVy+OChGDGsOEtbu5bp4HV5bft2Df4H5gZSY8z Ud62X/8dNBGHe2vIdftT6quc2n5lMaFIVxwIzF5LZNvDJl2plZD4UUTQ2BBy27XT/loUGXgLjdap VHKkNnWPhLiE9rrAv8BkeA86cIeevJ+COPk9LQsmYvBM4qUyOTprCcn1cKDFedxhkaQms9XEFzSF CG2OdYgzRCLmol/glbL5UcYVxyxMO0BLSG0XIbnuu2vktA6tfnvF3ePXBkHyYUD3uctGDhlwsyG0 AKvf0n4uRzMrs43XmwSQy+owaypR4MioX3LPCZsG7ZUxvGGKgIp+8NUISWTMfrTciCyX8lQyOdn4 uPO7cmaQ/IEm0gg7DZi1zbMAAWM6zma5GRYe2xel3nRgovFyNPfk+DZi+abidm3WFlxNv1CHfdVz QoHCGcEy7r+q4NzQP9QN9qIaTG7CZQQGWQx6P25vqtftFJ81kDjg43Bf+4F+EPzu77/rcfXKwGF5 4LvLKI3SI5nakxmrcsAq5sq4oYXv9jHm8USy++BovhLZhZMS/QchA2RH9TkUIV/U5Pq6iwUoa5cV j6J3+Z34fbbq6IyuFHBwoqfcA2DII1z9DyUf+6pA9kUq46e89NPqSzffkt9+pM3gcakS47TV87Uq +0IF2AEs12iy9ExI240jveROO+1Rc9WaGLUBrIaFcL1rh3TSiDAwnXvjaRIZ/TshEQ805eXDutnB U+tSvR3lkbmMi4ieyQhdr3qX1ZwsAiJ6EmdBoGQNC1il1JsNF1806P4q6cd2/Ux/tyZJdY8MSv0q B99MjDtLY5Nyds2tdu36tXefaDOeTtfy3rJAp/rwXJibqHeJVs3bf5wNujDgArNER1/Nr8yFLfQO xu+bv3sL/kOK178dSBaqnt2vGYJMfGLfdjkVPQcx1c+GovEmOEfKbM7y9WQBcKuMxNpP/qcnGQX9 2Bb6mAKKeCJFtuLH/aG0Vm7sBDFsXx1TwtJSCPsoNY6TkGllC/rXRW5Rbk2gAUDCxlbGg4Z9ymn/ EZPBScMCnh51XvuJTdsre5ZYGsC2ol6GKVUBLWEq8kBwfk4RdI0kAj4nIub1R9lDiEwh/UIRp9Ax qYazHbqjCX/Wvf3DKWldKhTfCWx6cFhT8FaD6k6K+kz20vNO+KZEghu06I/rWVOf240bLE+6GDVJ Wm5bBJUAJ2t0bcuYNjf9nPtFWGfKXFcOaXTcQFDDrgQ26xG0F8muxC++GApo2RKYhG45tPAoYm5G ZgZB7cxKacdgvONgGxos0IpPb6f10MU9V+lTvhL8zYQTmt43Odnj0fD7Dl4nOUk9vNRwAz6zuVAj W/1jwf6q9FSqaC86DclZpXvGjNsMhac9xqUaaqOzsCzBUJUe9lcrLp6B3JCmkLw+r3GkXsNsTGEh /oFe2rHsjwgHoXEU6JnxJ0oCM7bG3bqNekJiPwu7Pxv9/j5R03Pni5PhiSHSI5eltGyVZXZBh7/g JRdbKs5YuzhawNCOdMyHZbUTo8CGrL/eEMB8p2xB4uaX/feQBwPgcZE5jPM679d7WhvbBbdBNerO 4rCnwlol3/h51ETk7cXlfcKTANhqEcvtQutgR0Qw1EvLN8U80qEr1/jT6rwlcc21MjpBZGSDJdIO ugspe8+YzyrgPgQrSB/ysvhV7H1XgZ/N6/oiGSyN4wU+/mBLcY+TD4KVBpIVWawXhxl0c1unC4mG gVyoV07tJ9yt4DMob1h1f/Rl14w9Ny/YdvjXhxs0stgctLj4r3FPQFFH/U//iKsykYbWHMRg51Lm gl/w9xybMwkkaDyZlj2eFnCUc99HoDqRcqA5WYNMO4uHvR/8Uo+dXmfWz1EIbATKH6EvLE5N7XpF qyUBug3nTMlltRwWr2ilErAFLDq30/5Ku9AFM8na2SfvlOYgpDg9BJ5G762Yspg1cU51whmKk15f Ue6MWiULfc5wh36m0zVNKfYaaEAB01V+dFqjT2/seves7m4dLKxAJi/33WVHHm3NxAhIbP3n5u42 O5SSfD2J8Tj/04L99tHuh7HA27y+mrt/icQ1xLKhaDQHVsixMfxWu/TDFSjD0Btw79Zst1ERb+P/ 1x7IP57nYMK5THqahYcJBBYom0zcbS4DCVILmYrKwHl7WgLb3nUl8VFoltGzZfk9C4E2Latqqfef i8OwNsZTpkhtEcW1Ht2fevynXaWk+mKX6rqi/OEZvqznzR/CZ7GEBLu+j//AM3YM3oRNU5Mxchuv q34ae97XA8vyLn2xoLEHqpmM4gYI5lfAhX4yIyIK1VMqgT+5tr2Y3048Vkq/d9c9DJeCCMIDOjz5 FfocisD6WV5ul/gYDcgKCHB93f4+a9sYdCmtV1PRtbrER3Uw0ASEHcYWNeYAtwvfJQLMGna8hu7/ bJhP6Nc0yZTFbWXXay3wSr6OH4gNdFHKcaBhwblrXXrDYUMbXl1eM24ZA30Dc7IaZFAswsMKzgPn Nd3HjWeockTxE90KHnh0bk2d96SBAV9XJTQTxj7Rw+d9YmHfssxvbPvwH0g7PjuhEWWWzds0g3kp p5s+GNqt6SqWfGnUpu/DpvfHuvRm6yLiUUqj4/v6mnuGAOhBMhUMKM/lGj4yMe3PEw6Ey+eJygO+ oExpI1hIeMw/lDcZD14PYvi+qMH4ZnCLD6YlgUbKJLvlhqOslblyjjoXvliQYyAspmAj9UeHRdGL SeIY8RHL0ldvI2yRBF7GAXv+zFuDS9eBzCJaenIfzsUhTCQyzJ9zviRQ/N9k7bN4Z4oGgvVawlKz uPmVkEUBmLIscG3ldkOOwSqDfHwaK4ES/P65vYn84M5KTKFvc6sSPiDV9d/EskAU9Ygu7kPdOI44 pIQL6I4qWlJQ9YqyTEy4nNTIhBueJtmTd7jILS8Tm3JMEDBsD0LLgrnvhhJVltz0GJWdpu12Tt2J NK5RsYpE2gb+XHA+pID7lFcuuwjPkIIYfLq+4bZpyjCTdfB4JSeII5TVc5KlTyuZiEBmkpHmoWBj 1hd+sN1rLpe3EdnXtB429Skc6RvmeHxHp3qwERrazMTPH2Q9wYeKe9A6wPRzqJNuw2jgQBtg3oRQ +me/1cvMQH0zuyAfjbQHaJFId9z9/YFJ8/inXuNpcMhgNay+WPPY1lPUe3cZ+uOp0T/bPpVWVt58 2pXecGrKwo+F4dC+MP7QJODCemGQTrHnDNIb1RThaJ9boYJhJe9j0rQCRITDWqES13CTRu4Zjmad uYxrWpgv7OnCXu3056OJ9xZB7ZjocrtoVk6WFUxxSN1mTzMm18w45qaco0osSwe+t30KF4yRJBwp WGJHLTJUMI4IIPdf84W8TvKOm3cMg/rB0d5nPsnr1c2OfQYw8NVSuAaMDL4kSE+Az1D8uraGVb9l VCbOeWKEQ5XJ8GK1oScdpewT1Fh4Auo5xWq9V3PTShnaUNNf5sF1Nw3FDYEicE7F4WLx7UzvF/Ub rVXZ6sxsgejhawWC5xa9rUwn9pR6en8CdvJzWIAJJl8kBhCojWe+sgPwLevSDhnWzt3CP2/ieeZq 8Ou8k74BBx1T13AunyEZQo9RyD5FP2rMeCkFKDRdqZiC+6dBw4l+ckx7ztGd97lQ0qCX2QoNaxvD JS5THMbqHHulyWcirI87/n52eLbkfRWXugBVXXKT+UieKQVDs9V8p+KsXOkRzJPdS9kti9d8tki/ kn3Zy3imT5QRzcp61sZkDNoOn6OMZcViPwE2uhSXF08ZMtjZQZMDNGWe0+MeUbfVHIE4tz/2WmxX QxaiqHKezuchOUCar95KRGrOSX20LSo0BlzEyocgZUdEMBII5Z19V1NSBaFPtww5hN5pBJQa6DyU VGOv5b+ex8JzsijDzGLb5GD7a549HmiGmBOfdgkS965WJMpfE1xrGX0NC+tZDqzP7UASgYOMWy9u AStxTVnhGIBY7i906eOA+K9JkLYD2btfvXsFREudCHs1G7okuKP/gcL11no72BoSBNvtRfrpY1bS iXGQH7kLf5DJSPZjwIGz8c7jNPvL9o9zPoVBJS47/3ZwRCRk28PVb5MQJlb+7yzjrfnhOgWyj/q4 hj/8K+1+gRQPj0FFScmnoSoFuu+A+5xVikXxZZxeu170hTecCeAY3b4v4ZfOeTfZfpf/CN1m5N+b Ka3xYJAMnID2ElqxEk56SivZO9sBazezR/lvH2oTS4610i59dYvadXr7Y5ySbRkzxsypqD0m7oZP INN9GmBQilXk68p25upoeAT74T0KwSKz+QjCl/Qdi3VlSWKUVJWOOl2biLNGZjXusI99H/y08zK7 2RfFm+eyY7xss7cvpguFDJ5jHsbXOtYkAR2FDOXHdX1YtIIeltbXw1p7Uy/MxTUBVJrbBnTPzcgu p49WIz5edleodoG82WJj72BOnmscBQ0XZoC4rL2a2xRZ+6G0ZY0qwDfMZr2dEmUWa8cVD9Z0mJV1 ID9lAzXT+SFUTtdWcNQB7/INZSz5qaUjxD7H03QL9+UhA1bEz1FaXd+ITrvTVDs1NOnAigs91nhX ADkJdIsjiHQPPmMouc5aY97ds9BrifYnm0G9PDPZhjX+f7wTjHd1oDo688vldDQw3ZiKJwuKLBS0 vgVscBOA9eEZJIRfkY4duL16magstFlMx1QAjkBsfmV6LlYmXNDoCRLadfPQn5BQMn9R7n2RfNWU yIox1QfaxZk1tEMo8F7dUpIXFa1KuSQxijtZUBxxKdME0fRIykO+5472pGNJ65maxfgiLfMLDVrW YO3eBUWm9WRWlFyi+wYEvnEIvrhl9F2hJxOCnnQ/9N74YS41rvzqNzyTrebNqjajnC7iZzaGzbge GK2z9/CpSC475SymbomL4n++fxMv2gWVIJ5q3/1NQMm8UkWvp0TO3z2l5/awilZ9kSZH3j7khNKQ heZiRIhKC08gkk6wVcMYnNwavUek3pSkkMF6PDjX2O7iKkQsVn+cIo/xAStchort/9ssvC/Q57XN pGVVj7xQXl3ApYpN14ajAyUgFsAcc+lmMjCD8qeCkED2v9KGFf1ZK5001ED+zxZ+ZbZhHavcDPON gDGR+ZtFhKU+0hB3Mm6Ai4wHz0IBkA/0ob2HsSYKRIBIC02vSGpLC1d4YVASP94vPUgEsIn8OQyR lIp3Rj8aaWLjuNi1Jo3NOr+Qv2AYUqTerrWm8+cGzexpu6gO37mQZgZlgULEDW+6XxLeCyUzioNi rOIi0O6Wm44B9CtDKp7Ih02Qz3GgL+ffozATFMcd1a3Mmhw6VeRKlVkRlhf3f1wQZn3WvZADd4jq OrZM7KoH9jwGryd2AYhiF8HfWW8CRzLj7Xjy1gxLeRfTpRLdNYg2xznnckYHP9Is13nifd++ZWiD U/vzkSaXGnUUuxKK7fGJice42WmWOlWGnZRpn1np8lumEVQOwj5bLs1lk2TRImVjbGXhzVIRlI5y 3o+ukcQwdJeg2UOjjuXfIB3XQbRwCfNa8zQWomPjGcfwHEQh0SqtoyNAeBtSOa0GtED0iU4ktbVy bGAUjjH6aAePr+xuKMHFBFN8u3qy16l6P94mLl2y7o1Ka8n8i7kWFHkOPjkw1cAp7u82KrmvBRxo Top7ZLt9fNQxKh106jN9vAE8UACXQbXLUtLYAAAYeEL8t+sf917CmLYMEjvJcdKam93wNXj2k0ON 1b8fEouDfaHA2Mi1wRnnv2avJn0zDbAACqrh/f5MLzH+S2sD5zOppscHmDOG1kl5740RbTqfmHOp 80eu6A3FuLjTgk+okrB2XI4mak7QybMcLD0cark0uRH1VBMlmnuHiCkZLgFvRdC+oy/VZHjw8zpr WRmVCBPqyJ32K9L05avOJ85dkpzyjb1T/jr/JVs7di6V9sG0zLJjLBVJEr00Gd1uCgdXaO0cYGqL ndNdSlaD2T+w1bywQJZfQ95yEc9Tl/r0Kwto/2W8oPS8IuilBtmLS7rrDQ8ZnT8GJ6Zou8D07X52 2civ1j8FqsHzCazHJje4kiRzDRR1VmXZVvCixmaQjZ7k90Gn3K+2d0G+WJ4XiqBIaqN6mHZ8+PPa rcoVPdJjpgUl+TUs2FwXggeeFooj8w7HOTzWIGJPfbZkK1Diirw80lLU+lsnNvsg/eimYQfQuf56 6JOEDa8aJI14js6M/tyxAA1gy0qSPG1OsY2ym8sWcPZS/2dWRjordsZdLXBwTMd5dC3K50cdjl78 TruNXZUZQEJUUL24etQyotTpcOYfArnl6opBNTSAjHfd4k7PSwx1EYXd1T4NbzJvYGJiH/YkdX9h cdUZEylorSF5sdmFf/wRRYZKK5HSbZeJorpVzhvCZ57K/CYApeHOwbDNe+3h99GOXQ+kdnru42Af Wv/KEszOWctKtBH3ElclkH7EdEcwmZtnL5in2tMWZmdVJ73GD8aX27G9Yz5DaMzrgqDpMvFOIiQv lVluCIACvwNwISITfTvgHNC0Eotvl9sPRY5eHCDIkiUJgBajpNKeg9BuFMohul41vxg4bbhZo+G7 mqXPZnPnd3dYBBNdq2O5g1wOFQHO9AO0KMwHCQRYQxTTcufmMBPTBwZLusM0RtaDPFrb2BJY87k6 YJXXPAE9EM19ok1PdesgIzr6g5vbokz2/4EFWL/jrM8YHHsfPjAjN5QwSH2fE1jsleHJnoHo6WB2 Sh9Xc1XbLBHHgBvpu2048dDAkhun8iZvI/4ZmfQDYwjLvcheHBpXxruz8kZgsL3gj4LRva4+YVpV uvYgPl7yvJcyo1VSPVICg5MWIiF0RTG+0WVSYaawOtwcocwHyH0RWvTuDM+MbCA+KRSd6oTlKssa Vb7cdWLFZ87uZhbONyP7EmU0zuWeacSAc5NFh73YZltRTgB7tMUeWXW7+sohvi2h73jVbI4DNpwl Hz8rbv6LnrxcVaKchFha+9fM93hcWaOlaAKPx8n0xNgPAHVPo2/A0UG+PABMFKpZhX4Nq78MegfT i3PIN/ntoMYyPDPMWbtJnJb7UpMvrlGzzh4C2XgCGJ/AX5/V9YzFzSXkqke0GfeOrR5xjq5E/JGU 2CQgdrV+r/XM/D2/ZIksfGsLKkHQlh/gJAG/wUGbwSWjlxB3wGX8hZzB73RNkP0/SlyZMcprla75 TVVbfieO74BkMo4/B/xVQ3vMHTQvfNlfLFPmLfJf7v9eQfcRccm6lc0Tx9nt4/P/vnnMw3dRg6ah 70rV+EPAjaCD1RuphyYXuf7lhhuCZMphhVFMSr7VXo7qECFxmaSF/dwx1jj6bK0fTaOxeyTziJxN TJ7AYSJbSjD/GK+JhA+fDKuGRV9t+d9MG7Rh3zWkCK+jG3lBVi5lK9ys8Ac2mNupqwWo0UuXerFt iFibsRStn9dkthFumCBTGqm5BXyYwzYd7f4OHkUy7l9q/2c/lDZ+KnikHPUfnj5Ugl+S8rKz0zVG q4J05ciMgG/X2MbO7fIc0kI9NmbusvNw0DWMHlvHKivGhQEgrJwn53y4B5ozuR/1gsOrDtNfDoNr 8yEWX6kPIWGLhZKKlaherrAvHFuup9HSwPEUukaGlV/WFTnZcu7KMPxEUinLrF+IpvZuVMHG1z2N mNFZzukoqCRTiBntPvyRXUVQWmy+52U4WGBorsmqyJY/ybDUHqX/cuTD5VTnO5RMMy5EWnte5Jzm tKSGpmujd72Q0YN+Lb2zwLcIql7vkbl8p24SYdJf8W/7Byr5CM4nozMwz9oaW4rfRg5cbnfEDgu6 qxwmgT/K+01MgQBl7/xWMsSKUik88/0LwYhOEcYSnkculMJdPf3zTqoxyWwwRwPTzX4JLwlpFxCD +O23Poqs2VEiDeZqfIrg1BSY5KjmqrDU0cCfRnNuzHfCy32/IzU6vs4v7fMO2Nj+BjUKJUY+9ZIt bNwcX3LbeRFIHPKHumCooCvm6H/FbWOJsGvmX+HAe+tWX7UXW5KFqKzBabPXbVuXbvh6D4L3ojP3 WvfGR6OGiOU+h9JJQmbTeaC6tKQL79tSNFVqjmthcGGzGc1qKQRuG2ia8GqbQFeWQ7K+ZY8njFgT 2JZj1mfSoQXiEImWyPsZaaKXIdPGv3yWUE+96NKeaMbnx/k7ImCIiJUAU+kUdcg/Xx6H7ujnoezO sQxseJbzW49LR+EC2CUh9PnRr6ZL4GcZOyqXtxk6IS1UVVn9tWqPGRr7E/p3aWBtgh3YVvajudEu j/v4B1LKaJyU6u2h4cvp1F7zW2wapLTFutWz1Lu2qdfdPjaFs/laFNTa7Xt/vYXJZJLenuIAV3eh T5ntCAZjGKTTyD6gyMTH6q4LHOGUcoC9y/5hXxCwYXTJb9BAg9/CkicrsXApxfwIe3JsAPp9dztt SMTiyqTJJNj+eLWxA9fy00EVwmdOK91yP0NqxLO1IipGnimv1hg40t2wQdPlu4IhOvMkd0oBQdc1 lEKNvhPZvmE1n5nEINwF8AA5mqr3o43YI+/wBu+BbWtSBAmsDESGoKYZYuuJmK52PX+O9IZlzohw TyAHk5aXbo/F2WdjJfJo6Vqqr068gRYEVc8HCoKc7hsnI/pz1KgfqgQ0W6djL37phq08fZDaqDNt ZUti7TAyY+Uq3ky/AlyVSVS9T9B0IfNPmDZQcJiLLYdWbVQzpwu0Itxd02zlQT/qmsqGRkycV6v3 jsOC7eZzuKiDTX4xTR2uSkc0/ygbnH+LIRyFgzp7OTbZZFrL1kdA/okR9WXWwgGW6TrGsNSwXGbM IV3iMAuzKyd1sxV9pvuPnxxKX5PDNVvywVCMeaGY6jI/upx3V2poRm5CaMMZ7MceWMvr+swXrEw8 jai2k8hRrNlRUheFQCYQiWSjt5Rx5w9QfrFKQFGekfzNSFUtu/Y2tEHjScTpFFAZK6iEEs9N+U/D xZn53kk7RQLjXH9zIm0cG3HhinCueZsGtCipkubIl5VUwRinsCFt37nk6ev9wDNrqlnWn9zEyclP 4NtRkhTG2U5iuxbbOiLs2z35lsGBifWPGxI8KGVPsKvNWOiWspa6ozU8kkihhS1SM/UPbavnw1kN 1Te7S0aQDS0mVi878jQi0b4cpyVZ/967tBn6kfL5h6V66yKE6gcxGfCd6u8ogJTrUZuqNk9GOF5O aHiQP33cUUdiYivX6u6F6ZsbHXNf/bw+vOmyMxO6mvcsCH1uX3lnstMlSTELLOe9Ra4xY1iPd4DD I8g00NAVTxgY/mQcKCxAk8ZnIDnE58N11hh/Jxcg2llm1KoVZUOgr3LxPo3VSwAXaVV14AoukgbM feJ12a0q1AQT48iQLXRJ3rtddE6xRCTlfQGULx1nnOipuUJ/8IGw7pUMYGSuuyZPsWkVGXRfN0f/ +HCjcGcTmgHxUOKdK9WwYxZ+HThx95kUXljJLo4fzRZSr6djeGadLhaLj0lHDYhGLBNVPjhuZ3OV vXzK3vQG9QHOvzulVYRpP/2GZhLj+5sPXldxVfdnpk6oPrjLbsU2c/a/8ozn7o2N80KXjuEJ/Lro SUPuZWe4g+E+0rqsFkd3EwuFJ/A0FamOL8FQSEu7dKmC+FKEmZxfUkyCWd4q0fPz4+WE5W+cuAQb 8kR+kfYbcxEs8oX3MjSkODPvuMgGEB3WLSBUeJlOZEgNb/Vl1ZEAo+vDhnexK6kVwpzjLNL1sd4u BnQohXqa4dP+wGvwFpgerOqEb27pp52jHGr2uCtwL3x+J54B3fFligE0CV7ht2ANBoUuMZODorIP E7ztug3lvQWmt5JOrdqcsABOvDzj0ixLupsLHBCxyl8XMFqMtaxeKMZWuqPLxTMXKslFyXuqRGW6 0SayoZuKrDvpY8jM8t2OjY2jHMd/jXLo7OQiCIud/KgVo9D1ptOAfP5fqKdSkW+Ipv06tQTCPeEM 8fc/aMY4vYrHSaL3z5uR+w2s2Z2r1s0ZBgtKA9sNFUN2Plbv7zowL08AahqZQduh5rjucUfV+kpu rghHfllTgv7QuVCdk/YERfCRpGQ2nqyNt347oG0D+1LxlOmmLjQpx0W/ymo+7K3eRMolUSKArXrK B+n9bAtlkBTHegLB40fcyXb7nOj4D6OVW4+nQfuCUdJ/k40L3vsy9J4bvLp1pgbIy0EB7WgoGIE6 c/M71fl981k3svu+gY0I7s9ay29HgOfZ9pRjtmwoOwq70375A61kza30HsXhoquGPv7v+Lxg1JgX FEc7zDpDfSg+NnEtJZ1ArbJZ8iYF4SBnPQ8qwdduQYPim1+zkfcCkUA3WbRRgDySZdVYfW5ryGXW lRJuj0H8ZAB4r3728ly47f9hc0DgxVJ1Q9t4Yr7m7PHz6vPld/NVCe6XSN6Dt1D4rUHyiUxRDzj3 uBjUqBciuA+UkMCsZrQqGhGCmxpxzJwhnC1nzW9nE8VqTDPSemO1v9NJ/loguIXynVuBVBWwi6Jd pIX9yLUk6FkTsbY2OOvr7n9zFV6aKK4yeBBWtmh5opvrlPyqkHJV0yp2Cx0g2y0okVa1nRb/En1l PcbThsGbTLjEsD0BsxKZeB1y/CUkObyhoq2vIVVia0xJzi8AFs2qB7O6qoOxo72vZTiinNil0JVu NuYpy7NROXnen73f4RvsvhESR2EVRUbea2KQ5FxvbYhXnrtIhjpsnfA/djsmUx+WQ75bPRYXlI7T WNvB42QwR29DCcFp0WygKU7iWMNPkx8CxOMWp7740qBcgxFSLrS1PebISoo8zK9dSFidMkxvaXLd JH4O5IHyAWmRuPs5ynRgqTH+wzVVFLMr4qnrqfGxt2jQz9cXB1/p9RKwXlD5RuDX2rnECc8uKTIJ XpdXYNhjraiW9sTei0QSSbOLcSkCcr/RfdJ0vVfGejTMvur9R7PRi0QXM6P/Ki5ecKuAwvGzMLhI A73ctjmWO7Hg8vnIwc8iuoZVt2JezOlliFJ+OzkBVKHBt833kA9r0LSsIj45FYMa9SUqUeKmgFu0 /jFF3rSZtct6BJ84Qw6rYZuU/efnzbh3A8BLqBZ7A2XAmpSMQigIxYWcplYmzHY+FZ5qiV0O8fmi FHB5XwgTjOy+4DH4QIia6f3A5PHMZrLBphRHX1iS2k8xsUNZ7idXJFPSxubmhBkVWA2RIy47enjO dq8MQ3DhJKSEhws6M2YS5C+DVbEVHqojfwAqAuQaaZou0eRbdbtFyTSmY0aOXeXSGaZKs9k+KNH7 1qCWwMmeMhHF5PjRr43nyFVsjlxxoQQpntILL6fj41OxX5jbboG6hniSGLj5RDiqoNfWP/W+dMfA jdd3n3lbtiUSG9BZIUHgVHUSsjpz4IDFb3YKVUJAQeT9UxduGzaqFQdOt/I4boVU++4yPJIwgoYN O5WIB5T36aphizAd1SaJcD7c5o3HQVTVFt5P+0271rb2a+DLaA0fH8IBFf043c7NKtXyN6wE4AW2 gZN8WJaN/2eAGJGFuKi+ML1AEhqzcIy7WRTp9LeYRpkCaVa/H2ynwcmJO69N7BiqdaI8erW/btTq 8j979hCoNEqVasN7FGnhfTN1BtBzReKH1lvXyZohwf1f0dbQKs1dOcbZtl1pOu0uitBSZojhS+dh LXORc+z3tDCvz7KhjEYk97qudMzYNjgDZ7bGS2N17ZkH7nIoDJk1SYOhZNIzK+2ZnnC5+0iHx0ZC QqsqI7pfLz227/j8dvsqyKTqwui7l+c06Wzvd5YpQA7h9PV6VH2j+GsdC40zTZalmXLcGjVB/VAX k2mKjzd2A481N1/WTUpJ8oiEL9ewkBev4Fzb4+d4UwKndVSIo9LV2gQ55/9wpnWSxqNwz1YTiB9o Y4TrDLqeIukPdB57ZF/2+vdLkOkbzS9nB50JBEXan8JEX1eq6uxEtrq1+gu4ZWmDjbCpr8hjx/KQ 5Vrv0PXLYU2JeoNK+ROMVPtOCUGzj08fc7LEsPd2Go4Rg3s5+BycMZCorkA2n7icy6e15kC99XiW 3H25Wr+UbyjXVFL6ARMoJ8IrFT6sWzWN2aHR4wvE0HNFqED9qdUU0UPVBZwhmfK4IoSWKxNruWKL Gij2ofCf08DfBTaPk0zB77mirQ21jAOShW1k8chTCBA7gaBhOeMf9nabSHxpQpMJhEsDFprSOaIK ZDN+IDMXAfnGWQE365hbOdMELvz68zusXXCxUj4wUGTESHNG+qnukRgkYwHV/6umyHAAiq1IyIWZ ldgdYW155Nc8WP3H1k0UhMiYo7XhqqBpfB4Ro63JCAkGlCps+kSZnTKj4XzSKjzMrpechdnk8RHg XHNUcarUVVhJjvlqsWfnvv15cvUXNglk9pOSOXxNEb65qyrgKoDbwJ6rj45UMVZzVeLGE7KNFPKN 9CHp3qYVXjDtVfQWxAxY7i1GB2uUmClXcNaUxdgW0OsR/nSQL6sXRLW9udKKa7hamDVos/15trC0 VcXy5XP72JyeRK4J5goAPKmAOrBgdMGRM8WUbsUiXhVZHw+s/CVCNAhIVsaUYXbWWRF5AiKc4xg/ qA/Paavmey+rIcwxwTIGga0LYwnNGSGYYwqRcziLe48mQr6chNPXCa+3gYvkX4BWMzHS0vUGX6Rg INdSzMiv39/g9hpqfy7ZdsF/r/HA+xziVJRFhHgyXnJ/WBTYK3pZV9eynYDukR5JVuM67wDgJUv9 NZxlydHOYSBFHBYNhcFHzYyOQ/LGI2+kV1FfStnkIfaVBqsGJN1Naka3CJ6tgiP+Id7VS18duP1S ljqIxU+HjYIe8Gje3cYX2tQFWowUchbVK0Nd0PLDvwsdxzRh35IqdXA+4AvlE7Nucg3C4bRUP2PB BtPgGIPnwdj+s8MMraPW+HiE4Of0Kh3pswg34xWB/yf4cPCVEdr3nn9yeGKoAlCIdGXvBcqnWoV9 yXLID13Xo4O/5A9Wu3CycQPlqHp15zJA2onghMurz12cC0RO3Kqd9mrRcW6oO1rEdFdCjp8Op5m9 PVBWaTiizLNeuhkOlWY6gYrq5R1iQmZfHi+GS6uBF/oY3QcMN3QMOylPiQiRGYLGaNzGPwhaFiu8 yO0LPcxqx1GaIDpZT1dUHMwxVCBluEKM1FfEPcUaiXjUrZVBUDXkGp5ih3h9bLMJdqRmrAkDJvqd VvcwReLQ5hiRr6xb1TDu2FPXM1BiqHMJu9nNamTx7s1j6stwKf2aU45s20uqIf2gJOGheU1xcP4Q li9JGQl5DOEuNFRCc3psFb8BW7ocIHSFd0Az8gQcI4g7swtsP/XNoTWxZoRN/WSfyDDP7EbyjZ9O o2153y3WSMekUy8wQOXcgqRdcya3qm1cQUS1/Qnl66urZJw+0g+7MF/YnKBpn3DZS4hgca4ENtwJ oiaGk9VatvyVgBei/9jr83MhFKhA1cGn7YJKa9ujyhX19I9/lRVDijPvKko2qz6Osruh183DsTJ4 yARLwa1U+vS+5Y+AWsVkgQEkhbOA2I7wrJ6vwB2ieqZ84TRBarSKBaP+xV/kbj/zYWycHvDJ8x+U jKZymph3dXs0MvBqs34ux7HrkulTxioqRFkVtzK8S1J5PvkgM8D9+3AprFfiVTkU86fEEJDU/Eb5 znLinpD8YgtWdY5FgHSIt6L3a82GjmS7z30MhRSgmYOGyWdE6fGCTnoYjaWXLFY8Cu1HhhCk3C0L /2CW9mkzst9t0Ca3137P4Nnw8P3ojHW4dGT7I6Oo6WM22Slx1Tbp0odMiAfwozjE0/iFl2lrC2R1 WXTxtsJoCWWCpu1AD97HSG1mu/2Taf0BoDg6DZP4pmGgRJ7cqrT6AXV0hWvH9z8iL8oX4NnkVVHq TXdXzsH+0j5gN9DorJi+ySoZiMb0ir7TGgAKTmiO5znShRkMxy3r8v3yRHCSshvRd20wp+s0hsbI dldwH6n/JhUFoFf/DmzFbpnDuOP/9/EU3VQ/T/l+LFggycM5i52hWqBlaSEL4VLkCIyGBeJuIoq2 8Z7KrxBPVP8uYntfbt8vBMRTZ4hUah89ZnsVUrRhwCexn/rg+RczsmbP4l7E8PubK8kAouXbXZif p/CbnPsH2IghCOh56wmdeaXQEpGald9mqG7I2R/GQKOsAUljTO8ojX/1JOW3rxxSzsE4joytRc/S N2z2AdL95TfZOq9i6reVDY+aW/A3NkCADq0lmdI6dU3PUAk6V/5YE1QcM9Xd1Wg4BkTKpbCBaJiV GdJAw7xstlzwg7GfBf1WDH05QVM4oYQnR4jOlOXY03CxQWfXd/cXQwsjYW1fGU76t/5QKXARRNme YoIjKNp6fVoXbWVunOadaFdzFHte+RjXnKSEBOX/DRJHRTjaK5pLCY/uTq2T/JcXBWIn6HG6CKod UzHaT0gD7euVIlQF07+D28ZaKnDn/QWzHlUm/n1B0JqwNylSuqqqSNR/KPZVT0RtP9z/nsLGm5Uz Q12QyHrvOSlB7LVmn8CS6CCl+mlGXjrARlB6CnPzLdltwmlKaX4RAo9aCHcjGbS0PfvJKf2Oy1Qb DZZdWmlabdUKMjYbmotktEzsKxLqszgDUTTCFP7yKS4Q764sxNvEdEg9p98ZfrvEiBYyEI+lAeYI j8Pn5M5N81NZy0P2NCYgYd77G9EKeT1AkT3qvSqhdmS6unY1NjAqREn7GPjrDSOGbvCt5A3OGGO6 pCMwhIDuGmpms3WG/MJvMarclWitIF+vt9K7lbLmel4nAjjV8E6hfRXhbBrB5WShp18oxqbQzWhZ U694C7I2s+VXEutvu3ov5dWLsjwMpJbGiVsFNZ6h++w+SmpiqwHLPUPothf8OYdumHYUEsWxrGb7 QKK0JQzs++puR7L6unP4oxUXSx34kmKgodJYdedLq7wHI9GuC9jkdOTveEb+1orqYvnhTaKP8md/ 4sEbcw2IKpBPqD3SRvCrsM7Jh0uAaC2nMyt3ttSl3PjraYCbh2veo2NqHoqpl1LvVPyuHJZLUoLb so67XOa/8LZq3CnCTQor2Z9dTss79xgqq7abo5ZvJRaF8RmXhgo5gOWh6kUop7IMBj0uFfaQ+rE1 uighEhEXfceOZsC3HTa8R/xODqqYHP1ruDszAItTXfQy6boefu5enBHgrAqxvExOhbUOOd0MiSaO MZNsJA59Hnry4VEcAdS4mZYmeLb0+DKuCnyUFMiResRAcY9P/nQtuZ5HUxavIGqCGqFGzdC6Iw2h sWry8tGVa/xpnUaMWIk4ealCbmyz6Y3HHOrM0RDg+suVJoPI26hvl1wrABfzGfB+/l9jXgZfnOh4 fipsiZ2gQa6jw8YGDXYOOhwpaa5IvjXapzZrwi5vhh14SDcQwpLLFenk+5dBe5RTGbZ/clbm1csX KV3g4ONXHaOWTDaVl4b8Aoxxz3pBcGGt561KLh81WPT4/rwHdwFvEf01bQf1mM36sV8Cvv4MXkT2 js7cCA4zjldbL2kZndmFyozF0bOPWd3Y4g5Jd8vKoK5qmhnCw0lbevL/xQZApOr0hSAAkjTb2bBl HvQ5gsmSZAtFbKkfkaT920skmxGJNIewqXpBIRvXkgC4d+1tlThWELZ4DA6HlUFUj76ArWIuDpPW DzTjgMGdlKfIF5+7deEGxBSGXiteijKn6XL6nMcN+eFGxaLRfpm2yUoJp2M3WAXs5+hxabnypYii HdqE2UbKFx5i9oqxTrfMT14UNTiy+UhfJ83D4kEw/5mmOtLOJNqTt0nwvoWfa2SezsCrP9NpBF3H mWFj2ov+ET1eqzGd/vY44cF/V/ZqWM++GjayM33cWvrCi6p+sbcabQOH6XLsATfE4iZ1ncku1yoY kpivbsdK3uW1gzzxr3e5GFsu+GUgJCgg/cPks9X6SVwy6RaOi6cacWuoDMGxG6UEnT7PGhYfU4jR 7t107vTt0xrU9gy5Z/5Mdx3q+DEPzfBR8o2pK+ijmyKfDuyf145z74htN4zr/cslMuDgP3qkBLTL K80TezTYt+CIwfgRkxw6tp+bYe2suoPf0QTknd5LD0cTO2WmDesV0oJ2rTUVkSrx2V3K7x/khNyO l25nGd5WUBKwQxVJBu58a7qLVOkoagjpNFWa5Rd1MOsAvHtWqv0dynoSnHrGd9Dzv/cTDs/yFQw8 pQNFx0Su/f3o12cvETRGRXVehNQK+ksHP/BtUhg4ii9W1RL/kZ5KVr4FZuqRnaeCFeDRbJO2qqRP Hp2ot2FlqOZht8FoDUZW7ivoxocZyt3DPYEruJVJbJIVNqeLGYRZbikAfBX9gTYwtsKt5ZIbbGL9 CQJ+eDyT/uVHvJCTRp8NYiZ6pZmMcBxMSUbOPIojJGCpZhM2PcaQgb9+GpA8j1QovDDTVG1KC9+X yNTbHfy6oiK/fUmPhtxqpJCmGS5b0+UzMjZywB+NGR6jKWpGZWQOXhwN0PgYV1AgqPb2GyLjTDkA tBwcXvEu6clzIaxW4fD7DcGZoWuStSReHnFd+ISiceCCJEH0PFx4MZ5rkPnbnXrEXxNfyJWJh+J8 uvtu27jZkeZYT7UEVL7/xR0cOeeKEhoAebP0YzIYY/0LUZqnTm11u8LglCAfWXx1TgrKFwCZ0sMA xq8mgZ+JNrYUdepKgWaQNjJ7NkTmOmCvUFNEfZcY/v+5esL8NDIPsSuXx3u7uAeQq53UtDmYvilL pSyQ2ztzUNz+KxPyb8DSSjvkJ3OA17T08EY5ryrM97d/tkfJ46I9IQ37Ua3TGE6En1y8OE+QKWh1 dT8ROAlF519Zm6tew2csfmk1CDu1GYbBJCpdQ7yM+yrELQso0gSLtIizFYlZSjZzIwN/mr5PPCwe olqP98P/sbpC2N/Waw9ewMtKl7EMqBIafO8596bj5ast2NKrPAyyv0sXHM61h5ElrOCBlU+Pvwbk oJbq3BuqLgLfgVNQ/cfnFXL5OH7j55DVmH4//S8qaEtaStQ1HsJgCq6tn7lO+poqdTKjLui9zq5j WB0/yPe4R/fWXyYLrzcRNdIllJr2oOn8EWt+B/CgTdmgM5VmgIemCkJKvYHorzrkDPJkERleTk0u DxwKM//pgWJ+lpYBZTeY9bRfJ5KyKJUTXQO065vF5OrGZQ4zai0tzadxMzfeJ6z6WyHtsioe8oOf JPDjaT57H71u+MdTW/BW5FXEJDT600h4B8VVzgv4L43LAvJnVSmb1khozBj+OzgSL+u5m0WZ1dzO 2lQN7h+zxCYRinmzCRT51Pvk6wDiRl6wJv6sZ+gu1kBfbHmVuy6Xni4K7wrGDzZL8glgFPTPqGih 4Y0cozB8nz6QfJRpcEqhUUyLBhAJCp5LAn2XUXsm8qJavjmcSdsMTFGq6p2b9htXwgNE0i/ZM25w U86RNRzi7dlqCJ36mvqBYLyXnZdpdgHFmhQdglo52k5tifmm+3T0k7uLeglMzGcra0RVorPN0O3Q VMdfDEdJJVq/mTD6p9g7BmhdDH6cq3TwqS96hS5EMJ1IdVKn2oYSIVH47Tf2hTZkBieb0+EEq3Jv y5anuSYg9dW6gPaZVSlIqtsLIWzUp8x0llfugdMQ3C4ACHyppAO52JNgjNStB+bSBQJATJ2dvry/ yOlZN1Acxl/6UQwcnhEBoTR9mw3hTzu6Oy3YUz0pzwLdyerLCEa1kS7wMfaBAaCP2i0o6Cj5seGO V6zwmZf4MGfiSH0tMpMkSc64T2tPrNmO2F1MURb6v6J0MdLjzVJfasWaAvj7gQ3OQD/9vOZ8g3V0 DOAjJbjF443v1+Q6uSkaxEsyShqHig0Fjmjfx/Q0bIkdW2eK6Kj56C+99mOasLRuxCKPh8+sAu4R UWulUl2uhYf+HyuXe3lBApj0zAdA+kKGY/jD65ZRBhWAwQntwklRg9FuIzPGKYSlnlM7HP69/8B+ Q9OtWIM0OZ//xEglrH4oPmnyV49LRZV09xcRoYoyOrZ2r7xxfY40qOno7Rt9AwnGNv6i4tv2ZQkG gLRttCSAiBb4RwBkY96XisY1YYxNIy1Z82EgA8+rRYctZCOxKGqX48GXV+acI73eWRdoinmTRJ6o 3qytmZO4g8cYoHR7uYECd68Alp+n2g9cKwCIQqxdi4Ke71avm4txCBQ2sXEZx1tFfxoZipsbL4DZ 4QITKofPHDQXiSLXOxY4IrSoy9yKFiNZiKL18otOivdgdzBVB0Z1KL48fY8eJp/cM4ZQSeFY7mKL 32XvsGsVtxVMjJ1dE1gPNSeLg+Hsl/B4DxAsADwrCVDa7UEzsOMusMASFVRzfdXbe7vQzWYrhNtk CXIEd5EM1QrpfKIJG2lH9QovSQp0edva8JRJzBZWqlU1Z5wVHa/OMJXh6tADYv5hZw2iMupxhDxY O/E2FjilDd5bKrcsIBxqBlmiF2PRUspcTj76Q7Be7bFQjI8sl0UySe9wVwrxzQXirFyQQ3OCel5O J/VEtxryvYKJivrdlYjHqPoCzMUWur5x0cO86LDAM4q0P9rfgzjdZVzZGDnv8repqHEdjhVeHzAv EZ/3W9oxRGiK9Tu1JNwkSOlWULxSfk0+iQlU+eHV8LYv684EjdgTkJsr2ID6vChgPdU49SG8lsOY scLL3fwZba7DVrxbkM9Tj/YZ2LRUOzuqMolfXRIR+YkkgEzITYH0Yz3GRZcF87+OqCtQifZBxokc mdq+XB4mzdUUs02hJ5kLX0aoZtuDAjwVMnou3T2kKEd6jZOKaPdYOsTLVwFR9TWsJQFkNv8/lSQO VTHU7PCSAicrhcfPKc66GrfwmeMeUQxlyUJAzCbO3Y7LBNucUSBc+V5+Cey7Eo0drNJWLxMwnJyr NiSve1+tga2ZEgEAPMw1MYbHek3VyZgNQwnEcAdb+OEApsQpA7oSFrfKvRgTPA+n+a1/eXYzpuwD WrYBTSbEey+f/dn968BuXdWbtx+gTgVb4R7sqXXzH3Xf/eFRU/+/RIJQLiEqPifgDoXUbQlzb44a /BwkSnJ5QxJyN3f5czmjuaHSuC1/sPU1M7T/RR2TBlrRAqUstl19lAZEw/nUnZQmPO+Sc+lex0oM k6crJvWgK9JGrzG3Rgny+KUdw3Cyqcc1/WhxCqTuEXoSKJ9ni1Wu0soI33tuJOLFIp4Nmim3aqA6 1nN9nQ8/rjcmh0rfMK6zveUsNXTVrtdaQ3n1GJ3bEprg4TBO/+I5YlSYi5T/shkNviGX8lfsMsU4 Stw/wHKB5EvJ8yUmS9raXqJ91T0rdWAnq5piivC2SykQ5F8xzihsLo0tJkAKk5OZ0j4XtaCp2qp9 qxg3t54tMc7PM7e0Zb+V756e4S44b7ggHuEj+ub3bvyk4XDA+PkPSN+BFeHBI8WaH+/D+B2wWGAX mXEam9NnqvmtJesZ6AG6UPZo8LktMv6WjgbI9IqqIAA6VDAuyKvqp857n4BheoyPwUjSlTI8tDwZ TJSs9v59cCPovDBMkKALu6mTeFVo0B/SgHqLMJ9G5ZNCljT4o9SWYL6EjP/QOLWDzRswaoq112ki v2JWU/sPgT0BMYl0djiPB5vPXw5YOF6BDzyBukn7NxY01obtZWnAdQOmza6WQve4/KTgBXHz1xuG xgd8iNE89SyozuVG1B8blvqO4yVDV0UTB3QKt9mOp1X4qNr8ZiQbcTquCgG1nhgAaxKPOkO9egMd yf2XJ5il5Kx0aAO8GZrNLDKUcRivnYMskJrktykPUCsX+KC/gQPAsuEkti+HuNwPvL0mlJbpPSjc BrsKi9kwE1Ue3nSX/1Mkt/LR6TLT598U158gyuFWJQtF2BifRqUqjmGSHeEoZicfjP+ypb5nMw8x f34N2f9xwhvttVNwJMQkEjhbMDkwjtE90MFx3D8h8fA0j5NB+oLr9pHFkEdfK0qLhbTaxOAAuXZc 6Ikhfknx0lls89HBXkeuGwaD8rjifXX0rb3Rx0eUr6XoBuoDIH+gvJ/jv2SsHSgnzR5ikWDhgd8k k/YoXQhGwyGPRGYo4UVQRZ+Llmw/ywPw8ZUWHHMvfNm63OLiZV8F+t06leGfgOQony97tlT7tSud 8EL9dUTgUpksJ1WgHqCQ7JpUcORN2ISHn2XvNRShKjKzTbGotRFmcyotEMzj2FdxRQv43konOUUX aGtPjafo9FgcOV0vOH8ZYPNkju/+/ojjZBiDAdmQe23fJLU5+kbPVrTdqsdkTzUsmKkzNO0dLZxu aoR3zWS8Q4+yd0Go3kWOBMblTVbLoogO0epPts/gAoyhKYH01OTwFvuBV5z7SxfYiWT4dfOp46Aw zUCCdYWeSojeioQ0zML1lLEzyo1VYVvEZ9PQ062cPAsA8V3kZDa/32bmFn9+ALH7T6ydKwk8otr6 p0yOWNvFjNsvumxydKpLpAPS9J5Z/tmpvl/T4DTKt/vkgSxP3k4KeIDlr8C/6pCKoxlHVHzYy4fx oSUYlqHo46q74nMeSbn5nOxOhOM6PHwwbz/+MJD2LfODQpZ6bkKD7V7ryDk2rd1pz2inxPk7MPMn Y1HzaaKhdsLBDLUVznZ+RWweChfk1V4GUtkMAJvmkLRTY6fv/pqK9kkWieTyDqB/Sn8iYT0kuo+I MQmG0u4ymxsXL5LvCzWmYh9OdIMcSx9dmfyKjd4PNvjCS/LLEvKu8MkZ/FsFjMpgumgbrvQKZ/Fb 4JD/14VYC8/Y+wfWFe5IrFt85p0e80lxNsTnkC6oOEiwWWyZo688Cipivy8fIEyehfCdYBwPg2Iq VuMVEcDjx48xq8m6uFeO7bkOoMsBN704oR0z6IHTbU3fjj1RerhFZ52j5V40ZYRSjOUqb8L7ff/a gi0FzpRV4i5Zy0unwhfUU2B3SN1q/hJ6UU5CqfcokwKfp+qQEO2GZdCg2SNMRBzH5Gqv7Ut20Zst AZiDVO2hr78mcTVXrZNyd0UeMeIFWK/3gVYsojbA0o3ayHsyJ0q2ainoynqH3kjciq8ihOM6Ce7f R6UNuY23ui29yrnYK6jBTyPWHYrWMvvL5dhiaD52nkwcnpD/LBjf1/CPnyc0xAK0JHNs52/VW4my 0Vo/d02TZZnlczGmER1dUvK7eEjZn6sq6wvoDYE53lMjMFYKs9RD5p3EAzoWNmHQzO1OTbOoznCy hLS4arYdOmhckb/B0/3Z++oOBh5G0WIAYk6Oomi0EIFsOVmLC+Jz6Muug3dFOKq+5xo60JoS2r6l P0YPZnC+mT7PGBwk10gy89lnjFJ4C7oRGEOC07mCmLLFT62W9ttF+GfY3/PIFLIyvxVEbrKuEstT t49MUKEzoSk69DEglXn1MDpXmJZfZCZHXb+kM5O2ydKE0WBFE+s9lNpAUdgyCSqgEGn9LtGCtTgH 5cl7atYOJNc4D/GXx2z8EOA+vphERADRgUg7DvtyeUCnJkI+VYs85TLTgNiyH5BUxFS2N8C158rC zBWrrQouGeWrBO2woSR1MJiovooqL5tOFPzN+rNfeYehLbh6InkUxHTXnt9VyR+2iYWDdV1/2+ak Vu6BJ7zGaMN2lpPHFeeeJPo5+uNxqbRgJulYUSNwaHzvbkkMZkPicRFoW5Wd0drBwhz9THBZepGS 58hPDdv5vcXr/crEuH98REsJJVmLnKsBIggSNxJ8NWTY5/eOfRb4ScwvSkE0dzS3+q8deOvjiuXH aiTbB9lsetRuWCYIS0PTOoqNdSGE/+VvuZk7tpAlvMISmayLibVy68bxU9yrwdpuOcOR6pUtGtXh xjAqltGfmvgkMoncRgeW7g7wmx2cZs85gbjJ2RouvzUL6M86tNAiVmv95PJqnk8lBJYgYv2S/1cZ vfgRrymPweyQEkkaDLzrqSYvw8LbC53hf5ThfDc7j/s5spwpI8TmoJEWtxapslQa8qttS+PvuUrY tozzZCs5T+XAlPr7gqXGpaBzvOkSaLMZ6mrNmrVDcx/Qcc04D8bdzG8v+fSshmNnORDUUdrAH7CQ TC3wjupJOIr7eyXa9ZyrX/6Lt2S21XzN+DeS+rP/MRPYeR1z5OFoZP4/kV6KjyC6PYBnhkuqUzzB Z5cXV5+kFONc19qSzw0meAK+TTo61Od1j7mS1UEPZ3FOE/obS5LElJtWbZuldwwLX4eob2/mc1en T8K61DXWfdST6sCFq2LrXKKylRcADTUHKJsRSrTpdWYdR0zA+0CsCsxQBO+1Ij3AlMU8dXPo+exC xavvXdYQDqTVBfaSIHSFAUWBSpQIRVhSy6/PplPe2ddV/CkAx2DQao0eJR5ky1hyrdXzxrXmx7jR WiCSLT372H7pW4NLxN8muwm9/AJf3F13NkAiVyIHByzEOpOO3xkSA/7Rh5K//ECXBKGYxdwklc77 ZO5wzTLO4UtjYNyBFG/2b8xu+sewmQ34Xf8aFNc+Nq9XCKPQE8tfJsx/+s5xQlzu8nQv/VYT9Gna N7I3C0WFnvYYwBrVbsOjv6r6y1vkw1JWfaII54ufF1S5TgDTzyfxVB0euSksQXDtYWOX+cH3KIrh L2ducHzniv5uRnfFOPk46nJUXzvWJPLkDz8HcnkFZZ+dywnK/J1czWynyA7P5WQ8FCToFq9XT8yE Xj9dCM6q8Q7lcF6U0q1jy6hTDbU/mM9PKMJf/Z0Hmf8quYLcir4iYasmkMxCl9u7s7sy8+Crusar Xcd3tcdMg1VvlW3CO1dmB6fNi1xYF3QmBTMN8FvC2PvQ3/pCLFcYuX/G32eMnw8YNfn8Y1hQTdBv ne3WOq69AjfS8x/dEZEwHHd4Cg8nTLyu5PQCgOqqN6voglOz5A3LLwIgGbJKvNHgwE7s/hyRXj7E ZhFOVNBI0xWyajYCY89JgGvoHNS2VUMkdsM98nk9sG3V0Q3kaQ+jOB27tKxvAi4Swaw4BhW3zy4R tW7TxBTV365cenhSYvcf9E2leVzUuxHi83UY5S3K+fS09xsM8kuA/UUUdI2lxqHN5dX5Ynn9PNT8 KXh21aJPQxbQ2nV/8AMOizAr1K2/RyLtVVJChnNaSMcZOteK4QMwvt/4gb1dX1MZfJZewUpomBkM Won/5bDN9ZEXNHpGFS83zQ+SgzoKiw9FputJbVuMLdThPtj+OHwIP6fZtZodVhGq6OQmaP3AnapX vrdrwLzbLvf99PxPQm4KPEisDnRTspdLr30hj+u2TLuB4A3LdH6r/+N/zk3jB27HveKYGTOqEPJk wYnV69TBodtVM66f1JrPnlzkhs/tI7j1vD1IgmErCihl4i9Gsk/shM+YGvnG82DGqnjE0rvQBRvm A9PduFVpDBjRlKtDDgK/Vz2ThL4kCuHPQJZN9lgN/NgrxzRTamkNqCZpmQlausjhYoNj8LudYoPv g2MKDbsMlqZ0vxPSr2sBdWq+twkCfocYTLD5B3RVwzj1cI+eyEcjvANXFvGlZhONGHFhVDeLYm3I j1kZ4Y0XBiue7O28Gu0d/zheBjP51OskDJOtigIlN7r3JRwpqQVlxgfYaAdc3MAoFU36KZ/0YuTq pX8z8l1w47xN6o9+FL1dA8T9U/H6YB1VoyKmUuyYD+Qyo6869RH/rIE/UxIzdxQyvBzZEWimt3hu IDgl473gUGUljxnyXj1q+ZGOFLuz2rrJchwT8flS8XrsWIkr99JaIbCOW9+a+Wt5ZrT1EIoDgNr6 xYV+gFRvVxyJoDveXIE5rUBC/mpG24ZX9J1YUfZICNI89D7umAwPpy5ulmoRhGpBSdT+mlRC2M3c H8zvUU8hcQdUT1lcxKdy/eTOn/OkJL6wp7OCwYWYotIKlPLR3AxbjC7oZTMrdK/+w2tWH1vIPTtm OpHNd+VhZu/6AXDgbe9H7RkEchzDP4pZ59fh4q/+0lleyH9lymBxKMXrku01z/aoOH8Lqkc4VL71 FDuUs6xV0RKknhalZSOI6kYjpnGTU+lb2/ix5enV7swUn7EfKzb3VemWrc2Cojy5pyA5N41tzvZK zI53mKqLJvJszU8Wr9P0lSP5xIwDoRbHfA7O+5OPP4HxeYTJdL6yeQ6bDHtdhep8BzvN8EIk+dCa I3BFACsR+uo1QGK59mQt0NIB6hJdAxIUhj9DQDfez+qq1ahX2LuU+EZq0mBzUvfzXtfYEOusPIEe gx+dpchlZzDAVObqHXzcKfSuGR2GzCT/3Ee4qO6d8zKm2pVmAO8D5DslMzNXVtVZ4au+RY+tENAy c/QMXNJp/kTotN+w0X0ChUAvGe89KJk3xf2irbKpdAoZ0NxwcIR36qQrS8z6t+v60t2ewmyLP98L hxGE8L98DgZIptqs86/hDhFL6v2VR4ET8X1lpsqA/FRga1c5JZZ9zbWXhQSoGsvNiXYBtk+DQ4sL lA2vzV77Ivw8KxSFcRP7/V5uWgpTheUxXPvLJwAmjExnx89rkwOJcq1drcjqJ+QaAarArc0exfSB eFMJ+Dmp9j0HPP9WbIRMwDE6zwnfYLnV5/FDLd6k359Ks5upQlEr4hk3/e9ku96SyqSuXxwCBWZy PcEWI3iPMUMpcFmvsMIM7Is/X9O9HojtK+G2qPlpHToqVtf3QTYD1kIKZj+qyM8TbbmcLXWKECyc Cb+QXL/972iYQvEWw2wf5qeAL8E30bKUj70CR7uzZFTB0LGqSmV1WHOPDZKQklxSAcSylTb563vX Xr/a2xOqIKL/UZfhqeUfNk8LmZ0KwOWctMLxq3js2QRvrA6JjHfEH8Qb8BcRIkhv7WBp2GU8usC/ pqsmA49g9YLXU2Fksv4TQ5qY+3HJ3UOGL238YekT/UlA7eW5QMItW9COCcKT3spUGKee0XhsStio DfnoNs50XFfl5LCBVm8QLU7VifVTDaHnNfQ1aT3o7+qvFZsD7SkvJNrAllUjt15DJrGDv4WeSuId mrg+Ma2iJeeZN6wEOzEYtmr2xVBRSIGaKqeuvAw8ciQMGEyEMI+VefT9WrYO6TMSYss2hGVWkX4u jt5FSsJ9Lq2sorT40LGewiBGz4DUT8Y+Cdvdx/Rb1Tk5PLWu6Zl46inPdn1fGcXse5MzwW9GFaDo /V0H3niitDuM12T6f2RRVuJN0dsPWOSU17+Vra95hv9Hmz0WuXr71Bz2pWhhHP5iu0EqSiRsU1te ZJmNooJBQhu4A+FEyGay2FPtF4+Q9cx+JjV5ljYIqss29V96Q/O/PVAF1ZlBpLu2xOQXehZua+4m INbL18tuc/GQmjUpOfC5UycTEsVcYgpPgB8hQY1TpGCNGFKgFdFkK9/rC5w2Q2xHu2OUkvEIYEwS OK658Mlo0ECDVTHaJMd3KMjW/7tefR8QxV7p0sGYZVM+5Isy13/x4e7stVTBgM2/iQ/aOP8xfr4I Obi13PONXD5p8a7CW+n5rk3mNmK1Cgx4sBMp9ojy7fwzCVbscVzsNXI7bApfrqN5PfOfVNVthDKD wUszpJ0VpOS7kMJgEHRCOkxX5Z0JvCbTWz5GJOuMULULGk06cThm8U7ZmMXkhqYCVEYNeqEj3ydR s7Ody2tH2FTM2TvZZhQJRIQUHnEBnUbhvuGj3oWaJHkr7bObHmGxgbIz/a50zigRogVz/VX8/LV2 05/Wc0xWo1WPQ8rb768U5nIWh+WxVUDgjbQP9DglYK7ORzIPJQP1PWb2GZNXmwN/t84hhp4L7Wwl ZwCC6gemz5yvnX25zAru7GKSYhzCXjMdtsai5/PFlW4F3KIawrm6RUrT0uI53v7aKBgLfZjWJz8f 5rxX4ry+m4qyqKrU5pJtT8ByBGC58apsnBsLo3t9ilz6QZ72ESD7zRQDV8qjUWD/JUppvkPTf07d enjcBZO6rM6bO9h8Ev95J9xg8rC8FZowbGEqkZOOGRaocJVsfkMF4bIVv8+GCQ66vxAfy4gUoOGM IXivS9pC7izvTRMpoO1YeDDkw9d/QQPSMr95EwF3dOgDqiqytNHexUC1YCq/zpHS59Am4kb2GbHL pGFzuITAMpd/1Zzopgw/Z3o4uBsIS9ar+O4149zZuA8PW0lFLx+S/kIy/SHgpGIbcxuWd0ylS504 INKWbPPYjAGzRBvBVoxG5Sh1I1/HAXaf15eIJxEzgaHvzRDzfmkpXEXZlxmo//V3JYIjBFfX+NTY +uVi0zjahb7vFGSHCVhomqKwu1kE9hDi2I9CyOh08YnXFoO0z7seCdkgVcD04+wsD1BXmQj2SuLQ EHIBnIETT2NM3AxKZqxlE/uV3n2f6I6bjXRijAjMFKd27T33XAONNJsmJE3nk7zCk0vRysV3mBB+ cBo777AX7YPSME8ym/buF6PJwkfD0JIbe+lm6oep2UCo9QqHvGtDUyrYlnU8ta1LqcBx8mxVS0DD 4CkTvo3cuO8Hv8t+4kBgsKtOz5Hebc5loTv4I8WlP3fR+Uf/rYEPfTV4pRN8oIuVpfKAEU1Nkxw7 2Ae23+GApJdwr1WWdYWc9uxsR/EwYZmGe0i6LXJPOOCVJjwdV1x6q1eqTmeB7qd4h2EZUfvYZw5L /8xZbd8chgPrw8VTU0ZU85EguYu+qpAYX3C62eo8TQZIDqRHA/wYfftwCv+o0meZ2wWJVSBV7/eO 7lEFr0ZD3W3NGwpVGLIj0dwjV2O0gY5clNxmj2YgQtVPli52MTsjUx3/m/U8Z88Dg9rg370ZhJkY 4GgdSrFylO5byKPfmaC3Dh66ltkp5nUGQEjEZsBKnUwpGQlr11hV8t54V44UauS78DZR+y/PYdHK mUPFIY7xIw/9uJveVleVWbYLtVbeDr/lPOK2qYA9OJaL0McDhCIfr7JS0izb3djvhRqqqcCHaYM6 xUmulJuWoSorQczrL1pt7rCxT8R4J03WcLWUrOWfTNw5aKBY5h0BprMMk3tWBcj5RcGHAyVSkrCI IZqCgprsBSKMPM/itm4fzwO7ub5ezmAqFDG/BsNfnNqc3y5wTb30b9UXK7I3RxOZzf+pV2nJ5cSm eBbX8g5BcIAU2/UpShaPjoXOkza/zYmX20IP/3tb7Wtiwb2lgrO405cpNsiOE64whHcf/yiUvP70 9XBzdtSZRKUgJMjuBO3pUReWjpF2T/tmWmxJSSb1l7wb57WldEM2yFPAlRSlsC7fAasEMQ06Vwz+ XJPBsnUISm6UNSNfxnTNpRKuDXwxeFwujltk4qk+kIhgRtDFIDdyORuMvj3KKwI7yQ/6ikx1vJwP BKOBbeYQGqclQ7oRfn098RObjROkr3w2uxfIPIMlU2FzaFSVVm3wMPJgacjY9gumA6y0b0eoA1DV P9bjkHl8BjAMzOrFvdzGf2y8SzbzzaacBkQ0YjcEMzJH1hk2l8eI22ew+D2zbjd3dUxGUNihtO5b rndw/DX542yFH9UuSsnZVk4tEq1VvHpFCuVv0Px+hmT4vlmIiQA95SlFxSEUrtiksiw/pGg2k5c8 O75XRjhdCmsDXkljngS+o/wCqdWr/La97ibdxY+PKULsKZRWFtCRbKIoLFKJLuFG4Aa0ga+HW2hj daIWtJZJR74GATMhHr8EULFY8V7Vw+2KPYfka0rq+T9lYJW1GWd0NmLdsIofusucRxhj0PVywvN6 L3Qx2voVWkQuN438Vpv3jSvfv6onECdRM7xz0Zh80uJ/Jh9UT871hhDjIxZZzW9HtglxUQeoFOHN HK7cRQR90lE2WvWgsha8TWCJQl7cScshVkb5ZU82BXE4+Wd9z8KeaCEEBquKktL9jTElsVHDBS6e caK3NjLbkWxP1TET6/p181Mf23EPgAKbEzqQqPysK4t+uDbE4q8JH7uQoUXm8iw1TjBKJcTCf3Rg NrNDupF4dhhxpP+6TtmaH56bZ7YxkhGCGTQP0YmcRLz0o0/opjAoSEz5FhuFcTbOFTnNhtxAanQ9 pujc7HSUPpA61yHV+h7pXq15sFbvFDoXaxFQ2IAvrYGCmkq+EeBrlJRGXJJPKQEjZG1sHYb2cNLC zM5djlObdDbuQwz+818HzAveF1ym9XhmSOQuX64x8tX7+esHZZjBx+AMLnolXfu30qbRjono7+6g u0mS0MPQ90ajNj1ZaaRwQeqNJZbg5Ma70wE5pC72XEdarc7gjlq38AOxi2Hdhq6t19V/gLakMjD4 9vqkPkyDp1SXxNkxg6Giao8/NNsdyT68a1XxgDFQo8OZJu3AMsRGHzon+qvL5AvokwX9SC2izB8Y iHJmtKvxEErYVsrsKKgjKNvjhaLJjKBbvCmCo2uDGaD13b8UvcWJIHadLghyMKjaUHbFA6PvgrVh 26Cpz1z4EAspfKep7uzOBBCd7pD8kP2F5eOlaq/SUkyoCN2lw2b2m/r8iS4jrazF8/ZC7Y6zpPv9 lelZOmZtbBAdetCi5cd7Mo1bta/6/3+vwMWVJCYjlLE8kWRetuOXbgv1ds13ek0+QReP0GBWZWXH 1VdS0FrO3rz83AHVUXcSR8ao0lqBvG7CnnZ8IvKuzkDKjFh6XOad57LnPr4JOgwN7ylaXUqn3v5e dhXZ6SlTT3cNEQ5dED27tcARO0rtuvncXCqSQLvqH97gYRfVw4djfRKyBDNkWMSKjcyP1nHpOZKx PxgHuCktwOBsShLol/MKioR7RbTlnSXT5s/x2BUnfr4144DKsS5LkCVIKxvNAk4PrtO6Ey65PZUg fz2L1QIrJEG0XeYAAw3bFwFwDMSxHk+dZIc5ym5smNvsfZ6n2Fmw/FOMKfUB2t8Uu+8VnCAEgJu+ 1DvvtGFSw8IPawgpGNQRu2ITy5P+QMS2Qt0v4XdeNicmCVc4Tnek2uXkdJkszlyNUFQYOY/8bENQ UCjZ1o01ZbwQ/R9UgCSGB3mAmnm74pCSJiSUYei/00NSA/W6HL8wLQM8XWtOj7eQCj6rp9B0jLQM OftC4aTyGwrVXpnLpZO8nAj3UZZXtuxdx3jNShakAY7nTWueKXNee6CUyztW4mgsDARxvoEATYNo 4YqAGzFhIa0+hMJ4srh2lSxCJ4RB2bXfuU27Rqvk1om9l2l3LWop2EVKAyX3PdHtPqqPAxlJ3OMT NCCAFk7PC5Hrrh8+/+yMkmwf/4qYdb7m2bIE3k4p5nOjYAp3mTqZXLw6ATbMfxEjf31DlWEk9oaS GVqNcO0WLz5h+orY15Wrvomgo9evCDh4u2vu2lY27Hi1VV/RWlk9bckMxbNGFT0UQUDsHEkoGKDJ nJ6xZGXtQq2FhKE9T67sNOR/++zEZ47XtQ/BG8usODosglbBX4CYPtjzt31tNDJAPNeyZifShYRC SstBq0xvWUn9+82mupLfQUeX1GaXUBXNwW8YRHjWZkaxQdDtmQVW6aajwO2cwhzMHPIJXwwbxiV0 FxNn71mfxr0dcuK4Lc1UtsB4NB7pEteobuXVhHY/7pRSRYjco2AaMgwMT27rTzTJkLNT83xDs4Tf IHz1jp0beYoVUsZMKaX5/eYlTCoxtX6Y9FJ5Vx6DOmYIlnj8RhJOakDIxXPDEsRuPTOa6JrpGszg e6nHmWuSc6heQcAE0SQVQSePSw+XnVSwujhmzyuAaEWenqUaQS4z9I+LCmS/PskTnK9Jw6pA0gLz BS5DfYL1tAJSZI5nyEK6fxMmCzXikN37WjetFamta6eU56dHTTLtE2VGtrwXgnbDCY8nLJBXZKRB Xv0yT2ErkNZD2YfeuMxdjTwQmdBQw+ZgxnoM4goAXaKLqYEuNV9JWTQqlwIAPxWUK3AcOIl7xNUr UCsI3MpI4ACIBEgOkhMzO0MGKTBpDDldpfDwc6WsEwjOee267ccjZ11CKAW4yjJFxh2atvGEzJci WWVT1xaZgGodUjo3l+legrF618K7jkzSfKPszjmjfRS0NedzTUXlyjo4Ot4VWKPEU0i5LlxAtvqy 6EVXr28wAXUWzC7eWl1BpZeyWDUedT8dvtIYU8aSze8fV3z17Zm/sLmq7X+Sf0tglWnmBwT3dLup LtW//y6n/sS61iJBsz+q4UraWXmifTaqjoeXdOJBS88kz7Dbktl9nQOugbDukSO0XRLpWLOTSE/l ZdNCDUY1asRLelwBiQBOFWYp+aEfzLBjRoZnxHKWe7Wt1syMnq2en4BgDYSSb5VVRI3ImJzBycrX SD/nwz+v3T21YhXM0WLCYXqDoznpCa/m8Qyst+ArhzW3+DUW6DtCSp58ttvMO6pDGnndKJfhGguT EZOSvzr72/AI2KKW1fa3BvDY+pkCDRspTTzwDMpPLarm2LmHV0sjH2XXck2FKTO6nQ7C/MhQ1IqL XJa0i4P8J7qCska6DMsFdVy3aCGJ5c3GPwSpcbzgZQ0KmP9RmB+FQHXxVmS6rYQrt1qYHYZASeex k9gCgaRU2BS9PxCe3i3YuY//ccsWVLdHDh9yOeV6qVDi3HeKLCdWQEMrDf2PeqsN8YSOqLU2O6jF edp3scJGRCKRPYEE9qlvpL+UDK5qSUnIs+MiKpMe0Z/HwEUCbDtlVtg/9j69bMfev9dsZvsXs8eK gPBevJDQDJYWdmGvQeifpQUH9dAxz1eM8JR0JYabs2kl1HVJTHKicYQgOsgjmnTmcOY03HP1Ep/a JNHtMPwTH4MGwIIhWRNLbhWx1LJt68MM6QKQ545VjLutLw23W9AR5EaWss2G7pS7Fk1d5RdPNV7s xFBBMAEUXUSM3Gccbn4bmY1CMp0msDeM7YlLWpuvhxQ2Ks8ia/Qg8hO4mQOMW3rqmZ22KG8zb24o 68yShiSd+pXphp5BKAw0ary3y2g/+EiTguv9EwTBtVdk1nIwrwPDj4+HHEHB2r1BWNT5vIsngyL4 YXo7AzRZWJQjgaySvso4rjFJAQ5kdwd6LCfIZfwdJr+70T0N3cmSUiZzqeSimWi5p3zpELOhp15Q OUeY+0G72D5YIWM2UJxKZn+mzxxU4Vfnot46F+g9IfjZYI0h44vc9hsIVA7yNZ72c7u8rUQtF7on Gyiru5V8P6KV2q9KcvxRY4TVsom8GCDC4PIpQxTIvJWe9QTVKcC7sPNHz9SfKTQ+yo3h6xkPqNrG wG+lXWyoOEDDUH/dbMgyVtwRNSr9hftE65wHHlYG9sAOhVI0QAmZvZDIJhvxCXGQQwqCI3IfGI9y tmV18nY4yjYLRNsGEeeJlEc4CQaflPiOgwG/xRgPCAwDMsaQRhWNo7fmrqhBaGHloBtY7DHI74OE 3lzQZ948NJa/WhA009DSwLic9kKKU06qwrWpm3PApQlD/c6ddjVe9lBHsrn+n/cip5ijD5C5W709 gV1bEcyce5OzfOwqWOccWqjExYk43/LO/P6PTmm3A4PuMhYoBA1/cgdH1pqP1BoktwYxhWpk075Z T1c3DoMitd9Ih8ibiBcOLw18PNJAGyGEUjuRnzQHSWaSQCYCjnJQImbIZwVka1xa9QDu6C760BWG koOhykhCR/nD2jAgOTWKhzp1TU1Ou4VUrw7k729G6R+R6l9EyXn599Q11ZqT2Eta/drM/beHmO2v 3IR4VJBwPE0vFJWAFGOt6Dlnox96+AS+ur/6fBf/k2B1UJynWisBJvXu9dNyRMN0hvZNSHSPiGql PbyL13aiJtCJIwad8A7EQd8/2l8t88gudQsTVbD88VOLQJDsKYSkFWFa0nelCc/LJnSWHok1w0fH 4GXzok0gBxvcH0p/G4luIF6m++cyVPmExdwJ35uXpMe2LpMFCRHoBEhue+B75qPo0E4CCCwG5SMW jMQNf27xuB3+iy/eMx3xugifHUl9HrDVc/K9YyS7YKfk/mSin3ZsIz9TMa4Io1q0xstGqC2sAaA2 KEWdSHkzS7hIm833rhnjdvyr1JJ3m6gE7jlwBgVylpyLalAaVkjqhb5kty3NEhmXvVmnQnj9oTnt WIthKlKYkZHqcHUqsdgl8dB5yA+ZQIurb1qsWbsC/N1KQVV4CbenXybOXaUBzu2frCzKx/4EL70N fHIvI1TgJlw+S0ktjECyzK6QH+k9D92xBwyT70vJG0V0jbeo4s1nv6Bmxabi4+Sge8ewUKcDyjE+ bhdi244sU/dAT3WWEV5bAIm+KIKz/QhWfICTZj70Zs40KPT9NkWKHC2SdaUMsBmlObAnvH2zSzJj nJj5+zmAamk8bm8XJu7J95tEt+B2ZmTG9JyRMAmvKNJzecHg0vkGphEvRuaHqW3PI7tVrMeoVCsq QLbfz5jHr2msMyUPMYrO5yP2Z4mC46mZIQf8lIUrK8eHmKn2jlH/HiN2SaGnSq+afdmfuGw7FcMt /FozcLvLmVOdMROsmuEo/0wgyIqeG8rQyGxo7yio+sP4dt9b1MT028VJiEc15qe1HTDS5c1wIXEy tJybqaHJOsLqbwvvaxJBzgVXSwQrNwkwmrowXV6wREVhqWt3/F2M+ZFc6anEgsg+NCBQ7b3iKCtG gKgAEAHYRNajDFxZR+kCxp7tzNa7AMvu/P3pIjPNOnZA+k2whlB2ILQxBUxbhwPlRMZ8cp0mT9rQ Z6Wxj10qbuo3OuXRc9F9HZ2T/LGBie6+aZQ49MS3IBqxt32wZEEqMVKeoKpDEtKRB7ttFBi/j3I+ VIZrj6iLJR2uaYMkOKyIjudD6+FI4pIn83OBK897Hf48566dHmyE5D5cOme21YklfGYehOmgZeeJ lyKp4r3hbhckAZBklGE9aS8iL3+Y9bsv3FH4zouUqvdpbBgrp/t1HSDoC6qhjqDoA5h5+02383Iv IXSxaXhUWBUHQmiIkYTYol4J1k4Pfit0ukibrQAw/uKS/iTAbVwzBMFzKJjFLDITPlPFOxhTmLMX 36yREPzdNeElB7gNwMwwHI/BT8X2sy/GegROvo87tl6X0+wuhXpI35Oq3JGrZT26cYLEUlAxvC8z AWUUC1NNua25WUBF4JxLetig8Uj2tLwL/HCoaybBBoXnMtQBbqRFMq3YyNW93XYdCu+GBAfWS6Lz nIOJcBOOvbdR/Q6X363+KgUdnmhxSyQg7iby1/8fEuFOL32zjKml2UDTf9viCnmZ/UqRc2ulDb/E PIGlZxUofW53n2u80GZWrdgUSv6/VGtPkl3sps2GqdtQ+5nSPFkSR7+dlm8uL8cGgMZx9zBdjf5z a5i++NmlHkUmOFeJ9UCtwjYKrzyNB8ASqv0151MQ4LfYrbcsSuvNsjo8DN+LbDdiKUwHl2wLkQeT ca5GiYRWUNbUidjn2/hGpRPFX4hp0vVoCZbakjOmLCdOon9hPBQDthYrgOySgEVrX9+hjnirdw/f tUuO1EbwP0JS1Gye5jviSAW1qrPMC9+oj5p5OuS78c4j+SLrYWCg0pWohEhIdc5gTKJbYC5oJ5ir 4qCyK6yoFm8K0bpQwihO6rn93hm5LAwrTVJgXvNObR4cJMdFnGoZsenC9IdrwIQVD2yrG4co/VKs lppGt7Ma2/G+dXoD4EUHnrdyGnb6FOJ5ImuEv2gFNOU192jljvPLP08xABnXFWdqKHzmYfeWv7wd zL1lhfJTaNrg45oRSrqSlhkXqMRlAXWe2c3+YldR2qXMzc0b/kZ1g5BxIpwWWAuW2qAi5650V1Ss WEhUlwibYbpxGapWvkOAfoDHwNNB8OqVZNshc8eaY8DemkfUbO0W8DvXk9JECgIShFC4iB8q5EjO CuLGn1NLTtVK+96HvgP0SiSIvw0jV9FGGKNP2qPvN3O/xT2LZZol/+pEdfx40gw6RB4e4XBJUMGN LbWYG9PL5z4EQLosvtocIdLf1MnH6LWgO6VIsnC+R+T8uKaJinaHjRc01N7/+WItEU+gTwiOPczP ngc29cOoXaiX9QOu1fNxQ69uoN0SOxyMWdAG4X0ggOTcBOAH7EUoHOgmw7FrHgsBSHzjYpH/bxXP X8iZCKXQUkod/ewI97mnnD19TilepOqftQqohlelnrO1OnXAAz6JdkZxRkI0XqCtCx4i3ORWocsO GN3lV59lSKHyccn7bWPusBQx+xf9WitVGvuqWnf65MOdhJ9qCtyVvM3Fo/rgLQtqjyUfJr+AXGt6 5kl1JosAjumnnNRLeeaLlR2IBCkLuv1jSFeqPVtUxDnbT6yONHrjBn8cqbsV0MzsxQ+glyHdPvOM ssJchAAeot7jBLtqLNGRgwElkGAxcBg3Tdl1fOujZ0HVf2IcQ+kWDbtHQ2WUZI8zWeH6NBDYrRP7 XqD+L1wazYWTxACn9h9DknTZ8xla5MaowPewvgzAazDSpcy40/4UF/ikXHH2daMV5divNEVuGFU2 ryZbX/QwbH4sD4Hn6DHjDtuUt4JOezgGtIhSmQ27eFhbNCA7uyubmttZ2gGuVS6fzkWTQVKaCpWc Fu+y0zCJHJMOtwMXWkrzW8wiqg2FZTaOgU6RheqR/nfrwrCeIKjW9+HaswJG/NnpLRXXAjtz9SLd xM9/MikPsdUPK6p46vX+G07sRKvaWcX3q4u0tSe8lx4by/P4zAPJRoUr023A1zonTfNTToZL7bbF ukZyTkyNwiE7hbCbHnJLky0Ihd6dP5PRsSp7WF/87lR9nd2vicl/cV/yH81rYsOkZURvxqPuUuQZ cC8I4M4MBdbS9yYj0yJ6W9m0Pn6A3/gkbRr+QjtB3XIN4ao8k2mgfLJOoJI7kWgQkkbbZ38e8BNe 3yY+uKh0HEjlhonCym9bd+sE8z9nSYSEiEggRCc+vEfWL208dV4ZkC1vwlnoe4qmlKgY/JcDZwsW YCWVSewwAI1W6b855WWXUXH2GlMPb5NqC1ZvH1kWEJp0+Q3wyO8uxB6C1d0qUG4uJoBSNMKFoike GCtRu7a7Lc1nAQ/gphGwv0ZTy7Z3Emo5K4GKAxWc70iVDYyWboLgMU1OcDmNO2y0Nypr+jFciv7d 2EZ4EzaA/hwCpcRKtAmtuXRFqtKJoZByHouV69fXTQEHJKTGiYR4LDIaaB7Ly/q3bdTWI2OC6RD8 AOtFUl6RpbDyjbDxFGcLtFhPg6DQQe/ESEFahOknhSP43SLrpahORywbksGDjt4Wot7YejuXFxw9 rkdRX+WcP3uGeM8++9bfTJHBxcvXcTpBImhAJjH0258PiVhge/6PAIP9578RC/jshQaMuUinc1bh ryA8qeyAbK5S4GU97m1rfyKrZqvCbHzKjIcOSSDW1yH+fGcpRMhOODf7AJ5DlgzQUswCXdz/X8EL ywQFiYKCmuzuWmlTN1+RseBoNOJiyhoQGVMuIz8AHNj52r9pNModAKuLOgItmfsmil9eX6LR9xkA TdHhTAZwawa/b3veCc3Y/9yiJNUDixk4vZSyhSomU+gyFYCfiu24rgT9ym5PcZH+mWPGx+UcFL0C i2TYxYAE0DEHkpIK4eZCjb5JkRA4pli9BkV7/9M7Pqb8EsQ5DI5+1Mqx6F8q9yV0+QGIjrOUd+SE v/G80lvWlslIDtA/sPhwibYsst5KkUGNxc59nM++eonSkCfFVwP5EaVFdBieW9ul6Py39NkPIJwa 0tS02+Jj6IFoH7Qk7jQKmZnWw8/PKBZ2ORW7ugU8fvKjKgAYhRSY/vLI3eHUHTzErIEt/zTjPhur rg7aW3GpDocgmtrmoGT6htmYLu03xUX2FqH2nzfuhKYBX6aBuX0/LpJoQcdvH/LBuvdIVf5BOCA7 gkHsiHao2p0BgaryZ27GYz1JPuPxl1tMD21HdKtKCI0v+FjP/3pXNja4KxaaSvKcEdNilxFEeSh2 WCg1QYa25r0nOn1xbMFh1rQ5ldvp8zGA/FK21zuJ9voi8xJJ5iRme4AVO7aKYLswA5NW7XXqAl+3 MMgebFW6Ofqz2n44zeu7P2kcvcVIFUmhZsWRsjjIWdJpGBuxRYktYi0GGb2kRfI4mpNKgSYsQqRR tomVMgXrSub0m3OImKQX1BpTuXwwlchnnvO1YAq9mrJ0F4O/nxRb0UXL8I7iRT/sFnLYbpoRwlN1 nIbmpJGGbaifCXZp2vdEU404ysKTYd7lQyfsOGRzWmzv/pAr6M3iWnQ+5eSzF3BQ9oNthZEPMyLw WCBonJj/3wwoD53NOaacF9fsawGVOuO7xsLT+6Z/7bKorSg4rjuf0Ixq+kciym6X3KMd+j99E0EZ SbQgfEVfhpdxjJ7Qb5dcbZKNUbLO2b74uC8ijUdEqrwf+Mz5rlRefQr+7TW8OaOG6BwGJXNXRinZ tI5/0wkvtqdgxi/vJKC9OiE6FgX1vSOlCSAK4TOI5NJblbDf7qiN2yj8kbE8yz50PHIaTTD9XTnd K6GheFPsgHv8wss5YykskjdJP9lal2+D+bsSuyFlNM+ZimjMoa++zauNqzj+Gy8UhvEiPZdZQmbj ktwKobjzp8I8d79mfYlGchogM37diO/WqxfV+etnu5CingMNwwrf/iG34WocItjy8I6bMzv0uEq9 YfSjTqulUH53Jb0ARgWUdI2Vy9bYkb5dS2IRe+Z4Kb4XeK43ZjhPLjRtMUfJsrSK2O6DbkbxiXDO TnP1G+goKUYeHObOA8riGKnmq3k6DCXQpDxPamx8Sd7XkP3SsEkCVxKYIhaJgRLiEvViydaKbYS7 RqqKkRTMCEmtIEXDtWnHmiba/1BjkMRDilX7OoxqeAZczOFftx5tNVdUoGke6/+v96PaJOLWWefB gtrow1BJ3PdYwnM0blOdQsJB21Y9rM6hj1CKaAFoNRmkGtTr+cC1UD693v/N0xDT7N/NY4UoRDj/ y4LoXw7h9r800uEGQJWVQ8YFedKNw6xp7Oqu1J6auyCC9cW4f7bbwpJsU3byqANIWyvUvWddfz7T 9hL1o3FnWJBaiMF6W9wUSmzt9GqwHJMw/+sTSaBPETgyXVJ1m6GaIEEXEGD9XTnM1RSAU+oLLMe7 TK9hTCGXbaXbXlt4tyBWpbzbatcSMcxwp9w8zUQ3tAXNib3G5KDrUJ3942b7ajWOo405ixCf3/q4 0XuDH21N6RP+Agxpqp6MZHeLPEWlFjvfZ+qsMw4Ra7Rj56bfayqu6Ibx+dkLDDgBXS8QBZedFSh/ ESZ4FMF6lYVML2QaN9i0tFFJ+9a8yJwk/E4yCRkyPMjpjfrMlT028kv9aKswHjUUKn3SjfyyjaWe 9z+I/klpnphH0GuaDvPLVFYhJK4MD4nZRB105FtwAdkHiUyxxSg9RWpl+Y+i9lBCpL5Uah/X648A cmNBdQvGHDR2d1/TqZimqS8awGQ9xgF8N1R7fmhr29yNiyYGYkt8qLsdHnDsWM2oS71lq0fo1+zh NU04e31cD6JIYiPKosqEOXZwEIi26Uk2RPTn2AD9qqZQuFf1YTKsixN8iYZ2G2AM3OpydPg3eEed tq9Xql+ln0YAtviUAxtSGpELzEkWRLRiTyWZosZOueUMlE/NocSVrUz733DYn20TA8Jq/AINYFIQ dvIhJgphXduv3vdpR+3F/LD69Q9KxVxLlswqP1sWOM3hg75ZHFtU/y1NsaPfTmYkhwWx0vZAEXCV AJeGnO7aA4JK1TesSd8L9NXI5PZ+gMkx3wj0DEt7kGujkYUqO4xJHB5Iw+DYJBkpdKBOA+dVxVON vmnw2U+/Q+quQ5UTkpctxITPXgC5qsaVGbsT5vnASzlFR2JYUisWxnv5HsmfTfeXsMwstRdbGRN9 q4s560Fx8C4nB0HJZkQ87ttrWav4HtCdYiv0J/Rh8e4mpbJD7934+4LT0g8e8Yi/KDLalioGQLuo UA/ID/+7Eqzf2si0Px7qeAjYam34WPD2ZuspGd44jXcEqG8KzyOdJ9AcroRhnqRxSQkAFQVhl664 k/2Q+8f1m5QzdE7pAWra0nQhO0yzRckQ/v2yAbPxk5Gnfp02BAD+WrS/iIsQVuUQj25YPRxgfx3F 6jrfPfhYfwfStWJMiwm1yV4MfwM4xmtrNbdH4Chyj7oFtgVCRz7E9Q6f0GJ2lxhjEhZzW4zg/NRR EnBcJHvDNPe8XC/xRuP7IVtaWegKT1zJg88CEg6wq7ioaCSx5SzDtzgC41pkzbF/D4dE7subl9mE Z7YvTdKYnCRIuAk0RK/vT8dnZr5Kzvor4OA8kqom43Zvjt3xrXVI459WZjhxFWxpBJQ5fIqig5bz DA44e770dDPdIW9ed/1tB8jml++N41Gulkfiu1s/aAr7KV+P5FRJRF1CqKhFtmmSM0YVDERLJjvf n4c7MO8iju434ofE6lzRLJcNoZlRj4Axkx+FsLEuY0dg1O7jf/WYzLmVMFiteLzwPO03Tf5Kzz5W noiWrsmgXA9Jo5kWAGswsdbxzCZ+aZ2ZEJV4XSmraWV3dGoF00BEGtSNeWU8Tl6+iruw9Xi6Tns2 3tvgx8/6jdact7x8TjxGjEtBT2ziNlzuTmmucSqcxve26TUu5WHbUkfY5MCcPrmtaXXyLolLWfu6 cXneYc4SVQwxJGn7LFPEtMqpAabcVIxqas+2QRPn2iIxRKEUQ7PNXJ4fNzXmbMCFKTTJa5eD46gW Xlg1O0W6jxMIuxXrTwrlzObzOTZqdcOLiiO0TEYBcNt9YK0TL13tTuUMfzq9hzENFWx+nYq7CGpg Szn7L+DXvnBAdywSocgCdcu/8k6j1mLR5TAAiLm8DE7kaVAvNZAL6898JRjzgNhdt4C4Ulz3w/lW iEPvWtnGizuhufRXTjU97fMRRaUj4oVQ6JKZG6Hl7QwVRgifPpPRmdleYDjKVQwDWc0ffNpQrQ/P BbFHat8ooIDDETUJ+O6FxbE7GjTM1tIIV6OOA7xgOF3ftiEd79KbR8auQipLLxdW1m37BCISNEY2 fAWeO8aoimMf3qu0QVD/Ozun54/wplIN0MjBZ8Fvq30AblWr6D/fsce1uL0jKyOy1meUSbgg6KUp qRrREMAYAQM2wgPBD/J9PNe79zAUUORjNpC92mfGE6N+zYC2feluQmHQe2ICTaR8aTtTGzOuvvhz pODejKfk9dYEjWwPOHyCB66aQAbat1bz/1e5l3ChmyuVshnfoHZl1lIjQ5vav2WPvQxuynpCmY7u PRwYZDtmqdD/R9L6XO076DLsMWfEq277P+DJCu95eUi0jJHkRL/ipP49t0ZPppK+i+y2V4T3kxHj MNgX18kU5EsEdkKqSrf8S2rIXHake6pTPrICRdO5QxwAoKtr8iMLfNvYI243tYRrY/QHz5+tO+gG 0w/7NPf5xRYv/EuJ0ZyLbvehsDpsj38NsnM5i9CnOLq2pRHo69rsxooB7gPUGZCh+9MT8foNGJqi l4InHalIFMJKP5WH+2C3GKQGS5CsTpb7YtvnHVq9GWFQtBAHxdFEyFooSwCXeENu3/VGr6urmnKg KaE29ZaVhGYjwBoBSiI2z6kaLnFcvzijXcmOA980z3gqquyg2FYUCQwM49NYPvRuIFdx87TlhbYL JmXM6m3p9L5gzwlEZZZSx5Hlk7Xze+9+uAuDPeClSPd7AodArvaTk7fBeg/VEbIgOFqpubJkZ9ao COnXLEngwBTg2yqJ29J6GIemgk9kf1YJRCDIknBU4wTKreeyNSJDPecbNlJ/caLloqewJBIJXzSJ Hoz5TcYdSbmEkt613WXVb/hd/e3MfV94C6W7iRka/htQ8ZuRcHkMp477o25+/9wUNxxwJ+2TkOv0 lb0IVEW/zcggENk1r2Isy3YVxMv8N2owFZ9baUs0rL7STwohT6GfHsZailtr0ba8xrQzoHuax+2f 4qEgp9NdWBVR3+jT7NCeynKuvt0eZ7buKgrZ5Dlg3MiKc434qPFNiX+2iEz3D38LlMdh9NAPaepu 4EcswbWBu1xP1Rl0LTXNzecbuf5k8DyC4ioS3MTrq+gey3pJXabJ5MtZhruXNdhxxDzSwMsLODVy W46fCd7BYKj4ecR15twvNfseOSuzySQVWp9OeA5rx2dqWvXOUT4LYXJeyEGtLQQd5Iy/CKwmljMd 1urAmt0NaCEn4lXUhxGvW2jcPK90Kmy2IkX36yZBneaEEdRJiKXedZoZayX8E3sTnHtHltz/bzy8 v+5il35Grt6r+mSO3w1D3uplAagcNVFfW60huPS5xN0q95zpzhNBdYEtMCNdO8ixpl/ZjH2tubtI Zb460GK8HHU1dSwHg9OsZZYI054p23EJu4NpieWubRpk2cYOKof8JwxftFnF3H5AJxjh8dFC6jUf uijEaJPscUMF4pYm2g3qOTrSroXnGDPveJwwbLI74oS4ZpvtqgQjBycwMXO7SkZjVXRooXHchsMl xWY/0I8sXQrmpm2/4qnnKi4H2+prLtQDSJrevI6sUh4eil2jc+C9LqfybDstQmep4MZ1HlNKMjSq JZWdPe5jY5W1EwHo6PvzTS8RguWOHnAmcomsYBtRpATmhyQYuZEEAS1T5+ErggPEOtESSORG9o8F OJ0Mn9kfZHHu+OnIcl6lMEcEYRIpvBQvV1854jZsNNhbO50gGWtvTGEAljISkCAHlcgyNHFM31g7 txzRFfwARVH8veSiPwya+B5W7j0aBi2a0ZxJw+hO1K/3Oysj/HTo/ilwd03XRVmXqWsntGM5aMF5 +xUwpotzhsi/9jYomveLmVbgPJ+0YI9HsSDfKFI2EUhqnFRcddGxZGiAWBWzu3TNK4sXk+zZROQB ts3hG9GyzE2ru3q2MYtk5Mw+dlCZCVP73fIt/4yZAa1f7K+9Vi+sg7ZpVP5x9BaE15sK/m0Dr35u S9+hMOMzN5+Uzo8YwI05B9pKusMi0Sh8iq3OtNs7lS6UZAm2ZPMouB7YSeL1MIHl4502YampSIv/ IpxeAZw/Kt70+Tw79okBSj0BI/YdWNyvyqaYo827xg9zxgTr/etO5Wqu6b5UEczOXH0ZEvF6UjUx VCE0H72s5y/+FdJWyPM5IZjIGXX34VKvIZeRfhktCXPMJFpztibp2gMabFs55tkdRJWb0X0g4HoY sEWPrzzQRgvFD3jOu35GBPcJs+OdFV5M7tx91S8CH6oWplIGJ6g+idnUCV9tjnNwGq3mbwMx36w6 2UhHeCJ6H9NPnw9narM3iRf1KyYRyTWUPEESPEi+jdLv8EbG3XadzpiBd2DzdE/y/C4vFTc6lnso m5FuQS3/+OPBl7A3P1R7YcslvOs4opuNBG3SsaMZLWAl2Ff1V50JpVi6xnAon8wnwJbFG2nuF+eL Lx6LGM0i1mllMF/8oJe/13/Pkno3PxUVJmka7VWJG3zuBhD8/CuSVDocXFtSHZyv8LxWK3rwyoK1 iGVbCiWiGPgGMirsJl3QKSsAqydMWXa+fNGkHiDjEfwJyMuQ9QKVy1h+wIvUpLdy726QLluSr/5V OmnT8h6waI77fSqCBECnexfV5XGayxIR0WPmMNgu1fzevq7UQMOYiD5OS47Tp8b84w/yjLxRGrKA qjDdTbKsejz8iI56viKLHUzREgfMPC3uELLJHSgPe+bZInNErUFlwlOa3IXm1DNP3f7GKmgmG1ly To0QULWaN50y8eyuzs0i4I9goIS8KedDnZuzMrkzvvD8HkE+/VCQhHu6bmvfke0jbfn7Y3HcUEyp pUA5rweF/fsXFY1flYNM0lnNywyer7CH5p9t7NRlTm0aIO3bkRFpMj0/MEm096UGpI9CJuxsrc6U H4XjIZY0fD7a9NHyl/bkbJoODY5344ybmH7jcIJV9yGR/+1OFfeX8xBXBZdZy59dxe9U56npOUe+ hrZNNZMspGtIWG7TuQQ8YIfjGPpznYPjFvPbS+fgR15T92oYM7htTmpEJdKigkFnzXmMEF13kHoP jLVXzxmdoiHNaSLEqAMNqi1ZTc4HBMI5dpMd1ZeHNO3BE25A3bVct+V2YGto5CFjchiJwtOBX3W+ t9pQ1MZ7nOtWfmF1Y8HHlxTk/rR/syZWNmiOeXOoglZuPGuzvB6gHusS92fJ44q5VjqSb82UP/k9 XB8dKRuW3lMwMpZWSbSgvxDJexv9Ua10gzliKBXymZdhFICDXwWYiaMZkBC7Ic1vpyK1OQ0v6XDg VFiPB7pS/vq55maQXTSVrXZR8HHepR2jbRcA7TaBolBN6gu81pgDgwF+CCCiH2U5nOkPVonwaOF/ O60oEY0uqEVO22rCrulM2gNUdMqJNC7FFFvSSbt7sHpIMV8jOcsDzpmd/RIuYglhyaE+PVh9+eBs JRIQgVE2l1aE8aibMwa/pDcsYVvbWM3UxX3uQaJWFytDCUV5gXK257gJYqLRl3as2k3NpgPVElfC 5VKTNWn3MgyxKshIDHVyh+kVBR/tNkth+RkSoPaqCBIqcyGAinzQJU8lcd1Yt78DJvy44Q5RZycA WzcJsNJXkXZ/6cpTvOJ98zkLCzdXxubpYHPauoV/s/CD8z7NN62F08UtQTlJqWZybr6wLthDCLE/ If4ixyCYYHuVPxGkL/56inpwYYAk9PbVRHFnukRoHqCmrYwc6yz/dnFH5vQ4bgubKsCzqPTinjY2 Mh8lzfQUBfB6V78XGj5sAJmtRT0dKLcHqdy74dh3VzzNxD0O5tPS7+vXeGfF7Kw5ge2Qgzx1YPAT nYugpI3OBDHSZIvMImSapVJH+fBxzHmwQSWac1GlLm028JUszBQ1uG2iJbl8kZlZPpycH+6F+snh +wQRKFrQwdIDy48InSLLsTWYGWCtsJcTn6KS/5l6aP1sgHaJ3VF3FQy12Ldo/Cv0FrJD9b7HU6QE Sawri4leIXoZfd/BfT8IV+USzdyghknC7jLdiMV009BlKB2w+404ZnLBXyB+vmQuLXOJfxsXwpxr o9RJ/vYaSxVSZq9R+M0wh6dziMfo1ZuhEfABxRgSQUbDpb0gPeg34/yQg65o2EO+mttum5JUPYtb lu2mgLeubr7jITkDv5QLGmONBDRdhAHh/64jICG69QKqf/ueTjWzam9ou03eI/oAGLvJsrWvV6xF uC0w84/IMHwmCDYqapm0EwkMXrh/zEEhxwdKOA6QNevNbtqOozO41UR5cWHTFs3wk836v7bL19Pm TzSI2nk//LJfGwk7+sWUriRzQ7dBJGSoHE8xpb9NlnaJzyBVllVZQrVQjD1R3jrV5R/gdvp1osJD 7vI6WRPcOQWgCYhSKElIILAnHyWrrveSxR0oCv35+NX9RWrYbYNlcT7yI8uo+I7zt1T2D8BOrzNR RdLuhRi+waMpuABgkxRBQkAViUuxsO0OG/TYJStcGXEUkCnakQy5FSl4mYIR6KQunr540ItIjNJn e9g8hBPzXNrvM2vQ9aDodWkWA0YTnadxA/zPKYh5+4s0X6y/cSO+KzQZZwjdcuwbN+Y/VBKm+Kix bjEhp2/Qj0k2txP/X8BPuGXg/amckROu5BBV5GA99NgJ1UNIiOYaCoWuG7+dzj6XT1c2cn0qQQch AaS0j53xaHJ1V22VA2Ha88EqKS2Gc5C19yVIRY0J3+8GOY/eGY+T9ZPZx3bHs7MtZmZVfQZVdvap pgulfC/MRC690vJSaOBROT6vzZRjKMatBayqbtwu0o3hbQDx0vo3ow4n7RWi/+sO8wc3ZyZmR1U4 7FPDmaaxgNYW755cJVgCok6tJ8KjPkf1XBrBAvXpGT7sDVDAaQj4yMBxm/0u8DEafIcJWmbg26Z9 nzl9Z+JYyLC4HVlZGoVtYARyJjs9IoP7ZW37jVAg//kveqp1WU9proeQ7EM1JrcFI7kYCDZZjk6H op9+F4mM/kvjTh//Ud0oUvVWydR5XKZw2cbA5cU5SqeNjDvnfqQFluFTNK9uSSGzFtpTU0GL8krF CJWR3uHIsl0tTT5DRMY0+pFzbwWWOBZMR2h1vS3KxkbQOT52jWXpxdw3uywNRd8jWUdf9soFSZdF +IlzvaCTXDzHEtsQy81oyjTRNmYrvwqyjn4GbV8oG7aARJaFESMCmLIJE1r3X9r1wVtJtFBWYVub MGWyWdLfmzjAukFeNfGxN/YoWnVM34ha5atWDh/2rpyR19W3cFhO9knl8/mnctiy3X0vn2piMVP4 zvE+BEJPNAK5cBlhPq7Mvm9qfwEL2R/8rc7h2yTXGVtwIoSXr/xhrTWB+JQF9//7Ck8bqLr35g+q 6GODJQIcdRW7lletPX4rGxMqTRNkNhTa1zzCDLtxIoJE91Ha4NOpSJ4rWlldWXKHKtLydN4PWu4y JKrcmdzcLXZhFxdNK9jV0Meg9NWDaXqHGR3qEwiXusdAfScUYm6fbvCY1hGxuAdl2gB1vFD8plsC O07jIBUHQnL54j06Gc5xjazz/UOV5jYmiixm9ZLPE7dPYsVynvIK/h3id4cV/7Yqp19GPkpZ5kvS t/f5+z0Bhmynp7f5GOfvkJ+IkeOMVwQ5Am/YElwDY7yqhyCMTbJzv3yYGpxUMJ3WcrhgvLG1wnyC 7NzSTLwh8mM3s5j7I/t2id4/cFKvF5v8lDP9/IL/fOHz07cn4mUeW4Rdb37NXVtGfIufduWVi6si 5PABv9ltolQ1d8wKx5teKErRPCNEhmjIoIxdPRBppp4+pcPh1uDfrP9xr/FY+OHQxizjmITc3S76 B0lw4xweueed/PdAVHMC5y1fSVlFE0fpm0ZgjRE9IltFWfAaSFuDWVDSc9UxBp1jU+uMi+1ABRMi RySgKVQbitValEYX8Hif0KXwEiiEA/FcNB6uovuWE7PGAeCENL774deh8e1X2mLlS+lhbdqWQOUj /amR9raBP/wmdqezMzseH7VE/t/xtQUOvs/++8b2CXCOck6HCqmSHihVE8hJNXxk6ttU9gvNFnye lBzvHE2i3Ow8+VQzSmKq6cXCe7P+4mRiv+56FS1ivinyFGEKDJop6CIMyka9NLnD7Q1AyQQJBTqi gELits7pVfyevM1iHdQlK5kMYdTkefR4bY9XfihFbQbxZez+XC5sPb4YcXWjD/B+/u3oIfySCdmf ZszYPymzL04FJJ13wv4rEE8SAvJZAih3oqlfCld+rFh3EUDvtzKNPo4pQGvYpNovT+YqsIh0UDBT Y9xMsI2GvMgunW39k0SE0ivTkZcE8bWPvECFz1TunKFCZd3Vqphf4jyu/ZgRYxuimVGO6dDIy5GQ 9t8oNKP61LgxgtHa1Uve0XzuIOtknvTkDL+h941sJZELG7vthc/3EOxwHGcJG/q0zVvqyEq9yZ/f o1XcSyK8Q2q+ea4dRBouwpji55yq3TKP/xcS7hJ34dp5d3/KE0d/+RrV6esdetz9EMWDxutLLMpU 8kAJ7Lq2t5ubHA+iwCUjO/bbwnf0Yk25nMo33JDpnh0PGxpYEMYeHZOFzjdcgmEMBugKBakPZVZP zc9cjdUzW5IHm3tfHZbzGZxTu8bx2lJE53RDp75ABbMn+QBmQkCouJXVUQ4p03jrwh09xAZ2VMpw 58a3XKoodWljo84xN43gBjbvXb0m1sGLANHPXBwe6V6bKBASJx6IVkea2FTiEdsNSI+NeKoP4xvx nHAUBdJfXSMxsRekuSJEQDYWD548adz3m3J4l0JaM6xS20fjw0o0hR8bojGuzjrn8s4tpQRefdXf jYzSz3lccGeJ1KN5n+6xMW9TF0PfoGJb9QdAtV52iDx87vhWrhpXePPwbyUrV3uhAbX8gWwkRNyr Du/sUxgEJA4rYZqw33XnEVjGa6Z2iVqFc7+LjBSsc3QExcgEsPn/JgTFS5SIJQTZh3kTPPlyw8bs 78sdbSwVC4ukhL1lrF49stSMn2UBGDB4+8qW8dLRTTCn+Ra7duEYhFcQYvnEPbB8pEcCXQYKx339 yIL4eDxQwpDQVnX7eElAbzAFOqRk84NohmPF9edZcr0MtqW/NKMvsR4kpa89lTK9fPlRRarKl3kR UjMZ7geQ9/6TdEo6126ODEjkNO7/JusPd+Jy3X8aoBEH77swX+61YjprxWhei+NWsgoBXihGQPe0 wV83xO/gTZNsoChdGSVkbdi/9BNsbqKycWpfZ/3V55MPUZHrjDXIF29Js1LFuVpeKQe+B2JDmgl4 A+3oOPWbm/fmkE27Oi4BfQ4LA2JKTwfLCyFxE9n10cTky5vf86Zp01+y1AFGHlExUkcn5jLe99MR RYvxs+6FvMiSERADhuXGfJ0zQ8/tsWbm/WfpolGGLKeZwyyIWbxAd5D1XneKouC7/gf9s7UxDxwJ JW5DcClCDnXDAUvmWVS9vCgpAsAkTXkTc5YG/31luUWE7cCeAoCvKxP2IhE1O/O9+a2CXaGMOQbH bz4u+wOhTNwSuZs1Z/5K1sKvUHAC+Kk0+KYj5VpAT+HtN0GT1Tti0XGz77Pv0APdHVFIEiNZpoKD YznzbQL9xp0lJT5wNlY/zn0BT+ajLmB/zFkKdU6I0xZDcu/DMgg0wAJ+Krl7GQ5ui/Rbiu+yxV7g +VQQPwMc+7jQuwxW6EBSct/vAwiJZmOBoTsr7bmRay0+U6uKkvFoHwSMYtOYjRk4Tv1LDENq1bey 68IigmzxCHer38uZar9254vc6s+Wtx+40xXbcm0XwoddHXpRy2mF3ubAze8K3JxdgiFZ7J7odTdQ x9x+x4qoSMamXAYRdZ3+UM1z2TsrIhaU4ixZhEqRrGNX2SQ4k43Jh1C5kP4fchaseZvLpces9dLV IuoJCQUJ7FkMlZfW1blqyFxBDSw0zF0IqD4QloLTCvfzgAgdSBs2geyYfWf2cqPZabJO09+ogJOf 8s0St5RufDFbUTAo6L1RKRSP4kzVLwIVKYyp8YWVb0cwdVPQq62BmPzq69X9bQ4rsr92lOepYpHy 9+SKmFJIFLoen4Sqc3ojoGXa0/vYQZOlSySUY3QQeHWwT8JRHx/tQ1cchQgodN8Bp/Jr5rZWuXfW QiLjUI3TnjQ/9KirCjotuH2LW17Iou7U4HV6H5avw8jeNYp9EgFkZOvBbyp7l/hPP1CvHnMw7m5i BqTKyN17rc1wFQRRMsSvqDI77H4Vay4NmWcVPENcTUSdXTYQCmGAr2XQ+GBaDbBg710OYjVrNMLb 2rtAbyn9DCuBAqb+1jqglXdujtrC7tOyuxWk67NSu9sQbXS4AEG5S3yGUojCIRcOT3L1klQfEOdu 6XeN1+aZwPVqVHkNXC8qbxk9tNPlWub2nrXUOszdD6KoTFQjbC4ZaMZ9POAxfRaJCshPf9C3zqXf Ex0f/Ir7ylAJ/00LKJztlMfL7h4R/GN+y6CLC2Umjjgvflc8Z0qCMQQO2XUrTDWvC85gUxRRR8so f3GJ6iCakVRusI0cISQoKmYh9hidmFywiemPRFGawFa8gxVGlUM18iscNI1R9GDjgSwWbxls/i0C XftvB/M1EVz6djCP3cwoB5tsqqTnhTMSfAnHknNkQA9TzxbUFRhlb7uR3M7k9Jw9CxvRhr54ey0+ 6nTaaw7L3bZK6wwrUSgRTl1kiIDuZZ854BKAfSgoYkVm3yxGSrLCHU4i/Vp/+aMydNUrrE5aoywO i02FS7kjjtr63SCAKsHM3dQ6zt/tHPajE4qQu3O9WGV8l1U4etVNQk1pZGWfKCbk5sUdrHIG//RY njvgjg4ua2xLTykW41hkQ8lBTa3uJ8EcGMQQij8tBx/kPpuFSaehnUYYtin2Aczw1DL+bOltHaMF QTypUO0PqfNBH5KildSdjZlsbk4sNCYky77IjeaRpRe3OG47mWOIAAfOAYaWKrr2f8WPI2sfoGbT Au0Qs7RNT8OEL8pnDilenaNuWW3zTT21Oa/2jH+/MBUr2494YeI5NJmJIsggo/FppicIKo63u3Nk BGdpUsQbpKUq1hmlNB2DpuOKi8rvuH4m8prm3IVUuBdO0MI9ejjmPP+0waNRcoOtTSJaTrbL90SN gr0hTL/t2+Rs1nUnJzYStTC7Ptnt4rprIVMKehfhnybruEBLXSCzPOD3sX8P0aG7MJQ1Rp+4wY7s 39vPaLax4/Lfl8WUPD3yKNIQfmEEYxMRhDKC7wYL6Bzoryb5H/42h+Kmqq8IHTu1LNxGENsru2wC BCWkIyxyO45hHU3R146gaWi6FZxHaQF2ZoDZ7YusthpiGjQGtmz3qcnNCBlh7bLWLClXlCuVxHuR IGITVQrQ6q/sT9u90eGTAPNgQcJHQm9LuMf7DyEQ54a8wih7mRLujnMnoEIEPrbAvAm7kPtIDO5r 5rucNnvqLYK0ikusKwRjl4aSAktOTRQG/0YqcV0/WuKA9VG+8s1ARFvLnsLvSUoySXwuFtqH+AXu pjz/Z6krH/qqNCVqncHq0/fj8wQxCbCIJiqSXXktViOXTG8FGupQa0/1Zkcsk/NcTm9YrNI4qTUx 1WOIBAPn3zeYCVtH8EnvrQw4CylkbYn+Kq8sgqFOcrDUi01PcTdUf5FYIKVqN3oXIJRMuMhmtjYf 6cbJgQEA/+mvt/LOqzaG246VDE9HCV3kS/Jx44PngROO3ltf16z7AWbfjl7ufLkWOOtIPQb+Oizb o0fG67AVWzOnvZ4CQAk7NBg3/mXSzphFCEy0RTytn72TeZjuxAPqv03CF3ptth9WMLfR0ZXuHecn XJN0iAQfucLkTGTkRtRHJizlhyUF9jMm02QAVSvUFgrd+3bQ5mIgg+3f8yHx+gcU++QFvp/T63Xh 1x9ybrIFvN8Xbk6EJKbU7VTQA8SAXtgvZaKSRZi6LDciByzMAD9PFwYzEEQ0vlmGiZWQjysN6Nxy AfitHDwSuoXqY5qCbW8iH9NtSIkbrjuvhq1f0PqEvyb9kyR3nhqkRFVjWTDNwxWwpgOd6MJksF6v xQiF4XdiRD8Of23VMyiynd3r/7LXVZ9ASqNdtitF/OjhFW53Rx3Ovp7ZoaoWHUnJf4mC7bud1XdB 0dugEHZKVrfTKgRBgdfcN9gmvwFknxpcMMRiidlgUKbfX0725mmi4capjSZuWcDWKjceEhoqrZY8 GmJ/9400GIaGICe6BbnuQoKeZvNiyjMM3cacB0uB5K9j5kyMzACLs5WMhIHezB9yTq3QogK5Wg9e kF6E9A3KQgbsRl4lBbtGXgUgh2VY+QbXPZGare1Lx25fdX3ppxGKCFHJM7irdpXOuMlAkbDvqz99 fhyaXLUGoLuPrkG0c21uHAdocBySSSZLa2Hi1bjV23Mj6KUZwAGpqcUwcS+s93YaIKvnaVnV0ZBH 6vZMNjVy36zxkyDJ5uv7PJ+/eigJEZ+waBE6/wmEvry8cT8lSb4qO2c4SHs7l2i+aZ4DF07oSvUD 3XyO8lA4Yk1x3KR3cYDCZRSYJKaFG/YKFVU0YLyc+rTHuvk1Z4wQ9YpWWCPOE9132q2y/1Od5Le1 wu8igAMlwbiFQEY3BlnCJd8D2mm950Q8t2Zi1CIpCDZimtXJA+eJYliPw0eVmzX//cXd6cr+VeXD 6ZbDlbW7ij5AxNDoDV5wLPuGhst7VJG8P3dEbQpIM1PORFM19s5w77At8ProVM7UKPg0xjCIBgYB CMJWduDCTgzBnzJy8nmWCdOnDhTlwkoWjusSNif4sZQcaF9epVPcq8ZY6+iZ5waEP2szApBogYWf lWJs9W4BGFj5xXwbVma8dLIWB0894o81y9Nvx/6GO1jaTxM9KjuoEuuBcdEOmkD7/G3TapExFQYT x9l3YKp5jryHKjEVmiWgFJZt4UMaqhBUnDLBNioijS+iAVPZ4gSJK9zJAaPCUdFGVklXT4J442P/ 87LZ9hxi5//dkjFFn3xAwJGNimVNHz60n3/uAN94OQRURhzFi9mtGC2AakDNyxmotla9jICESGw5 ZVreUaIbX8aD+vUILD3WQmMXCi23jbAm5mb8mA1Rh92G0tHE9C1uBy/tk997vpLKpO2f3lFB8uLo H85KD/0a+j4/YJtxYBNKgUsULp5j//0JELjN+D+AH5GfJ88w0vsZoW2D1sl2ntiB62NnuO1orT10 XI/GlugYn3MrbUyb6IiYN8Y+Wh32yvdEYLG9ZQ0oXwgc2XgvG8mKdMywIgPP36rCVAfnjWBGVu4c rslGCbD3chVy84v/LO79+xXpKFRSTvJelGF4dyNSZfa344NlTGhOJNKqMUNBnJsXpxTN6Iu9COkf 1JJO2JeYZU1oLCFSLrYXv1sFdT3bqGKA3cnLN65FdaXvjJ/lF2k68Izfr1ot5uhXDiRhFeUSQ7B9 PnFVAh0qPH3FQi1+L3GPyERPR98JjkLSzmrYee32nIiPLmte2S1TxMDXZN2mTGjBMvRm9q1C/uOU lnB0tDuCG9PwWOwze8SCLQR2bFiSi08f41P3EdMwR6+c0ysw2e9jIGTqXU83nj7oPyDIvUfdWH8n 0bTHUxrv8NqpaurUl8+ZaldLVZ9Z9luxyuSxerupuR/jHu+sYaEh4F8Nhup1KkrsAMnUd3q4ClTg 8Blj2DWfvAraygIdNFS80YOebnc+0dp+DkhBoelgbZzSyyiAoTAo4WFCkWr3j42IXjXNGxUQ7auW mzbDPO4diHHEXY1+hrkMfyZLPrMkpWpMvBL49gnRUHR5GW3+0BexXPTuecynE9WPsqlYe7i9etLi p3B0pkpNJnbdS+AC5ukqfX3wv9HuTmaZ3LhcBOMULkb0wnL9Ya3/Sun7wf4IuHsFJHtBHhslqzkB 0NMxFWl30RCcQIB7tLzNczdGaT+TGcnEp6UXjc1aXyvl1YQzQfh6XI7dVDOE856I4sBMIqcjHvSU se3oMaUz5+FC9Km/x8JPgJYAAF3qT/aG3qNUc7FW993nTARZKycjT7uGqw9GPUfvhJ1QhntCcypg uAYV0ZdvB0u5FV1l6Hq1km5KhEibF8rsyu+/cPv5xFP7YKJZNX2kLthAP76qlQaMjileZ2CwD01k NN/vZ+4KhC2MbjPpLKJm2HB0AapptL80ZHr5jEroMTYYGn5Nga3ZeUkE1gw0+h0DuSIRxB3o3T+S MVLI3tp4O+KbsgidDFXhGF/33UZvJWpyYrHM9vEnn1V16lRJ6Nk5JnmdliPhG1seReXGHm9UsVad 4zzVePoYbSOe4lHz72xZhmnLJ4uLElP516KKIZ/ZAsuqQH5UHyHpQUN0Pb/ykWzyqjSBZMC2FAW2 PzYeNnTCY9uCaff84LJTvilj7t5A9AHCAKy+mT0PZCuMDh50AQUomulWEhf49xu3WaupGh62E9kn omQRtwviioUEx+fmgeg4AYcQFHKpZvkKp/6pDCE/Wt1fuBfW7/nnYrzWdOa8kmdvb8gdrWlXsyhK OohdmmN8QvWLwzDd8//+wMPLlRdIUCL3SqEG6RWq504pLU5FM6/9FyWIuC9lvG1ksbENP6DzD8wz zz7Mz8mjHnPukdeGlpXNyo9NVzZc1eDPpdpkJq9lz+8hxo+I3w7MOKa7lUHEBFhy0ZEnOLCN4hXM PpknbsHyn0hLBMhM3RlyiwCh+CzOZEvAvxsIDtKKsnLS0YrJzOWtbfawDFUk3c4/aZfJRGzMX/J1 wWVUY7zA5KQVurnbi9hUbBl91dPyLOFIOLa+q8UeM9JO4tUmTnixDQ+Y9x3DzvFWRth6cgoAQ682 zdXCq6mgtAaaDbv6Xht6I7IL3WmFuPxsTx3sfAbkiRPmNrOrPRhTjTOortBrHTuJ+kiG/qZOGXtM x2MfSwDTUH4rBUmsG8sAVSjDVQFxAGqgsxkzNcNjdVYPUfwYvWk/k0uhJDaVDaSCS0GP9oUkOcCU oPY2E5Lib0BToyCOBibi3QNeMALfUd4rMc+jSC85We/UMssGJO05T/G31M5OLWYQWD7JyopjX+eC hi2AYz5QW2MQd9mNngm+CuByGagHNaUTNj+MIGThh3ddRBitfTTyLp9aCJGHjrDge9gl3vCkZVPg daS1d4NBrA0szqnQWXYZ7ZdLj2eflexjJy/tKukUVorNEMGsdzpvYRGl5zz3AxTCa3mSVXiORW7y pCY4sKNnUzb1BQoe5F76sUUZ+a5cYUjfDfS0KNx3G3+NKJRc0tLMRi84h4ERdtdwzh2HIY8cwFbz mU1/liihL4xnr+nGKEWRe2FjRj6YosIsFvXJwgcuU3Udy0tw3ln3o192F8ZuhU9dMrW0s4dwtwAp 13c3jnN035T9EuiI4n3MpmP1NXQaYK7z3Xg49qjWnfGKyHTCpbgyVzFf8meKYJbehsmV7eGyTnXH 7BTpl41GhS18k2auNL8PXCU4PJ01LhkSijlXPgC8AVO7ZJYCK+3BVpX+RIg7OD2KyAQ/ghvf7NP4 2CQAV10DKdsPzP9GvoQv1099eEmDxZBHc1HmbDvQeoTADIRiBVPhXlz7CM8wqJTHfBKYixsh9O8q Jwtua/hcrkYytjIdG0y5t0/EIxU1EPn7qDQljR1JLqJBm/D1ZP9zcchmsf1X1JYV0IL8s6mMP+Yu 9VjBSPOTliW+ljlDR7J9EdbP6BXBXE+3aeqYbUM0ROpcO9Yh4hT+m3Cao2yEZmkLJi8327icRXPw OmRUrhA76XJMucP4v+ZSg3s+Ci+WuJSlJ4Sd8e2H78QoLJ/QfJTXcJZuFNS20DQNErO3Vc4Lk8xU B8e/uoWYpZgQehqOMOiajzl3A9q0pZtcT2ibdpOu/+OGAL4X5EForpdInpAiYWYfDrOmQDyB0ilO yS/OzmubECGslYM/UHhSki2W08HQFivF3L2WcG9YlOw7hdPnr1FIrcwbvGlRLene2i8KScfwG/ny /RZuklyaS1aKOZBi9A/Mo3GfRakaY1oJ/koWS3EWARc6FQzfMyzTTQh6KPxWKqFqY5SOf5obJawV O2JpXVECtHH0FMzjLexM5ffYHNENgAsl6U3G69OoOxOsvJ43I3ucqqPYJ3hkR62jOO9nh8288qBh bq64O38Wi5BO/I4A78hsbq4rAYexLvLzAU4YsRs7Ii+iAGicouTP8vOh3xKisiOqirm+RswYXwiC 03HPoxQ6/HO8PkfSqyFJap7Kpd3423m4PpK/sn4U24C3FpF+pTMPYSlq7SAIZ22Yc6tjPv6z5g8p c20fxtuwttgV++SmxenAKXHcUORnbhLyObTqRD+KWXStGNv1vvrkZjQBvlz4Enzw2ZStm3wJPhFk HLam6pFZMlv9iQgs/tLKiRD7BcwFOFL/AJtOcoVuSTgBP7D9y9RL2TLkEtCKYDZxMHcrAzhgujoD 8h3CQrSEQ2cDd5FqZEwGet3HlYa0d1s0Bp2zogPLbI5doZcT6dsRAeHCtkb8xKAXp3glbbnQkau1 9V3Hxlb/CjgGq60ZTcjNWxRk3Mf4yfDRlZRaNjSjgX6/i9/XTRit4i2+nKw8k/gZv80yBFYSmysN WSByTyxO3Vmbt2wAPF4x+pEqTnnew0j/aUKvRXop1b6Rtk5VZ47FULbI7YadPDvVh8Kwiy78kkxW 2e5MrEyr2HS7RzY6PWP7/mBNFKbjVzeVZ1ghb7puJUMYBppnXaRv+4j4SRu/002OPXiaWoKlDsgf KsmPzaWIKYb06Q5jM32wA+/EkqldOt+359uBbBFA4VnyUVBQ5UKJJBGgkDppe47Bn0cBXQPFI90A acL4qARhJwj/wS+WW8FMTxM9gcj1QU/jf022SoHeTYzw+JovZwuLhmGfFdDs1fiJisVDjMsz8p8d D9UIVXWQKq4xByUST/YvnYHyNlPTS+sDUMOERf7Amz9tPTW5vBmGSQ5oXy9fpULv8/cjJgBoHKBh QCTUzv3q+XaMQVXILEaGe0BcfGfkdMr724RhDkvajxgPRXkI0mRA4qJh0tlYASlWvg4WxDkuB1Rv nIOIGg40XHyRnZsTUU9L3Z1tY2Eb3RCM277/O+IMMWRpKMBDbR9gKZXTUM+0pBVapflLAWIf88/w h/tEq2U+s+Ldo7LsSbwNcbcm4a5EoIhBp06g5a8iydWGcMYlDAID+vZMsBhXg2EjB2Fn2TWXWmeW NZW9dX7B1JG4l9p7Y0CAlG0wPLCwZgDX7NazF1LIdBhCsiUDjQ01fBSe5kLtQz7kaFhvHk9cch7s LPKW0olwp5C2zy2HH1lzCW+NhgiyJxVlXK595u1hXX3WPt4eh4jVAD/AKfbHGwMUErCJo+E1X+1I vCIKxhzwG39CWxbGf6LgPrDegK9vgz962eT4GMge6oXJkfZgNN3Gc0lJHXh0l05OlJ2uEBfoU47U zihTbSgFRELc0OfVVHVI6I58WtF21x/9VZCjLIZjd1ANAzSHxf7r3aqyZACWa6j9yOPDyTseWfSN UzHExWNWF9Czg7ZCwrBUQ6rld0pQ+qYkCRreWOHAQeYbRjC9IeOqVF9+yLmzaxgxZa8qd+LlRM8j j81D5CQtRCR4L2ZGYiG3s9qbDWRKLngQezeGgEhLp3A5SA++0KfN5CBhqnew69fVqoAGefNNck1V kbE3ytDBuCpphy3sLui0Fe0Tvgi0Y1N9snPZF3s8v/R+QXwXit4n6QhPCYL59WP3toEgXj0StzHX YvYZx1Vkxi66KpzsX19/nO3f9f88RHY9N5E1cvBrViID8qB0YGlByS6//PNoFx3TiwCJIpDImXZ/ fqJcd2teCYSCcVF40U+ag9dteQ9KvR/eQEybyvy4/MbAJEGMlJbSY/conscaFemQymvTQPCcX3tx XwuL1+Vf7lnlT3fT2VATKovTAQz+4F/gHRGFqrEZN8/EnWdKCSebgRrh9wi5qYY6ZGewowjU8Nma nCFKCOwU/1HyHXFFzd1HtzmKk1BbQtLwU4wVX8Z+M1GsvyejT4qk97Zyy6VeZvNaHHkuWpOwELlq n8To3quMLdk2gXZTeFoodhxNNA1TICdBQ8DHy5/9QPolNFF9wcfFbDWmnoThDlD/9xljxAugKGyA X6yA4Y712Ne26+sxM+l3iI1mVhVPeGLwpBDsQ02L40C0eaKqRrzeaLQ3ClKkzGCeuUSdbOxgV8J5 6rMUu+fqHHRsMYSZOTk7fsQkGIBc79jw+FBomnf4iXHQp6aBqeRclzrWjfmduA82aJ1bVEl0ob6A xhYYbWC90Mqmk3Ct1XlBhqkZ0gHasydp5No/FDQz/YGWF6XKsrKcQMuCLIM/Qh9ITA9qGW0voZBK YdmYzIkG9rg64Dej8y9CGVLaOl5hksQdQNLeTQOL4mdg7lE19sqCFtt5TjRDAiqkT9UkZrWHfdl3 AL0cCSvhKqxSR4jygyOkABVPyNySKtO8YlUE81jTOcjQeyJP7PerBzKbRnZflQYmibKF97Goret6 Y/KN9sUCxtQ7uWwqYatfYB19cvwXeRav7vJYW9XWIktJtBGiZNh3hp00/T3Kc+c7d2Q/e7d3y00F K5Y0grqsnYow0A28UBq1z0npp/4BI25x/50zt0twQ925eWhz6xV6pEQGsXyjxvPJoeBPCvKqTkkD JA9OxlPgcUIeucc7bdgaumO2hmZIUaP9T3SWgRosTv7JQa1iWv3r66GkSfahjnntxlBUPbXi+6ao xLv949xJM2HU1rJ/lh92QMVfjgtBejcKY7wGWZBIwHO4jLeXs0g89BNaXWeJ5l4LjyqkYWlkkzRg dFeiS9aCUaIVmgHpAK9EX1+75n3x9z029Otptiqu7qYEXbD2xiGhM+74pqYpGREQzDnmq+ium+zr Ktx7+9q2VyEm8FX3UYJzQ7sDPJpW00RebMecvNqFffkv5JxCfyo5au0kiObe3SSfzClfDKkzH5sT Z2l468xIQjBV0GMWi1iIIuYwDmueVz3hGzba/QCY+T0aUCQs2UN3MbfUF6wov+hf79casS30sMVX pLrp9WByjkL91cwRjLZHN14oXKGT2I9KVgkm6yZxpAwLbQEN7FcMve5EAhGtbC7a9DT4pibOyK+C LUD/hD2Zi7OzUWBGpIrZEIvMc2NQWyg2Po/O7JpMITGHIoEQdlSPrHhqsAu348X+oCvs8QDr7iV9 bKn2qczHdUqcZjrC5K0itm34oS+4iPMa7aY8Lot0rwclJDGS0e6blP1LcwlXyMWHYvy76rJtp5uo +GrCqBZrzEBbMVQD/GSk7CWYghzj6kq5USVV88EMLVpnlgpAVpCMAyvvACmmUaJepVXel/gXWwjz zfd5LYLAhea7bSE67B+lO6Wf+UBK1/2Km0n4mKpeRHnHSVgRkMTKd5ZiWMLQS7Ok7AxcX1cwDy8j qos6XInVOKVaAiod/0WIwnV+8v+i0iAo5q+1dZYQ+qwh956syIzPVPKcUH2CcCZPd/LLd3VPDKNF VZrMTP276gIhmUzuv+F3w7TZvX4HA4zU+4NNLrktfHVRe/xrz14pRMIXYKxMfFvZle2fRxceawgv HNw3D08oD+BFJfAp9eYozTxc56M7/m82rHOIv+wQlEtNTqiEz+JLQ0NbYUyI+VC9iY7Hl6u1DI1F ZX09F1gcMLSzSM2UbRPMd44U5xINfAXcKCNfYZFJITeB80c5PnBbqn2V0pCx4wgFvCYsykUSoKyd ZeTxMbyGRPanscXM0G/UnsNMiXNyQ/twMe7wdXoG+qKFjH/SQ//q8qdgdqJlP8115EbOgGrd5Lfv A7VSEGmT2zQzXLRRyViP3ij+x8JUgh57joS9wxpfqG5LttCPu8Vm9WEogOvz6bjuj4DvtEdSSouD sB1mnU01WtVdIeLwF8gLiiaH47kaCs6/28IAZMFMl5mX/Fg1pkJdNldS0A08cl6lscXWqUZBnsDs 6h75PDRPdCu1kvAFoWrsSVxArWuH78iPf+RiISkQXj0LB8RfSffDEj1A2fSm7feQubsB/Zqw6Pu1 YBevtv7HbRJuxktB4t8twmOTL/Fzq6UdCoQwyIXlLlyuuO+aBLbxdEN+liqtJNvkR1EcxNR6e7N6 smA3yXjY/zSVKcBVRyuJzeUuuYjUjPAD/jNZ7VjX+1DSECc1zc1j6KXSW8nmBwOOn4Yf+SZIXlgU U7+Ix7nGlidYBDA4YcOzE65oIsZS9hU1dPy4oehv1FXorQMSimGHABLhUTffM41a1brwn5zKXT5z CDq1AY3L0RG9VVsuLmov7jkHhF1e9apI0XetEl/nDTysw6CSsahxCMgADhWEW20kM3uFMRGt8K2T jI+m7/IVLMHwGgKqkoLcQatxJ8V8/QmwO10QADNLX/2YWMbEAw/aRd06WeqtRf/jcCiZGm68aTuB nP2i/y8bxrwROJJWOZR4xfwi/uaQU/gw43e/Zhs6iTWGh4suahQSm+/u7bcGXMsAVtr69b3NJ9dR /3H9FFBo0Jv7EZSi0oXyhXWzT3UTMzvAyYNkxOKG7vgl1T2wn17xs9cGmeLMhL6QArOD6VSyk0DM V46TG9YGqUcJcS8/jE26bWv/1DDzhDN6kXJ102CEKK5Ng9TlxKmjhtWcSNMR8gRpraDJPTq9VITG BmwqOthdiRTIl1fzkwkRkvYsfOnzrCiAbjSqzKAX8wiyy1stfbcOJstcNpESDh6kno+r5ai/RmrC Cf3PDpB0mpO6bE2DF+wyK7U1+hvgTwLw0mGWUE5AgSFUWDbPVO6K06JNN2L31Y03BJZLFGXqFskr JwSVlGs8T0092NIYwP46JurVWGS7940Vn3+YgK8CmYzVn1//4Gxz3I7ZhylO2/5B6LLz23ZCokVn 7SbMH+OVddJqnaE0n8vys6eKJ/o2XGJlHkPiitFiwooCZp2PxwrQSPhXkmHjYPekZfWL6qLPwO1X O1RHvYeuSd4h8fg7PmxhN4Sf8+j6UvWj50GrZ2edHd7Z60shT+Rqv7CxPPHROezjoUSnKDqwptlL JxsJRk4d+O5jh1OjKkLoQTiLFdFVlClSI3sNUdD2dilLKurvMwxelF+IadEiKYn8vvTfyQ4txGH4 Z1iROFRKrNa4sE7FTsm0mMCSdkWikZGk3FAXD4UHL+Uv4bbm51VKSdDyqaKxFExtaahGt73XC8Fr xDDF6pXUHxr7DHznYF04oQ4GaGwUWzJq+3UKjv/aygwYvrcOotcHPDOG3G+tDWJ0h64mk40Jd3f3 xScFU9ggQtzkEU79tbqEdpp/xFnOGsBOA1xk5aUbEuHi7teZWnIqvs3MLQIIAnmDl6opWTSGyLl1 omYNhoFAXlPaTaT1GTiYeRKyc6ZQiVszZMYx0WqcHFsIO1ZodAcC5JNU5ILenjcSGHhEa/KsczLb PrefnU7b3mFZumLh2ihWAxFSWowTOsN/6tvybc5+IqjLETzrw+4CjjkOvv0Tlsx8lH/8pXnhmsM7 qzBlAQ5An2wFvjluHEXMeRCPV7IyH/O+ddXrLToMB5U4pe+NeAWjKbawb4hEPnB1xAipP/wPKNMc hWlbqbQ1ZjXACeE4M+mCtRFxrq2VWSTPxskAH1zRN5e4CIgG9UijAhEiqQWLpSidfB/FILJe8scT ffCzbY+YptLgd7MGJN46c9jGaQpHVsc7Q7AUUrYs9/qF1jgmeKrBjgWQfXVat0AKPIYDCop/MKXA JRQ2zoOku9V+oh5lJfs0NQLu/lHGim0IX/howzP5golkHrnB1jFuRGBoB2AMKSPS+LpezkW63YK5 csLrBD80++rULrO3wb5discE7gGp6DxhP6/iNW4YxRt5yUodIEEobf51+/AhDVZwdf55l/5wj7Yg LHmtrWxZ5+dPjTWz4oE1DMAqjl/apOjE+5DFtRoZGPi3ZsGbiXm7/G6Ox/9DZ7X1sAS+UVcYk04S HKKswuVOasDKMxguKncrdoZxaoKv2SD2ELbGQPemN1/rRAMcjj9SVFNtyky1JFYTIgbsXnZu68tv 2DU5w3U0NE+9DApmltkXDCbzr7UTefBa5KtNDJzNPhmSB4ljhBU8cmhRds2tjPOcagAUs5WnI5Xq OrkDbSzVxykiNF5J0LG6u7rhupwjvFjHTiNOrsFOERY5ES55s4zF4KohhENZtOKcfrTDM4xkOGu+ f0kOfaNcQ3ujPBuiiU4PuPGGE374VaOUAV9WYH+SDmSvqQ+m1Jcv0EuVCtJobBkeA4+ekzCIr0fm 4B+Xx5yiMxvUaiwlfKPxaFQJRSLVtA5pg9IcNISrZV9/m3oQJBZY3gDQPq7tQf3ka+CHiGyxgyJG +GoVJUrhxLrTBSbaGP93EuGOknX5/B8NDjF/EM4PXp8n9qIDo/lqI7Sv8eyrvfABMKvfyItD5Rpx Lq+utuCYZACSvo8sl9a17OoxvH+pIy/ihm9lFi4PcsGQLMJRLihq4RNKIVdYhDsDZzYkME06zd4D DKgGH6R0ipFTLrrSxkOc/M70yGXD0ENOfMUc+801FBY6iiXh2TIFIu+6qV75XqqvFsujMUv7Gs4L WG9uzM20Wqcef/W+NqQ/U695coPDKOvaajE30wQcVAERAyAnq7Ai7czDOHOm5RnGNGQtoBdXlMBG AhI4tVxX1ibHUVpHndWy6k2Dqqz03Y2eTYOYLKgocomh8Dwn/YRCjGeuUE5CUJ3EET0BBR6aQ7gH +wm0TIbxT5+Brvsj58Boj6nFMABgXWVitr6Yvj+cTRbApQOx/L8ayFcEvHdSVX4vyGP7/w+KUB0E BiQODWkFhnWr+DBqUud1TFfcN5+m9j9BJk3b3PnyjMbhSuH4m6ByxwRfuCksB+sC3WYU0DxB4Ke6 ZfXfIvjIGyP8awfbPCBHUnVBHMl15npBrq4GR/KMkDi08pG6Chi8j/5WIOG2k7vxCAvuNidDSZqr UIOA3Jv2K7ooqR4dRvb/dx+m/4NrNIhBYMIoGkynLZ1r4skOQzd0QIpovfWFHMF8QwARydy5wYLK V1yllBGPbW9F+gCeweNjnLh4p3MgpQdXnnJSuv0YJPu9lztazP7TYSTKlVpUPfTO/td4H2PBSLYT wpQy3VZGWnB6Ifc0NKyi9AF3pbHTrIJQYHFzHmQppqVz9Go8VjAqhWQPDpv/vvnk6iOTPsnhzWaF YtR27zddraqnB0oBRagm8jGKBQWmoTMCgvWpts0U9FHAWVLNS/l2j94kczpfQ4rYz9ncXWISD9yw vR91rpRZKX3UDFys6qL1iVDEOg27h4L4CFvdvmFUg7cI4SfqlA0JLTv55qoeYe8MNmqj7l9sZLxm PN1agQZAunMqeV5fGzLfNHnmO1hg3wM6PA0oq1QawjrdvgYeIIwb4vLfHHIrwdP39qeGdVzqZhEm 8PS4CQFu87ZclTHl8Ou1c+FLEZXrYAT87DwM3Mn/vqGhkpxeXQ9R371O4Iqa4E31ynx2xIaT6FJI dqIUPcFWSZ/y7ML/l9J1nsO48742a+8M/1eNPSkl6G1MevcKKxLPf+CR2WRojUodEOpyizvekNqz Xc9dTZDgNheZ90wKE9gkmaA80z5UPfEaBFCd/8NsiEe9D2pW3TuHNrBo57Tlaq4CweLZZQH+rYLB KDF2ETAuj4tP+JGjc2Tz5HhixJM8yK9U1UOffBE1FrAeooprpXhxtOI18oBJj0HZp5CkKbKc8zCP VJE85V8P2HIWs4Nr5b3csKtlQ/+13Zkgs1eG4xctAMkYP0Yt58g6rapAlAPcgof37nZKomUXI1Gx i6cl+npCKufu3Wa9uYwXZlrnDCFI+OlZiqH0oYzWSjart/TD/jyQ8v1VQdu2JmxXXgz1vNz3nyCm G3bW3jGZ758EMKFjVcurcF9e12ll7O6WfAvORKKodzMHpL6yLTZHj3/D1c8LyWHIX4L6G7jjD8d5 6ZSlNeUTpsgcOQEHmfeKl66rW79bHe1emX1kT4BcETJIHLyxlR/9ySExGJSrMPMGWMhOYobfLLUJ trmJXsk/eULK/aKko79n9RM0OB7irWV7F7WG28yISTCO711W0IXY6L5+G/9UTdl/PDkmw6B4maOq 3UOxKAGKAu1jSqxZmgk4OBRkYFEkJ/hPDNy7B9st5D8GNFkexfT1KqRlwuKz1+H63AsJKsXVGju3 Sqwb8cGNUZaixeNTATNFLq5J6DiyQXvxsBSzgiSYq4mgGc1xNchwVG9IwlGkfpufcR5eZNTw14Sw yyWIWA4WHbCeZecVHKo9rMDfzbr3r6JH1Gim3RIuf+IM2GQqGb8ii1YkWZCJRlgBcLTFauUlfUwY v4e2iKE6gEdSePGShZy+wsAWuH7EcIXBGMrgvQ2J8Q/Z9jVvsvPgU9nJH3Pux0ZYS4kGE0ERu7zk vw6zHI5O1JgFZeK1QnIW3hErAgLn10VeGXOcPtgcy4yGtSOXkLfOtF/9BOR8JT1tTmvqK2gcwHbL 35eXfRQibdZQgIh4f5xcZL4hD1KNztO8Dhrj2J0LDp9u09evxliTD4dusZLiU2FL0cTVBfGJC3f2 o9VmfBG5J5IqFiNtj6JOcLWPXfckodhGW8oeuYsVgxqsioWonboQbON5zy6i8+iYThajeZ2QbaFO frd+bEZQfaTDVPKsDd9OCaqyD5/e5I+eltZpLYg/AcgH1cFXbi932r6mF7dXAVRYukXgld3f1FAO eGJi3fkly3A8SnYcWckPB+qf/aCkcsqTZuWBX7UlccSHnt5UcKYzVfBhvlpTX9gZJO6QjIf/7O5e uMdPnZrcIzjpC6ChhoRj5Njo3ZB5SJpUxsUVBBOtd5BAhpylKoszQf4ab01XlTSalGSnBYA5rUKw nY/Yzl1za6FBzmHRC1XxKTLu5gLG8F54mJAl1IopXtByDzKb55xAwFTHcQrFTJFIWxyqTpJKMczB XKC4U3efFAbws+1jOonKhtvAMCW7WZyriF5EkZGhk1E/Sz1ugqEB+n3wiPKJvPtT3xnS2oUWALWC HWJiQBw4MmCrD7A1QD3p8o+cUts6OymC3rlfoto+ikhDoBJaiZYYnBQpKhZquFEV2hvtL8nB8X8u uL0K9q9u2OGj+S4KrjiIDmVGdX05wqS+FMN8TPzzG1cFakK/Zzh4kmhuDERpme/r/1EYMP4LxDaj EQRBDPBib50n6wbxHjOaxlGthf3GxgNnqMrvrVfpnz6Ni41TfPXMnpu+3KWGfNxUlwKpuZzvQvye dXb5wTXzWHVmDOSeE6cPAYScAD5eEKjtNzR4M1LgKJ+AMSsC6Kv6pOQIVJJyq0WNXFYJV0bV62xA 677gcFciTgoABMQtT4t0kBB5ceVyoUBrVlM860PvPHBXdQlV0rcwS8ns6SrFctaCx0F5CEt1J6Lf lqUypvB+fkS4yOystRQbyUoT5sKKuRlijz4AGHobw2yxyOCmX2n9hxcM4qXGg2gEfohbymQz7HNH hN2jp6XXUB7pNO43Vt847PYBxHzTuGvUy2qyjUNJas1uOGRun/kVmKHiUDjtyomE5cYb6r0sL+VF zXq4glaxS4ZDOQQBAC0Q/SLEopIi1QjDfinBxDonsIXKQaskWiZH1ZyCzx4cqgZSAsDekzNHGgaj zl3OOJORGYZojHlYsqhIlefRht0Zz6LGH/Y+xF/CSprNX5xbrn3kY21EtFBduWZjFSf249PWaYPp lQMzuKVbLEQYBsbeNjvp+N+t6qQVhXMDvFsoiBsEGebJjY1Sb5RrChf/8sEv6eEAiivh2ib9O425 dzjcrBIbhAM3N1dRJPQ4iHtLeeyCmgKRyhjntMpY9fE4rS2XpwhEDk16vjyDfioSeNgB+1mgSel+ mzk2M+nnMoKcxTOOCLcY7dqy0wdtcL2H1szgoloP63wiDqYAiQsliOivSPgBGJrvaiYErLaqxY0Q KM8JdGE+3gxJIALoXCnRoQ0vkLZVowT6ZiugH/pjpuRuaLz1/SFBMjNBhHqnk7oN+tKrkcwe7y7P rRwH+fckBqhh+07sjst0N8wS1rFVNh6mF209X0u+0Y4SiowLH0W5IWI2jXe77o4CosibnZ7aSEJj IitOfyURLzsQB2wNXATdt6Wox4lgP6dXAWVy7NwvP30BDL+t9iD6SrtEkTF2m+Hi21pm6hpBrXdu TmpHWC+UXS1bioVsvKi+46kA4wg3VYVIP1nlOiyGqqJKH8lCQPd+JIcGc/ePi4yA40BA/uvZsYmc VlnZ+7U4/YMbc5yhYQZzIPGNx/A1nRMQMiHLVUsNPmMwmsZhobzWneiO2DoGdqsxwjsCfAPvNJJ5 3LAH+nl5QMWJsqvuDNBJUyU5pV/tcFdXlh1dHebwNmjA70LkDEPHKd9eFdEYkPpNTLhvCcBADzs9 8A3nV4jr2I7rwXirsc8GuImlh9UdjHQ8sDqhZoZo28cUiTKatbEMvH2DG3d5STJsgsKIPNFDimOA TzEyaEg1/V1RKjuVRXOBWIoySqsC0pYFIDhkvPBsS9XUKV03pyc+pwsm18pB3FzYWT0EnoTPZUcC rN954J4HoUSgfB/UgGldSlkdRiUSBc08Yjo7SsQaIn/TfosoUAcnsS2NjBXVZxtL0FDwDKixwVzQ 2DnOalXRUOmVEUXWtmO1owhL1woCSIBbkgWLEnyfcJ+z6WCyh+pQM+SlrYv5UEjoVfIAl5N67Doj 4Anz8U4P/BNjkv9uB0/f8nGyvyfPgr3y+GhfSkD7LPON7M9nCwzVEgF7NHNsOenlujybcKzt5E9n eE+0/8bPSKADQzKMR5+1uE8eKB2vBoi2AFXlm/ViVpkvN0uIrsWXYCDy2Kj5NBCBbpSOUrR0CJiu J/pl+Nns1oEYKFrZKTzm51H3KkVEWc9PaZp74iuM9G7/mwQRx6KVcZj74WQqVFXwX98U0eSrrQd9 8ZGFPAFcpIIpOXzFxPVf7B9Q86aR+UKAyQewdcwdPgRO1RcNTdlxRmLoJZyiPPuY4k00WcPeKZyl 5bq1lhwzQyBUPnk1acoZsXhYc6E9vq+MbNxhTg/VEVOIXTSSRCIZN2qRQBSsr3d7qgAV+VNeRPIF ZhhxIOxIHXM9MZKouEnsLYrJOgp4AFsIxfwjx6hAsQ3aC0rzfOKMxOAXq1izK+Iqp2pNAOSw22fF M67mvclKOL+lun0fpIxTomyF1OKIDRYEogV9Ib5hLE4eLZwRbUIRWVRDb99t2T+oOtcc9jqUrYUC DJQUNSrR4glxj6FYLk8NvMXlLqxWY+jH4WldOY+dLINUnvI0FYqqwpCYdvmzGE+X9aOxXsJ5IIsV Kd2HnASzwtSML5kM2+6VDMkGk18YM3aZ/Qz8zZBIcgudtxB7Etu3AyrVZWVWVHZ2V3sAuF+pJsKZ y1BWPsFsz3BTCE67NSz5S8u8IBr16b99/1b7SqqxVrWn5ZFtwPaqDdSzuRYaMhe55PMmReoo3/3t lup8Kd8SMHYJGkulTRKmwTaB1x2UbXOtrAFEkEkXRpYKBpAx8ogqAGWLc1I/OTr+IqzaneRQaz46 hrG5LiFp0RzBA12Jx2aWMxh+Es2+l0N5FO0wchrQJTpD3J2hcxgx4xDLK4olklBp8ZsZdshavVBS cWY/Sc5lrfqzY3GESBG2MWXANm6xB+kOVInVwxZ5VOf07NYS+rnptT0/6qvnhVoH5Wc5wBeVsw9k Z4/zDM1mqZ2sIrsdxaiZMb19edbO/gsVksxVir47F6bRE5wMQmKnZVMfJVviNFxE4QXp4UFWZcHk N8emdyZ1WdXSJp/N7w3B+zhRJ3XOEAQk9BlcABwpvsSlXRYw9icnhOJC4sqmdWyYOcFnw0DS24p5 NMzKAU9TIehjl3Gzuy7+LCJsLD2GVnEaQAzVKaWGw+kfZNN7gosEpCbTcRwMhHTqXuerBFoKGd9A 7uoJAp+nKnPSKFkZMFIw8BoGPU3kU8g7Ykb1wUHTQqei2uSsbI0po+pw1JaBzanyniJAVERJYtDe 66adbi36XUWg20vC9H+r0uhw3S8xfGEKpRJ9ey4MSIg+9ydX2csl87aVORtuZevKH9ziYUnElfkv 9aFUV6dlt15l5fgXkc/hQoauuxixWEWeJOUJf1RSaMTNdFrY9lGmzEzW6LLWzVv/XlK0Cu8g176s zcd9EMr/wQk7+4GuylHDzmafZJIoPIB9T4uAR3oR9P2UGVCtfVOCfLoCcKXIdEp0Wt2ONDBwsuWT oLSqLJf8LVwacen/5SQFKsjolbRHICXer2gR0aEeNTxDEVWn4Wx7EXiK+F8UIZ5WYQIfJfToI8XO 8Q2uCeWwut3SZFhHtX/GJ3oh7YbOHJPrRNoaKbLSPQjMkUt1FFCjLQ9j6yIA9ptii1Qwx8wijU7D 5kWJ0vLOtBMpH+GEUE60BXe1r/sSS3LGuwApOfgbjl46vwGPRJfkUoyg5ko6qLUzKPDQkTZEAYFR 5G6SFqIsMthlytliRa+LDpkSRNyi3o32zA2IBgxrVWiA4zJtGp9FWnlfHELcQqAjuEazZ/nXI3Mf bkM0NUjoea+IuDCU1ZiQ45r15WVSBy10k+cYat6Y+Yosutxh5SP6IpLRPF+fg1ixz4/580U+O/f8 GjrelaWgRgnzF1evEph9iOT08Os7dsCzLU5FHPjIz0glZHjIhV3O5Hir+ICu7XVpLsFzwHstNRjr ir7LW+5vApDTg5NL2OJodzD+SRt9tF66k3k4c6KL3ppoiViPSlSUSBmveD/NTlob+Ai4YlhnAhQ+ tc0qJRQ1U5CO+tS51EPIZBwXipu6e5RYx0XKK/N7fOrOnP6BSKmb04j3z3QxsHoCacqRtIkOnovh t/9CMjRXYk29abK2lwcQW6BU1mzIw8fwyIedLXLQVQB65YuZBhRl+Fnvbj3P2lRIex1mUgtLGcac OvawESLvTwnoh4nIIaczaaJi2jY8fwqOy3kOfQ5s7ZlPHiq64EkT2TYMwiwoOOFOk/goHnZ+/8XP xU6ByBrLthkWO9rYLlUeC26BTzUmAjSUsITd8woxxEz67mmJzN3hAU231L4rKqtZtLLAExGHDeOV 0x5wP2RJFy8eTYme/6C6FY3j3a3uvpJTmCBvnqn21HI6qRwGihOcCQMmGTEDZOkbmPNZ2uVQ+yyf nPR0IgVexQgpcTPfvCY3SO3oUibfAvu68ZHufd9w7hgvo3PSGWcQCqVw6Q+OJSOb15Q+8AEvqD8a mQQ/0AcNwgp3Bq7nwCt61Ln2vI8rbCYEm+2oOlvvmIygFr2593RQ5oqb7CjZm+SPoAnF5evJATJd TSdLhSvck2UHqPpXnAWWSovDTQnKHsevCE5+K3tUB14uRQCdZFaK/XA/Qo3xc+DVY94de9Z212Dn wLSgdCInzSDyVw0j1ZZTq8L95C+ZFQPCOay5VaMKvH48flzDO+ZRR5QWM+WLdCiVhPcnhT6ldnqu REIskJj5bIA+kEC2ykiG24XcsA4becrmRhIXDSF8TI3rOpXPQOvmblbtDVOnE+x1Cufq3rrMFZgX qNXf2xdUH+iUoqIgr/QtETgRIMnVFTzU94W42Vuma/BhAedmaG2XLsNoYLOkqKZu7Z63ghM+wP4I uX8O5s+B71TfJhZYL9GjDRz1DNRNUGvUOmGRr9KUMrY279khV6KFzlzZx5EtkpanBUp8b8eCL1/d btNytr6q3LIwmh3PKVR+ecIqpca8bHb5fmVMA7iuOHLw7pplsxuKCrFlalZlhgm/hB56QYO1EP3c T8Bmr2IUmNg3cF10OSLFMm+oxfoY+Xr1NUEUxiLPs9iNWj3TeQ4pVzMGcMtwQdRkYGhY26ABiaBk yr6G37inHo2FcNw01Xixki6WBnkprwxhSSSYpQFeIW/YyNozVYpohA90wBaExJ8PmSQO/aD69HwL ptKGH9VPqfe9J10LRyWhK3Zk4avHRevrXjtpO7+Ri8BLhOOZNha9MNF52AyK7e2to409wbW0wiY6 kGmWsCssZTZJhKsHoM/6FFFiZpBpeINpkIT12MpE9HwuMfqA4L8CWIjefHAPZk0e4u8yRgCXxbV/ g1XN85ITkG2B1PP9SpiAN2fNPAssbCFB8vO+umtLz/Y1boyNIKTzN6OU3vlTZP9B4oc7RU4J9S6P Y+t8UpRRN5FCC5MQu5s2SctzuLolcUOjW88M61MKMcgG73EX1/xoW3OUssil9C32nlqSPZseKmk1 5ryR4kWrGl6VFFkej/DVMnjvOnt20GyzHAV6SYSKIv8JmNs7HhPeKbqKL0/ImNCcmwRU+49LIP+d 9U/aAKGGZZTpAaqwrIbJUAoKSB3JKwMVxuBExsanzGZ1XJqOKOwuGfrZWQuTm5mdvNsZhxlBqMLo fI2bk4uy3yaBOgkhr3AihPWjubWV3jR6TnXOVj6PQ38LCvDw0f1ZMPPi+0nHb6dlSaxwX4yw01yx Ru4kRBvK8nnJ1tJ6vnHRUu3xus2GyEouwmyQ1cJsZIYtJA4Tnc41Bgh6/Zo+G4NJ92rRr7pAjVFb HdJ08a5YUK2oUuGe+rw/HFdgF99CqCW6gH9ZxZlRwGcxbZwTxXPfKlEdWUQ7MFKFvzm8LE6SO+sj BL1b7FbhsXuRq86278Ld0oC6iZgNjGS1AK/mpc1hyvBP3k8DWIqjZ//4ALT6RTVy4WOgeb3U39bx CF8EpPSsiL9ArDDq2k0K2Ylv/5OV88xA+R9zgg7tiiVXpkdLSER/eziZdWSWJq8gYjWsvRI0mlL8 EtclYiBUCKCmZ+kBp8BJVM8wZEUBa+59jyXoQAByFRBmgY8F18XXgwV3pxITlbT6lg0LXJOh7102 gU3HMWfEXes3k3WSYFzeJChKBEjLPwfgBkLl6eaPlbc8l2qWupPMkMsLaQI7c0Gevt7UX6y/3YUy PyfVaKGzw/hzU2jA55rWmBYLnE9DyK313CB2dpyXxdsXhuvnX0v7TEozrnUsaD4bvy8HiXYyxIem YY3gnyAq9CGqntF/1er+YS+UokH5MTOL8t9wC0PG5PcZCi+T5U8y4xz/BBqlLNzOH5C7HkI1BP5A oBYT0bjDBIdyIuOARgbwnGaqAIPpbTmLhyTBwynwH2DaJUXb4hgfNNBsknSMNdJO4FOwkOMNbnvS 1o6QGrmVRdP8R4RvF5QkvS/IATzsOaLMUL8m8RV6PjoB5zhg0Ahebjd7C8E0prrmTe+ECLUkDxgA TxSeohd8lKwvmGkv3OSDSb/X7sVzsP6g6426Ei7WAvFjE8Aa+E971qrhe6tTW5fv6noWoeMAZyyJ pRnT9CGZFuguv0UFld6xGarsPaDgBYkowtFkgpvFhGXpxTGxBeqlVm3AheV8R584jdAG6fXca3Tr 0yHLiy2jD2M4Auu9U/2JMScgy6dAPD5NlIiPGEelo3XLY2un7RT/meGhEZxs+qlQR39tRXumztNF Kwpp+wy27NuBYxlijzkTs2lmoLGgf8lK2McqMoVYuDWsOwgNk2UgQ/4Hg/uKcbs4ULO31QeURhi6 5l4WcPSjFXMG5sb/viSpXyoLGai1VWOlOoxXN8ZY7c4bTkOIvlE8lrxDpePs/I18zG+t+/go3YJ6 BLLmN8n+lcycBOQztuxgbFUSgNq5bLbSUilczcLwQjYUEJT5NLowSNjqLmKRoT3Y6kGKbO6BkakW PWy8E6ruJWlwPJx9s9iXA78NcvlSQXCM/ptn43hPIkMmcNxT14f/0IDvesZDl9OttFbYJ4PVmgVu AwikMil1fMN7/p/qztk+F+tWvzKB1qnLrTZx25dh+Gk33Wj5gOGjZvi+nAy6pHU4tYpxMXI9BcH3 /QFZTJ72SR12lRKsG+PerhFXq/xxn5wUcqTbu4VmLpRRLPsqfb1Bqi/M/rHlrPdFPrbK+xYizJEN i1qtk7c7/yYxgLU2mWRpUk+5M2SPbMmhSvXECGwfStae911NnALb4E0VR5AvO8BvrK8WzPkJibw8 Tf22u4lyQwWbVh2KQfNof8WK486qrMoCxYkuCovE2tJJ6Ios+2eUu7xewH5rde9WtWPZKS+FEGjD do2zVAikWhvRBuvHjgaDG8mU2CzuFGkcOgZ5u5j7RUYs8KsbOIqdy6RS/R6oLfmtmoUMnjmFHhoz hRzlOPWlv2m+z7tYXQlflVDy/6hFuRSXwuIMi3/M2Q70F8JrtBWkLjcSXlLlmJEcWIsdEzCTFlnL d23pfVLMup3yAILCon9v9dh7SpQUCiFKEdlCBiEfXqJX3bOmjXOOuWAoTyRxm+kRFxTstNsmUNkE pQ/hTojmQlj/ybEkuwHtWUQuZ+xUYlaMCFqfOSSf55rAJv9v2G+a1WzVJ7Hx8LqRei5JfW+zM/wr 1RUozaR4c06OpgpoZM767xy9XWVAq5iwoavHgSIYmNLd9BLI9ZdB44wjO4b9fBfhuthXMaHDktCG Lz0vl7OAC3qABkp0KpA2e7fGgPwiMcZUYYdeVRVE/nhxkve1LAxi9PRyGxVAvgnRio6SQj/1QqhE 5EJYpsmFtQyjYGuBsJmHKGBFLL0ZsthIxVCviwSGNX5XA0KgPZEUPquOptNKqm5rA9J4pRst3kZ9 zRpobiAHPzTrNwNYyMfWN4drMopWZbNoVnbASIJPOSvjI/BAMnOlWRQoNK2ujOdR2y582+GVUnlT 6FrNb5u7o+nLh+nWkj4iGixqlyymsaU1diGysxFvfCJrMEDPjzhCx2mbnSSzPWWtu2kF8k5C2F08 Ee2EEdJCj9SlDUgn7Cfa78IXT+8Ww5zsPZJXOAWsLUXwUClkGaSWIRtOFXU4l4VSNS1N6wlruYJK 1QxW9wUrp5HfFhqx1bvs/JGrRwJkBH3kUn04r484/kQvpeb9zVWlGucqJAjLeG4nJGp4EkXFsMTS yfgKprHWRfL+hXyZhbiY/akpO/hHhRCCkLzswxocVN0hNq+0d3BCA8adJorS2LaSHJ9Wkdhe1vKI uAA0kQypkAkaDlHVGHE+O1aaJg8A6sVw24f8Wx6YS4aWwzeIFl+AdGCUr7KTFJlkmbdiD+dVZFuc gzqA0pksviMJaG53tDeusEfOtp248GO9eIqrV/3p/NyRqrK8Sw8Of/8PRElozaPNSEulASuMO+N8 j96FYVf6g3Z6gYGHHCdntlvOkYSea7MkViyi1YoqDZHJz2PieddOYq5EkQwzdYn6Z3p45dkxIwyK YsQKzLtPiTqTltHT3q1A0ytSjbW1BN5GdwHzXl9Uq30/2M9yic4hI1vt85E17qtbDDZ+I5HHa17m WZWYZmoNV1P5JoGmA3XtSgB/TnHcMOo8KCbwq1aMZyZfXk+xn6mwjX2TKx8fnmw+AbfMi9YRI3SL 67dxHJV8s/53yBDjRCbMfc4RGDSb7RPIT1+7STnE2EMs2N+g1GU0/0RPgdPaMH4ZPYonyhszTt8D rvFRTQJt3gHsFwHGimzME5vwG8Pvem4vHRoDRYypBBuRL9VBz+ZAwZTJgf8nfHODXQeY4XssGKJ9 mIjV8TXKcGj3kNh+WuZkyz5PXP8dQdV3ZBXgaGQ9lsruzCwK/mn47IHI31VlrUSCenK8akTll+AI wMAyV7st+QqSragEpNqMFzttz7NH9FK1o8kY2896AoB+BRKa1Ib7t+HrnwZsER1g73rHo7f5tA6D gmpCWCEZOQ0ZpduF8dhVGsGPwxf6cUA6wmXeZD7O9aut/qT6kaydwgC6y4kHqQ43TfKsm+CSiXmJ AP5u6jiVJV42TDo2VEseOocToqilSbwxZEYsJT3c2n/IojYu38V6q1JSoJ9wv6/TbWzw7scmO4Ss sBvX3jJozT8dV9OXhpheky7tytnyZHC7NVduMHhnd5VucqaXkMg4D6BB+HP+Nj5oLJzlo6NGkvnU ATc4mb+Uupe4V0HXVEN/pj2lT28FyJjNnDf7hv6CR/pwkwBTnN84rnuzXkMPMBZrhorfHwRCuk+8 t/1iHSUL/gmJwORd47HKpYO3hElCqb8UiRZs9lqZ38Gab36r/+qQnfbiLgX+WIEKEAJxbgMgGCDz pTpYm895gryHo7nrHb8vKYrVP4EG9zjeHdQ1Npskda5Yqjb1VsOwIkUlwRG4VaEoBGCrBB0eBDyB SUSIB1ZmGXDBBepFPPY8Zf3y+uQbNWmEoRtBK93PAvEpUaq+4csx4PRReDgtPIAKv3Qmq9P86kL3 v8SNoqUvKkwaZ/fgBBDAjl9d51bwizCBKDVfqIn7aHUiBVmIf03zDSa2vPnUdU6vEgcdyKved9tc WgC60dWldQt2A9ZhwltoQCLozPI2+V201x4pQM8JpzEfgRmXO2iOH7QUvswaP2/0yl80Wy0s98hZ gJC3jjwa/Blws3jmtYK3ChAL0YbWzB3RIRhKOr4x1YNkefD3jc2DcSUrOPGblLlTsJIXkwttYJCR fnxhJalnqLZ4RRGG5mEZGFXOm72xDaC4ewrxyWH3R/MQytCjcAAvHJq5faoAzvpD4xPMt1VDmqXs JtnApUjKkyva5MsWqQHP06yzCSR3/4L0QsulnyFOm31LKV+9Fm6cjog21eonomI0zk58Q2iNuobA P6MrtXoHD1zPk5qUx3RJ682agZAfJU6E8r0cl8ftwgPZj9Rk1mKkIVAFdAXxHFLzPKyCvv71Wd7o cvpawbML9W8gET+SAj73Youd7Jgn/XqP3YeSTU5+6mkm8rUmpZhXFRflyfnwpl4MCs8t8IYU/l4+ dtu/DTFb4P8nQC4hSMJDLAVcPn8sch7xn4HuN47pFrtu/zkG+5HO7vfNw95irk1ulmXpjvXu49cF Tqvsn4H9mNDF7qLLAEEusI1PfGyHWJvVvAOscra/GatxPacUlWhUM6uxSS2hjprgUV4S3ftaLWA/ FEbStAoKdj88fEGMCMDWMOjQZH5IjaWblQzY5Q2RXlFQczfmqcvWQSwBSfauL98X4x34XUA+X4Z6 r1w5Eu0Go9SCM8KVHqsurSdymLO9CWsNMdJHF/eaav7JkbErBarwTegzsW2H954GDVogGph8HwP5 4tDRASMEP0B8d2rjR3bD2lJcD30vtTsfYcRWAKciSH5IEoZEWJBIR0dah6z9x8egsbVVP1qY47rD wQvSpOObUB9uyc3+EFJBNh5dUIAiNwoOY19k1Tn1m/SEETpXYvR76Xk4ihyM1Ga741PvA4qMR/pg REJ3BKXqGZ/5Lr4ecfUgRuYmdlblNonU+leAd5U562ViF4qBB7VBv/2bqbbsZAVqPgex3utPBFMA 3PkG7U1TJEvJyK0xPRtNan9MKRUQiaAZpwF4dSw9zGfIWv0ef7b+Apn8Jsp5uAq+mAuk4k3x6qj1 VeNQWxf00/jYvU9c2yws+IGVsUas/8dWWTcwzBzD5Cn2WgmO51bLcblvWzEOAxpJaHYwxsi6QSJb 97AKQs4ML2S3RmRS5jo5oKIy3R01uTmnUmNIhQyiYL/cJzo+nMlijnR4GLPBKxJAkL8pBeTPjNn9 AxGIVq8IGi2yVwkXuMUBbSm9qC6oG0vvL2sBtXcVTAyKehEITve0+LDLcwxqA3I98FGLGuAWflhi 6eUoPFXwCxLKNj3WZMYoUJH4MyE80QMxFCWzbXkZbcu2lPHJTq9zwUbp9z26MwaS1QskZDvwR+AF Hs3DcZXOJDrH80FiKfx46OjPBLbyzOOOtLott0hnCguN7Y3uqJN2z9/ZfpHPBhovB98Tj2GMA2vx NQKBq5uO8L7Uw/MOEJoBbpUPnyN/0e3LIl29aXtmv1RX8L+oaGoZ/91aHX3O8b5mRGjLm2k4CTZu ti2TIFQVDXPHuRrp9TyYGkcGTmRyvvNEuQTBcNK4aT6rm0LW80bETAHhkRuhkRa3dhBS03lV+/dr zhEwUDnJb4Uv77B+p9xdndedmT77yRpVgVNZP9oP64266hU23K0yx0wEUi3ToHqFCjE4kJfzEeVi WThGcwEtOTDjzCl8d6zoll3Cs4p3vJVVS2UKDcL3ZQZlRpozXEDMcZRf36dYA8KFnLGBhQdLQY+q SfMn8JAglZ+a1NrNk29t7vDDIAXcLCYP3JOPcXr5BhueWbpUTTHUW280/1ketydSotwaRR+ktUee i2vv9zvNxsihmLjqW26vkjRpp7puJlHgKQq9nYhFZhjOrGo/sZ3LKxAiCc23BouvSbc839Ey06Vj f/OU9014/6XjEnZw8DsY9L44KluUdTGsByqt/A/3ABnUATsm3eh84XwT0yXFJtuICj+0IEw+0q14 QXzZ7uipV8cKgQYcTMTgTP7cp+d4Zia908ndXKNPNcRh3temLTMIMLKwhd03oFQHnSEFR2NUbUWL 9anIQHtmqOZhyASKjxeBmSOCHDv5oGRcHESXh86pG80miKfmU8eiYm7IOQli6RSWtaHOSwY6zMMS IjZdpogQ/xzkkFqnmLDZEfAFk+dzrui3hl8G/f82WWp5WpV8dafbbzkuS6lhxrALcVfAVSxPQiiM 0ELI5pHzTGfPsRZifuAGJJg75W4tXV/QDnZP0Vhonjf5MUlW/YuIO1XMsVEDeK3cx0cbJ9yVUucH KQhNUdpZgyTtAkTzmh+UKpWTJ1Po3xWmiT60y2R3e/YDwFwgojRVHkm8ocbYpaENHUJ9PwMxo5pl UjFr0+gKT5bJeUce8AcxDzypbdRx9GsSQkqKio1fbnUQYkS3vVDYGktEqkVzk1DkptmQHGSE427d GuKu3GZ36Yi/Sz/GnG/KHStGhT/7j2WgVGo1CEQaERo9lHQrApmWWdVJiS/6s/3KCP+7LVtNG7UM HggspIIsNPkV01TS5zdmRVjhnQiy8xCsjstSnBaVVBcPBh2eFdvC8/CX0aMG8om+uuGzgDSYfRPz Gn+ndJi+iuWAw0+afjZvU9fEcHVMJ+ZZ4Kc8t7Ug+F172Pveg17YzmaCm2hKEcz4iehKRqsZVeT0 kA4oQ2H2cfh0lLR5frBtZ1d4bQd/EmN0OxQV7qIvSfrUyVuyRn2kQp7gMRv2P3mfV8RqESqINBzO 2OU6ZCebfO572Fl9qbePR2HQVtrsSwnYg5S3/OnxypOv9WN5gcbB16O54j6A7z7I5z/dDSViMRBU dlTexHUA//BYKj2JlSifzd9u0jb7rhtsax+DE0P7bBV+eYElihv3O5JAq3akFK+PzPrfVmd0Tk26 tZMsKbYi2XKsb5NVEyyegNdcibQb4p/beUhaziAxMvafiHubxTGTtvVwPCWrDsazDPogTIQSYfTI fsMSVxUhotUpzz9aVNdd/L9MHDNajsIIlC5fodOYF2J1xtAcmc3mZyWn5alu4nxkO6FUWPN1eyJE EhfKOliUSQ8Hhv0O7Gw6/uUzvnDViLgHZHZzmDEo/21LPHXLJ3nOO9e72MWEd4V/49mz118LIBqy uOwVVtlA6Ccyc89b3BaJoXMySaZKOTSv/583vJXgvq20f81R1iHpCUCrybYD+V6fBXdojZ1IxQCl EdRQizIFr9uTgUqbUnDgMh3WaXYY/qIBfQs9bwLsmmMCjtGbRKcP0tZv7Kooc6UCHJ9auLz98JxH 7WdKNrgiRP0cLqdQUU5o9pS7f+LIFi0en8wIShfotEJNJnqdNLiDJRQLBM2zsbHkmAuW17XDib6o m+jvTGb4H+/nL3tZKXvWpWmTFPhkgsoMha2rhlkXHp7C/VVS+t4AkGHLGOX4xpc1Q9/ADIydJrHO oLxwAhMbQl1xVxv+yJ44GkPiUNPOyz4AOF0Xqi6S5bIPislGulFSaQ3F9cpFIpZAQOWdBITraZXh m2BeIg4wD7RwMWI58Lt7XPL9DJn2jBqcj5blM7RJxCTpdKEN6/DmA237UBA3eVArZM/jZsCtmr7U v1VfAY/aVLgwgrHhZpZPmNMLfbro7OPfzaI7R6ZIRIEzzuIZkfXvTGRWMc07z0js1tfGn2c/9AtX ghhNvZAF1HSBVR6nc9dMjxO03IsmyCMJBNwXHH1l8U0cBDjQ/BSaJMOg0fwGR6eb1Q7piaiWiRRw 3OJyqY6qUcmM7nib1AGxS1o6xBpTaIwOqtcI4k1D4esRffv168c0ECCeYw83U9WFJGwxjSbt+boZ 2fCGnnBw/vPjtTwqHH3cqPpwbdUIs/stjeHGU8RMUgyoore9tMi1wxwcyFu++7WO/0mKEDMOhtqo cqWp8lWZyiegQSm6hgGzUh6uJsQ4JXca2tcOICl2Y2ODx/CPOPpEli7tQ0H3+Rk62gZoGoCG7geC HDglIleQp8uYEMMinJsogKwgnZL0gEb3tPj54azUo6ekNTuRryNcwIQ/bSJo6OhYsniXQg+NVt8O bBRSW+cL2UKBMTTZveTUYXhgNr1qOlErpsN49Al48vvrPcaBYjovd8T2r0jCvtAFBWBsoN+c+dVk DgBYt5o5pKHjKRJXkSlGfGoy06tXPs3HjcJz8RC0vr3ZgRFGKTgESbR1bQXLPcYJNDtmrQrmsgJc ybQIMuY/2TxXb9LMsU9KU5fvDZaxi8QvUOO1E1CgMNdgxuD73ncbnM29gi+q8nYP+66w31z7A7vY Gqi8p/dAyyn8VtJe4tPYDQjuPE5OO+nPXoIe/FfOz7jPvY8ij3NpIDFp/9HZ2TslLEkiWb+ad2NI I6Gy8NMf4FvecClFxJGzJejpn9K9tCafidtdKWwWBjffg/XmEr939HibZsF6rcwcGTPuuTIYirV8 OWibicuPfA4rr0yWp/3L58ZLHWR9ZUsW5ciXw3eHyai4HcD1io9VgC9ryvAndddRBBITmJDbWPUg Hww1KTSULRGk3TLB9gMpOSCFVSVJHAIIsIRJ88MuPoNhCPybqCaxsXVkRE/e2R1L+5xj+fJdtZIG U0SkBn289hnfjTds4wvE70/QFjlqi7BHMAuidQCUhtKYYV3LYo290hGDUlGFt2zo2MH3rPLlSJji gGZZOWpPWLfCHLvpPxhNSC71bdICFKEH7aF2SkXzIdjhksjuB6y72zi73j67qQd9hI49Jp3tNJ4Z npJaolxZym56fKnxmwBDyJD2UKyRW0TyEl1HseRXWcKh9okBm5tHkZ91AJbiVDvkD42H+sUNLjPB qEJiT4juakw8a36lLnrFTWivx3HfE3ahHMtODR2AEXSoPqcYxy6nf/DRC4LWP4TFLqUOcn586XcX 3+AA+G8kpx4EAMxTlhV1cILbevYb6aq8o+94zrrKtZioiVDpCJqBpPZjKf8M9kGUAg0rf3UtIdbK N6P3QMsMkICBXPMce8gi9uAebbNgxtj40oqgnPqBwiwHio/T/Si1COB1myEeMoOAeIzuugM51Sd0 Efeil1yoXBHxDgic6hdGn6mOwDcYvtUvk2O/LPO2L4UzTZLgh/Y7i/dsM6PbHF8uYRjPohFMPnUJ dgkXV3CnNpQL5B7mzN3C0DVa5dcf7T7ELSqLmVwow7stcI3FysczwjhgN84dcT0eoDv4T0OvHOHe yNvIQqEhanJn/cziBtikcSrDvpC31bj0zG09hDvgGZJUU3BHO8xu8rDE7hRMcU49r0huSdy2ANOv Lxve3hqV5/GTrurNVfnbWmM2VAU5WpAPWDhzQiOVOoeB5O6UInzdRzzdJRheKcaj0VUG/S89+HvZ FMs0Ewij6uk3hLeQThI8MLx2ziCF7uXflcn/glm4EtKjmAnJCnoiOmeZdXOjpvOlRSTPf/tIVtpw Di7iQGxxazplCUMm1VFg/K785yaljvzeVCSSYzrav1FTGM64/Zz6Oa9mh6Tx3L47EfjaB9CdFFDJ ZGADHb8et8GELw7Imf206eAytUS0AzIwVYhUsj1Z0s04oGYerhUQmpLOs7OFrP5NmcuTuNmD9rQB 0SeHfRh71gwmtr45nKs7BE8Bs2kOa4rHJV4k2WLL+D2UTCYh4ITTLhyEN+S43BF+VM7ib0RSMqQX /K2OfxxzOei9Uw39XkBEM+42A8uR4ID6sHk04+m3giOwjBzcFz3CDfFp8iSjwNzTXF5GY0q1mQtA pvew4kaWl5idahVH8vGRhxZj/EfN5bpfK9v6KZmCe4un6fknJV3YkyC/WnLrrYSgzN6BeoydcIlR eAeu12odNh4pZE0f+C12qqMS8QoCTRmKK9suP9dgECvtLv2nkTWQh0rc7TmeB9t0KJVh9AVsidZ3 zRcive/R2ZDK/6NM5DZqMnAIS4kavUzC+9cHCLENzOFGGbCEWKd9R+uDMPJH0p9+EdhlrjRbzLvh sinX7+tISyEbwBkC+9M1md4JlZskq8Y7/rFZ0kRl6/+0lyPMZkH9Gcphwk5k+CvIZ5MBDQeCCjHN B0CPuufkbGnF/5HCJfyp5Y80WK94R4gSznYUMwB/ajHjpDEGyBMIZxHyQJ9VVMly4+mfpSxWgH+Q gHK4m7ZpPtqXY1yiVHJW4HANv834qekV5QzIjoWtxdwMJhkOgnxaheBoeHUTqOiDIEen9bti4frT zH80U6rU+60EaPHRAobbrWWMqUkZBC22+lPLydP2cKmAjXYPLlO4zwRoZU54DmQVqX38ou8CY9/q AuajRdXDabnnjSamN3GYy//w3MjRVO8dnDXDOTE7Z8xR1abnnb5ogx6CjGdKV3aUCA0gnRMIZ+Ls N/UKN7yJ9Vp142Ofz9U9/2xLlrzfHlOwOq1quNcQhfSE+mVOzYIoFgi3xZ2WQ8DvkqNUR0VmmI// Y0W9nLJxd89L5luWBHdFLi0G3elxmDCtNDPaOGCKxuiFATGv9b+iwuuyZKuebSuVX0xAR/FUeAyI uXvAFhxQec+QC2JT6g/FGjMtD+Y08h597px7aqia0f5/gYZQjDFhwI6f1rN38AHSru/b6ll57woS manKeIYyaN1lpzT/k0qFcjq6zIubT/zB0inBk3S6e3RlllV1yFFrNfv7UeZHEmz11Mau24XbQXXA /dXebM4rjYQR8zFgAmdQca8T/qgzbDv2i8g3TXtlvf3XicHQA62aJuETKG3kmiXictziNiBnxTN9 /+bRNklIe8DfXWVVEgOOAy9+f8BppVShp67tuJMYyDwMyx3wr7ld/I0slrZ0pLp0fs7wIpKZZRb7 5l8jujqHvOqdzm3atID0Tji2oQApRfc4MVnLl2lnptXV8nqcPilCuQbGeNSteP+dXrqEKg2Qx6sF CMGHJUzSKXGXIXB6LEJeHRd/wLocl8DcqGVY5CSehJJYvxRm3jIFYXKJ8C03OgrDlRw4t+D4vKmL ome0QiGg3MNNpzu8Sv63oBRwCmdkUGdTZ6Sy5ZlxpNga1ZP/cQvZ0UyMTcvf8t3fewtaunRV+Ndt AbDvf+TOh8Od8wRH+zflOBvrs5ERUTrHtbDR5wS8E8skn5n84AaAQpRnZM9nkBn+gCyTiFzxahID WbSXJq9/Itj/E0reTA9W03GSYiLKVyu8HPPbfZ2fejVQe4Jlazhq4VXRpOjBR5ErCAW+3jWISV1M OEUSWaG4rhQfXAzqdlltUxjfCpHAChmIUHbNfifgCKq3chZEEoEsMCnsUagic6kC5wa79z6Jpv4X UajzKYnMdw4pWYIyHnWoZun9qEBDsKqQnhzqXWdywbXD6oW5rUIe/aAYXapPn2PdVEQGDRRwpw0s NfWj23BsYZAznO6hv6jSizWmnTQ+YJr816kGey+aE19gOrgKTnKnR/Uz3O1KTYp3V5G+FYS0Kqxf XiUQ2fOtnZhhDV2HdP3YrLSkHU7LqtfsGGXXv6hBhj+D2KuUiBRE5LxksI/ZpkAfajhocLikzMB2 Tv1QeEc+poaPMrvz+9DNUwwYw7w6OX8YlPgwSml/UmtkGhkHsZc8WMZjgEn1CmMVDSv8RDFtWHdg eM73EscuDs498RXY2WW8v1vBAyGuLNPEWIniDWvUkC3xr8ftTmbP9aXrcam5RwZFc12CaRmGWAwM OSaguM7TH/DDUG5Pi2K2oN/c/A6Jvz36zzaKEaLHCnR7Wgl1cQHLEE22pzv8WPpZar0DSLbCf9Jw dj4iQpdQipB9WSqmYmF0d1a/HyhO1+++Q+dH8VosO57hEmtqgYfQMcXesJJoSKmC4GcumUN2jaPl /liAiuciGHvHm/I7JhzambMu/6DsCjYHE7wqHzzBT4AhR5VUlfuZLiDGgJdEHdm1qQxpdM2l+3td d0jW5uhGi47lcFJLwdqseKW9t2mO+3kAjZXbPCrhRHQ9qQBPgUUpo0sgihlVPJXds4TZiZOvRRbf HMVAhTrJslm2NxEOym/qANlzWD6l9oMZbMOkFJ+CYvxCrnSxw15aRddHELuyryRIpxPv1x29SCLt yU02vcPZ96T38rPMNp/z8CsaPwc1jO1VUWgwzNk6wKEAz3aF5ooR/TJXVXtaRdhLmdruAGU7E5XD vAzSt3HWNyENaJNbvVOmzjf5fvskBr0T89NxlzKsfEn5+PUqdmUBNVphO0jOUhqVBjo+Rbl2IyIa KPCxDgeRD439T2TEw2stlT28OG0FxtGc/WAS1IepxyQ3qGE7gE0aqNw5fNH/jshA9dl1bQveLWAX S+tPHI41jh/b841irc6x6GWYaOzOFdXXgQhES9bjaAC8F/J/kawC9iJPjZ43dG/GYnNhD27YRvqB ezc2e1TCL1tdAJI1kUkRo4xXlA56XTIseFGwNkwRnh/1BQt2zNP+9QtUGGBAgcwgukkwfVPoPgCg 4o+dlAmtivr27jJc0XP67ydFbXAtQlCUiL5yer3LuOw/MLDAkZ/CVKPeDcNdhkPUT6cxvwDEs0Xr HNi1ZrUcAnoI1gC6/T2usbKN9WQtZlNGsq9AMHLASLtVyK87e7JoV2zv/wfhWYmI2TGb6GmLtIue F/0i1Z+b5TREjDHPEtWEuabkSN4wRPQz3ZcHY2ewACrj+DZvAN+grGeY/S5jGzrSgHOZgwAh+Kj+ nERcxW9rqKmUVi3hUzscPoFGHQRhuBxQw7Mfbx+4G1vhZlgjewy3/jCPbz8SZQo5LPhkvMcUzvWA pQaRY3lM11xnE7gjBxvRK4CPeXKAzdSG4+Cg08CS1/56nUYfMvtWCNmVQR9CZjCjdCIfHh5Nlc0A d1rrdjdMSft0wjuWarLbLcbVaj0n+rEUnu2HCbIhOOq8FmCCsYKS6MnmwdmxhPchg+9GhBfw9JrI I47f5Lc+WQucAIjXA4Sk8oVJ2gfsgBI1axmq46tGuGabPbvmXs58tfIjxJq0GSJ2R7roQef8FsNC Bq7ak4jZh/YbGG9sckUH9LU6X/0XW9kojVUnfEA02mEwgDi94NFtBr6qKJ9LqKTAWZDcMvMzZXl7 LSarT0Wt4mslpeMA3nLHAl8b+fzp6gyW4OgEMlWEu3Rr8sLNEPYmbC137HWa/57Eg/+vtW3oEoeZ KXeKTEMHhXc/n9g0a8m8dfiA5TN0gpt9CYKMdAsZsJ4MYS88Tna9ZwvDqQ/MDmcWTZZZl/6gdiUz tcNbQ7+MPJX9Ceej0SO6vp1o/64RWkkVIE6wO0+whS9M9oeJU3DTEx12DrgsZ6ZjAV50z68ggbih 7gD2X2C2bireV5E8yCdC40K1SV/5Go3AHvR/zs9zWuLIe2vapTyk7zwpeI2q4beYmZSeSghLsxe0 Ewk5qyBm7BruA3PyMDoZKvrg343kcgtOILZ5sXQiklKc7+9dy8fnG8xp38mAggsAIwR/bqn0IurL 7fFPJeSpBwlpNlHN+SJw+fN1zz+yBKj1MQzGYdUfh1ss4FS57bUbe8Q7/xdXrPYNecfyLuchEWvO 3baggjgZJ1b4lS3dKBGsV2HHCGVoGkdsKcL8kc4DIEK8lsgejZeLUnkuamMPEZggU+N6LCofc96j PMj89sFeQw30Wo3eBTY/dpr5NFJ6BLwTSu2Va+7P4qUxzL+4eBAnc6wQEC7Iumi7go+sGptQKDh5 NsHHyEQWGoxjTqWlGKwQnGyTzggB4I/tI793wsdzmpe4g0ahJQ7WlzwDMtsZz18Vz1EP9YYndRT9 1KfV4NLvlqgceNZy2JfKmfZjlth1w5Y58JKrpNqrVMJXY2Elh1gfrWsK5QZPzZ7GStlQA3zcEdyi o8eXTEtvdwGfJiSt5aNyNli3Sd/3Iso5Er4RNK9xTkcFVqAmhsYlPPSoDZTGjWppwnmF3o7Q0f8U 1A9QnzfzfIxszdU4pbwsqy0+WU45l1wYJoYzSE1U3Rbzc9usMJBrNJjFg8yvbnzbHh5KVe7ZuMyv 25mygSdDati8VABbEH1i2A+kgOp72aVGVPgipNBKkW7aLAemR623XsjJ0sCNv1sItNhpUV9qscJG 1F6+5h7Outdcpccwg4IwyUJLFj9R2bOYYf2JzGQOHJIJYZUcsT9mbhhugcx34TQ4nbPkLkaMSgSk S9TUvXafqf8OTxiTEUOC0Osh4vpkYoVME521rN9RfGZ0lpC2xfvutQ87WJdd6QAawSmN/V/sehXH DgS9oanSdJaUlfAbbMOqaPs+pWiDrkhfV6a3Gg9twVw8TCCzIRa8eb1KiSeNgr5HJo9cLbP8brJ2 tv3ZoIOHXdTITMBwgvH2u4W9qSfW9W3uVBVRBOvxcMwYHugIYpHiqzzXMCZlsfghqraLiK/XgP83 IAe3VYY/AvQh2yfxUvslhZV3/jLP7u15UI3hFJXWmhndgM+U90GzPlSvdkqVCJ/+5LAZfXR7+nf4 lGvQGpkN1kLYXoOtxSgPQEC3xFgKA0v7qCp8nvefG2gcsIhCtu91mwmanT5bP5k3+gubczCOZ8VA tCjh0JTvNBNekXgcjE5anhTEQBagvufzRbw0RWslositOslQhd1PzzFN1dkQ/mC5j0WnDzuSrgbS dUFLSofPuJOQOIBM5T1l4anSjk0WcSjJKdFkMqx/UuLKL0vOgfEGbb63bz2bnySLMZCDGgyGrRwx +r0CHMvvfZipmTs6r4KwhGEUJBLDy9HK7yIrLg5SZmGtqS5MHVDQk4Dq8jCOvCMr5WqPp68jUWfb EwnhNKSh5uyYlpKr0kcffWclzwYImWy7QNRiWcp51DmSR9l+UaQBZNbf5R/D6tqmx8qHcORrIMMZ XOvbV0kWhXue9dS4kZHcvLBxR8Kz17QmUddVQjy7RCmb5PpZEG9AZ6i3zf97vMIsediPCbZA0Osc 4GYitlTY8JpBCgC5YTQtzVdzHANNk2I0ilW9kz2f6Gtcp3mFqCjNlh2BQqqBw9mDbVRAI9IS6QnA YRzhYg0i/f4XFsVe93KnWwNaC9c1wTO0IBBb2NOl4hwdmpYMeYJpD9TH/Pj7kPfoU7WyeUQKj+XS q0jPmv7uNj7s7S56Tm7gocD5Vodn13cqMAdBG2CxYk9PaCYROHx2nq+iVyViU7+mUzu4CgOeazD1 O1Oaa3AXhLKBfUnEO6TzwwnYjOwZayKCSRSEmTEzo7Prjt9N/nSW4EOkEOg2gViuVxyR6Ag5rqpj UlPWV2545rhdhNNx/ufEMf+7nzW+GKZyenwgSzxZyMHxHFXIYROvDFdAZgb5cdsi2reFptgDXbw/ WqMCuIoBe9+idyBEfArQkfFh0eqwkuvvFiAVJoiGBK8MQxP5ajeiEoEj8ekLOT7pDHkUx1AMBEJh LIQJLVkx6iPG1QQheNAqmwfBoDEWRqZt2kX7UKlwFhSUoCxu5/TxSv0h7k0dRih8zQixuuPrLiwj W4ZDAgKl5gS8m7K+uBFNl84w0TI29O7h/2Dm/dmtuOyalU57GRKSfluraittklu48lWxhinCC+Y3 x7igZVmU7JJ+I/eR7C6SFzy7+j04NkYYJ4pT8denoDHbbBifssqfqrWoM9wbTiE/m5ALc22zNd1m of+JOBUgcbHphwJxEbH3tFjeemunT13PGFkQ3s4HA9v9+OpkvxbC+iyJRdELlWoLhsr6TuyXTON+ Ze9E7Qee1QB5vJPq+U5FJ0bPu4LXIhDaEdWr3j24IGd1FyAZQ9pS7L0yLec3EFuP4G2WAgQ/jifo T7npq/pwiwaBEDGChJnjRMeDZNBbI6Dpn+W7s60Zbf84IlB+SHV2kRXU42DtYzWoqTRSaT0N7gT4 hB94rQOBWoCeiWxbeWddhVV58ioXK2fchf5X6ygInqznJpmJDYbWHm52GFIdfYtcOGZPqh/iMGoo BteoLSWxIOXc33wtxOUZcUGmy6TUgZC69PuvFrIbq58xqWjUq1a17W61Aod904dDe1bG1jZyeJcp VLK/Nh+LJ806ePAVuhGG4q8fyA9qkJnJsfi3YVPSF0GQeRX9WazG2UgOBfu4znXHnEsFwj+TCEAv 5QB87BvRvvZMXLZgxLH2al5gGtSDzWYyopeQzHovp7epJ/Xyr40Rq6XXi3ap+b3RgYcEdtKT1gD2 cVmE3MMqzTYFjULpXIJi/rhEyTCTJIR4JRgWilCP9qYp+bNbvMLClTqXjDRY10yy9VKNYnHyWkx8 v8Fqc/v4ybCtT76eTTkxRv22QgGURP0rjHoeN+qBWZmFNuftdUc50fn6OB4VbDeZ8HADQzjW1eK1 5U1cao109BwJonsTrr9JZvumFB9pp1dvyQJumOqddYYkw3hf18pmfAtuk5DgmiWBrGY1UJXhK4I5 y0vyRXDEgJZe8FDzt77DKaS5KREV1NRXp54EqIC24JnYKbsH82ShC3LHgHwsxmWHKap+g6nxvZGe ekc7XWjLtyfq1MTZz2qrtj8ryfcVCMtVk2UI9vVxOp3JO+PwXjOKeXkJWsKkVgQeUt07It7awQ5N 8jqvoHKaCsdRD9+O46c99UB12l8yK694JIDiQ9K465bPtlaIslQ8Ny6LVSWIk8ZrpDzvwBv3FhWl 0SON4myL//C7fMGdbxu9eK0lObQ2MmpOQVMYOcoCHrLesEqezijk1zbhZb9jk4SOIXA0gHb2tJdf MP+eoRuN70yklbdOOeKNmqQk4jqJ0YcAb9llLpcVwc31z3En2/PK/HOdD6h/PpqrF1CBJUEEh+5a wghYylOII8RVcPshO5EszO2MJNm+44AHWli3HKgwkheihCi/tNCmHOv2OkgiE4z6//CfYrH1HKHL 0Wi+TWT9ketK0OZ/E2J2ftzQzyMnRyIDpJW0tSvtYoSTeQmTloag9/aoAfk1qtg4TjBnzBfKyiit /itFzUdhRV7Wgnw9xgF8Amw9T3IdJ/0Y2zU4EkBhsYAf1dtCRIdEmthloyRxLZeJGXqALoz8Jlw/ +ADqLTkZ/R59XKPF6Z32XEtsE1/3jRYt6xUSJ0gKPYRmdD+l8rZcyh0QfkrVtGZTJTqDra0m2u1F n+SnW73Jg7AuGIj5nzLjT1tLyCLCvL/mq0FYYLbe+GJiBqvupmWXlWAWf3TX+IxTPMWqWudp6tET t1Ny934Uzgmczw3h+aD92tLUCmJSaMfyxaIWXnVEQxIPG3CjgJV92cYJnpeEoKjNjnNnnEZXmVJ+ 8fuDkPhsfoXgMnij0tN96nSSu8SaX5aGLsEWyW+pGtbSaZw+x/S+La+LKtFvzhxIhVGptGzzMrWI jiyQkbo30OLXNabb72vGz88D1Pn1tRY1hraOgPeuw+O1NyAq3GZHFyW6GrUgjvWEQ7+UcqrqXD6i oCllTkQJnLP6wx46nGiM0pFzwolorWOkTrc8DafYuSKOYX61CySuhhS1pSFnEXH9pgNZWN6VT6IA HPmaWnLN5DG/27SrtQm/Y+KB2qTpBoYE9D544HKBPxEvnJg7A7daK+6BNRAWBWoGtUT95ppNcbD/ 0mg3HsOa7JKrbd/B+JHoBSWU7vsh81uKzeEHysFDkfdG4QwL7v1X1A7zUE+q2lIWH4d7JzYDqO+u xatkyGYk67c8lcHzTXjlPttuLk5LSFsNBajQf/rC6CEBWYnQv/VRKxPNAarxjz4l2uK1jPx7SHYS 711tvIfYQ9TRCS0+lLlDFHhI2fQ0+pJAtlur7i4WaFbzXUPF2UfW2HIn0LPGLjOB+MvSnoJFnOnE YhM3j9GL6uXek2ZHij4tWeoeJ0VH+2ngvC1/NaKiBIo+hlPQAOoetK21Y2FZs1bBcMsn0dOwO4QL z45a9T112HgqyboOm4kDD9OZCmCoy7GagjyIrzGrqaH5a5vOw1nCWh4tP7b+NliMobUi8IIBtr1N Fu2PBn7+RRU3oedi65O+OYFM8xulNqYojfB7BS9QQwW0z8QLO3qh0yEgz+LElBW+tqQguqt0E7Vt BrXe4G/YkedLhEgrIes/BGEmcLYx6zcLvorIWVxJ5mcWN3kURTr9BynLKm6bLeyCCuw8x0NFcyAa 5ejVF9rTrBJNGk8X4Bcdg76ic4zQHVUN0919djcisvERgxLCFCnpm2NXLfYLannywwxaX5cM7nRT sd39Hklqt6DzUAsTQ4wWUSedBWzpdZlhfCFwgrdZxAxo/f57WNYmtE2wum5CStBCQc4W9kN7me/G cOq0uO/FChvw2RTN/Eji9/byw1dkYklR3jjarzO4zuN5nUJzWVfX3u+SBgMSuZth67/ftjzM0GV7 82JJ2khyY2IrPGMTLZr1nWJBAfJdeOrXwfsKQLSH14pqiA94huB3Te/IUvFysth8atzv7G3sWko2 5mJB9FbeyScP+gzx2IvS6VLAnAzn7yVVTjyM0xUA2ygFUTFgbyy4+NeFRfZj+IdAaUakesnnr7dm IACfmz27RuY81pBWQungojOeflHQJY/UcObzpgPx9aHIIWvYrVL+XaqK4mnKTxH/JQGBdHtF21cf HNOQbaeWxAInUm++btwQDzwUGFRL3PnWrCBmfssMy1u1Jc0UthPQENFYpxMS0FkGyGFDai1nxQ7G aDAaOxJb+nFDfXh4AfWE1MPBYYsT2Ld5lLfC1cOIbwYhWJRsPSYfWgB1gy6OLEbZ1pq3q8qIH+yi JKCqaMgwyxB7scKrU+RKjoY04WjNjRLFE8OF+T6b3oZCJwsEIUVZioZDcvPp+xC0DXJ3dfuoFBZL y9rqo5X9QY5f3bgCcHj+H94BB1HM06BdMX1Kzo3Xn/WkAvPiPUouQk0Jt1XqbMBeTpjmknyTa0b8 YpOl/lpypRcr98kAz1Dv1Lpp+JedrM2oI0sFZGHHwdUgtsDC9LoUjfl6ceLmTtAUbIZeDA0BJWs5 I6h+JJAbRO1LmIcVrMHJifcnt/UfZ9hOexCCZ/v425HDCfov0gJ+B123UhjBqQuwyNWoBMG5O8Id ddJAH5kr3ocXSDkU7x9/dYaCEqvP4UTArEUsLVRUAz/T/q06xVUoelFlIElkmqLneNj7+hdYiskM RF7+YVkfnbdfMBhOSe505WA6Ia9Brg4iY29pKc6BKaUqJYOnYIGXlQdTqOrt/arZeS1mWLVunWIj LC2fznlP9FLqvHgfbwb11DIEmOL+OPCplf/PQFZHHXiAa6Qq4+jfQD7YQEqPyeQgRQhRjNRY1YzS HvphCMjFQz+n2YOIdtkUU1cQjDiFc42c8jqHcxnJ4kAA0M4odqcjtr4c8x+vkytoumW6qluFhuO0 VpgCxxLbVxM7sn3H4Jj+YVG4OwWDT8hA+iNYdyngJbMQojWFlDYeY/TWloRmU5u2DEB7XsbzWHBM HlgQbuUHGLVPXggu4vAF/N3ixcowa0r1Kb9//V9DlnwZg45AeciGl1Jn/8TCwQRdrjCqpzKwlLoO LHy3yK/yjYyNfU6zVkyaI1NOtPXGMG9fYzoPaY5qhppHjtgJXiPq+Dmx+z+QszD/ytuFkFqJ6mho t4OMMfzMqp13yLpVTZF2+uS3Wfn2DUwyCKoTUWD5plSUBEzndg5NFBF5cqdve1df/Oq7NZb7lhzh AQmMyjvqm9OVqyyapJg3PZ2a+LMtNx+DFUfPkRmLNjLznQ7KAFg5igEtFuQOk/wI1HlolLpAZ4UL YNVBAVTBI8uQ75F1R08KAonFxQ7LZkwM99bJyZfLfu9oLMHwnQ8w47G0aLMLVW+18r18ZNS3k9EM sU/WcYs5ZZei87zCipdYWmxnnQyO+Dv/rTbGONHE4WDas+XDDgwE3hyyzfqlkoxzOLkdIiGdHEqx KDPyV4PJQXAhOEhvvgcn7WqbXeOyCBEo0hVs3AZEayJj+G1MP6M+omvpyEBJPYYDExANu1wxeEzn JGcOAdAZU9SduODrZ2dyPa/LwAlruquA6yhpb+nUPQP0CZWdKPWjVrRgj7cyc+EdahTs9ZA3dtco iPXNBmyHou5Qvuk9GjTTxuIifoiHmKXabHBVsllWm5Y8YEmLjFHuwHwpBhnPSgzcjbZ50VfPo4Hl UlbVCYTi7I//pZxDus4WoSkzDiePXKZMxOl6dclrbmmTe4buUDt86Ady8y4BkGFgSs6l6CKTLzPL eJbxAYIBDGPXEAUAqe9oVXZFD/KPdCKpY6NHIWulBhtl1/meAJ0e1mljKShtrFgXWVn4R9vY3D0Z ZHj5phoJZWYFes6Z68J8VsyMWy/w5BXdMTdBe4jax3HKt4nN1Tqz7W1LBqjfigKZ4OaLjGrQf6jG MFcTJxLLUkYryCwZH6xzMovUDLJCQESTsyRq/ERg0dBwwLv/FJPdb1pGqsUyWjE0c++JERabSqNO PN3Z4LZjBZiiprTfsHUe+DssAM1ZSIyabKAenTvny1VmgpzhzP3DysDhoBo2cU8kLc+zgCna6zwg u+iHL/eyrjisrlkz1pIaPMXYP4gEFIqJoAL6hFSck01csKvUMrsvHgHsnY3FZpXn1+TckQV4ltoZ XHYso/dJPt5m4BJDW0kzPb7XzFeBFJpl3fr3rlPtT/brz0aySOE4HAXVFcsXC4SjkOvn5MaaDGvK bXaayvASTGcl/JKSik0ASFqxaJqlPrLuJ3ciZsDjNiisDkx/ZzRblvkzf0am/wsl5AaUUi1pX3xM rwexuSSstrEo3Xz6deBuHadWbmdUj5IuV2AMTXDIvIYgTiHnhPtYtdbTYm4tHmzDM4bn/pJTT5yd a/dK7SFT6amD/x/kfSW+dhnBFZmc01BadJ4KPi5LV4g9KTiI5VLmj6cBz2QNhJHQqx/aPULjM27B stmlC66mvw88riP/8dSmdh4uQjOHv3R5nfEPJeSrsseld2IBvvWwkRLV7WMoA3m+phDVqmZojWay dJ4Un4sKy4R3z2zyaNvAIev3p/xPGwYEtn2yyIqkxjUf2j52+lfVh8j0A/J9tjLFJrK7qvgIuHz2 5WOh/WmEMwcC1OMzSSkbaa8eHoJ0I7z5D6o5L69uOD72az1bPPD1sUzVKuxeZaf9Kfuxn0Tnu4r4 UuRcg6Ip1V+Tlo7W/Aw+0STH68GXheK1/PW9G2sfE0xAInVrW66xrO5lY8pqsPRR3g1JtnK5fdDf pRWcWTJqbdHVt/61DB0Zm/zQyk8A/u2FzIoavzZ/zP7DG/2GrbbkCWVCaNGe+VIWW9aD+YPbm3K/ BswsnY8yrDnYqlMxtGq4eUcCXQUby3GfmNeawj3TVa/BHiSUJacDggvW7HKEd8wiDqKDm2aTJB/Q Nm7QLmUtDWWoFmVQiFNHkI+XCkIX6B6oEaPkrcWIQs9UemPngS7dSdoBv2g7WeN4JHl2JaQc0YJo n1lJVb1c+vPnmJi+VwreRR/yOXPO+/twSp/W0hMMPbI7tMrCZRx1yITVlYDYR8szH+JOg15aQ5OJ P/HzYdgA0/9evCBaNNXrVXIlSSuWH34BPAJMOzLE+I8z5S+fWnDpShKqXhpxxcOPeW2yYgnis3Sk t/EB8OaU2yvaLWMIyb0rqKyFr8JhrGImQLEtmdHy3tG0PlmKRW6FwNVBKIzeGtnikPE20Eid1vn7 9itc/vI1o+a4aFJ92T64JdXZE3qO7GO8yRgQ8utBHWvItMxTuMtMdcmfAoGT5wRq44lsyk1zLJpX fVkBSIH5UjQzKh7h4ffkZlVEb27cgJIO+zu201I6B8bUDAaAakS/Hsc3Q02YPmgOuIvWQHTKqNO+ de062Bw+5KS+0sU/T1nlU4QeNZCRNI61YMzCHn5423R9rI74Y7X5degBch3wQwqTXlyPXWOxB8Vw MJSAFbBM1HKW2c/N7XBBcnIkHuMD8ry8hIgr15Mzb67kMuyKK9kWGfyDhBEBUsm5CbONmjOW8Hbl SzSBgt0Iq33Qyp5liAUNoBx5/KZmUVgKli/7cfYupZZ2fiwo6UbW5lSOows1niLfkfALf83hfYlQ GXgs8UKbQEBTT03+ceKnq3yloA5nIiEpq5oqvKU4Q/nlloq3z5dITSVl41lvTe3ZOX2Eqij/ziEb rBmCA6WvGLrCZ7ZFHdVU3QgLspZCKuNlg3q7XXnnIf9oCCpa1vk0OJP1Uvy+PlwpJmt0HKn9BnJP 3bE9ipUc2fc2zP8qwjzLdnZ80h1aFJ/CRsyCfqs9okX2Quk+mq9uKMMabS6atN3dLPWDR3kav7qA Zhf6j41I57yKkij3w+1WicZYGDJgzPlBBWDVodfKwd0nFn8vqTXN0ZpgzAYZFXKuN9GMdc+b1GBN BQYeBuwPVibk+yDkSX9dUzR8VUb5gmGMx47f1XtUcf+IOpM4TQPE6BV55UY5RagxTuZwqz6ys603 nh9EDw4IGPK8CZPT0p4059Rxj8pLU3QDg7zrbv2xtqbiSiTk4Tf587gjjVcYNAizkvpHHHGePhvJ e33K/vwNhZszo69IyPzhm6jI5a8tRZ1epgY670WsjzLBf5L0vmJb2u/2d5QmGfTeALMj+Njmv9tF ptCTPgtKdEFcj61ckTPzwgV013eIlwId0kuZY5pTkYUvnzvDojTdQdZgS38JBpIXrLaNd+UMe3T/ 4S5z7Z9VDOJLVpnnKDJuM+MnAfmfD+sTxgNWpnNHbAxJo/FyiGrq4vsF2l8L0h1c98PGlJEOy/CO ZKgRFnnxr7OI0wGVBf542x7VgmWsMIt0z8b9S/Mkw2ZrylxjqpEGObHgUbQIz5fQHTbKSkoEv2n0 oIOH7yv8jMFwUELqyEF+ehCfvIbdvDd5OLfdWhW14WT0tUS6CHxqerm+AN/2xcz2zaVVE19q7btc WZZ5/nomt8AbUlotpBHDWftuc1dPVTR6I9yrUMNIPCwI74MHmJLH06n5XOWt8f12KArHKP/ah+pj izBcBYS3dzx3nyKiTAitizmbbYj6K1KbWNXLNt3u7xWjEaD/yeaDQwB8GCMpcIFLNkCSzViu+ALd jrkkka3v6oHB7RgYUSdYsmlAmqo/ntooiouhsWlMZUdR8p0fegikONKWyn3nzDRxSp8Xx921ohFr NiKkhygB4qF7zWAFRTFCgQ3bzbjCePQaqL5PW7xxOl18jaoSSDiZnO308op/hkoiKOtNj2ypugxO Mq+ZeCEN4hXyQyGqkkfpWkfB2Mv8+M03WiESpyDL4ELrTicQQogOfZC31BBx0rS6oyOlQdllOsaA TlzPLByRKwZp0ihxXmx2Z66d/VeXIlH4iV1GndRSgQQhZIeVaJcEjnIuWTprPndOMJ9ZizCnXSMi F4tyj/NA/cYKps7oMLQvGnYGe60dBs3FYtMmJw5qJxFEPD9eY+enfsCOrbVoBD5sZOp4bEe2j3mS /SfAqpetnlZrVu+B4KIz2owjB+AKlb5T4xpgn8Ke6DascYfvVBcpmGbxH98U22uLcQcVZA5d5OAz p+WZaL1edTOd+7tM4hTgzCmK1XxuAnyMH1sYUY+0eg4A6ezBPmtJdyHDO3hMvRwqfVlKzhDHw+ar PTQDAt0ZDtYStxXoXTcECrpABu9mdohSFyQgNYG9IpoSHpKF6jXGCim3GO00mVBCThskEO31GWkw hl+OwHaC3Mvs1AL5kpjJZCZGCMV7VohwP8xwtnZu9T4z3B81auVy7UeJM6hZ+IB6K4KICckv10SF grRoQ3W2S4N2Q6gIFAjZ9FNvostyOoCAUdD8OsObvN3kXGgmo+VIMe4LLYeSEiMNiAj+rZAcW1W3 aMJTFnkVsMqYh/NwvKdDi7/Is+d03v7szcqzRtLRN4Be2rxRE8z/qX8taDkpgPKULIJK4YuV+F2X 5uIRBUGueyTmjhhFUi9sf1+gTEGaZpZ04Eq4AvC7EL+mo10WKF4yb8WE2gCdzytHZPXBangYPPtY tnxFPUdMSnZh/dq2VJID0Gwr7E75fcam1tcIiECrD9vRT7/sCxOtPXbiwWNg9ktiS3qLqC5LcHwb 8J7Kjb7iyit+ZsZ0JmjRGwDMfW0z+PyPrZ7tF/PRpQ3r7sCCuk7uMktoLE0qsZJuVuGAgDMTTGA0 lFfuIrDTrPMRxtvTgFscXyHLovBssPqMizVlRzMDTjaBAl79zLKj7d1TNdjpOnRxzjPS2P2/hi38 XFZj2bfO5UBkVRK1b9wPciilQVisOTPf2ldz3Jl3sUXQuywjbCww1LLDHXJoFpDdcwJFLwL62tTD /1RRhkNcpKlkUKm1n2LKbuTnzvaZ9yz281cqpqUxOunY+VAWIk3vEPjlPkWIxSBO7R97o7Fqkn8g 1SmQ6nGALEgzeaIyVBqxhhDP8yZps+Jhy2r1p/xV8HsF9np+b1WfZjWh/QLSCGXPOTUKTgFR7LgZ Jc+GnMThl3brgJ2fQoLHjGAlUonnCUhkDpVsYMwHv+D5qgoE26gWvYbJvuzyRdhZdvRgON0Op+TG 5DAkOeqeeLXMMv2k1HuluHE7dUue4HQvfE+024zRB9FNqdIdd0KN2ZnTOXl3GLdR3LlhNVsg76EZ XvCrK2ULxoUWFvERn/mg2bRWkw2MxrDy26MlTov0G5IweQ7jr+bwhRP/DI2iJkUbH/8kMfaB0GKF VEdZ5RsRg9UW91uik/9klEW6L0qWZ/+4WqmaYKooSJzKFtjyu0Yu1e7MbzOz6VyNggVWkocP4zsy nf58rCiTjgiSTAMrtLF1Npt3c5rUTVeff996B+hyygPeq4828vTa1shQ/KHeFcmM92yFrUexW0ai ikli5+4Y77yhKVkmILg+PySx0XG8HMq8G/SCES7sXXjw7WWxq1nuzbKYwacKJeLF3Eq2kNGDE0lI gP1Ovp49E5L3vPC8F7OWpTlN7qUjelvLIcdZLcuHEOQodkqnhBl1bH+fP8kiZDbrMniJQflWJIpC pm8u8qgS2rvMLaX1yJvlm8guus5lg/FY7WKq6fh6MKbq5mlkErmE4he/8B+/Adq3s5cAjHL5jOnv RZdLPmP/oYCDb7ZGZOdoIhQGB59Y8eIw55EzwVLjqkSossL5tlLjXea+aa124tDJsoyexRwik+4M nx4J/Eu0oZamm8JSfD+IuMy5ZNQT3osVjnWhbAraWJMCu5GsRQdoUm1f6rc4lV2Ms7e7UqalnjRZ lUOcPsgjyRvirj7SzHdF2pjYnvEyBaRXzvKH0zR/6H5mDGEkQYdko0aNDFAvwE88mOh2lJ5MG7FC H5sRp7fdFVng6QrX8MM0oQekivI+ZlrGVsQZn7s8PCQSGzFIXSs+vtEVPz5SBPhjAojXNnbCJf0N +OoI0hfihULy6ZLnEjrD4Jz3X0RLsmTqtmkG5X8ec0Ulzuw8MlHumGH05b0EDJPOHOPeAx9W+kug voLVLZCgrdo0iTlybcll31GsuEwwA+tF89fdOxDm/TfFH6QHs8eNmhR3s0bGZWpcKe/15k0F/Vpg r5fc2PUgMjjCIEQZs11uNDKiYiiKEGcwAvV9Ty11SSz0utrwZOnlNzSy1QfTN6Nlfy9dSfmkdDCP MFA97Azq+jL0PhCXI4v1zh2o+onSik0qKTQ3/hZH+z3wU7+4gP2y3qNrBcr+4CU1OugsKmGsq9WM pDl/lcT0nlEHt4KMFvcgBRfZvz+on7sraSUj/XVyH2bdzgkLG0L6blq0XYTzFTgffcPw7kNlJRNC U5M4FQi6nWLSZyVp7ioR/3FMLjp9myawuvuAYom/hO6AdzjDaxobypI2qj0X/2yu06DMJDWT2WrB gBKPcqAV+LpeRo7veGITwIkppBisp0mp7KAgTb1ZsLYAESvL1hFF0ydZqQuYrRtoywSObsLExyJI QaQaTJq94eiql8b5VoEX+61gtWa6OSuK6HRCxtz36jkCuKNf4aeMl/Q+pphz5ZNhqcK5WpBGDe+q RgpBGFpk15SPtdBDoaRiY5Y7Pewpr+JDhzMlehqsUHR6oKf68Ja+MkxUVxBtSzgohrW+NzB+8lxx tUmzg2bR3YAnMNf7vmZuvpwcy1Vq76v6qecUoRlHopCKkig8HiV8i3lDrizx1wI5yKtM8SU3GSq/ 7E+PU5VIk3BeCbnge+WfDH+6L2ikSFiGzQhGzenGN4Ahr64CbfJo1KBuJRsPJOGYLPdrXx0Grp1l I4OIaLALa80Vp4qN42JestCVaUcLnHsDwUL3spNHtG3BLRXbpfyjshQ2dvaKcQePt81X/0sT313n ouKuCOjGFM5b9dTcgSYUr7XAr6NKTfejRgrbs3DmNLf/8c7KM710jilUz5MDvF5/hrPQGZQ6KsVy UmQ70qyp6Hc4JStJuiyujunO9bv8gJ32yaNO+T955X9sGZZrS5n6h+hMPJ9gAfuvnjHgZM8Eaqud gQjNWxaaM95IhlpEOorhuxTuBexdDo2KQE690AKd6RwVlx477CYsoAY0dFvAKtFI0OnDweZhwGx8 kSlmBrDe7yn5YjQ0c2/1gdi/wVcOf5eu+A2YfR9LjLAISQhpXFsUfav/s0I0oOU6zCpic7gGuAIe Ty82YwWi2zJswW+3LWczfrY+S4w9WTqckY6xx3nsruJ+l5uRkpJzdSx1UtdpIa2i0eL5/8E/eChi Pbi6qTrjKyZ+jOTZew1A86lwS9xD6tINne7cPjUp5YvOru37LKNdeG8IZYKwbEOjekb3abF8iD4W 8baFWwnP/NIoYWUocJV1BGXHrcQuwH1BcoAhGoNz6ww8dm0QpjrGU3GB52blL5MIqyS/wzre3FHn 4rjynZskUmID7WQFrDbob3F2Ej7x3dHEBq8nSA8XXrmX9/wcwD1NV6qyKVTJvLVgC47pP1kFQe99 qrnXVk2pLjdHlrGaYi3S7q6WhTBNrWLfhvINZXcCqbYNOjGONht/m9wuHahWq3E0zFyJmNMQ2ODu WXa/WYrpCQDnK8ox40ahNJGOox0e0zNrldkuEC5VVCNd8F+R9LRH+lFadIbGQTGfAaAKv9Pnlwdv lbNzJuPJtHzEuaozd2DR7zynYZj7sHXCGZQj3xPzdIzxnllfy5EkmAiUm+rvvvdavLuUDlbIGXR+ ylnWPidfAhX6lS++nmqKkVgkoPL7iGDFM4ale2WRjo6noSspz3H7ZKHEBPxc0At0akFlD7ZoAYM4 NXDS7TziKzA21ZEhFsSPGk8ioeW2nQxCvX4CkUxf6hZFa6+RVhPwO1mKNFvdex6rGLIapeq33IAx xXzYDPS9oJhf2gUY/xIc/yogiDnra7pzKmfEnDJh8xmwtFfg9mBYasU9uRfAvpx48QnfKcng3KLv SLtztuX6429UezRyTx9JtntLNJFeuDsFbcC7BYIAR+63IE4gFf8myHOKyhhIPjAYCCuFg/8symId DseoLbnKQP8opvV9rE7jty3l4dWjboIbIjyYL0V8Ubdx8USMCEUEhjIbvjMkd5Vqpbjeysn6cnuq KOjD5C0UVPsYEMBRP9K3Qrfr9gvcNYPAG91h0suJUmQY1ij4E1SYTJ1N2bpV4FW9kpgBGyi7pXwk zP33zG/QP57yFVFgIiewkpRYgjg9A9aZt6aDpG2Vky1/Vj5hmRZfe9cR4OEM57zufXWnAg1acefE JTsxQDVWRdyEfBh6Az+YAkO+oAPFQKWSNwQrUGFguQoX1EkGuvixQHGjO65zZhb19Jimoald+0jv Eu8gkE4Itispk9Z5eYGU4Mpbo3SbHFR8gL/QnPQpPjLeahulCXFuKIXZsI8o5uWvVNLJmTIFUkYn 38y369iIeHQAxczx5CYLLwf5BTnihNcbXGmIyWwEUORYzzMkGaNmpoq1aRGarHj/eb7JEJ4FL37U wuZjG3I1jwwnkpVVlRsjciooN9EhaZCg1xQS7k5mjZ2aHVUnECBRB9uutXk8ve3xhQfgZgxqRF9+ mp3zjgVB5cMgn6Q6IXt96ufE4LvbUpdQCVOyzPK+7MveJZge4PrCozKatxwNzVx2bMPtgRqa/411 KY2E8VMAHgrgchzqo1fQttVAD+4TVIwU8m1c51ZJ1CB/RwC6ELKNujInP5J5CIhq17TIb6ndyvq/ N6uxgxV+dJW+YX2MjZmiW0SDtzF1L7z97DYkJk+t8y7+xF7W5sIvBzkSaldIk28TtIZ/OtfKCKf3 J2LUh4PCPDjDySGTSFGYqXyQsaU6j+xFU0XqYc8nKwpHYXSTuE+Vwnj0w9n4i0SGjm0wA1DhtEB2 nDyygR8qHkR+cMLoZD2fu8jxA2HA9dsiUxB9lCfae2SA66rsboV7nkx179z0o471oqufMFtv3VtU GvS7iF9mKQialUBgG/CK4Cl1O9L6ftNAe9ZhQYH4Q8jPjzNY3BS9R1u9MVEV1pGlidjRPH4lMr78 6RXhfAkxoZgbc7A00fKFfBJGCM+zbHqMrQJSZ4JGMIe0yk+XQ/NXxXBFvb+T0T5VrxVPDmRXLqFo 63nitIuVY5TSlEuVaJjHl4hIr4zz+rFDmlsqpKHndO99JggAX8QP6PXEqw3qKAN293lW1jpWGrV/ qlMjLRS58vaOhZbwBrkkeJOEauW6lAihztsn2sWR5bpiYzkfUMMMWESKTGBsAjdas4VcpaSw83L0 iIVKJSKBYgv+PBZC3gcjFnZ9ReWCEt9OaSe3riP3lklOt9psfWvnl9X72h+8DGPTExxnOQKUwfrC ZaLBNTngFym8iWMxDkI9s1fQ3iDJqq1zbQEyn+MRuHxA/Vm9/gY+FEkfComl1sr8vkQrBepMwKQb o+9sZ93FuBgZEAjJeDalJrcT8aI1BsLDsar9PKp8pIL8qWl9U4S70Ka8zcfEx99vUhaHCIAmQnnb Kg/0hsIl8NOKBLKLHK+sUNgLCECcrAz9spM7hB5qU3FwvdZelSWNmAKZ4DkbcQy5c+Mx5lkEzpVO X4ccW0WR0H+Ejg4yqQkVqKVY0l0wMpskw600qFaWCdsEbaFBM/p8GN2NuJCiLc8oU9jq/jrkQa3A grqhohUebJvBoIpvzOzyEQCm6n12gn8b/WZDEixculI1zhITfNvX2ovZrcPRQxG3fpFKqm4BRHzc buDqghSX4tZVFN9iDHyCcuiAatafdf4LNI4yiLl34Xatk3MA8spXBdHaOHWYZXwtCWX3piYJgPxj ZjguI3sTP1YZ6vtl7w2Lm7gAoGxGIjS7/0hbNL5FC09lrubH5nhzFh8ntqAIJY4/lZnEu6qoicvn lsmgEXMgM4cfqJJ3oMKh98PqvZDCwKBUQAccpz1+1VON8v04n8bZcaB9OuvkmvfNOJ2qXuMgZPTg t+r20ZvgQ4TmRYGELvS5MtqRzmzQFd17/ddqkHtafBQ1EDDIoUgcGx3/DR11rbe4ioF83Pztwqhz pF/3/NmZubP/4L3mYujvgqJ6B7dQN2bN2KQjPO1H+S6aTAxOb3vsZOoDeyiA1Pc4BTJQvnlRAp70 Jh1723SH4qSGsB95QF4EmFcL4BbHlqtO1WYVipEuqIIemomDKTiLEnGIeH0znx5QquJeLu3O/cTv z6EfpVUolJkuTqxIgDDDdEtTzLClh3aIAJ1hQXUOJ8prcciA9dy6VEx2uxgtpUfBelQ4WUGiQXX/ xRgImsl1e1SYAomyVX1FP3IhwULAyJB1JD+GO/vWKFu603R8EI/MVzCRKV+CwhCRpkV1BOUCN5Ax s4RYH8GFhwal97SqVuVy+T0ypeEnntVrLzN0sjB8f3SMbl6ZqnQPHnqdvTN9jT/JzPJk0GIZuE/w 2TL1NcPFdHi3pQLBQ7sMpRrEaJaa/8EcKY35q5ZSi0lA8yN6BOm8vzeojYxa+xAMX0gm71pjOOg8 0m1H3Bm1bhTfh/Ux5z9+98AYzLYaNYZHgP2wUDGXHmKvIFGn+XKQkGmTd6+V3bwAheCCuvNjNqqw +SfRZkQy3eT6Z7RA5NGaeauHCghM5yFQAxqipN1WsO3ISnmF4En6oDjPncMpZzZILn2x6bTPLAN4 30DGYBWagZpD/kvt+WsFcbGf8c07/b6IUA2FDfxAhxaSOoWY8q8V0RZ1oUzWIj45eDah/EQBWyI3 9ja+TQHE/umcxWGYpLQN9vl6muHCpT4a0wQmBo48PiK1kJueTuztJq697mZRjWxc+HNYgR4T41RM LHwNs2djtyMcuemucrD+Tg0/mOXuffeBGjTjC87q1zpxa2l+hPmTj8B5cm7L652JgDOr8yRHKATb gcUie7tPV87Flpq+9LYLZ0DgsOdkESOPzQSjuXHJkdkVcQfdcSFZArmXqZmsLQFqlvQFC880HYjf hu0l1k1Kkhl78yN/HuEz+jtsLN1+1ToM7/nmiKPZtk4uS40NVuQE47xbz3PrdwOegFoPm82QsMoo uPG3fryyLaqFsaJTVrMTpwQVVJCgbDGXXhWx26Lm7o6r5t4Wd4fHpj/bbgBOxlqxngPtEzOWRcZR FpGqodPl0jjkdbzFuZWhtfw471SC+YNDVyhz0T2fAOOCsch+wG1kA5QGll0rBZ+ut/DOmxyujSr/ tKVVhSQhyVbEOg+z0XOEMDB13UlxLNVNMgh2b80P/l26B5HnNYINZrx4HrYXa/TxL5NueAvTPcHN <KEY> <KEY> Xntl/5xNU8aWb2kvdqq7h+pwefSwPRuZLQE4GhOFZQTGdbF56D2JYgDU8X3GRC/t2tzuC90ctDHu 2cPJ1oTKlf5Gvh2hdW6WGTcIl0p06UQKtJ/pnuhNMvTIchqVGZR3UNCFHqYlXNnNLcX0TUFi1VML CuePRprza6hwpRZwHHsRxwpFaXMugKNzfjQtpEgcqoEypauvLrlbNpLSQ58VdsAlPHtdTmJ131xQ 1PpAhD91ERDFElqX9s7rMc0EEgTBFmcXZrbshQj/ciiCQvlM+upzd9ZMf0pmLer9wk4sZVX/lFiw 5hUJwZgfqOrX5p49bkOyC4wiqkH53K9S6TL8bcX5l2GQg31f1DWoUG38FVRN3GpHzASfOJxCGr/v VAaqG61sfVXz4l3JzG3r0sWhNkgQ1W3fuA2OXJAzkjjHybqp7K20FInQAxfYc9nsj6p0jVRewR0m zInDHqfC1K/mx5H9EF/cGkIdiCfFg0Rr+4xFVQ2le/rbzkpum/8kk+EWkA3Or1OOvNBHDMbWQKBv +8gwBgnI6YNQdmbOAM6KnDO+t8TWMQp5yv5c4rQIkl3bwsvg8vPsI9oPwbYiexVq8spopA5nv9xJ dp9J52HEkgnN6IitAgmLT87uZhPUDDpBRZARJOpO32pzqJ5QSh2VNPOqsR1Z1QQj6JwTJt6baSEs m4QChGpvEy4afpG/4DlfCGScBOeEfZUcBdvPRoh8lvgmiuYqXvhbcwK5evt8Fz67SNHSJGZAeqKR 07wq2vR0gcM9F5m5YtArPBvAIJMKPL+C8VzvI17zfKsEk5eMVg6CJHnFf57zsfJGJKeCC9CsamWE 5z4kzAb3sG3qM61uPbWSZL4h9Agha4ppUKJ4HjGLXnk/ANbbERjNk3T7A334R/YkfWmNhJSrn8oJ 90mf+j5apwTiXrSgTayDnmBjBW4tO7CkZQjc5KU9xaxifCZpYMYgHdXEL0rwYEr2WUNSz3m7vxyP 2hZ7JBs5dFBarEC0orLb2IxG5X3YdS9dKyi1Eor/r0oHe/46tB3JEpEDf/KbFG2ib7/ChjfBeKc0 nWHGA058+IwyqikXd2ujB0xLMldL4AnwU4mbbRJikZ/1OFoMcX5SjWBipBpULTG1ang4zXgBigeK ttkjiblGdI/k+UFHCMQValyng2tdW6q5yYyUSc9rK24Sg4qgILHgle07JOGMdKSJHi2iKrGo7pAS QcvMXIhH6EYf2najHhD9Idw8jORc0xvioebpZsyx3DWJ7FeI/2qxj6u2UIhBi/OslA36a+lCpabX RtY7+ODoH2jN02by2Jh0xEoorTA3PzUJwoy8yMczBsyu3g6tnXzdxsy2TvOcDvWAeT5vwLHlDGyV otz4cI2MOYQBHaSXJeAeNpG3yIRa/EuHKzeSoKBCQrgHNCJhKIY28d5uh7FlY1VM5ke7CiBpXcc1 UYsRyZTF5FrVcjd9Ke4L1c8XSlVv1GzJfRhf4UxQZXJa1yvI7tGcLaXK0fQwnkdX3LdY+ibJo/2H UDn/sL2t8kU3K/jrGOTjRJyQzPtEsEU5/26vrX+KFkc0EU4rqQiT7lCv0E2Y+YKK2rMO6qLZ/CwA d9TxJx6SqW1na7nk910dFJdP/hfYiPIseWc6/pFGUMSGpFSce70a4cGDVtUZs9ULIVErHsIPPFm2 j1hFAD1pojrQ32OjkTaKQSIO/8kMp8Gmtc/5IpkG24Dq5UyMLjBQhzSg84OepdPQ++5/atW0unU+ I+l1BDYQG9NqjSDWb0GlLYPYdEw0VTHvyqbZ8F6xm/J4M4uFaiM9M0enHRFVvYIDGC7LBcxhnvEI KJ08Kwgdb7P/D4uAS55GC7bxgPQTN5YiHMXBawEIkIJ5eJENJgHPc+l7llm0GzatRWhoLgWZgv06 kUdqXN32gX4FPXtFobTLQZhkqJRasSvroxTPYmmKk9mtkDIY0ktuU04s86TsD6qgk3Zz7WwiEdcP qZYry9vRmQvjANjfbKSHceK6kOtEY/2rsaHbQkPkhwjppf7ppNLVlsq4EfJ1gzRruEDTdxGToH5T VWaH4vHb8z2uMZcqH5KQYUa2CVzUuTWAD1nn4cB3XTbeHaSZY/rnsHNxcGX5o2GccPc5YJZ6xByV 3pJxvjRvOszs1Ddv1eNBSf1F7z+IoqGQgvvkl/9BxHxFmtj3IDXBy8DFI4ENi3J883UY7jD1OQIs uPkY+W+BKYLVJYLeLBwoEvgA/mWJz2cThPNslN5keXuftH+oGjG/PMf5s58BbkDJ7aAnvZ1SpvSv x3uoYrh4E0j1SdJIu56hjGEXJ/azNrjYGPUYYT6e6btjjYoRss2mkyuBEFhPEILyrf3Qj8n/zkDF fUhd4uE1i/IwBDGumBzsy2Gtt/KWbURdLJ222NntZi3ojl3sdnIJm04oBK7bNiarK6gBbaiRe+JE 1X47CajmK7eLUAqLTSPoQoJ7FZLR0gEfNs2x0zA9k0QwgM76ztPBsHT33WyhI3kfBrGa5h0HvEgG o/ej1b/h2dilKybXLnc76QmzSXgiLL3MFdkfHz6QgpA3z70BsaE9XGH//MKTwsmzmklI8KDaWM4L XDgYj0AdWrpRmp1EJav/9GsPc33OTmo6VXoFd8qh2JsZNWJdwoUxgeNnMDXPD6z6k0UV8qwVjHLV NSg2HjNXpi+PCq2mwT4m0bVQDOAh0vpNSCKWt4TitaB/ZfK1YKGrLh6dLwXQMdx4oqiGt5uiSV5G sm2IBUg9qgI5/y4S3hQ73KRxDKFGGcQkMuUkMsxicyk++EymTXtqc9QF3QDWTSnli27uWlZ+aIrZ rn93tE8lAzl7EeFOFirUFspkezJ0/0bQoYNw7WhuiSl7J63RTZtwndp3jX+h8T0TbGftOu2pP3UG X5m2aFiJIFkkjpGpfqVRpBPNL2N6Q60GBzy/gONLlWJFrFYtYzKsbm7ci1suFKte4HgeXhZwDfm+ ddytu2R3K6Kj4MJVl9btCMXm/srUmBiuUL+Q+3xv5eCzIjLzBsQdu59XFzFRkuUopamk6RDKRAuA ysOyBDvN38pIqn1VjE1J2MUwnm+67ZpvURbLnpuUmlTg2YCgjaJRL1Vgleif/IcY61mQvCHxBgtO BmnSGKiYmb2n8CxhMBBgb4KsU4J4D6CAlCaIOC4blWzdak7F7BuXzXp1lagcOoZg1oG60rahvXpQ H/Y0SdbBOKUGsWyYv0sRsNUdTbwc6heubiLrklHuD0UiqW3s/T2DzQ5pRtaNTumGdjL6DEo86/iw uE8puUYzkiTsBUvyBf4Z/4N8eU15tc5YX7d/gGXto2/2hfm0vzQKxgJNTEGgNSEAJTnvhYhakS5l oFsJZ2amf3St6MYhtmbAVYDpGskiGs1Dbfivj+T89s91YCcpqgH6//DpZ+h+pc502OZhodCINgCZ xqk+hs37bL1/kMGoTVSfEi4gywZ3VKqWUAm/LTrNhh6D9OHvQ9SBdFq7eJHrPKj1A+9bEprprD/U +N1z4l6hOw6aLf/a9o9JMQ7IOeZo+7NadW21ngGcN4CnC/w/MrsvH2tjeq9bqTG5trf7R9UaS8Tc 5XOroOS3TxoP/EpDCYWfUhTYi8cWKrVY7+B0dRoDAShBaNGnsxwQpu72V9KbK2SzXgT2TmZTScNi 5BBqqMr5IXHwneNGRFC4UHWYNSgklXWdpGvPSL+Ty28wr+VOMx4RHgQfaQdshSg9tSfuYfn7mKWz LqYqRsz2NiW9pxBZLC53irTtBGUayaKgeoRZXqKrg/DhY8QpcrfL+2glMUW22VOU5dHqY1QBbtuv OnybX2AOa3Zea4wyJunhRm96m2ifu3z1cHBVpjwIYbpn653IDXphTh35gYSmmz9MrWol45kMMsW4 h1uJ9MLk0eIQFoVKDh8KRFd9uVZ+ugJmIpb68xv9Y2xp7UvIciIN0nFxs5fZM+3g7wrNzmYYzdXu RNd0vpxerWPwFz74e4iJqLlJFmr0YPfPejUmYhTTIxLRxQps224B1lVY430Dc+jdlpxZHpTZVZ4Z ziK9CClPti1KDyrWBnQZIDP/vjm/rh/wXI3IjWF+nHSV5LAEk/YgPpiRmNZX9lkBAXWhU3Qaw/xu OOBx7ds3dOGz2Ov/wkHGwAi1ZpF/RVc30HaaRKzsqqzVfWAyA++1a0WfYV62qa4k9X6UIIkavcA/ /1d4sj+foudDfAZdN7PshCPTg71H8LqUEpYPwCvar210XSMC1WDWs91uzNqVDmJJCZ8MUf5TsjEP ITI53ddTqYIsQgGryVRZXVF3VjzlOB7CAS+N+sEbAggCYicZ2JUZICQ//eFjPusgeEvHJroCfJZ4 MLCrdyQtqLeRnp+HVQNMe7ZIEpzYQQ5oElmfIxvM0opqE3Tw7PndPMsHKAPsV/dYsaIKRHa1dMqW o2UhmHdBxM92iSuG/raG1QSsji/tzwtuzAbamKWJ3KYWGJDB1FcH1PzkdBpNEURmTZR7s6Hc3gku OBCOb5qiRpr5ukUojV57YaCZYQk9iQoEp7Y8yNVLsz4uRMgB8E1u6TpcHqhj34dCo+HoZQhEVPV5 67mFEPiUEnHIVYOKx/Effy4dgQIv3uSO956j2xE67NOTYKyhyyd+WwqEiX/Y9KR3q66A6y3UyCo+ SFzh+PoeVcJ0sCInKgZHLn4hgVj2KNFYWdWu2MrFv2y5q67MkiUPHHSVyGde/IGPMWBxo8uDKduE ExgbH3FbYl+IdxY6Z9s6PBYhIra73HTpyRYIKjiU8BaOkBYL3XhV9sfglS6OHiIV7RiBmSIinWJ1 CfBP/q9Z9h6RDXC3gEf4xprxDi075+urnHN7wBHEGnGxpiWWMlxcSXJGtTjBEt+vXx+L4E+LqWUe GDR8LnNBgrF5H69OKPbezFs2y2zmXuS6OiRlm9/h1by9ze4iyWVoYTuKjL8/iW9GbOqMj6PwNV3c BSXenreB8erp5jgYfKHouMMWzuSR/e3UA6yB6U4qMpGF9C1ceaigEGQp88exu947/IRo/8r7P4cm i3M970UPXKGtjm6+wYZwmty1xBFoSQw8QYe1Tk8XsR7jx4n8v2RJsfbxpqGRHeuNdPKu7qBoy02V mLs815ZTXjDVfW3pIJm1ZH4YG5tXTrFyr3QOt+Fdmz5TtXT6Iup2JoFdnQX+CekTYQpMg31f46RZ 9mwd8sD7dsFGdh4y6Zptq7D/sF8Qcz+SjuLSWGaRQ+pQ7MkKN9xHJPXLQ3JlBR7FQuW/RqCs5op3 4aVIij+m1C+IcUhdZUTuiHyvEOnMAO/16csc3u2meFUNgogOA3Bb1Yo9mhLizwoJgO08HVXl8enW E2onQHmCZloRbUxYoDGkefKk2WvqrikM0Buz+iast7no/KB7zIrg8LsAQxPHw4sxtIBvZe8QY0rG zfsV7Z5BNVdcGn/mA70fqLnT4zKa2mSirP7VfqgyyMHfCJzMU7bAUPy89sZoLb+eFs/2xnjLBV+h hD4DBxgKHVCoRoTm+jY/c+6cp4HhEcdqpNS8grAZ81xBjmnGn36xkO3hV21dX7EwL/6NgPt2HiYQ 9PtPa4bpVhDzJNVeGbBeD2HgrPnpJEErAU7ayHsV4uWKgTn+M6o4KY3voqPMqCfxBnoQYwmsrrDp imrdo18k5dZuOt59u9rDh1Yk/iouVJjTZUp24TY05elqzYAW8SaHLZiS+i+sBgWMFpEmR/2Sjos9 lOpPrd+wULeJAOuvw+oj8NJM0wD2r0N2PDd6wrmSe2YbQ8Tsqf7faeBceSO5vRBzflFBOf0ppGv3 4rsavAaCc0qAZl7SHmf82jvg+cwk+8J1cQfE63yDdyN1lyuVJyObLsinI33JpkyOFLrqIbPDV+9d ogxMgN9BOaCmRfE88luVdYijNefy4BzBkJco6Iq6MYj5Q7VFRw7lz/wdI5xBO/nvSpxMD88L2kNq qZplKB4skN3OxOSk1PQDswspbYD9JAlkPYgGQWnhu+4BCxRgvHJhajFhpBDFmgJLa/61MSJsBfBi yfE5sKP9XkZoA+0CxuobA2XEAhskkV/0US99ru1FDMJw92xqBZOuTFWC9yuOYDGYWgF1nOKu3N/6 BwEo/TWQYRYeIUA5kUcr7q37AZBl+GRLtpxietNu6oXQHWmJCrXbooT69xBP1HHWrJE8FnNxk/h9 d08qtGkLn0KZaNhXixvU9XeDhFe79Jjy2YkvT0kkB/3BDFFaD1Yzx2IdTMjmetjMfyWAKcao4OaB kAjj1A06e5W3e6BZ8HPiJ0Web/rWXA0nb/lQk4I+ATtgmxM0ilCF/f7kI4+f3nLK2X5Pl1mPQEEm evP8hVn85Xv+lnL+34qCMUyZKkl9VzOn6GwAbR0zU3boqAz7Ly9GWDQ7LZ50y4FvME+u5ulB7KQH jYem1n1qLMjc8GxLKsoJ8soKXZwcMBDVe0tEz1pNX8de6noem7RYUcg6YFnf4mtWuiFKiyHVHhYU BqXeF4lp2KRChh019VHNHZn8dhebzBOG9F/7//griboa+Nma64Mf7GGRU2Qs8iULv1TbeBrNqoUg kFxPWefIQwwxdjjpDsdYXUF6hoLE3WKI4uiIIMNXluOOFtD7S+9pnoR88fpDd4EHSqzuEvvUxTFT 8xIZPmT6J3hQFGz+oZnfNxfxlsBnfq/k17MPbSoO4hfedPJe/Vrt2ujzj8XjbHGf0b3c3GcDcMjc lNjZhP/H6jrSnE8GizIh/4BATQlb2J1KD4ziy+c3ou8Q5nVOW9rI0A5NyTTv0jfPbC1Ojtf4M2oY M4EILNGe42r5mPX+cjCGLWCCVBTLmtA8Z3oa3+w5mjZMFQYehnZxWrIHNWQ8ff3towifC+NAQRnu syRcCjIbc6TPYy78XdY+De1+I6bKqLLvahSaKovt0Ng3j5flltSHNxsMn72X/nervpepbFiIVg/S 2PDU5UaQaYFrQMOsbJY5v1H1m62JJnJrEzIWZheEGeoTchkOpqIhBxb7d5/2+7kaES/Apl/kEABx 8E4bnHQGePkTyNdIhJy7ScboA7V35VFaGKUprHx7ZeTHFNJVtSuPpYCQZB8NXvjifpeqicWjnQNu rx953NrL2/pPeyGtuzDYzAuUhMcvVhOk8bAYpMNdLKzGQ6f/0Y+sL6rz9a6KlULgTefuTulChj2e bBz532vm1K4+8J76r8JFzJs/uX61Mx+ZQR2RKN0scEl2IQkN15inLzz2lMp40KuOZqJ+rJmh20N4 vW4Gdd8uSRoQMf1uNQDCbXyTgQj1vFvuAS3j5jmiIaHi8iejTdnveadGc8FWCIjITQoaP9uHynCR cLEQge/vROogmxOxE1/hAmP6Ly0z/hRwsijK94BhJJRGGArwkqO+FD0ESxPAUzW6+LPA6AA+F7d9 HHB+FAeSjiPU4dVKzsenZHaoimSWYneAQfzuhnMIrA45mnI+iWWEPzym6IcJGI1eO7C+xJVLhoPg dJFQ1olni0EgMyTuuFP7SEVjbyK4wlIxlv9jo4R8zwNaRhQueUSOCFANQ1NQEOvOsd+gFnoP2jCr V0mFlpmo1MrU5Q6NR0zhItRFZFdmhTwZf4riAHlpmRU9KJfiUUPQNbtkEKCjsMlknV0PtgfhG5yv Eja6Ku+vEbrE5pkplzk42WBaqJX2PLcmb+8zfEVkSu2j/LDkI1jhwIi9EFGX20h1JF1zIM9D6LTv SEkX0rPaMtzUALjDexF/PJ74zMWW8uqA5pESD/MiblQvgQcSI2ntv91TuVXDyVc1t7SMtw4SyxvH Q4AeSH2MgDFCJw0zsdNiBA0l8tyrqbtqlZt4DR5oEnYGE5BH53w1buJ13aYw3d9XYodefu1RXpVd N0wuVFpEtyKQH6u118tbiDv/zDL9UtPJklqkJrrSky1QvWtdLdqaMcryNm91CrOA4dnivZ1lJPu/ l4rqcUXZ8TzXqB5Xbcmz8JnQ5PoiOv19Ek0+xpXdB7Ac1Sms4SrhPLUSQupdTr5saowdRxjds7GY 73F+zm3PNJOQhr0v75LBjpNHmFILvaO84t443I3Yq+t9Xylx3IJXz0wb8UrjFO52Yjt16cnTOACC ubifjndXy0KPDfPUYWvZlTRq/uNtD+M/HSfS/pcoQuVghhfdTBFfP5BBT55D/RDLFIuUfJTJB8pQ iWytL/PFk94dqBeGd0AnIkKfJTOV1FmL1dOXm4TkwVLy/RR8NzsT4IR/drzLRiMJ/SxJsY4Tdg8Z HQjmgZcB1j+AR/3oCkyGvT7DxJGfYebMGiZMsfQTbk4676zS8bKnuHzNeAp8N3IS5KfiKcu02ut7 NIqtXrchD5yDAOjiZdYYh+LHq509ZJU6ANGMGdkBUIhLnwl8ePgGfLBs0jrFtqZodjkwm22H0G9/ doN+WSeBvt12tOV1FJPGq1sQQfLFUoZmG9dOUKPn5HEzS4rajg7JrNlh7C3WsPh6nFEloE2eW7iB OMmBVkv81kRmk2YtB2e1Bf+BIM4syoEmSu633lY6+5LLCpbR246ghcNlfZaiP5qgk4iRm+ruk5Dj 2dY6hEd09ThJVwO4cs+Q1ozw0OZoVz3wrwjkc5HkOyaXGSQbguqbjpCSgYcvPM2KSiZb1zyTxder wPN93G57ujqsVUip04ktfLpgtQPvYyZg2Dwkiw41G0uD8Tcs/E3WvZR3ZwRISopUEWFERHEmVdnp /BTiExsHFZu1icr10uuYdMSVnLGdPSeXWFTmkyenDlnkyhWsMjrO0s54G18Jb8EPpUJKBfS83mqZ SMrE7eL4NZ5VZzgTRxrZvrYlOMZ4MdnV9VUCroY3y1DhJQi0BwVMdWBQXuA190TW/+wuj1vyKBjM NR+SgoAxr0nyR9cWgtTaUhoPEIFZ6DyT38UUKMRCycMqwPgSE95UNgRhU5fwxLtPfXxRpw5V14CW T+e5eEOuMFMcqDZgmRYv0cNGhPsXunJCd62dBIkCPMSUtw+89aDkb2VEfh0Uzm6IMLOSjiGN8xi1 qjnlmmpGla2ryviMz+DH35aPXOjta+Evv76dM9AYDgO5vj4jm3PNR5jtoG74Y5TFmHoemya2MJGo cMLT2EjCymRQAdMfoL1HpVGIYp9ShncPg0Inan27la3AwJYAsr5r7AwR40H/mawzMRBeec8SZFFc H4zHVSYO6QfM+mieSL51niLGQT1FCVbV0BXsn03vRd73LBnpAIJYpjEthVOF7obYywDJe5KwaUPY BoPU+t6H8cglRZfZkj0Bu0MfL34qOID7Xj7HEoHYyZLKGdXPoOqf8eJpntrZelWNNcho3cNcIi0t UAT/Yt1dWChnPBr7BSUL/dLjND/jPca3ik2q1zelgtSvxSFfE/8+/wEk19OUMPKfqIIxjnBzl73/ EUgF+ODv7swShX3F2Uid8D/ym+j+NwyLa4LrshcQq5PFHppMvTs+ArEwPy895q2AxbTYPBMDMbqi QQ+cSrSanL++T9ILrejpdjDx4u/zie4TRZLA4wTnBKn18vVOhUS8uu391fQRiSM8eMrj+ZApqtFI h5O/dfSsjxcu0CpTaOAsVJdCpSF85g0XeAh1bmHHvqtdmo8JOOWFWZOOPErzmTkRpwJ94n18ldNi IQcW5afxiOWXsUGsd+LjOpM7hjBVKrF6AwLbvhRhPxaCsUG7K/aPgPzjvmfVXdLBhQ6w4CYnhvt6 WDL2hvBWDs4MX408EnTTHnyZsfuWYyMiFjMbj4uCw89lRFzaQzibIfWhnRxo2xhvBoRjTXZRHcHs HMxRaLaunC7YZW348jmz3UagRgaDxgUZNk5gu0y/Llk1kA1A+BgOGYCit8Lkc8Y4BF14pfugjcEB YmnYEmbH9BrMTFsN9o8OT7uJ9FHC6NqkOXUaBjiVT8yw0wLtm2D0D+WzELB634A5G2J5wOVUWl7x YKIlphzTzl2mGdYg8cZH/HgK3yN/W0RrpHNdDXKI1Y6/jNC3klHxzDwkW0pH9JwvgwM5pB+goVFF q7SxyEUYXF1rITA/XDl/FqG13KsBHrh4//LzdjtyAiwtJtc7lO7IUhK22jyrDDxPDwwqI/NcrHRY JmLN7b24zxKQh3kixT98e9tHNOdev/CxWyX2UtAav1mPXxa4NF0LP6GiH1ypz/zjc3rnohG3gT/x dB7e5eTPZ3SgJGAvhJxt8h+kcLWaZ2ppV0GM9pQbT85yZ0XvaqVsKYZPvyrEK9tfLZ7ouhrv7R/M RL1DV5en1YqseOK5ck1uSGBnvm1wskrUkpVrxYvEa+RY5kYcqMqTqpM1OK869hVzjGfmKCi5odCW +iofVsJUG/LfYaoR91yamQK06KsTnbcfyK5Eemz7x/R7UrcrzS+o1Fkoqeji3OlkpGLz4ImwJqZA DPeUOPVYo4eW472PbS5YifZSjfdJVmj8OpTGI0ee2/BdV0Uo6o5pDe6HIIQ2eBUA5jXUXF7HBwKb EXzQQGDT6Znt1fD2FQeD5F/STaTaSltwZ0F2zt2ai3x/0s5i6tBG4e4Ea/EN1i/OgTX9DoxavpO6 9ABA5WAeXNO3f5OsBxJ1VYP3H8bQnA6UCoWqynDckho0IwuZdmX7nhi1RItL5eC0GKz5PzXoNAbz 4qJa4luO0RWWUNqYlQ6G8PWYUx70bLc7VY8ztquik+w4qFWPgd119lA9zLkFzCmibUWJUWninfOM eBwQBcWwAB6sNRpzLkxLUMfFt3SmrL7vzts3HHrsdZhEFeGZamHup7zV9R8+KR00EQdbOemLVTyB GOjlBkH/IYMovdxH9AinUeyjUMGNHW2qg7nKeuKANerPpRpCVZUqDiJZLdjHsKNVY0oJQ52sNNgm CVpJ58QlPgAIVcxjrCCB4gFkWNitH5QGeGGE/H/k2UNJAx4aU4hl5t+ok4ok50jGwoVLCMf1lfgX DY7OScD7oGfoI1+uJCXHT+zpdoMdI1YUyUc8Dkwta+sTbjewoEnULmKMX1ZivbOrKGf4WZLCi3wI IAzIWxt5c1hm3vpkdZU7EgxbE4KQT0iDe7SaXOooTHWxMV7dkQRD7OdDguHZ6s8KDcLaUPXmhlHi oNqvCmdbbMweF6FIo9/HZ+mpfF4qUT9BeXAjRW7fSKxdt3v0I+vOG6w/zjZJrxlYls4SuDL4WQBW kw5ZDPkoDGNnDPMer1J59fa6msEjIspFJyAr3CQK4eWTfRvAiFPf6Sqd3byPUn5XMibr0C78M0V2 DBRfRCtyPag/3I9/GaSYmxbSgbGeAFe0Pg1l2MVjB98XQyYBz/GfSPxknqZpwmHDQS95xB6LCXy9 E4FG+ygpaA0ePZZr0Mhk6UiszI0OT4DT+0qZFNyb1Q2+vEVnMIp+a+H+wg0UJeuPmI3CKrjp+2i5 7DmuTEwujEKyu45LYaI0glL4S8lX7pees24yvgBCTMYUfXZbaHImztg6/wdOk48ye8WaEiCTGoC5 DLustXJ7Z5rWW2z6e/zpOdBZFuXXckRKIk1NAdWzbNEukwsP2w68UZDvio00S75xV8EqGCiT5zvC MlNG6PGiIzn7kROfNANUKMh0/ycCDa4ZEOGG9mOgcufdihQmQf0qj9SGYb1MwC/O22d7poxoPlfz OdxnnP4vxg58N5G9Yoix037kE007sGcq/YC20hvoZLRwThbq4d6vNaOFiinABJy22iS7VJVt7Z8l gSe4gH3hyHNzTgBEG5ZcWXYaU1ZRLavRSPzJe9sCCsawo6M2/enIwOUVvlc27ZQzYgWpHmT25BdZ 7ZBUhIP3kO90yKwJUjASVS4Eg6TDlIuanF7YjAsOMb2MIfq/f3j7TjKA+vYl1P5AN5Aa9nyIDlDd o6nwZUMJzDk2VAO6plZbHRoLSdbdf3eWp4g8f4zd2D4/ivz6mVJbjtvAEFfNdMXhSErXJ90IqWqJ Kn+jUsFfmGwvD3PInQvAUn+4v5RGbVSbB/b06fZbnAEbs5YASId9INQURVWEB7aOnOJTWU12bYKM fFNwEzCetueXxIlILiFAmX0mdyiC94bTU0znjFe1at3AAgzzs/NyX4jAPHNS2qQhKLdEP2AwuzeP jYXeHSjNVjFP5X47cynjbVMs46JX4aoenU1qJ7BJ3j69qWy9LJcGla/Mn/bmDYSAIYXNpmHYxGPn MvfhJ0llMj5rk/Krzr0hTBfHJGrjEcex764zGPBo27JHWG87vSv1WiFQVyJUPyE/GU7miILUTm4m 8U9dtOQkt8KSkTdnRbEiCwd8wgkrc/kR6cYqv5o6oQyzSo0Ft2dK0hjDyAl/V1QuWHMnEjxrj8gk PDS1U4VU/BhCDFOfRGGGpHyhZFL/kzBewfWKKErtAnOXOvWzjZ+TqetVLYCoPShpDnFU89HVTD6m J//Cf0o19o3Ul6bymGc5XYPDccQOhumpa3O4PLREQB7v8xXrQzOlHBkO9usrnuoZBhGf3zYMWafD 6z7rQ32MPBB3wnVhyd5D2yx3C1U1I0nx1NUngNvyszDS3Acv5YuX9u3P/x62sjKX0k/HVNaRI1w2 wmHW/nLdhuKFqeSDPb4P/zD22BrWPht+7rTNqghQG+dmomme7EQ+Xwh71Azl15w3WgsJcxFtJSyg vhqarGGTLSdQpuq6SeexjJ1uBZWmbYPgTWRxJrGTxyORE/xfxHbU/rwAUly+Zjdd/N3Tk3VQF1q0 PD4R24HjTYaWw8HMO+7OZ4yg0bWVMylAjfu/cQsgk408pbc9vEFWQuL71ebGvT2sd5+gpap3wKdY 8U+BoNe3XCYoPqhX7FvYUlmyPJMNPHYl7tIwS24CsVsMmItwMaDww4fROmWLjkFMQYU0aAoQXPYZ 3pLDM0walrqUqfTq98A85fC2u4IdLwGqNu8JMVP6DzfCZVWLsTfpMOvzgh07+g5oJCaG/hNgFKMB zbeb3GRDRYQuHnMcrT2JDRoRxUw87cRRPFunLxisg6NIrnP6PkyuqusY2DpiXaBg7RTve8z+GB38 XhiMbQbfqCtnZUEuaJ5Mj+/HeackwJx90GvZ31WLlq5NOjZ0SolY13u4MjfnoI9xPXCAqIzF/XBE T4etCnIT1CS94F5e9XvzPKGg3eHxrCeIEzKpg+HVW9oEdF8CTS4hL7zGeYVMF5ioPnqse5EEzu++ lHdGEGkYODXVLwh78tjt6+3AOEg+AteUL40DEuTy8n0tfInU70VjXfVhVhd2JTZD7aRtfcCXcSeq 2z95V/zRIwqE6RHhdxs8341VV4XYGRuap8UKXBDj8ZIyHzI6GBemR/x6YOimGRTiI4spcUpw5GQU TypiTDe3RBtW8CyCCnuS0PF0VCRzbe0R+/MAEOXgkt8m2DfAzBndPVQlbqAGB19m2poUhtBTcRWO vpcBqk40KtTceooR33uEYMRlyRac9PZz1/lfEx4rXlHuA1JDTbhtVB7AeV6y7aZqI5Ocx39A50sH bzQnLk7hVCEPV87hFDcc8OONPqxQUn7RbKryEIza2CIgclI3eeRu7APR22e125udDIF3SkkkbqhF AGiZi5M6Ya21Si28gLYXli8OPlbXjyikiiRZw4rat5Bv9ESRHvXtWP1rUIfkJXmERiOsRnsSydwW Vcwp8qmqkDyPUvdqnPs4vjvBzZh5XX053aYo0VjbuZ50llnexsSrBsaiRLIPztm4JhIWN6G0CXUT vYTSIWpyHm9G9GGGdXKfJHxTdQL6AQRKrLiSAZHi1LMWAOEI41Dc1L7roVydKb4DMaLx80oIP4lm k6xHivLE4hERErWfqjyqYYHEE52RpLChPmGksPC2Wf9pjIrX+wzfoSVanLPxUuCvZK1ff87Ny3Qb 4JYtbKxD30XTnSf7X3dKoSKNrAx9X50ugH4HGHa3Ltc6LawDPuJvb9onJT4SYJIQMSBXJE8l8gLH TnpVA1p8W3tJcNp/Nwx33kqgffSsUQRhzKIoGHvd8FJqSdGve/+B+K/UIA8pdwS+dl5NJgvAYd0J aa2+DMnRHLCVYcM7AshOMy73NjC6OQutMcEiLg90SiO57FCO70iGNmnfJQEJRmorQ5cU8w3wYJpf 04wTWMxX9UOhAzsXXtsP+bYlT2arsjnx4fQER82TaQYrOl1c2D4oFUmtYIwvOrX0lqB0rIpR9XfV GXS62g1ylfY7TZbXaOrG0dYmgJd61AORAJrksLeHzPytrIJRiH5p/5yse2cp5mxLTTe6Kjih/Mmo morkCgqs/L1sMliKif3eOr1oMHlory3inbVx3+dhet5nLFVwbje4eppSe/fkCnWUzASn9oL0a7Q8 BLVCQtljBlVbvXSASuPIDPFKEN3HOhRD5AMtPxrLlmGGAPja1PJoT1pNMTY+j/ROHrmazMWfHNuy NF0Yulm28OSOsv1vd5T9mVOvv+RNImUVRQL/dbYe1bZHjYe4a1HCnPzzg2nwwI98vE33q2zd3CYz KemsI9sBgdMsfecFTKpkD/M+GBvIIN6nhu7RHW/lOFevYHpSHcqj8tSGG3U0KaQC135Neshl/I/U vZLJGOzRRk2JodDYdS7Bd/f340s7unmuL5jdFN7KapDkKyxjbCXjwUzTZ9aNfdrO/patC7jRSfhe XvlptkVZkDgPwQRdKqLxx73gbxEUzmuEnlYEMww+nUefKbLvMGrjEMZiBagaWZQ1GzDMx54luaHN 7OpKNOwm6UcMus+/Ksb5wFTYZ69Z8Up3wkmtb2wIeHRSmiblZLWhMh/Ioh/9Fm9yApUmJN3uMUpX zOiVhlOGSkfWNXKIcD6HOxVUnAH4b8RRXIxBEM6L6aLWaTEyaq8m6YWhs8it4ROc+B4n6UfjaOs0 BK6D5cmF6BU4Cj4uW6uaQ8QojxQX4Dy+k9qRQWe/WouUjuxBFnRrwzijF+VbVrXIctfgc2aNbocX Dxk0g+wufh4Izi9wd4pTkWKeAs1lDQcREUqSJjIwGS8ipADsFEGjhLqb7ojfAz6j0pCdCfiHLP/I COJEokSacMZnU2wyB9yOon8n5n0SCUOCq7NvDpWbD5x6BFH9smePL37VF5tHVXnmHFlpdq7MIBPG bBvrWHWnfz1QGPp0K7qeJk+d3rGR8dtGME0Qk01rXe/OFwuNsLWoTLPvnlDzeInzSHL+7Y1OetJx A5e9SXM8PqWRUSdZugM9PzdK6t75sb4yI+pPLIao+7AvlaHYeEXsQUn+ipNamQBO3F7GhS2kuRr0 0TSSdSGBOM+gbCECIbjOqOmAYJqpJ4sPwggzHZvwHGqALzNdxwdCY4pNsPIejke48X9ajJg9bBj/ aJFjo8qbntoL/jR+2/p9+wFL3lWs6jGbor5WCGbnMRa8MoUUSASLML9LWSGze9pW65BE+rSYJ9F7 JEbMPY/L+jRA/brNdtoyxLyiN4hySMLhxtbJXYsDV+N1Y5cTq1WH7y6D2a5fns75rlMIZjsPQtsI g3ftl6WJ+cQLK+5BEcuzKsKK2yEsm3HaMVwKaNluHCnLU65UmYeNyg0AfgWSKz8Q5U+P+XDVWdPV jRd+gU2jJr5o0PmkzPhNg+MBMjDMvLDV1/O4nAfY/qljHvwDyKf+vDsO/tB2Ld2XYc5xblMz2w+o ho7JxcQIPx0mxwdQW4xYowOd/CtNT62he1oqIqIMNXJBBFAt+3KWdkO7v4rslPLlgxsZgoRGfVdP 5uCtQRz5NpFpiwdTS+hWG5O6CBteXI9fvSDX6mxRNDx1NUb1BUxwaNgivWhxBgKOp6Yn7F4wj+fn Wj+VsoeexqpiEeMs3PMdtIRIXWSBy7heet14WQQEmZzEzzcM67seLcqNQJOX3Rb9dWjXrswUPxUR 8baDJdxrlZMEDau//WGixzKR9nQybc2kBgczeK3DDlYIkE/PXo4eSDGjKYEjiLpjNJ4T8NjplW1x c7beHnBtDsCmXRk8ygHONZt+Jlj1etPybKXpUYxM8b+2tD0TDNOaNawzKrF4xusVcjsIVNSzIhJ+ /YH9ACWdk/6j+KUUNkUCpwcsbbPk9HJt3MYFFZ7tYbmk5vftrewUDlCo5LsIF2ylpu9cPKPXbOWV XdgSUmLJY9wAsrnAVsvRuh93TpQgyfeQLcgQyxFJUCASU42D4aY6nO1UyN87QO9XkOrRd1xP0ctl 1vHf/B6Ad4hmUDe2RJX4lYJ+yNhq1xEhm5My+kt8NrK0qsoljDapEgVhv5TE25w1xsOeKhzepGTH qHWNF1RTDEzuB92Eq0xzIUZLbHvhv+4UHUnlMvKU6iV26XZjCoByCD6jrCWLNgPuUBG29o3+X21F Qj3akaOmk3jvvPTWhw0C3KMNV8ffuh+4q49pydMcwehUJ7HFzC8QYX9sBWLv6F3krCu7RwYASMMF 5SwGX5UNxzXuJQcVzp7PlwyagHr/JsuTr5j/0I9WcdGX0TqfNWyinoaMkAIDPt9V47bHUiek0HTc o+jqEAJPWfyptGykaAnrCj5l7Py7Rh7Pv/56orSfMZOCGWPn/IjEepZjWd1Qv4ENqMVdbKlJ5Mkp FE0Mj4MswapRot5atoRhcicq+l60xV2CVXymlF67Gx6IZLRcRSeTflyVZnII2+1f7wtXgGUkYDpz Z/rm3IsB7khm8y+wj4Mxvgnkju/onyS57pthodWzSmC/edLz35r0PNKwrxrIvSaVGK04NGQkcTcr Trbz9oDZi37Wfzz2HHxR+OjDTchdm1r1T9LHiqerStkbxwTP1tWSBBp1yxkEw8RXwwnIIiytb3+J 1XTDJDGJnY6cKfElGaHLhHXDi2k+OnkgBqjLGOmCWF4cgootgt9jdzbRdBjU9VUx36uNqEEgfwOU J5Es16Zi5dx5leHRFvBL0b7tKRd12T17nD6TfJ8GgfseieOrqhrgOQq3Ipels+xm73PcS0IC9dnt GzHnel39Q6K+y/VnYqqKjKwT8n4IHHpt6tLYcBILvYDzLgK/bsP1dI7z44QJDxbxcUgAAJngu8fT 5q6RBZyh/emkPvdvB/pIoTSQ8Sj8wRHm/Cuf/IPzSdsRc7kNVdxTEisdx2K0fKgv5kXfKQGvOXH6 rX6g0U7GHrZxXtb7g4RTdjaL6Ymm4n1m0I9nNxGyxSDFJzxLJ6lod2mLIrmv4Fhd64bkY5Mlm7DL xOVU87vn8cLBqi90PdtVwKQRmm3rC1s/iI+XkTl7Gy+8NKmCdKdQyFOKTRsPryyUiRYAREFEJ104 I3tNmAPMrScAeiSbly2Mc2sZpxDCo63KevH8H9JcugHPo8b+5jW9yJUzDAQLyR4LBuyvRf1xQkyQ /Yyfpl7enPPuF7cLdpta8m+4wBD/IKMDUxzrjiMh8SMA0CwRqq6uG9WCb2iPcSUubvA7S0Vltnlv SU5+hSOQhwXbH8JjxNTrxYZk+3XoPRHWr3EgeINsSBhbG52kGVPqQ36/OXsLUURSdcjr6Xh7w8xQ Xp4pKgk8huLgUMgQl1WUxHUr4ji227jFvCCy7VC2nmIytabs0qBkFW7yEDW9Pkux9z5Ih4tklpcw pgI4yZnSHvGkEzZ8RrdSxMvUMzhfgmbShTBtIeb2y4I5ni4pFivQdsZC8nI585s8DJZIEN7z/ntI OpwcwLGEU1yYs5srT1o5fSG6N9AfcLYF0vkQqhHSOmepunn+OLCQbu6eAfied42dKblcUTUoNsMa Jg+v9/G4sLxAP4PFzTReMbdgRy1HS0Lue3VMBYyW2lTvjqSOwazZ12zQoxIWkOus1BFD12rTsgME Nw6BGg2PrGyJaP/G3artxY98P2AwD8Wivkx0pow25sNqTKfKIek1zF+Jy5PrlyZASqVdok6Y3m+X Oi6LQd43qGFP3CJH+FpO2W+YSpqPdcLuzAbvI+iEuym3vFYqpbV5h5XNA/DFjx93cvlgCmbMzlAE bfB/JZ/sIIO2VbUmJdg+Du1vYSbtJGLul8AYMuy5iJeKk1Kd1IENWgX3G4OR1xpSupIAAjoZh/LD obCjW1AKbHX3CyayilXzBjEc6fJj9A4khWcfgewM938PpnbirrwmvobSand1MNxpoJrogGLgWIde DJ+h51eOWwZ/LcrbWQfSsgoodioS5jXfJS8ceYHANKjSMQITmnzdTinmiSv3TzLrpikUz9L/vpWf Jo34S1VGTMdKxLuP8hOxeN+O+l+IDgIziQP0+moKHcEntxmXzfcX1WePjpTZMsorcxKmbFDn0aii kToJhg6i5SADXXmVlvwfrShy0B9VDprrMnt04fuexUhXCoDagzAUeEUucjkmD0cUkiIxO472PhBt 5r2lTx8W7CJmP5ebUKELzIeedCaDVeTe09ri6VOMT8jFm4zJM2t1yrB2VcmcqH9UMNDrn7Q/pjng 0g95le/fve5G8wB1gkgVrI7iS19eOQZPq8gFr4vlpjxsDKhteBbp79blV3et8nuF1am2Rw/HMKMk 4DXNbQ8Q43LIH0578r/Y1B48Jj+NqTa+vM+bBgTCh8UjWBqP6D4y0n6V7N8stGIV+dt2vl/Yfiz1 /E4IwSeZ+uDaNArMpg8FvMr1/4snbIUeQCkLga8sfhSnuF9UO/QMKGkN+t7ImNpE6Cf/jJ520JZ0 A7lX17TtqbGTqqSmODKBcGh2j05HvHS5OJhQ2b3krnSrh5tUS4tGXy70sOZjSyms0oY/VWsHXFHC z9mHhTJhWxIr1tB9nHgaCqXMmlzdKZohywzL/QzklJzKYFPajuslolkuFj6r0mXzYCukkFqminxu GkPHArjKEQZx8JsKc9aFjinguDlX2nG2YPJE9nSlJoNd/74LrlkgqTxDDXC2UHPlCKXZzlNA3PK8 /w+paFa/qD+hmXk7eQD9H41HPhiEqQdi4m3H1rJnhqHzIW8eGytJAveabuBip+E6kmgWqq4gznjB pfAnT/+94TtkeQEoz65/YSsUyFMKg+CnULfOQXnE1c1inJpEVX7I50uk+HQj9HRNkFXM9HXMNE9P lqG9ib8pV15QHuHvVSpBcFjYmmtYuMrq4L6RZnKZqDsBRe+4caMfss6jYoUynEJbD6oug/XuSpBq OwxdsDB/Cajk8qbu5AkUh43GEffPb8+GRbXmRPnS1vgoV5FIfjSMzGNG4hIkhgl/OMloQWKzEYVv rMp8+w/0bnHWI2VxH837Tc0CWVWxwvArnpT5QvfvmyKD6w65WrS4n0EJxqCq8fIHJUt1fhjchX4J oXxaREtsdD6Wv/GLOyePmHwJ72g5M/S/aD0PS6Uh+GeKBYqBpFcg+tjBCkn+LDKv7k49DBRY3rhn dCdyNVIgOxOfnBylqtxnGlUkDhj1fPEC9DQtu81O93xoNajvtxrYsBCrtcH3Q/53EUV76vDB7oWn ZtQFmL0GZghVLxeZimTmnnMrhYeMy0VtCBLk+PQyZBZWq3TNoebiI4plNyuEGiBi1m3XZ+1jlkmE ITI2NJ5J8zUbazb0z3+QlRoW3eEZky4lqQ8AZ3gEnRa4L9GqghMs5gpKSi09kipVAvHCnH+vGEbd qvf+soOjDVUTLIQ2IJKvGjVlZQPwwD2JO0xaNyNPK3EsJ5ZufJfM3UNUSXE4sxUMs4Sj97pYOff6 8aBxElPI3JYU57Bt29zZKmLIX1zQft6m28OX4b3fVWLJwUgF4JocJg5OKmfDKttcIMgrGmm770cN O0HRG/Nz6uB03NKyiXAyytbRLi/OU+krJsVXG1SP9VF7n8pdGFnW6Zg+jF1LNfiChD5BAplKAJNC ebBihS3aIboswhobu4ZR0qmVNvUu8ybSPT5zTjGFKilphNzVZo0O5CUqIEBep1cWkhxe+GXXZrmq UXbTQ8BUZV4ron1xaXD+k+GFo3d3dFY/L1UAnGTmpNFaYWjlJwbUv3iKdEWXDLjeaxKsbwvb8NQw p4M4n09/kLELh7wXgYB0Y9o5obxdRQQHIV2RSza3JA1R7rS4aK+0tjcfSPuf3dqXYq9LsSLTucTB qmsLnBPyM2YQI40F5cTdZua0e9Z5ORE1X2l0maj5Pp0ML7GcLHo5qSaD3Jaw6DEHK1tm2eLkaXEK iH3CjUe8wl2uNeNiAHn1kfSeiWm1jYVzTLPJ2E7U9ODa7yd7lGGVTSZL4CsvZJfXRdAetZbQA6oz /iqcpz7146MRXtkQgR55A81lZjBUBg8h4cXmkqxFDyAOpmIbGQz3SXMswPd77k0W702Js9gAPbVf br+FC6cyGvHDDn4UCteCuPRM3dZotUNlFFxGh/5Ai/2bcaCie1fcy4gAwuaZvQhAMoyqwnLQSvCw v30ZtJwetPzmhhWj2pLFnkrkmJV3Vu/Gj74sl2GGlp/5Cdw8gmsuEDNhx6NdAVJDqUC4RcaPznUy MLaZceZXyZc6QLxqBsB+TnJl7nSdoDdR4bQdc5zyZtQ2H9WcNZDrsYgxPItk6BobigZPlKSu1sVH tjyoH+U/Cg74S7CJvWWUWBImTgtEzD91axMHUaci0SoRp20BuYjuQr/8UYN+so36iiuTW7f/P7I2 ELsk4vN0IdkgrId/SmGZBwXKpaYm9Agb5JHQzACE3uvKQ7xxFoofqN2EfWI1lLdEPG2idFAoCAPp wtTGbSHOQoD1fdQZDdTw8jweEcMxflwmEvFDieGDPMG4Od6VnSHl+TmUeSncdoi3E1PrrOElj1VR 31L1cTgnrxLaIvAOoTSTrnKDD1W8KuTIved79uH0FPfDeIxGGFNCPikLY3CS2pBatX7LKm84mTcc W5bXABTl3YFNCGXUDJ4/RQI+TByr/d0j6aqtuJpufGsR7y+cKLnQV7p+8TJSkUtw8z2pqhmEPrh7 yO0RUgNce4LqZDr8ZSSo7YF2Uq16URmVMUHWhSFV1643bxO/uoWab6NKuWC4btT8MomAONtGVTz3 474Fcv+30f16KwG764HRlgllypE7AZo1oqPNgVcwqHcmluHe2ZW1tQ0kXqFE99t+Y0YzxHCf44HT /DXn81zKVsCwcbCnr+uJcIDhznH+4+xpW9lK6S8iijJtLq5378rC8kB+dzBapc5iR+YfSgO/FkAW Hc+vvq70rKLb6vET1BVvhCZn3xAIlxdUSg1b19R+ZP0eDDlt/cB+pQdM0HFJ0Xln/isHEwnvodrR yV6bTLfhPEYnbhlbMnWvFFarquSe8Uux1X65Nnj23g2Hi9nR7hL5bm3BW+e9s+0vXKRWXqqC2P38 umuCTgmRcelgbDUGZWwRx0wNExjshjnaGehhXjAROcqG9jOe/pPaM8oXR5rsINlzlB6ETzFnYrDA 2q736LTPHOnaNbh25nikqbACfaoMRE4iUx3qVZjakv/aZ0yrCr5ZV9LFdWWmvGT2fkUm5BORYsTF fewdlgO4aBVPMkzdzQHWRpV+pLxYMu9w38OuRiMN0rPFV7GRDckR6CGRbKtnvNO9mr1UjqRVcXRk 8nVwTxc1tZkCO523UhxI702Ym9PiYKkXUwUvcPUXUuG0us0fbePsiuDf+hDw7BFnnL88rSjYsVNW cL4XKLgr3QXqdE5ail/S6hOpdLiFbWAkwKVQSPJ4NKxVcu1TwNDoZ5bkwOAI/QSO5Hrsb6BUhakD 9Q1VH5lY/Vu31JVByj0+tOOEqtuP+YdL6Fu48Qq6BXhtXr/tDvdqJHy84bpqKnaeHALh/ocwJ7HP xbodgkAPb+6CF/hv29U+kMb+0u5NzSMXA19PDqsGNZBW2aI3/Q/Jr6XE89RFGPXruBj9jR/Oq/cP RaSyini8puSdyQ3Y9+p/acQP68aw/pUcJoZrnBmCnvF8YE41eoTikUyiJfvD9iHsesK1nYfZN0lc 1//<KEY> <KEY> <KEY> Y12wThAK+QNYunAjnpeDt3V+9nKqHY3t7GqRlmUDULoipxBZgBjzuqYQ7LF+6Fb6tpkjaVFTBAhQ dGy11PZqB+xxzcO7sddU/aPPlf3TbuIKcMq2P5HSIgNxdT/XRbkAoyAYLOMtk57B2iuhq6rW9LlG Rxz1arwrwVOriYz5fEWcuijfP8/v645xWbpAubcTPntCFJoWI1G9JtlYmAWtD+ZIhjvpJAU8fxXv DE8lRMYJRR3QzcbSyPegP1dZ/f6Cvg8oATGHuW03zN7s/XeUnNrf7W3bocFFpFvuveeDhsrBOXTz HBWEHJDIxWcqoHLyQClQKBrD7vsdSa/CNDCbSwfQEGMrn5tfwSShQl7sVSa2ZtKQmQanlFWewMLL mt2pYfptrEAEWDoCeIV0VvgVk2fgR1xxJcJ1C5xP2N1pa1oa9xVMwHgZTF6G9OYTJ7axn5+kouqg Tv6C05WJ4aQW1gQw/A/eIxDl6cvtWFP3bDhZ4S2hJgBuSHm6Dq5NeK0Wt3c9rR/eMM0dJEwLdrQx YQkRCeW9s8bs/qQ7zXWy8yBUHwEuBw9idb8nQaDlj5ao3dl6XEVtJ+qFdIP2ILY4mrn9Y23b0TJN K0i0sPhIREt9Ms1LvGYY10myjbhNp9CriZX2Wh/il7OojU8sfql937B5OiWBthZFmYadVf14nQqA Ys+EgIPxFqbQwV2spHTD6sJ2CjpdQE/22KEbi04BolwsJeC90IniNJJQrlgST5QIKklk1RUpSa+A eS327h9it9z1nGRXtq+J7oUXOdF623H74CnDLuWIxi8ARQi/fYx3dICigqQxrsNRFwW+tHoH0H3Q 5PJhgPENKLnN/p/qZwKKomKzp6UaiPD3LztWbc4K6P3+cX+UhcNaRqUyMpNCpqiLqE+3BGuPLH9x XDS61iyqNwUar1VSDqzOqUGnDeihAPTnzp/eTjMbXU431tOL3wUUsbY76hXUUeeeja2DMn81QSty kBpb4JXrtnmIreh7Hb1dfnRPPeaOLrCI4jWEZip0Rd4exjPNry/oy2wd7cqtKQvu84+Hw43LHRAv 3QRYq75s94OHt9WJX6mw0vW4cZGXCcqIazTcp0r9wIXor2560V4obn1ZGi0ZZiGIB+hCJInRsSAo 2RegIjl/TgX27x4R/ShHxnQXbaP8fzgFLGSObnCzjoYNMjSHwghWj35awkIngdQGGMAuJuRMAr6D JGbEFOJx2pAzTnMcJGa7gAlfQqJbdh8V72eBhm0TYXz6ipg+2imyH1xX+2g6y0+5G3SdmH1nJTvp OfCSo7Gi475+8fZiTiN8LnnIE8Ysk3UDqweMj2eyE0fumiKTSl9beZ5ZLVdiTWxYHw9rXtfYxO9C qN1L0CqC6Pf4DAHX+Cz6vvLp6V/Y7N2pPbew/OG9xSDVeEuU+NBO3IAO9aad6I1t0fdu0R/uWnlg KZRXBkGaxWwmn8VyZOrENDxwrmHSBjJmmE24+IxL3wZwBipQ5gzPtXs02GQZ5P6s3K8C/YY1A6/5 hJ+Yx5l2yjxYUR3sx7zQ1KGZ5j55jWK7LKTC3f/fqRa5IBCGqVpg+uSIXzm4cVdnO/4tt+hRI2rH l5PWE09vsUI746VMCJXEymi9DqRR42MQcS+doc+ZspwQm6Q+qrGYETu7/sD3XNWqOcbsW083LOOt 1qgXGy+k2km51JhxfsUzDZJbBtKwdsbd4krkO2az8OHZ86g4etQBEnTGCNTbpMeYEoiwO1W+YOMP eekG6c+wIcja0uQc4Dt/ExTzbbtD8FaHVHlcjDXK+yUAjYqrNy5esSv8E/UDugpnMnUHQVUb0hIH rjxuh935duDa+tfBVOqV+UcMoI5hYPALRnUzB2bKpl/DDdJijN16ESXObkBUEh9oBvxBfMPvyBXR TEd0+L9djg4haTTv6wrVhpnq6pEhaEXsNSMLIYyZF4ll/NOEgToelcMz/yJRBxJXgj4ZMw2dZfOF XnD4KbEMhvU/1G6EmmGwKo+FoFNFmZIqQigdzNNiDRkh4cowZRKyw1qEJveX9Fa84yxvc3a5FTFW 34FpE97Z8PwGVJNr9uU9XopeqEEzhpY79NCRPj48ACR4KTEvvYUV+t8+4wxFCMjAc3pzPwes2+QW 16cLhFao3IxD5ukN7qhq9gr+KxwtqTpsnjsCV4sNEk4a1bvlONCKo+SXNkYUA/+BHf6etgQbNU4g S4MaRU+Y1lX2tIzWPfLXL/dOIQliuYfwMr7jLwhniWcw6+bG9e6/0WTQQuXfY77kP+ryRvnEFS1j sqy8Fa6rWvFyAlONGlrirT27OJtDTDb3aAgqAyLBVTmy4qbOi9xEslakB+hX9lN+o3Q6KjJx1hQL SJCiXODS2wA+MchU4Em1Ppf6PoDrMxnpNYXahbxnOBfml/8di4LJ3yAPIkMByNg5PYyuvPtPfarr mSadOpQF396tP/kXlVrQSoBbKs3wfICENXmf5GrA+zZUT6O3rGt7LGeASML78sWZIFnZkVatbui5 kY3QaTWOHycSyPI+7ftyIL+65YKslsjvc3sfSk9pjQ9JD0khHsi22iYa8LG+z+/10rJ8VQlxpvrF 1yQ1jEMpgLjRFqPc4YbArgr4UiIY/bdbBwGgQrlOhnhQqQI2Tdb5ox1ys/OUY86yGvhZfS5Mv2F2 djXBmfDIOtB6fV2rWRdbIX7SUgpW1Lsj0g/GobAYwvsK8tXlIiADP+LMYiWE0jUPSDAoV7vJ6LSr 3FoCtppOx3d3eDnD0H6dZjwyxBv6dGNmM0WdrIRaaKukWv988vJOy5NiSioBZ8WsVESP6Egw3/zC Y2VjUxPejoiMc59T6YrELrNUVSCusG5LnQP3nmTrp6S4Z8C5M5URL3ttCa4q3YrCBXlFBRmYNeZH lb5CQzwEhvt5V92n0H3HuHGBUUg3SE6Ydje6fXls/xrqfSJmT36iCXj6ZRVDQRYiUXmNU6kF8DzZ BDWy9DXiM+X/KVap9jDnx7gazjQy+CwnvFRFxuzq11YhzJuOcipwuGks1sjzWy/U1z50WFhcaHFq vg+nQ2gCFkGiGD22yuUC/9IpRFQXUAq2wAhlKCpKj5jMLBsZVrLHM5nR2hxI4Uu8Lx93vwLPdUZ0 5L1GXuTjt8Et59BegRhOjSjq+OVDhCOPpAN5CqOMVOn+prH6i2g878SW4Uf6nvUsJwJjSfLsqG8D vprSUriCk3icpEYklsXfcO0DPsAyirgWxbl3VQs5ElTv1WxuuMy0Zhb7n8UsNAXkABEV7WCRLi5n BcgjCE5nyvq7p+zed5kMsKCrvjgHWyfNcQc9NIY8xAV+yv3+w71Jtk4FUrR/8H+r+K9ZqqFfDa1V ihGAdEIlHqKgaVH+fZt860naTmQMWYyMqaMgMCCEuQhPJPvRcK7eZtmySqTBMQhQh8tvpYFZPvbl +7VBMQZZr6Msfc7VBZmL2+pvfaO1CXVsh/b/ifNsN/2mamDLnl07wVGdTmznoJjjtDSKPDMO1a1G sr9UGbImsd5VNxHYpL7WTD9TSSvdQG4EIymCpz98hLGgdy3K4Kr1Auf67UfO/CiewSWqi+yhfWNx j+WyS7uaQXkmpNRwh+XcG3h4RUDdMZRQkE7wnVVoSjgzynwNe4HgCj5YkSHC/jM4eH9d6x45D/fv 89igG5vepdJOo+W0Mh3yVjmvCkWKTvP+mzejdlPgizetNveQCxfa2j+M42au3JQYoc3QGaOnSij9 Jqy8x0UuS3uJV2sMzFiBh0LMh+XNRjg6RKptz6E5tYPYp1z9CXFjfaU+TFo79dtraMLgTf1sZZTO jJ7cDwPQIybQjFnWw0JeKSvgsrpZsZOl2/h+XOOEAuD9b4NN5SadfRHBlVfuAmbz7e1iCUkAQrA3 CVItj5GyoAT5ghpj20rIKN/22hSF6MyJtNP297dXJGeLWQ2XlTlelxqGjdwb79ZO5yC3Li8FKXkM 99m1Jbf8ddsBbsBkCCrf7qjP/FuuZh4BMMlEIA6OtUHV37dv6fwaUh6U2oo0Kxd+3B85A05l2ja2 fd5bFmdnRrvd99ScC7GxHmPJjliglvkvCkkjgXYxCRE7vNIPKCJEsve11ouruIu7DlWfMMICULte 5dsMlelyFgmGT9xUW9H/+nI00arx7uca4wRgB1unun1Xauq8zQBUUWh0+KFyNQ4n0zL2tmWRjurI Hne4ZDWKCAgFVYqAF4kkXMBMwz//w2uemCsqtlEbWP8C9465GUMOMwxs2CuvyDENbbOxKmYbTrhw n8ZoR97q2VAG/suj0qGWODRy96hpV3naH9BgSxisNaPcvM2c28qsVSBcPs7DH5Zx5sPQtCUo9ADe SdameLII6UFcWFkTePDrSE5Y5TJ2OUuWMSkETGJC3JoFFjpQXtlhrIBKCNE/dcU9O6JmVPimoyvR y7ZGs07difCbnRIbN1aCc6jV4ggoXnhzqeQ8b1b3FPMQ9fQHiEfsQKGe+V5QPUqd6cgrrNkUKBFw DAplUgOmvG9QrjpEa9+Rky3QncnfArXp4qWOhRI+tBrIogA1a45FaTcYuioMG2PIML4kxWhzlNEG XJEaWOjPU0LQOkhY7huK/h34n365/xH3/FJo7XU8l0J/Z+CckJ8E5wwiyr1aPC06x1vXzXxnI8oc IE2q4rC2Wxk1fr4LNKFnRlo0F6+cD4d3tBrw9GfB3hgJlw8qC/F0jQ3moX2IWdsIG3B2D7P16QBG yqrJlMi4wI7CGF0E610czJ73JuURKqFy5Zx389+hfz2K+kie3zaaZZy1UXv0+J/aAah2YBeFq9NI 0CeeIURiyVEE3ZCLse93Ixrf6f/MCY+23VHRhI2ATQt4YwbrzNx19WQv9YQc7mZ4l+iO7YwRABan V3KeP05RR+BGCYjBfeoPODKdFSLeRk8ZYJpU3sC9OAwQD+1uTTPByx26sUPIhrisNHf3ZVo25hrg 8jw6koi+Iq1bQUhB4lQ+U8YoawlO4aJU+LkBNX1XeZ5QN6J4qzgNfcfWKjJkm57bcIojoPNhVVUf //uF9cLdWTa8ICI4r903Ez7AN2/cXE7Xy3pQMIb3LwaDIFj2sE92Go4bEZxwDWiNUVxcM/8IstU8 mJBQtkns3bahEiIFnwJ9LANZryQhnfkxS3y5ztXZwT8GhleWw0Cvv8fg3sg4U4Kb4o1KKqXnw4Lz uaUtLFORHpjzatw5v+QSj7G3t7jW24VgaJuLIb93QjvHUj7yjSfjI6vqDiw6bVG19houJVtlJxtK /8WK2Vy2esxnFq4CiJhe5/he97E1371xL27Vus8aHABCtweUZwCQrEjWSkTSq5xUxe5e/lNlFAao DqDTPNxXQ0yx6Q6q9mwLPK679Jch3MsI+6YtV+UXDzR7zJJyOgrkA/LJf8JBrWG84nb6Npue6h8n fKaxWuXa8Jo8XfGCp6GgSdxfqq9n0nGThjEytDeqCjEZZFqeRuzEjCVs21oAQH+Z527m6radoPwg WDcRTv0fQ+dvZOwG+rv91fvgqjNG+nz7ZXNCh2HHj5r6dI3kuMKxjVYpfxIkcxXFYIBAGyE67v0s XCQk6BoKGOw7hbEomF/wVE+I57fG+Iel4biJI2dUqrV2lOUD4Gv1AIwTxaqqO/246hkyxLnE4+Jv Qg3kOqk3Ah5tHvDU9FhdGR8RTyJn30QXUf10VrbO6PV9UtaJq7EE6nwsL1vAkROwqOnICqGiCdny prwst8g4BsMOYckbih3XSfaF53hJ8oQ7yra6kQch4P8cP+wj4iaWFH0DXDktel5JCIvxzX7iN6qh IfVjU2ZzdEHDzP/em4z7TaA8BbxUHGy134YSYbsaX9UHlDc6Orxghd8hN2SaGXtNYshAd3KqCuZz hlKdwKXMM4sq/soKK/N5PtTB8LJeaf9DLN07y8CuhaLBaupT8Va7LFJ75HLWKa8VXJdff+5AP2Q2 iA+DT7iktPEbP4ktm1ORlwYpTolL/GIKilHHs8Jes8Na1CMZSXYnKO6KcNAkASVrWv05VYciEFdv QLM9IAtC7T15EVaW2iUagAPBel8g53ey/GozV/zdejZ18my5KQYH9bGphsxkNrPE/UShx0P+pGZ0 0vai5AzbnGdzmQKrqklOMSaEiv4G7Esu3CiiPZquBfPxRaT1ErN5BIrDcGWm1n9bvvS64ZOaMACc 7eQqcCTReOwMrZU8unISXk7W2lMQ3Jd03VrH5YHVzL+x7rOn6SmP1yazMfnY2xCeTqT3E552B+HH flpwK49giXoJn/OEkc0BD4L04FAKW1TCwgpS+HLymfa1wYsNvQ67BjznMTkfdl5poH59r6CVSnnc 8+nbXs8Z9uFd3G+kItPTRC1kKm/znlS9q7gbd0MYFKQT9w7yzvRQfOxDxzQomFP7rlDQ14eriQfG VhfsUFFfxewWB6w4uaS7PlWGgtX7U25S49e5mVWkqE7ItkBZMftuITqYJdijQ6cBW0PIOAFo9Z9r r++o/mzErn3JKY1qxwAeVZ++iKAZz5KMfcyDzYMf1uRSluT/Kx+PSUpS7z4VgDAcVeaVcH812PA2 4xhHOjNwdBGyh+YAoOxVChyIkXIABrKJB6icvPytAQ85cqoIZNCAzosOwLXXkA2O6qbBT6HrXn1r VXAuShBmtMRMJ1jHse9hbtgm0XSQd7G8wSTqj9LQ/IdnTfeP4/n2T+PVC7gYxmIIj+w6BeBrShkk sK9Qbc95sbrvtaEm9/w5wNhbSOL1t/vP5Tgizy2qHbYZMvbtfKkVHGWMgO0qV3ywgefIs13h6y2n xuzqfrmkO+ub0p3AVKeS4Ppqezi/MJUlDEVb3+nhZr3s2F5zIHnO7QbT4xS20XCdnGJf9Efr5Tuf LmSe36AViqUSjhB8CFsv14M3ynplaPzJau/BbRf6K0mcxzd6kXc+RsK3dwPeDu5Bes2zla5r+GY7 EizPg6h8ldcenxyG0nCgcYE2AfwwftyVzNNX08C86Snxo86vJu6uKqb7pYg8hv6RS0umYi/KEWcC 07onwvG1D8cs0IyFOKzcvdy/rxAx6yAi76P5+WfGdkKIdvr5eYpLgH7i6B3GTfbd5Q9cd+Pikuq8 cwXQzVabpzJQBOR1/RMtCYAwNProZdb5JYLhqePLRWGURDv1Go46FP6V2tNlyPY2URZSSCuvJheE h3ncWKsLXW9xziHodqer6RG2gE7Drj+rJneqaaITU3Vew2WuBRHRnJleXsuZrR+Oy7hzkevRF1RQ zoOZMfzvrxLq/HtRnp67zKOtWW5f9EJ9jYxkmt/6lU9t0fu39l3SUMMEXddFuh+127bAABPJEQL7 FxqMdR6VTwR481CMbITrHmWb9nCl+DDHVLM2jDo3ue9xVeAC2Pa0NTPzDTzTt53MUY5hAD8Lbz1k 49mvpvW/Za4rtgI7ymeClYO+k6aQsyRzNl/WKaMyDGG/Cy7cmJzNti8PKjU0ZhXcHsBwbDFQdnMJ SGTsLuu6EQZzNGnzof4IsN+0iNFyPcSy1tgppLEM+f+gFlVgcU7wAMNU+WCvr/j2oj8NTC+Y4/TE uQkKbu6gSFNEQ349rf47XB15kzE/AihBafPgBc1EEUqOLDDreNkZbeiWH8eD5FFhtP10TxywzmE9 lcYUyEiBy4kdnT5EYDHqHg7OlrK754mBd2t6q5YxSBxpU/wleQP3GFwuLNKQTyjirJ7lkH+YBNH6 Yi8HmTAnbgAjOJ3M9xkUrAVznflPxnUXvAo25/0hN2oAjmTwjRLn1LH4QkBZGZaGhI5D0L9aiVqz S+H/f9TA6mInIg/oSLRuYanumkNQ2KeGaIKGinCB2BEHQgFg8XFRd7AvEQkLwKy8osUdldkKtDZ/ VKwfWNZ1xvFFi1tiAYe+5GuGi/FXoe58k41nlbMJa2tv3rvaGg/coCYz2izibiAe4pYFzYYhCgZ7 r3wDZAhmQVKisAqE2eaAw0c6uba8iJGPaacvbxK2Ifrtt2RYa9AcKBGKl/VXUnWhTLzaze4ik7ZH gJ38EeEvjwbeUGGuSVomj7QImcIJf6STSmQkieiXXyKLa0bzUMjToeQq5wsi6KMwn6u1nPTdlvvt c9QzRelBkFYX6950wqcAUkF23MjSSXaSCMXd5dlQZtELMnDcwI675PpuieheVHCYC2b6KD0yczuQ hAB4HeZt3YiFNnqEMBBWORk1ZzzDsR2LWQP4WT9iWx0vzXrRjnKtim0Paa1r2uAnoZ+IQakqS/t6 19hCod+YFzvaIuc14Y/tbpvN0teaA8roWiijnwskauk1mjd/GIBJHeRsnimsRNRw48INq0v4MVUr VYalwdhamEAhwcjqJpX6ujUyhmGRi5zulBD7Re3kOEv1bq+9Ks8asx1Fk+HE+1jgT7MO+ss9pyt4 jBKdUCo2B4TA+YK9Pg/VLy9MHRRArjLMOwACwAmKTtBqiIKZdqbQ8pRPk7ikV41TedgIHgTAa3jj 193sjxmF8pyPrI2EJm3WO9/vqXICjZ2gCrNS4fgi32kmb0nVLfbWb7c9X4HfQqXMiEbfxJp2chyq xQuMuw7J+nsSMqeWttLxmEFG7c3Ey+AfVq8U8bC5SV2mT45h+RRttByjLXEUXNvhXXVxSI2K6HuZ D/AsWBlukNC/xbqzZDMv05g+DQ1KBbhVMJmLHuAkAlnLHimdZ4P2GY9LFutzYRiyh9bBveR8i4g5 2E8wneehC7wUq7vfqCH4ov5PF62lsL8npVHjD+yKh1Y/9tD5unaYjKyasZiPlkRyTYZYpvk5vg+y wjsPVAnzmzzmbGOjMiEYs61EkakXVuGd2z7IF45i8qhQryrYCdfeIXStSF6T9uVr1+ialA3APael G8Nw/x0a7aa+OYBqREEK7obXBOvZavpA4zfKCAdhFxaOKekCnsRctvIi3dlHSrlDPZ17yIPlVKrd 9foPIKqAhAWKlO3Cp8e5I6vDx38va8DI8068P4vZPUHjSJ4n3TTolMiGxTqHnp/lc1/apFJ+k83S KAWgXosXxJW/es9+uv/a75l9lA72P68M0marC8tlnjDJWks7CVHAoBmfpceB7d4p9eZjPOZMXQTd Tz1s0aad7uq7lIBKxsQj67veem9bQI3TCMjK+JonKsIkMgE5Ol9/Ndxt5g8Ha7QuDIL2GdLN6d51 oVZheIzdEFVH5ZjSS9yxO0VeKr9esEo+ccVmOZiaBIDl3yjuoXJATbYjOr8XaGEMqrTVDLIxB9pm jyOujMxkbZZGa5kCExFwDK6Te8gQ3vYHKEgdaypQXBEwMwr69SGbHYYYAH64fJMyTgldSWhty3Ym 0yiiRfvgoldr5YqSYaIno8duOHPAhZe2zxHOjyugepC/kHU9hlc+9VTIbobEVP8zTxCEEYT8yuSa brXlyrYoIAefJse8LYZWuc7LY5hZdNhv1VPY8VUihTlrJNDYZgNod6Uer7ijUXu6kPh+Msi0tL6I bJIGK+quJh5xBtgm6eRIP1hEURxjiGkFFX8UaJp2OK0OYsj1t6XN2oJci1AdcU5A1f1Eru/o6wYS oQN6V+6U+wH8YNa0IO/SYJ+fY0AioeoiXLry2b54p+1DLVJsZ3CXM1UTsjHV4FDSRWbKLO4v8wqv 4RqnXIByRoQhrRZwVt9xw2qzo24v80RDfEN6HMSjrdhU06W8Nrir4rj4EAaa4/6WMEUebYTMrIZB PZ8/Ub73aHRcV3Io20n06K/zO+sCxdR8O7pp0OsvF3ZcYE7LcDQIJ09XbWbrprfOhQI8W6rYVKMz 5txZQnu281KhcEucxBQagyZB0dn0V2Enk2Pemy11E8fGN3sNqsOpn5gL9EMNtVA091uOCXTuB5Tc 5PsppTO3pxR/i1PfRPXyomle8Ac6uTMDULkVSMcJBNdthYEV9xbgFhrO22pQc1CXWmMZX/zXBKL9 JGRG4yQfbyDusQPWO8bhodvAMtMpVY7FivPUK+RdHKOkHCZCl7TCVDyhJ+DZXIU4smiRuqBybiUl zgpmArryqc8GDlEIumGcgcrkXcydLREmhgHAEKuBFd108H212RUR59msu6FS88TSH1+pd01mKlsk iwGpLhN2L0+0rtSUpdwB+y3jJJi84M9Uicv7r1StjvKkJE5MGPq2K67NXrkdljaU5uxj/25o0dIf iXoSW5620vIUC7HwHUqOGu7n/PJH5I4to5CTiGoc2f9uEGbM1Z+V0OylDtzh6zQ/fVojTigBDBx1 4L4JAEBTjnk4qzopk291iLZlQ0WknTKKX/xwN3nM/hajHKVhFPjDEVRlq8X6IsjVsQXOvmWq971U 8uPsGB7mflx+fR723+0wik35bQfHwvX83Tzq17Z37Vs3AHtZ75ilJBAJg/Um18mYkp2RRe//uaKj lGjdBZUG00zXW77rTTE+LqFA5x8PVGVYLHQekc9QIASczCO5MCkjscwk4KyVzvwqjjlzZqD7rZlE F9qmo2htq8MfkQS1kqa0QMxGwLntVrpiEfpjtIrbtQZiEzVr92MbJOLbJR3qIHlkY/EzrfFyHDKL ce6v2Y3GSjAXhNWDQAl2FkRxjn5Ng45NN7bkm3U/KCahBQ+lFT7bd0qmWPC0rWomThf3X5TcXgnQ 3HK1SG07jrnq7zWGdtIKUCABUGE+Ezz7/lHE5WQ/HK1jQbQ/OS4paq/HEyPAiLn6L8tA3DsvXM6o fl11HyHhg4nOdZQOJXBCQZlRTTJcF613cZSL7SU4nCmYpx0O/6z/eNLcrz6u1oQItsPhV1DTNXEL f5TrXXgfJsGc5GLvynFYG9gQoBPMeRmj3Wl8I76K/6cNYpOM2Kdpwy9QBSHAWheY/9fODDvdEcnJ S4Je3mkuBNJA7dxUeT37PCNnWza+Q5W3WLdcZ//wtfmStL609SWcw7vFsvBJLTpPR3zQ1MDVIxtk Mkj2P4d7jVrU7eWabdESq8dpJDHDMNZn0GouqsktBqMtD4R6kgjPxPoS0GgO+87osvknj84nRSmn HCUvzpOGXSQal6oCmXN+MNue6lBTEB7YOuFXx/wf5lFFaD9xZMGxKncRxBoYv1ijGTDW3nL8/ZVI oyh59rxxDXh6GGhlmIAdXm7oZDtSF6vEJUg7pGEfqS6ZYNjTRijkQ1qWg2qIBedqMt9BXtwfx6OB Ni98wpSEtaadHt+0NAFHybbZZqNG5WBp1DvJirW9u/3y/Gb3b+9xcK2+4L8OYfyJ3T1OuTVVh7+W kP9V6E7L6ngUTEB00+X6aiyCORHuKciX6U3kddGq9xDFmybBTgI7jAiThWmJGXKOl0RRI7HwRK6Z +i5iKd3k1vUK0zGVcMvcrvef8lkprrI6yUTwLr+Cl/EwqfVru/nCA/pGNdd6cNEeHluMzjn7ZISG 0qeIJ5aCQT4PwQ9XD62Ee3PJm+cRLW2Ndlwdq2Zg6azJ5lGyLz/hOJNAeZllscN3eHTGi0PjYgIT G1Doa3Tfn75PQk3Ag3atLuNaQ2Q0ZODbhCfQVBrW4lkc+6u5b8/8ldR1nRBl0Zp28chPFDPbT1tJ n31Zey/A2XQrcuiCzIGj1BmZllgeS3FzhF2Ce+OAlQ91VkjakXxnysnQT89pbVqUoFQJsoOubiGX fU+oXlekP2Oc+w3dwiZWOAxFh7heLnQ+ERFx0ut9N9BcVpCYQjI5rAvjOBC0hffs9UL+sBuapysa tG2temQSGp21qOYmFcmbQ/jRCSICXKYh1WN5t7geso0q0BK9sPTkMiIJyxg6d4ES9G0iw/n63c7b ch1hHMVslWRUO6fC9MYGwD+ENE2rsxQ7lxyvWpoY35BJdpkuJQsKrQzFjEOxLohUQgouZPTkQ7Ld sqRh0hPpXjSc3GiPaWk0UyPHiq3VJU3A7KmrdNw76tgCNRyPGxcGzJlP6X+AzRxvRgEaMPgMohNB K2iZ4inD+IOvsBP/rgPW+Q8+5GZaqpfFViS2h+igUP6XiKH7ZwHRqCFQhDDKlpOjsAV6bgIgsV8Q 5qIplElX4oeOcCE87OA9w/CmKgoxY4nSF2rqkDy8B+lOoodJIwssF3xOZET/rqw5izRVqCNGZL9o mfs18txV6MbN4JAyEOaCn9ZqF1PhkngVhcZlBXLwDxqhH8p4RXSY1RKUXBFeJ36eJsoMtx3LhbTM SPYFn4uwHCEsywVOck80HicJUkyeMeuKY+ZsjyLwLgNpWPkP1ZT8sNxuS/8rw3m7x3i433JE/Xfi Olbm+Q92iEAURaW4/otXJrO0ZbI3Q79nmSyfHunrUdtw5s21EbNLvw655nv2Q6c4YRdOcRrfsvGC oa0TQKlUZKJlbUdZvijs4ED01aW9jyeXHnwtKviMJPD1eBKixHhvN2wt/ZdZ6ATn0t18vpQUdwGW GEjMdloLkFcCH0BY1hrZs7GBBdebC5RUj9FYUxpVfguupnq06Wli3gO7pcT6mqEFNWkYYqHzzfPI gowtlrXS0681jcAk25hv0M1ukOhMbs5rKtq//7J7jsN9i9dNDuXOxB8cDZUkyyqGXUJrmVJz2uwf KCp5a/cdbgum3Da29kajge/mzFhxeK1ywl8Zg7aXJHWsDUtgFQ3j7NUJFHq6/dhoZlvrM4kLU8YF i5NEExQloLhnfoZWv8VitOke7tNj1qBOd8ydmIc3lLZt67QCF633cqxSZIRYE6i5OEvoMMxyUG3n zAr++6HfusefiHFhIO0npzKmXNRm0p/iyEGWTlHJxnAwgU5g3k05ZxV3aiWN71GgqU+MEeLWLh+g HHD8JaKX92sEhooluDBZuGZRh9g9s0Mwfot46NU2yzwsaQnvy1MJaLa/bYAq7wuEM5epB0+9hkzU PVlUQoYnPHtTmGXUV2I9/QcOaplhe85W7V3IH2nunI8s4G/YcNR58uudHzVgZ7t/jFe4tetDa2dF F7u/jL6o3Cw9YwurZUqQFVyL+swjHxrkbga8+sTc5s3EnHVzX5njAdFhayJkwp9MWgJfUTBIECCp JrS71ZQRBDflVqW2nQ8gLMiKh6rxwCS/DVaANwZA5p+XtaiGc87Fud6W7bOIgIki9szvIs03+waZ oCfCz3HvkdD+jvuU1D/f8vRz1/schk4QG+de0XFsrrPc942WhZTqxLu8st4YXlGMu93l8U7QkY/D qHdWp5xQPrnlbfREHtAAk0aYEio1q9EjlkzZaisuA8p51oMNCQLjAYzbbDDi8/1a/hJkpqrkvhlg CqC9isdxbStScg7j71ksfKSjUUyoUwj6y/9CYX1k1rYShKV+glfTFCyPQADY9wR8bKNYmbZy80pN zyxRd/gQ6g0UNyHcSBYWFF5wb8AGkD5z4aogtnO8ervcbq4wYdKimDShgdbHDawlO4VlZjvKZ8eI lkZJoH2RLLaUnhHWH9d5h2nuyG3G4+75k6oV5MVJ1shfCCRSRdJQfr8kIyEJTJLD11A+2UBiaUeq H2hpBGQfUSYhABjcbks6MfnStOVX2PMshoZMJCvH1wQUymiG2kjfRFDVYp6nQW7PtdZujs2JICQu F7Wds3n0oCJ6TIvS+XZtwAr4VZxiY47DCtD3b4Fqh7n5+G8r9KLyVBpuUy/NjAqnLcSb0N6Ychsv ZOwxkb9fXeuOVbZs3R1UMe55lJre4qzM0hufXkK/XLEKB9Z2HGoizheb7cHktF+U493kl/tpWkY+ 7+fBbEmre9+XUFZh0EN3clhcHQsPwBxiLh8qdn0N8vVb+YFaUX/+ZNxKsiMO550sZaP1bix+q6G3 qjheAZzJ1xro7qYLkWzNibHw26DtY4/DvuqfPyGCZ6PYTyQPh59R8BrSv7sOQdYPx4Y/hIQssku0 rDDLi01ECyEWlTt5gYHhWqmP6MQVojbJ0+5QLFxN5LsDk9BV3sgagP9vGzwGhhfxp/h3WqxLi9Km KRnwaR76nv/ooJe2sezZzTUNPa35Jzo+V1lx7k5CGHKtFfp6NpBLps/0NB4wQq7PyRGcqzS7tp7Q ZjreHFnraJlVVH0b5THSVm0XpY1UQiA283ZO9nfOBb5oL75HTXekVMbr+WFV7qurnPkPtkkcDzNe JjjFjeXIoiK1IxKu4as0y5y0JqZv5+1ZhUwGKVYooUxSz4f1bXOs5+TZWETslLXIdr/v9y7YfAcl PFZdP+aD0gbS0KDvD743tNcs7EA+2rMxH/vm2GgW0Z51bNU/H9vIluYASK8ILk0AnUU6QHPxtwuW +ZBit62/ZEoVup5gOKQjK/Tu8zkREpmPrT6josG1tE57Do9s3uFprwnxBu51VjyXjz8MFJlWtD70 jT+wX9SCSJcQlLOrsEpm/mfnNeGrckIm5d6o9zVfqd+BQkoSdAq6Jf8FwFPOwDYFdQRvY0ienRc+ JMwg4dye3qmxAeGkPLa2RjZn46DbcFC3wT05cPMQAoduA/xX28Kk4OpHHD9c8Q/PXd/8hccNdCJu sX9XCg+bTTGYg+/hGxPvsS7OzfiTiLXoDQ52ss8tydkFakf21MDtEpV2XbrCiLDDZP1opvr0lqtE JynZL5aLdhtuV+jx/h9HW6r9tPmih6H4KpzI8Zva7TVWkRS8yuWdYfjS/0iaT28fmx5QHEQ1pPht CeO3XVFtgXmzbYQX4Z/znUbxnfblwP7D4EBquGc5BB/vbNLmL7w+XOasw/579kAue2CIiKmqVfky 4rXyVZjdUbn9PA2pCO00S5CLMM9m6TZD+P9kpO8/icBjoByZJ16P6VrP/PcY1f+SF2NaKYTE2e4W O7t/eKVzRjgOzXNbDVKCJXzo28x0IZVMRHurJKLnZIMsstgHkfHO28m4ulWvwnvibp1hhl7N6X3x VRS3paHA0lTjMRwbmy9QgflPW8K906cvgdazG7h5T94IiTzSmv6DMSdg8joHsO8zEZLXhzeaYIbZ WLg3YJj7NPZWWpDuUSIH+fpBqPc9KfV/m4BoCFLB/Dq5HZ18HMa0WxdfdWuR+Qr8w8OLvoY0yw6c mDmNrUeQfGbrGIjQH7tlWLkTZGj5F/gtffW5W2+W1agoe1kTr+w+/yWjjuNp4cjIxKnzE/TK7YG1 brIhVlxpvfQNX2xWzmSLYTRc2hnFuE5jPhJu/xBxEVGVniYcfgwwm64+xksC4kTpA8TFSnQ5WNo+ kThfwfh7NmjorciJ+O++jTEZIbs9T7NDwC5lh7pyQmNRwByKSi7kwdEqQmNfZw8VTvSOPkLiIrTU ydKYBaXS+fpjFmylsYOQRgJzhzulJtMWjZ/HDvvTFstqKnAl/VPYy7M771ODhMtlnFfFEnvp26j9 NfdqLemcetjYE/kWe5TobpzFFk1iCD0onc//M7mpozF9CMxwRzFsw4FPlg+BduPkRPxmIa31GZFb 5gXJz1KLVKW3/vF/oB91sSSMui4RJo11D08+D1x5XCvRvQER8uzTURYia5LS3VFisgZ8CaYnycvE ZlgEXlEK1QiXOazjvXCa+18lTkHTFnPq7AQkhO7iUhEhyd2LUZWPSWekxFuWRUmlL31ZAr3EyejZ aMW4MmiWoHOxhblOL1RcOzwiKF0Uy+UZ16skWWq3/BDdHLff8NpZKDzeTzp56/6An2UwwDbjkb0F 206Ib2nPoAgmcK0kd1QJfyQMESObu8yz50+Ip3/JDsDJDP2LUK3QwvqRA5MqOqlnpKm8ML/ggXAe EGJ1Vd/8/9FlmrZpYc4fwoQ6toKyHx7GStjfW291wHAt0KQNYeiDTXHY3DI5m7QTtnFAni6XeJeO 0WNiii5I5MShWRXSS/1Q2KnHnB5F3Ic6AbJOB2Q6gM1VD5uhr4ZkFHX3G2xDYGT3XH9+pAbnc1Qu MUgxPtRA300faEh32Ay2BYY+f3EHNMVQg5dbtH5211+MmOgaTfhGDG5+NbayMuBro+h8cbvD1GGN zhn/TB9ff+IWV8xeHp2GMUQCae7LcpP+wrE1NkXkYycpwZ4uJ20nDiKlml5IBgdLB9lcDr2FCHmb k3WckDpK43SCwldkL2f5G4+zsTbxKhIcsr/GDly7s5tlxCsSf5ylFPk82JUICM7bPK3SNNW06GXA KtS6E/bsa3fSLG5v6W5wDISk52rVN6X8jf/0dHIZOUmcTQNzPLLR6Esl/RWSP5D2Kp7kKl9zOZzz p52JcpLrrIRhohro2lUrEhVQgRx0OOzGAOR3x/zTv8Wv+P8XCKy0H+/BYdbtI2uOMLOryqQasURb xwYCrjkir+OAagq/If+TtD7GaZ3naV+3JzuF95FPlMrM2GBLAg/Shwdlgh3SlbCaUVIFwWpOpU+y 2lnYshhUL5yIPenHZVe4nvWe1hvtjCKY6r7nipSLSW8WfA5eXiqfxffrtR2KW16YP30BMBRuvYwp 7pTrkYn7g/bVJ1PVO7DeUI149uuUWm8fqCQG/FQ4WuRKazO6ENY5Wd+rLIa93pbZutxpNQwsUe3f gsktZ+Gs1m3mhv4DN/yCYO2eHUPu9tsK1z7l+N4yPGFxTqIlvZIjLQQEbZF1wqAvAfhRn+u89D4Z zKSQ9BBqWDm/WNYvhmCzwLvb97p2eQ6eFVHQDf7Wog4wuaCKlRN+qiuAzNpqsDGHsdwVFPitWJI1 OEybNEHYzv3mkjpYWI05ydVAWzVAW+SUCbu5/QHdmslrV1EikQPgug2ClkSUAxjeLeEfbFcRwvlS 5MB4QbvDfCI/FV7FS+ilbX8pvPuwSXbphEDj/atqxEdsPwVvsNVHWG5R1wpD88csH89g3YzhZDkO IIYvjjwJshOt512hWMp6u4pE0vXdpD4HL8BmZ6IqtOKCFpHjr4nEL6w4/wADQtBFd0AVd3dYD2Hi hpMM/fA7lGWyDApQZuO/M8VtnUqNVf/EIWrodiYnwyxBrqdA6RaG17tp9KMhH2s/DJmSo+jAD0Zx 7V3lV0MC3nMnX8Vxl+yqetgAJeXM1CF2n/ghp+4Yt8afiOfSot2083NHhKLdJFmO+pPLRXGViFzS SJHNuDIbfhcJvigjTzN5DxZhGyLE1Az7p6j34NO81bEFLjjVxUqGwjXybkgRKKtkwaaPAgQRmMuR i/Yk3UoDshNpUIXUmic2vrYya7IBzAgoWd1kdeNk5ct2YiidQRDOmO14lP8ybK0RbSxfveFXXa0x qayXNohO7RPrmg/OcfNrAWO08d9vENP6rFGvbernc1LGUgMpur6hG/UQtZ7dD4DoAoJhiK2tiTyg CsyMsSba6eXFPXOzGq1B7ICjyu+QsBByipFWztKiCjWJRYZUaiaQ84i+aNMB8fT1wuFR/903zrBX 8MB3Cxpy44Ce+pJP5gvJspvpMlQCI/aDKfu4tpZfrVft6dA8GHQeau/mHwVO6OE/BW1+3xCKvH0f Hvp0l6odjLAQgE+erbBvN85yFsrUHPWcfseZksOyxqhk6W/VJIjc67lvbMYzgZC+FOy6YAxu6hP3 Q61uz3F+ohrOAUK/yzDi/TfonqEIIpzTlnFWSYFU2PyNH+MbPtE4hUwurNMQepJB7uGUPwNhT7fy 6+aWVylJnKQNyBSlISSPQ1GvOxPc/ZUN+N3vQFx4u+DwyAgaMJsTw7ce87ecuFI+x03Z9QtB4KWe WESjNYwHvRpNX3zVMFPdPBro4nxxP3A8mfzSmWt/Ki1pTD23mAEPi5//Xw7Am6g/4NhCSUN01e8G 5sKUiEHwTrHZOiu3Ok0k5fadTySQ8LzcpCp4cuBsh/BVsWsLfM4EXK5kBJk8I9S1Ctjeyl+HH7MQ a8BB8nCjI0PmjgC8kZHGT/9KkLp6dbU/jL6ZFMSXLF3agpY2rYUNi7sMqZINKYq0goHdZyRZ5Tjg YZ3XmlplX8hPn7bYyPp3HuTHt0/u+9lBfmbiOpSrh/5D/lF0kJANTyAeQhEA9s6OJNWIMfmN0A4a LoQmD+28u/BaC7KLa9nC1qroHVFlnmshZ7kYI5ZnxLRRzgAU3+YT2l/n3A6BRFt3haD20U2ez1L/ lc0MbTjwKb9F2x3Fj9swLPsuRsDE+cXLnmXGFsqoCSeGqhI3J/M56sS4ghZu9XbOsPGHpyYte/cP qxLMXYvxuaFYBPuwnVkGvApff5w8X+shR3gqshViZVklC8edo3gHJ2WxjTJ5EgPTwG0JKokVCYfh p+eVlPhR3GjGsMipBa4Bpax8Mb8nY2kKHZSssFXwn0NFjJtnBqxok1G043crVD8xAwWP3M16OsTF L21heL+kzzI26NMTQsX7WCUvbt02RHGk35SMYqx3Ju9pRzScc517YgW/xradhmHdPhwGTkaQIuMY 24bZniLQNogJKQROGoMX4N2rVVe31EHUgpG+wlIHSX/KDlcLB2apfxaPswUonw3WKpS8vD6dAiJ5 vCzgP/S3jirvPK3FpLn0Lmlt15O3uO/edwMDCrfbzKMFRxqFp5UHXyKWVrLezrIKkY5INhzuEC/b RLbXG8vC94AGe10T/sVZn+Q6QVFkGp1HgB3K+oMb0sghn2UulAikM66/KzwLeFfXPnwvdDcAj/w/ ENn+WFmTbUzL9BtYqSVMKhMOsghjdZO5S+avd6rG381SCNcpCJ92mo6SRFB1ff0kPmd0zA9yaWuG zShlp6OO1wgZQ1+T8E7qZG1V6gw4525HBmOK3pY0YAtIcyQjQ8BnUmf0NW6bihmSv7NVQfmCWdw0 7pahDfeWuUxsdocgd6AwBnFQWKN6VFKCvGd/iupX/kD/Zvmx+R8rf0oFDX2bGYBAAk4KZGul8tWq xkLuocDONxmjRvzr0JWtC8gWJil113SS7An5sZ9RX4kYNUq9RQtILrzrK+TPnFnN4z6194GYLPc/ 4EjvXaQQbBxPTDWN7L1B2iwMbCR83Pw5qYzK96SnfgMALuHKrm8fXDZvFx0+OFn9TXNPzyl6TPG5 dM95iwgVPpUGEnbs/408ICGxHknjWQ8aZkMxfq1mBHR6xJaUYAYQhlSwq888FudNrdOrGZuy8IsW n5J3HEj7dcWD5SRJIXmBh2XGMr4mRhcgC0hJEkLzKPulRqkVOoewod6WknCR80O+cZaMirhEtMVa 66xfUrGT/m4e7igaWAHQZoJVIDHmZ6NFWsD3VH5gNyB3CamgjLita5uGaUgfqC3SAfIcprGQ1io9 IvDqnPmwWslC0bIqB3C4rCIooddw6k5zf2+DK5IxFsjvNc70k4G3R9s1tsws3yjuyfHHFCpomPqT iewsPfD39g+NtkxV6q8Ii3gYU7aPJBg3eMTCxiMCKj70pxEHFXwtejc6cUVUtzfGpP6nA93Pz9n/ E2wPby72SVjZD83knRwVe1DfJp5zoth8NRoyLgEwCGVCe0z01dW1L7LSrkec5uXSlm3KYDu10eA6 yH/a5ID6aeAEUv9taSPku24tRUIOL41zbkkGJ7jYuQEddlwwEZYYoUP9/owFDg360eZQlaS9VSCH Zs710z0SeIbRCtnchgV8w93Q8ayHnfleN0Oj1zKyNkSjHQ0TqXLPrtNuqoiCvEeHJZbZh+6J6gA4 vwyk4V9tG+FG6prLUCdZkgi/P/t722mpEEliTyMSXI1gTA/Q6mTCNtuwcGwTKiG5pYtQCBVnQEcF MUs0rWhjwsX+NAP08pRIQqk5yv1amtvbGIuhRAnKHj84Csuf86PqGiLW+laV8DEzSWvgxCBb05lJ 18Bc4iDyumOJzjxEY4x6aehf8SAkFAY8ntKOEbXYkkkjZTUHbQwfPsEw8mXODhtbp04e4Rj3lyvS swt5ex1WP1CtAhvMWfflFbHbFDbhV79viAEQ6RfPPohxKIqYx9rSKltI6sNzuvL1n0irqxEGSalE Z+YA0IhwXyX/3Ed62fm1JRi8+IG4A9/VSK0GINO//SNXnrN1rJpxkq0R8vNzFRjpNnKXoeiM5DVi 4I5oERccHNIzmAnbtDGX+XhWMXzTi0EcMQ6u+/FUNI5SIt8Lx/aM+TSf+pSzV0nB0NC2oQ/30Fb8 Cz8a/7SK8Gy3rw23LtXrwcba1bPDfbeX0z2iWEV9VwS9Eimz08l5epb7Td6+Kmud2VqoFckYFSNE +r7CFEZlbISgUvirpy9VF2LpFhg57AAJN6W9g4hofPIEpB03HplFMOFR4aXk5p82u8wmKWqHqj6j kBZhza1X1r13nMOximnx1aRCPtzfWVA/8qVnol7uDyf/oNiK1NyYPPqXqPm3RyNGtBZX9Y8NGMUL rCl+cx4OUsoh76DqvPhgP85MzMbkMci0Vufw5QGTmy6d9Z8GO9sU4IW4WRXNxJIDz6Z9bgczUgRl /aLW4ZXwlPs7MbxvYCXBh/Oc+qvd/EemJhhviZPDn4zp0TSrcGjlSzXiAn7yrVCyTi4mDRaUqI5o /TbNn16oE/vMM5HQ5ZflLVsFmvVoKY/owd2uoUGupyZG2bZPrVfk6/L6Pgd263qSMUH8N7iEHHIh Uo6hqJ2BX+exgBkDEOXt6yF44ZMC1pDmjjUpDv6Nk6FhfXCCEiHwJPIWNy/EgiTu7soMGpSyza3v OuLKDLK5x0lfKdU7+Q/+RklDCjF7O60Urk6/YRPJee1uZPfvczQaOoLue1S1H3NjCvbGZe0zbZ45 2oOCXyyabKGiVNK699s3+G4FWDsd274byXte/JVWGfTJo4LZ6G/z5/4yBm8/ODk9q3rOG79htbCg etfkJ53v87lIFRm6m1dcbbSccj92clWxmXs4Uz8rQip9WVU/WT1QwGoXw00q9uYbzR5gs1S3lSB8 FORQxo1fKK3pTkf0hW2adfetVB25xraOyq1dVLCOZuYn0mYRrZq5F5Wn+9v00pCFzglNID9Rn0Cm 2xqw0vY561E9gYO8CxUgyWOSvv2AmmGJj7jEyNVEJEigdOcoI03f/T78LmmlqMAphZnwXrfa2W99 NScn33umgfG2gw+9GzBtLAzGwoveqkKICBQq6+I9w2WHm4zjdHS6Tw/r8sa72ELjD4o3XUjqLe+T hKxLqm+hqblcp5xSKK9jhWaz3B6Iz/wU+FmAhSYZk3J6Ey79N2iNLJ5zvdK2lQRkUrzI2h94xhbf OUOo/zByvUSEo483QrC18/APo64BTuBQd294O5qAX5KOClA/rS/D/mI4fLejvJ8GmtnzBiu/XV9i 0XKzuRb2DS7JrNBr1JUk4xpQ7jCMqQl+DXfzejzcyvZ9L2cuu22ahERkicrpjxjJXcU8lfcQmNW1 WHkcq9Y2pMHF9RmOdOZUm2oqaB7hTobFHWJvxHw9QqS4VDeDJ4SDLEmGMGQVp2XqRkKz6C7YDDAv aMjT3ZB/11sQP1jLsVcVjI9U8CSMT2uSuCF9kTXuZXRHJnVTREXEy9E/AjhNt9NVACoCZxm08ENB pD+8kX8gQ42eswAbY5HRNFiIdaDkX0cpDFDjXICkM2dktdp0RSzuo2npgYogV4AQ5jTvq6sh6n/J +nGPnBMj+sbLWY4V7yyv8i5BlzS1FvnEPBfPfWPD0CWaHTR2IFaRR3b+8EutqCqTlmqjX3xOy5yu zKoxJSnkoF4vl3iECFj1NP5jjMmUjDoSjr2Nao8UJDRW++puxUq6Fn2WdbJIVIBSzf7XXiRblMH8 PgB7zjbAKxiwSrtTWwzyaYHK4oI3P/FXJlQ9yFJYWhnYG+f7pE1dMP89qwO6CRsLJEmBSiYVDIWx GyDp4gAIAoT25H0vhNs/J3hC0IeEpS8TMw0ias4wk2UDOVYU7NFWdgGtHObB//BtdJkonjYdPbQS YzIVfLgGYEB1+4xdgET2cxvtIGD2sBMc2/cS/5+iZguG8CohujYoYIDarodqhM6bmZaM1cQzFXqO 31j/BkXb9Ypuv8kvRSuoxVyMmGwgy6q+osuCH9UQMnCWdjiDwRTFWFyniM8/ldvN7jiT3ZNjggbY /toh2rkS23FO5Dp8s602E6NjPYXgHbbVum7VSBu1UzrkigEVNB0EV1VnZVtOCgtby682Ipww/QkW x6rUTSwJ/ZC293sE/Ng0sprRWyN6TVHvd4ztPTE5tfMnUi5Oieob/hgyw/gylGBNDrRYZxCHHs4S Y/jMsb/4pTCTct/6U4XpHweSSigy5ezUBhZCjffxroJIJouMkCgmEYEqzToVMgt8OZH8aGmpJA+l LsO94qcknHJpj30byZl59DahVCVVKO7zDOxtN1ySIKz6PhPmemcBnMncUyHCEtDhYhqpfQkcD2iR 9qXZvnPghwq8/poAzE0tCIYa5h8kM8EaLbV+fhZjqZTuhe2+hRe5RyhcoaaMvIEEr+N0KVhv8aYj LWvG2CJUVizTSFy1CbYazkWYUQVLsCwArpSh9q0yZLd38x0vvOZRPZhTnpMzWILXLupQppBwV56h yh7S+g7Z6V6CXk6VFREXufJiuaD21sAwlyaTDWZ3wMmJaFDh4LlNwCAIFlunPTPi4kfAP6P/3BEY GK7i2h7j0mMQFzIIJARYZdQ6bsEC4i0K9zoA8ycVMNgJalADDZoKKny+AbzYlkW3WZrGGAYM9LNc XYr27lC+Hcons3xNZKZswtr7368T58Z8AsogQTLSfmM1W0O8Yfefqrww5JT3Vme+Av+iyXk4mMhp iQtnPEEmnOcRqAZ/qc+09I3kua9pouWtqyNia60X8GaKhmEq2txT4gCCfWo5/rH1/cbDem0yhY9A 1OzUAhZCeRHPmOIgppaExFXwpJ9MVS4JiAD+Lu/0Gr8t+OL3GMJv3nf/UWKnusZXqZTwBlG2OMAk z7EAbdmuGB06f7yxsZv/BOxvG4r1ZcvuN7IYiezPC8wd52cSSnUzaYT5zT4iZUll44ifDWTNT5XE f6iQ9BCyk8p6MAdXZ8uSX20ko9XRXE1wmhDxKfieqyobcPGxvqYmcKIrV/MoQecYz68oXaFsBepG d1tn6d+wwGWouqMxHFxb0STR+3wkQSS/NGg8RXj3fe01YIF9FvMctBawIdp1v8ggMXKZwZgcJb4j KiR1nRCUb2vs3Js73FWMxpf9kxn4vsllXQtFuIkWwyQ4yYHIGW6iArFmucI/Ru4dAADVOOms+5GL LHAguiMqS9WQ+M72eTTaxNC535eTGwFE2zsl407JmelrFMiLCOW/c3VhEpu0HNg4hTeYTYPqHymQ K5a0vDkE4JLYacajZMEKNDzIW34yhsxRBUrJtNiuHmlW7UplyhKOBrUrkSmpmw349WON8F4xV77F 6hTHAigaE/04Iy5lJcGCkhtFi7eQ79ZybREZOYjr+Ss3Vc/GuG2Fvj6k/V3xJ2Nupc+q3/AVl/hK nN4Lwn+BW1OiZTN53of7uSgTp1x7LDNvscaT/GMdTG0Jhv0fjcWr70GtcUPnIwrmPkFjUSilrs0w yFatgRPddCaJRqzh08GZ1ezL/QB7cnYYJHJSa0xlCK+//C9kOtXcKKXSuexewT6rrtei0qDH99GG WrWEjUMOCr8d97pHEv3iqiGroOOT6SOVPG99iRrURPUa1+OJ3kDPPGj8NDypRnudsNPBnAtGTWuu 37L/Sr7hoJ0dvd24hjMMTw5s8TR0n8AKDBv+7gvD9IN99k8OGnTBVrRsKTBJX5+ZBY3UDZoteGWM 86VJ0wXaDbjCvNp+CpYUpJAR870dSRToFa1cWb1l62sW8XyKi/hrRfQLohkaAiJFDuuTDPyRPdrn SV+0SWTNoHVDSAtXyiSFnSnkgsRa6xhecwDofEhD8BBxrz1j+qeg2s+IbsYP1cEncmZ/MIMBuemi B6srYO3sXKOQFGcnCF+WPf282q6GsSPXpVemENz1gghIRrbW39VkZYDMm7/aHe4A6+shwhG0DcVM QSlgUjCVUYF6D1FDyRgQns1ipHqvSJgNQqU/1t8mnaN+Q68uGjjA5c8FRto5++pycZI0Ux++t8Ch vujgaMCtMdR4US71rpbzLtwy409I0nIBgztDINMtlbb+FYnIhT48cw+SPe16FkZZnEUeHkbqsDZ+ 5wruCMgJOVTCMm7sk5F+5laIjtFCWqCQqeVpqG4HH1PFkPZp9wlcbc376y7es/Dy/yFeO+Fe9UdW JnXRf3zwnsH6ucbvvx5EyQt/SbvpnJ4Wrxt4CGjGAN5xb1uG2rSia8guGsPDcLkZhIpTtcAYM0fq SwmFmyXWuLV0mMKNijuTE+MWlfxRD1uvdnKJpeXZf1eh2y2k0niA5MxsK8d0/jdcZgqBz/hX4hlf U2/jyYjhJi6pv7FmLKTnHQpNI93uELYPvUt4rQPf4N4PjX4EIQEizVUJlDhc3npQZXrfE8RLWwXS xa1PqCEmdcr2HAoLYoWDzICpErwGJ52BCPSCwZgaytM/e3PC9qpyL4XzXVjK0D/4Lm8ER4WBoKLP qZvKNDORALTM1Q1sphrM18dSAeQw7jnodKAi0NzICFyufwgLefJ9tqaMy88ZBHxnvAj97vZHm8tL XMf+gtxTV3uxtNWjiIU/6pVItNdqsC99FyQMyKB0n/AuK/3xt62pN66kxO5sjBzQz6MrBmaeN55Z FKyE4S9I3L2X0eBGbTWUvIyvGhNiEz4N/yfuByr2tJmz7+1nBJvHRPhBMV7NKgmDvZ8A+dwum7IO PrsTLkYdF7KhZUycKdFtN7jpxeAhEd/iCNBCeVyxhgFdF8mME9Kq9uTRi23mOXj9CNfBAClDbMSY +/cHDUivwYllK/xvHTlXPZ+sYxDMRsQwrkG1YXoi7gNB5FwAjhKaXo9rc/Bl3vNsXTHqkHmZyNHz a6P0MG2gWUQSZcyrU3GYp7TW7rbomYUBVmavVSUkzWInoKgZaF472dAC/BpxhMaSO6ksqBQDfyw/ vphy0CbD+Vpv6p9WTuQtfYtKenqucAX+OSSaFPW37osIKWMBCrGNmSiUoxySjNx5UtjMlG25qTtc egYCY8Whj6LsLcSn/IipxzpyEkx6TB2/ygr6k/ifwnKVtbFbtSypZc3LRID+YtufFt5frfDHd/Tg ofgT/R5TL25SDzW3WSZ/BAfNl6oxEDlLVH9obVlNiM2OKmTudDyh4ZJ6vg96L42IxCK7MMoI4FAX CRjbXaAePdAVPhwAEKUTLh32TBEJ7+cGbzXMjplEGe34K1ujXqcHqKaLjiztOy26RV/UYgQhtugF PHwweL0BwcUQ2d0ql7YNDMX8vY+0/uGpKPabBthqpWm3wCZCqRFqT+WAwTrtdtrzjpG0CD7rGnLh p0SbGcHpaoRHweGzuyQy/8Y4rVvs4wcTSeWjVNzfyfRmfVxZiNr2WutSryVj89Zi/uzYYDWeVWPb 5xablLNKr1FztBDWYCDGytTkkL3qrqRYm5VWSQ+5/omQLVKuq5yvjUZq6N3NYlkziN+1nG2hMPbK k6dJ+5y2ctuAIvdL3p3X/u4rk6842UAtPvR6hJGmM9jYR8MLFHxEU/rrG3HbL4O8Cp1ZhxIgIxh9 ywfeFFPJug4DeDLbi7adK/0k1BdBzocrb8escxNFYOxs6fHK+iA2jj9NY/5mtl2fnEYK5W+vx8H0 CXEPkzAaQt8JZoh9QqEn3tdzE8sGNhltTVCWvRIRAx2EFHWOkt8dvJhA+UL0b6d0JiGGefvvKdxx 2wlyoK5apgreRy5TXpYKTW/P/wdy1sVNHQAg0KhEhY2hzb5MMLwxrE0cB0HH9soVg/n5dwsH13H9 32LNVhRy4v3VeL3Mdw6qbUL5A+s3psFt2kIDDH3/xbrGOevy/T1C960RqJcv9dD5/+ZuB7HeF45J l3cC0p6ryk1s2R2tCmRIxy8Ftab0pk+5iZTj9t+hCyvqEGk+kJy+FTTtgr26eHzM9nL8f9fRDM5b S5096SqxHuFnlcIfIWhFzuKcdZCUgT3Q8gHoG4HDfcEI+TlVcrQC4EZoZjW5IlY3vgem7TCP9KI0 hLy3nnQ3cOLKKgvIzdnTwXuaAQ8HFSd47SIy3Vz97DwMDq8rIGRY5HQSoigQ7Vt6CDB2Xxly0my7 tHJVO/F7+QZj5uupFVwcYike/a1boveIokpofwl4GnAW673Xm9Aha6f4D7O3sbGCqS5WP1HLn5sK mwhtyrTgS/FzpXn+n0SHdOe4yEaChsaZ+1K3e3GW39FTYqXfPvkAlEIAQ6TWEQKsb70TTHfF9kTr QiadSgiQ32Oc85Lp+udKcodP9RFef1Dq/S6AJqlNxfNwScn0cQpl27pHGPPNYvsM8vR8oXliCPYH mDehZ3ah2wRbBiG7+R2r90gD8p+Dds6wtn79W61IZ8p4HWDLVKbLk8rfh3c47Ytw2yWVqEnkjJp6 Bhak6Y2K0M82pZc6vfILZ5NdlNYEynVcETd661J2jQBNs1fPH6c4GvLOtNsDS+HL70kgdwc9iCqw kD/z88fVIweWguZLwpMSD3dU9dFPwG9Vrib23I4inzHpgNrvF2zNq5JzvMxRFs1YuZn6yJ60KsDj F3tTt6GkAoLOzKvwLgcYi2819gGjBlzffFYK4iwT9JWnq7ZqFXUlJjh/xSeyyPIc2Qc2Q2Q8bTUU MbrR5XjIvZ8OLzCrlHsT/iILvKkZt33YpdHGeJmiWE41l0qW/kLpdN+x5wZ33MNGVPwFk71VNvd4 6G9j7YGMQsYFDpwIlr+Ep9DcdFmVY2ryH8McQKfe+nXtkNDqxtfL1EHvFpPBnPt2Sc/u8SA7nuJk C2pFwcWsx8g4ElyMU2Ul3k78wMrnX59z6pc50e4uoUn5b1KSuCdQqalsdmGChCccIQVJVy2Nm+9/ OtBWZMxtp+nEbCtReO6CBZfIHdoxWRoCkGTgrK3neg9LE9AMI2NY3MJZFA+gljS6hO5f0iwCP/XK hVIBq3OXJbfzEjVpd2W5H3L99qvugdfO2UNMGItWeLB+a8O3ySQqAuxjvZW2LElxTDoWBC6AqVNs i0E/wlAkk+BbZmah2LzM1WnGkGlj3vmblX6o2W5ODxtiGpGttJZumpoj7WdUw11JCYGOic7Xen7G ZMB/FBnWGjWDVKL7iPV90jZtZT35/L4+a8/tZI57KZwLpqaYXfkHFDG8dTkt7QbfqUPcAg/b84uS 7WO1nDsLIgvFj5nDhjCpTQv4puz3CuwlJbonyrkHzIrC/FVzwdG/0a2G79ESiVQGON0widfbUosO XtBZ0IY8z7sv/rAAwdOCNkoEoxt9Eykb5WuiIACH+TV7xEbUmzoMZlo8IJrHzplf1noVvrFU6uA2 7sQ3V+nRA8JQw+xcW1cJiv0ACY/nJ3fdxxV7fIcgecS4YT3QBjAPfmeGDesIM1wuiS6nTdYwTkrt 7010ivZ+jmtTTzsqbCaDqetG8C8dMvX5cH2n0rCmDvVg9ykTn9SVaJlgvwtEJZ+iy2uKD9lca8Ca OdyGMPeWZe01dsFPm0nZ6Wf7nHpORdpM/dXDVmgK0VNW6AvaAgH7U0AO/S/q9vDKU2JrKHXtWfPo BkC086s3HflugifqilyPk/f4Uz1b5Hj1p+jHz35S41dS+8Dd18FmEAsz3cyl21hlY/1+cbVKdi5f 4QlH63Wf+E7g8g6I7yqbasTCHBcc/fIKRUlNC6DdjuQ+go7LqfE4Ugf1Kk5HiiWm9KCP0NVOxQ5E QOuzAlj5nz24THS7gX9sR/0wFy7dKyRxc6HMwoTDhef0Oi4nf+o+Z72FvEL7+/04qYVCjLNT6by3 TlNIImXCLOrmCckuog16nzgoch++XOfxlOgMAwHUdJ6HbSX+4Z5GywerNwQJulwy/vaUuoW6QXcm 8h/Casp5+YdG/syFMOKko3/N1kQGCARP52DcDJGVJhJWnQBFl7mQ241P6hrWAVceeFw4q14thi8Z HE5P2Q3+6wBMkNlW6t2ppsUk5970w4Yr5MbIkdghvPJbulamXeMg9AQhuA7sZ/p+7+qLbpqG6yoI KmNywkizNY1fahGO0YOMdEnN8ItiU7i4D4JWSBHjKT+ZuHVyPPKjoi4BGxcUhkVMKK9WErvnwizZ YH/PzDrw1XvcAZQprbb0Ecu5jhH74UIimO+XKzS1TgsAb1h0q0qeSX0T2HJyTrhcNIaLSS/jycwd BiFw0AjcFQr4BNHsWQThUaCLhz1D/aoPxbwjOB/8UvoR4TiH8SH9Pw1G8Ki9raRbjH4UhlzcakfC RsmcQqqgRxIpp0Nnze9XkydHrODT2M8OiGFCVgaw6HKP42dI7UNMFRs8e4pSx2KyU6nJx+kNy/Mp qu4xsF8Rv/oaKvjbsX8F2IJwS9eXiYL7Z/U++YjmelG9gWuActFmhgwKJ78+ZtBwqNkoJDq4UrxM /IxpYDT/Ju9ApFOSBPkp7lET2SkWuI+SCN5l4dO+p8YXSXaXY95Ysg35pzUFy9wiA2U1SZ+YpzEA CL7TqrqnXyw7OFcqQjMjfkrNsKXv/qFEqZHNxt69vjyicf8106S1F2XhRDAD7zxEK6jLkSUYMQ5q ms56oPdmzeys8JBddjUqk5myCXAB1bE901mrjjALa6NDaXhBHIIoBNqWNjUsQKeB47EPPWwUE3GV CGowoZVDdX65K7J+rEiuseiZq0UD6E8bgbapoOlXFYB00QDrsi7U63E2wkmJQ/U+rjwUU5GffJ+4 lIlq+tcPwOeeW31XEfPkHiU16VxWk/Vh6KHsznUwY0MA5X9iTfV6vUWoCYOWAy5niFfHkD3eOV1a sAGLgqjswCvi/J9DNDRI5KS3TWUzZfXv8mzA4v4H8J7IN7KZUiagtAVJh2VxCQjj/FMf5dG87/qd QyQts9LuJF1rOOVuDksFp9VXBstqtm5OAo/7QJwbxBQYncNFFiGZLdcAPlgdfee/pg7ktUBmRvdq KWmJv6CbhtgiSVtEquYLdveMxhFUKpQQqGTgPmSBH020/cdvxvwGiJvzYavRbZQPLwzvxJysbSil MDkmyuUG4T/8pyCwM305CUcKQVl54YbToa3UrYUs8fhZC5l6AjaWnqNBMslY9h8VwOQMhV/hLItK mGQJiC2p5rI/VRRw/vObTC7ZLuI8l6vkkQ+M+9VCN5hJ2VQCdN4d+CODBuqgB+7bG7G0WkJZOIir AY6W4YNAlOEOINvKcOkCA1cMvESwfN/vPbfcMqHWD0wjghxHMMZ4d43oLROLdn8sSq2+pkAmlVZK W1qkBzNx9xCn5zTutvjbNFaNZs16opu0qt/0ElYTZILNuL1ExRSuX9/GlKuL5rOaD8Sdcm16GhKj G9p9oPmRWPw2C9pahljc9nhuqHOrPHsx1ucaW0CNQyzjgW+0ekGYrFKrfRtDRK9r8boE2IblbaDV 7dK/rzrBjT806zincmtGKlZX0o0yooCAxrKZgUvIj/4Xfq7zGzM9eby1yvHt/QQy2coZTynQ+Fth 2u8BNAqq/bs7YzjcsECHblEel90WtYk23XBX+YesiKYBNe+kCc792evmOPMu7mKvLGig55RZqvBN TVoIxCcK/LHXqEwIXo6iKS41V5iKXhJDDKDvKv8rDmDQkFBx7Rs8eL7YO4knpmHPZ5MtTXqjM8zs 0k/q2d1kutlbqz2r7w8YZIpYFRs5wcrfJr69cjx4VHZ0RbIpB8BqFgJqmkph0/49hYfUnJIsvjcu cSR+V+6SchN8RWwf+as1wLM3DXBMpF2zSmbeSIaiur9HIiPSQpJnLwjPUV7G62Lrosih+Q9fElKT 9loIXuLqsAGVick+y6djZny153pgZsvjAwved+SCpWOKaXiZBPktzuDt/YCEtcDoSxI3xyQvqKas suvk/00ga4LQiK6UqgiUH3I0SQAIeNkdRJZUtx5ItlFMRyvjsYha66ACXt6Vskgr7FVtzz8azhoB 1yqJEXpvjgG7LpQgm9YjYmVOQByWx5nuT1683ISQJYyrCl4B5XM6IhYppUBngF/051gvSXWqqtsi dRb6CApBKMBJ60tdaxvCOXnwpilS/5H3wkEG7d8NSRyDO85GBkfbToZh/+OcCX4bmlVFJ3rxi53U 5T5nYv2VCXol49qn0Tc7SUdrnRQ+uLwAmHJfKaLllbrA2bsvkumhMFpWl/lE2+r++agEs20nj3z3 ZLBR/f0KtVokxWONQSqJV9ZLBbugvlYxpqp5SJNoRUtdkC5xA5P3gxiApZ+r14fuSsIVOOOt8Zsi lElt6K8YC7CLBh890AP+BRWpCRXvitPx3CxVTjb4BSlsPk9CwA7ayJYut1BukdNCuwuEM0BlYcwF E/XlZEpDorl+nTz7KvAt59aRY+rEJayCvXmpE4mL1kP3qcPLDvzFLzlskBHm1nyemDViErIlILH2 dYGU2PqwZD6opP8/COimo9iQbhTB8RAv3HEpth1ra0YxPXDxB8F7oC/zOSbIUpxmEYPuXFMHRWD/ c0t1/e+P2M2oM05I1zTscRZrGzxmTu/y5ktJTYi+35IhZt+upbzhSk1vu8b839+zy+30KsdGTn1u xl/H8AGN0FathM+iGf+vhbbF7nAgSz0ayx80OYH+zMTL+QuUoTJM3HZqTOnvuqXS7XToT7VMWuQH FTmWgJTM/T53UzznVPAr4s4K4rwRXfl6TMTt/EK748rgA8IJZ/VSE8uc+9OqfQkHn0dfIODUOjQq k0Qp8GE3vXQ12PRTiXGTu9qYlepf9p5cAiKt0oVAFiXAajnxPqyd45U/53aA/gXdTQpQsPq8JjPF Tu80moKFO1rHNx88zNrBWgLK40pWDB46adWBTx3zmOa9m7VexKCmX0MNyJmdlj7QVx1tqcgCWJQo lv2TV9pnih3vHRykhkDej3xa+mzHFpMUHWsWSsIp6sTO/7s5oV6S869Ej2P3E02pn+eYLWjSxvrB aFSCPx8wfdrfKD8HOWPr+tffeb7Ft8z/5gmA/TBrmub8sASMqgHRFB2u+LVAZjOhy9waszM4j5uD VN36XDVz0Pb78nv9iQ3BljuZiyPZQfp3drmeh9X80CQgsiQ2sjj/Cdv7wKy9jBFf+ZWsPZdOTMy1 R7vg1dGSJ5nkqMQAMounBvEtLiy3SqQxmiCXhsHTs/WeX/X7YnZUxrlQmuELP4LpEP6FdUZ4IKQ/ 0lTmmzabYlNqmTUuMwNN6JHzD/QwcGegHzfTYt4vW+LNuFkdNeCorOSJMRCzCeL+1MuKfM2f//N2 T/24zMKhxvCx/pUkj2GqemNHZA6DCcwDWgfjbKqhjVdi0zyyHomsBG8/FXy+jGcorpMms9X8U5T4 wU2mC9WU2raYaVxTjGua/OU4+Oek8ODpLhI6hN/XjLjrv0MhVqi0+m9uyqMncuewL9ffoEVSpFBD uxqh1ld3d1A4mLj6e9oW0sUMbgwoz8L88nUD11xIWXkcjreyuKlGB+c4mYF8tVg5bZ7x4oSpWyFK Wu+0Nk/sDEGWvzZF2oEPfwMnizuhQMIELg/65gejF/JyNfFCjhKDV86gLYUsUXbGtLb7oqF6ChYF JnC6uTvJzbFAVBZlSsIUu6eC+ufYqRmXwztf4xSmcv4HM72vddWDwtQkZIURpYFO4PAVsDY1aA0p 5ZIfZdEb99vtBid4V4UV6poUAajStrX2MBPnmP0BaGKv73JECw5ElHeFQzh3D+I89094uyOd+DoV gpcGYZ+fZnb0S0GSbG+KwO5vclvhyxIGgNbk10Z60ttQWkFcIzbKxr9YSxZD7AVxToYfomI7HF2u kTtsqEM9SqHUJY01NjINXktyoMC+gAY03ZgeXqzNKo83P3UTNZTcuFfc2K2Q/HvyB5QWs9jDUPFQ Cxwcx209YpWRU0gKrtsu2D4nFP6YXLlMXMP51nHgZhrGEO21JOlLKQs2MQ0CIdNIc/LuD86270Iq UUMod5O8zwJz0/ggHXIfdtA26/VipbTTJkJfNEzeYEtNETU9Qrfk7fx+LZhIdtbQzet1IQh3cdog sq3GT9JhHOBwTec9CNCHmAyDtWmas3TJmDa4VxZNw5CTKUhfMkGA/CGu7qMfw3IiJ3bGG3u4mmNE FKw0CVcPVb/zsNGFmKSPqBmwmrxNAsEfkQbqCjNIGWqtzlkLI8UatvM7o/CTU2QkTtgcnNiae2KV Y8A0+++qmSHCRUw/XnJQxYyu/NXjwPbwjaIFDirk1J/JJ0Z/ojfaZUM+W6vCTq6WGV9ybxXmL3K/ hrte+fQx6QFklQkzysu1R++19wTCeEI24pCXsojuTDYPmEFfJihJWdD34HZ4AJrSMfIN6br35klD QvxxBrXbNF135f/desnTZxVUJDMV7q9FBppA6uLHv3VqHD+zi/xDKQ3URWk1pfM3/WZTinkZWxVW 8uFE2pxlef4jveaQo1hNjDnxFws9HdImM3zs3/3jICptK9eNsMz9IVSImuTGHayTFSbS3+RyCP+v g5yIRfZnJ60Isa95cCjJSV/QXBHXO+PwXYP+rrOMtU7boYwrEYRQGz+VbWFKccr9Ap9uJN03og6U zqHZC3Ry+D6EoZsLFze6gif0bE0B+9+D94jrkVjWpQre9ZO+lcYl6T9tx5fA9lSc1xlj5uzO157Y 4q9V5x1i5dLXkbCJp73ix90sqUxz9YwSELt7K80BSJzJI8y0AxJfyh3rarxBRK1Go/iUxoBk1BCR eJtl+JpI+qGmUD2TCJxnop8TdR83UuCJGbUpCOoDcoJPnscFmXx0+LBq93uyautpOIAWBmc+ro7L fVe8l6iHlHI5ahJCLQgAOKrpE/EmUlqxPlJdJHvbj3x99LWtt5CufmaIToau4CGhgDZNUlpRqhAJ 6PwH0p0TQ2pMo7H3GDTovNzV3WZyNtat1fmrSxuuELgtDm29sXtkt4KCcyE+Yst+zZniQMHuZEz2 ON4kHqubNduOY3vKVQKsUqrt54Bf5I6gIDFlUIbhFUFBOeW43egd5LTQgZRzfmXLi7ssCn8fQn5s hmPL63lToO1AwoFjUuXX7qr7AcnGx4E0duPuLSUOxpbixDgrndNk9FFsf4AGQXwX4MRdneGzAjMx yW7+YTHtfV1TP1On/wcmZW4321uyy2IH9GcLXzJuy+dSXRKQZ5uzbz4Rsni431/dAdrWnj1/vFx2 +zD2VQTOamsmphv0zSb2Bff2+J5fLm7wgvvtOsa4oOnnuXbTqWrEw1tJ1IZvnbJ/YI+FRaf8Y8BJ HUN2SpbU4W6vLeG9U5QyUVoPqk++/wLVOtjxwYdj+9eYsdyAO3yXBSxFniQy3FkH9nf9+/F5vHR9 6id3POY8tZAVnAlo1c+g+IC+Y7LfiYN6KhX5wyRsuW9Qjnip2Wc4DNmn689JfltLnoj+F1eho5i5 5newaLrxTPpssXJJX+3TfQoH2YKaKoOw6rR3DHWDpobW0I1JnNSb1+oX2igzS4cXyuGwbaAvtN/J p+3bQeEkBwbPVACp5AwCZLIuGyP0vzM/AfTzDf5GTIpfnF2cewXFevoFNMJ7AhHMpn0EuXt/ggNI LDSL+yGcmXpdCcs3YOVwBedY+SAxTkbiLcf9iYg1Gwe+JGsOHfcANPrDThc3XiIDygGzNiLM+qaR xKbm1mKsEVTQLxN++b7HGWSf/stXVVzQMX8tU5eDewFcWPprtNWHHn/qaZ/zueFYhPqWZk+biX0E eDNNU2vQtQLyNIWURgY4sG0It9+B8SD+R6ACguCNZ4I/PaD6ByYNzc0Xt4U1F8qPm2PsS+gAqxbn ZZ84CntxhF7WD51GnAmD8cpSaWgEWY8PQgZ0vD+mFQyrg0pzdFTqdv3z33PyfwbgKA+uQfSMZHBE Bv7uQH2fHZl9+FrsTggdG3U2IXujzi3TOVkzvB0ziNpawWVKHjGm9rk8HWJlmaNXUHhTkx0/nMpm rGCVwD9Nw35Nq9Xp8ZV/UM6/2mLulz2iB2+7Zu6+OI6o3x6+btl9IDnR7ZDnE5hNzJ2Oo2bnmovg T70FbrRR143mHP4xYg4dxvB7iIjreS1nugNgPQtPaAgYVjSYESz1cCvliUuXlXo1Nl37/fqhyGL+ /XfpqKMKlYI3H7iRRxi53c0LypaSI/UOZwG1FMjueTFMkowiGII3RyZm4tT2makrCVgwyGkLHfkR ylXUOaveZCxDvZBATj49ox/WyQrPPgCADrC69/1ZHUrvYN7yK65rvvss1DdHdS8naiA7ljzYwXws mM/pu7SUmQ15MoxhO/iTKwZCeMlp5TCVu17nOXHpdOTHlQdkU0/2IihbNZJIbDIvzvbIF10lT8CI vuwc4IXXifT0L2vy8XBNyHQmvJcpFbDtKBL9/ekxVK06vUfpfkFczrp65CDsyBWTEzNbrAFc45sL bsdQaNe1pIFDQbBB4AW2mnEmVGkBn/IhL7IAN2lFHyJJwqohwRqbo3TmjrGZ5FEIuz0uvSO8kVVD 6UOeAfZQz9ZJqEVuycD66pye72dwQCB4RHd7aYRWouPvO+Uu07xYLsnlUDiUJXPLBNR8GseX0j4e fqo72lqSqczVS1iB+hP5U9vxySNrw9xQQ1LtfUgLkxXU+9Hy2dJSxxn831KgRMiWA/8EYmOgLbLH wPrjd5HwOaOIqVBu5c/WeJ8ca5v/GRwCvhpHh7954o8DLx7EcnJWlb+zWp+IjPC4Zi7NP4zMWMHg I5BhK7FzUowyD9EBp7q50A/KiT25Lyr9sbOAcjhjo3dGPff7SxjRukQEDxFyNUs1zQbZRpFeedVj I9MRzcskT6ara+e/oW+ad8tNzRroUi2ia2JNAdBfj+V9IqtGSEQHYXGlMmAuZ3GBkDUdKwrjbJEB Ow+JmaoufjWC/9fjjZ/jiVYgLMfb5teSO9i4iQEEFe0Aop8cjPMPNsMdShqJGwoVMgHm3EzBE0sU /3e63utABm+W27N7Yfn37Px0MHd3U/1bdfi8GFkfMHSuLhLzKk9215APx9AHL2q6HnNuPJt5IO7J hXM/9lTRKHVqIhhMaMz/YHhvvllp31CmeCetSgXSXzEY9Qnr59fc3LNf2cb1LKGaOcn78qLe0Lds shwqc2f7ZyZal+b/2y+5/9/+yqd38Ge2b7kUGg/ZbY+hb2jhEe9r/3lHqEzuCNW2ZjKiwOrvueI3 +kk32JbNC9BnH+5UNXSyjJ5uZgd+8Bx7z6DhdUoehY8s2aFxP9mLp4/iN4fs/ZjPjr7kO8ElDap5 Wo4bgnKH43EcwnjxFGagyE6a0CRD/GGbQsMnvlS3t2QQcYzzdqFwaa2gEzXP5X1BFhQR8LN2qvxa PtdnFGGrUrZYM2r+8eF6boSXMLZJxlFRevLMgz7bfWali7NTYtXgvOIlw+ki31GWGkiuYmFpe5fc RonDj4MUlwgASTi/zYb43xdWs6R6e+6hOeEqczKXNBrrKA/Y9rACfgg33NsPNNTyqWlV8yvbHOCW PlH1EXZBQ4dH9h6l6SHcXhSFAQ0aIuAP5E7nqjH1DLZENbKoAuCYFFXmLeitKbPvkhxnL1E+DhAw 6UJXhsRq5yTJl5Iw3zkmnyreodOH/lcfHFte8FX+fgoe+Pdug/DrefDsxHS4Jvd5dGj92ykGWeyv AKHkOlKDYoyh0MzRMaKW/6Ydfgoy8Hn0+SY7LHTjYKyWaKom8bTA+UwS3qVUpqthbzJF9PQisNf0 KoUi1HpnshhHY6Cv140cEEJyHdjnQg97w7YqMdGir+xLtw/UdTpfgugDUx73u8ToM3ygngf/JMXj uvD7slHa6Ql9n8Qt1PrefdJsZHzuLbuQf/Je6CDARJiMpETEXph6JTh4fDg356PnkCqIRHEiwHlO RZa8AjxJCZH0QwKvTXx07D2egrlLKA7tkXFg8tqOVoFxq1n7jsqjJWQMn/RKYNndZdriGzWPD+ED 72mESqssjQYzDkGrT0J0DZLO8vTRq1cYvMnlkF4S9YxwuNKMl5A5MQLYuKkq521TcHqdvHfOtQ69 lJO/OqFONum9i9y09IRPPSpg40AuxBqS/TvaXw7aZ3EaPZixX5L93bOP211cZvK7m1o9z/vseGiq Rir3Ocgt5ipoGBAZLfRjapWvq8zAhEIp6OV3BZV8gjyix0R0fB8Hj4QZVzRd6iZbYdTixSmnGTHE Fa8ISM5iO4m0xXUU9ER4Ss8kMStwyRfBnOLlPTB5DAQocFXuszLHobb5lGLKV5EBa92vsKcxstqV 8l/5OlCsV7qs2AMlRX9Fn2uG9hUXd3KY4xzbGUlAEJxQ6PQ5I1AxNPymAPJMb6s9vVV763RIEIqU qDEYwLemWh6uhPMaRitxSKeX/7/P95/Vg79xq5pxvHhbgd/UCPUWwoz2NB6akIX984K4E3uk/bXp MmJRuVLlLVVm0VRrHjR82enbfhZoUia2uffCfwcV7XBz0pCiLLA/VdKvUXIgowhRmLKDoNcBCk2c EcBFZXSQj8pDvmwJ/oEyRIfhrMppgRpN0Lu1HJtLg6sG475st6n0Aooh8UqVLHRqVbIZmGWp5iJT +SYtU8VEMSeFzYqx1UbxSHqaDmVJcyfPLZMoVCcdb/yi7aniZIury6Rnhojm/xwdvnnPSdHvqfAQ 6elWTh1+YGgTI3Dr4VISFeN/w/dw/L1NY4zsBjeU5ClGY4p0rBGSh4ayrxQP4Q3ihnfYFKiVLrmQ ylheNg2Eyw2EA1wDVaDC9FTQkBgp95/tG7sEOQYsTVI6rg7C4Ub4xR4UBpP53JWN2gRFOqLCR/+p jNoRDqFwZ0c8Z3PkyEIlxfgHZmFOfP3jALuPYGEioJVtHRzLZsCM9PZD/MWsYqovOOW/8zSPAXlu LIlDWb4o8DVW7R/3LPI6EJSb+0yE8cPf09f5kX0SsYxjTtORGNimMZmtbAFPncnKMhLwSnE01aT3 mnP7JQRrGPJ5chVuO1/DfgH4nkowEEJ08TiXec4NroGNa+sfKUycqeWkX3y79JwCzvgTcwHogc+I vNO3xvBUE3yR2nHwuRvh99+awQtBvD489jRMV643h5+1qVoNWJyBcEGmI6b9GgCAWgqNhhjx+vXA r1+MrpxwJoaj0n2YWvPq+90Fl62bLb+gJwH1wAtx0yNbvjq1Y3OieNUfAyl22g/hwkb3RIGhvX57 6CA5n0Lc03XIL6/T8gByFEhOI6ZEdlyBUibpIsp6/rG/IXKPvvnGO2X9OUY0TarZKgsJG9fGRCSP hNl2f+U6CwFLgKugKU2Ia9jjexf8Y/a8llfxt4MKzfW7G9arAIM/ZXrFQ0dpdc+sOgGfwHhOoTK6 /Ch/zjfXGxWWqLCzlSEHg2gJn46CBocnR8VrvTELRj0LpQinGUUgeHWy0y/m1OFsWsMw7w1Oyrjw R6vwPImTdh1Na39u2D3Ek9J4BfPVtSWNASxpxVWxhKzfbLRqyyW3UWb/iIv+adiVtINwTWxGAx8j IB+lOnburb5iht1ZXkDoowLnO6utuL5es5QzeEMc8aFXDi1L8OxFNBJxZDd17uVkSRYxfarm32bw 4h2ez7yifhwncr27bmdsviIZsClfdirly5B+D7uKJeMfSedGFy+GcgOLxjN7g7pbjy1DdQYzd7k0 EY431tr6cbWDY4A5HKPPiJMeAmhTjSrPOQO2Y6620QofV3YyoyZZOH7nvROtw3LxofNbBhjrA6EJ fxA5pkvY1lSGfu+q/i/Rj13CNZS4WxNdLI3+OUrdM37JvqNU44xgjQEk7XJnp1kDAuiixkn7FyXM EpRpdX1+xl7lz1b+4e9MYB88MwsEWjC8Jy8Eqd6kWzZKM/XMKFXsyHc7ywCaRP6dfAbW0iAiushQ +fPizXfZTNM2bbmwzPfgnQlJq2nmqaAqrAlMykmzTKIF5C585fith2PVpWbe/ux5AhPlMJcI7v1W BPHvTl6ReIocvNBLS87x3j8QDf4HXxl+VaMCAWcCzXWAhVihnk/f48gqceOqHKbNvJUZb4YH0DkY oitFqYMXeCO9O7DT6guNgaTAu5QraxVRfoZ9ZLiRlfmzu4QkMxLAvBUjDDm5D3v2xRuCn/bTkgyi 5N29GzdHqezAsFhzuu/MbzX6mOj9syLd1w2Lx4b+spMl6PouvX5z2VSKTesk7xrMp9H3ABpDADBO yCm40bdNCLD2XKexPNtBbb2DU2xLuo4dcjH7qEE8iftP5I/0aDFmv6CVSXvoll8hradKtqg/duSx f4oEuMrOsXVyRlbjIQMy/N/ef0Xku9B9XMBnsppn6ibQt8114cLCBlCtCtkSVSIKRqF0TH41U/+P G+BF/ahmTu81y7hOovaHK6FrQsrBojbH02ukfXWoaL3YeNQRXJizeC8aMhqR3pqgYLl3j1K8neLC 1v7Ff/HZwHCeFgJ7Nu+3s9HcvXJqeEhBNk/uYDuC6JJ8jOWajDHPiWNKeclJl9bbVpPde2RWhXGj 3NzSA2CLW3Ie0vpUwQbiDijZnivddtEulr2CmNNDYWgsi5Dz72DfTzTWZGBNx30LMwc8wHCd8NAG RllVKv2Gm3jGlCPgrJ82NKIAz8KXaZ5GndJfc0qucsKfuS2OThniqaxI7bXoQBDpeQhWq58bLSpa LHjC914yQcDfuTr2auQFS0/WClTsXGDUSN2Mxp6iJ3np85YSpUyLjNTRlOQQ6/158alPxL3a3I0L iY0mt1j4AXJXGN2qFvA7H5/S6E/qu1T5+LZQu1OJW0zpZed2+ce6RvYWYCfm9tfst5bfxbrQP/WX OAeoWhOBkCKb6aYq6zz3s5gF4vMNvePyuMiMv9+6qvL2BlxD890omA8is7UMwqjErwQwYmTVVlSg izJ8bNB8Ri7OII6Si4puf96UG+hifldG2KtRVmennyH/kcTEwji3+osAZhaGzgQ9EpqeEj59QL2h GGMSJt92TgEhW+xlwxEOs6/teDuSY6F5G9G3ZqRTK192upTsa+c2FeR7pW/M+9AMncvcKUVozDMi qlyuPtpZQckkpAvi15j0DL8Xs6IBMsfdvbPxH3YRuU/s1rlLORVtT/fl6/fr+l+QOuHeKMER8pgr Vy4XDMx8ZkPH+e7/6MjdEtieNfxQEL9h24HVxtsJ+DMIIdDy0RJ7FDcGfiTuXLZs2OBbFnKpk/EH NL/NV5pQitqyuM39xIYRPZLcd0bTICbABtTEV1HBqiRYwIGT7r2B+moJj18aM/LY6glRC9KTdJMr FEvwtlrHk6UyvjT4toI7AzDhzf2HHQ5qoLdsnOeNdsBN83BbWB0qX7W0YuGUS4/Scpg2diQDEpn/ x/B96qaol5MSai2pIhmKweRarulQVjo1p2Yvj+L+Mj1d2bQD/OSjRBzbPoSJITBMPAW54hiuvC4K fDqy5l22/xa3NgWljdM2wR1oA8AInBWJwIDGN6zAf5Ks26p6CELd45SW8qgeKBLciHPUtY+yzRai EaVD7RTTlUYCkYX4ZPLMNN+cZsNWNps1i9F1JD2khkh69r8McI1IxlepPpnQ0M3TsVAfgRx8EgBZ EaW12wNlyx4sSQ0areMdJna7RqqP0xse0v18Taxn9r7KNzfeRwFF5LRaTlmIrSGJOYCJM510F+VC D8YHNF2FwHWqqwmxTCTynShX82BRalEdohcU8qzkOrqmNqfrcOin5FT5wbg+OWf31SE5YZDbCWdS 23JhsiLQCh1f453mciv6PJKg6ZU2Or2agqCsRRhi5j2T1Yu77w7HRrib/+ze/erzE1PlE4P4DFP4 TZ4+h6pEQ/BYYIVCE5fPLP4qc9cFcX9K+puC5f9BGg7l0kAJT5YEGhGqK3uJxlNnIIlDYuGFq+tK TSQIGHV9eP1OVpC4UHvO+PXuEVVh5EAXzzT0T9Yq2NsmbDaPtzjIF2RfEyPfsbSXy+QcLDsdVhPP jSnNiSQkIcPZ4savk1j4Jo2vsrwU8OmMgc1oG6UodAoAn8mbj0kEJ5SN9Smxj0GIpbmXHl8wxffK tra49GDFrfxlloXn9jxK+rXP70f6A9WmGjKE8WP2R401ZmXL8AAGCmVRCtN4q0vE3SAad1uH0fc4 ScwW+jHT+sJEQe9X3cIl4j2wzkEMl6b+Ylq80p5yjbzsFzxf09WAxzKwk467Ht8A2lVSlL3wZ3Za Cv2tBca3DHMiD6wRRJg6vtNp/KICjTEiY3TWkrIHzohxPeh2JyThytdLww9jOQU8zGx6FAB7bkFJ p5P0r+YdVduMySDnjPb6ZF011zY35PD0Bkchj9Mmo6klYT5Od39viHTu98dZPy0kGKm3WSfRBMu3 v0O+Y7MEmaCjtqYdNFqLygpHbI6ZowWPF9l0ka8llrb0oKHztUGNCnnMaY+IEmQYj8QxOWsxxYn1 aZcUvP5T31woLxvu4/uRiOlA7l6hmJFsmWCJNji/yJVzqQmWorv9Q4Di6OUxporHwV4tblsxm1sh pq/6HhaBlHUk7wgNqjKPSj//u50ZKPtiBt7kWpjVzH0mw/myVpsqM8/jVXYenfpTBptgFQBvLu5d EMV662G22hA26xaiSk11h7TXBt475jc/mg4FZuIqBwwyO7zUkIxWSAbW2GDPE7qEnMlf6lGnNtIy ZJjwz9TzF2eaHZKYtyMIk4I/Kt7UMn/OoL5ss2RtanzmUXr0WuuWTO8aa6qppQj60maX63Ov/oEF 7KNCKI8YgDQnbtcKZH7df2CY1Or1Tuxs2cmQxmwx6G7+y06pWWh+5NnvNxgxQwzqOKnnIUanex+J BAzJf1oAvTDeRLshAAyuXIgn447qkesJ6vtrPIzV+65oYJPNHyWyWaXGKCUYsfEedWcWBP+TPGqZ 7e2voxgOIfdlCKf/Kdf2GDcSch6pI4NZ4Sioj0lGnYPzMiChzSW3y8ayg4igGMkrOu0hbtN9YXVL XxEE033Lk7+ZtweZZV8XfB90qgVdAgFeSeyBgR5H+fCEWEoujXfr3j0S63P5fwd7TQwD1oeK2ZvT x9zCuw0UAQV0czqrfwvekl8uT1dP5z58cDqM1Ft4VUW83CoXtv8XQzueamUsnX/aYJetkmJwJALu vzqiJHR58cJ47V8im3/GSPJV+hjBY3tlYSENa9Tg1eHNpMPTF10E1oEKZ5lOPIkQVMI2GpaCXHu3 oRvo+w0uqXSsGvtPJIdVAkFFkViaZ4HxNRQPrFVzcS44wPjlBPxRl+vhpbgOWal9vPKU4CGNxkxz RsEj0/vwk9M12v4HwLwdcx/wcBdJrrq+NNaImmihQdwnI3F3oOM7vTMOAzqvj0f0sFjcwgVcSfhm RL1FdVy/oUxaOC3h1ATcT3vvYXnF2mVgs4nsEBlTJO9p3f+Z/vfLHkxEobCDt+Y+3wDkZ6VWf8oB KzyVQGyYTKtfMDoDc4beAgaRDYRLa5QrAkSSbaLgSGjp21pER70ODAcFnzLE84+ASEg06Utylg0I 2XnzsibLn6OV0ntnRm7NfuFdtKjqH0svPZkic6OVVNxIsWP7ZPGDJGNrUV+Ut/KIfICAzsYEshGu agP56FaQil2BcKZIIfB+Ivu2k/Np1quMfmUHg3RAiBpGPjKBFgq1NvefQ2c0VRIo5sY1iLTNoPLh lglmyK5A5TKJ+ilVYtrDlSoh4roemur+s58EJ7+1Uuniwj2GnDljcRuoMVifVBn7kV+/WwPKFqKP kpifEetRLfHlMCzZ/HXm2PZaAyFmX1ws0vi4Z5Bjvr+yC++7odEVtbnTc8fTA+A4Rv2iSqWZfN61 QMRck3QplZYhGcTbXvEOGlF/thKzNp/+WOIu7bEpKUJ0QrAySLYTQkHxuKfFzVtyPnv9w0D18ZHa DNXNbSY24MH22VH21Si9r2GLHZh323fGUryH8jjrzAHky6JidjPNbfBifke0uRU0vsNI5niAZSB+ 0eY23lB482RuwdPaVwhdovf9080HbyTRERGUER6xbjWiHkPw6KgCxSxLyghyj3U9bQENQy9onr9E 9H3pFj2364A6CklCQUmnxh29iS17YeiCRU+oTQSj3XN7pF9waDZJoEkY1vSJ8kkcgEdW5EKCVC+G ChQkCAbSMxuoKAdJhAv8aKcxDUKR+XFvWoZYRZW0Xb0F0QIxP5ztL3Bs6gHkT+qIaECrhpMAFNN2 zCFXrjS4A4GT8CfWcB0Z96nbsSGqs1LAMVrTiNUkEcjuzvtmstUkphQle5WrxQc+DKggmFKfn5zb nLw7J9Kj8MHwwYQ6HKcdOQ2bHayHFkyUMxtkrosaBlSymtDJH0ddTRTyeW5Xl2zjB3MzcERFX+NM Bl6pJ8xFm/48trJj8PjdlpO7zHBQ7cnJZcpsGGh/wg84synw1bPi7BYXZKJ304Nk4Vz9/Z3SFhOB G8GWK/8sHC9bMdOclg2BAZhuFxo0yMS0QN3HnHTXgPev3DHp/aEDUk/bRJW8Tz3DhNcXfcUfuR2d iAhIcBKowS1QaTpbQP7i6I3dv2Mhr3L9SzCbtd0K7Bt6Ese0d8iQuYP/Q8huNPGAJHNnbsQU1qIg uL/B9/d2P3Aw9UDTE6fxJtv90jKxeiMIIJqLQVQo+rtoqIbMFqzPC+2pTewGn/9JfLQ+6dPbQ3YJ Zzy3ZoYPv52pjZI8LotmAgnP78u3+9DWEOzhy7XucQttZ6seNpamnNzd67R7N37d2/yl+rYPxAfp ip3SO23W7P4kMLbQW8Zffks8t7erH/yMKVz7dc642UCHueui6yx+mybR2pqvNtoedPvJ3i+8+2bP Qg6Fw4e/N1z0Z2ZGOTM5aNCuXshXAs37/cZV45/T5q/ZjJ5UqK43ObCq3DvBK3vHk8RmK/1O87iB QTpiBgcgK1ER9HMOGjSjlQqNYYtdFmjnsGJoLVh+bYvWi3M0EbGIjGQCLq44Wcrp9SAc/aA7p+Jk dRfghhazXIONDsNGxGtprqaV3pRHAzGpLCUDUFi0JVKr3rKEC1maS+DfmzFtksUC/Pmzsr/vssMf X26ce+iHTqj/5JbcuV02+3s7q3aEfmuRPdeOlXYOLsQ6aAQkG2yHq9Aj7WL9xVbOnxXLk95IRxyf qqxzb+yQtgpcyD6m7YtkaKCwkeuxPlkcVb70o3M7jycNmyYlLQ4huSQFeLZjk/ZQePPiAArVbLa4 N+P3jDj9ssYa+n88F6uySabCig285oSEQs4rgdNKTmblM4IVWCJnH9MNsoLO/Dna/WY1ETRDXfsQ DbHx8Cb2RWDAQxyEC0JKTahyJipO4nKDs+7vg5ssPx6Tn2g8wZnJSTEIzqOsfe0AgVZSli5TSCSQ JM8wJLRaJgRtB+b7jjG5Kl8qET1zYPtZ9S8yj11bWzcZ18yG6wVzfnPhLRNwOmoj6u8gcUqpt/Ch 7ka9hxkNMi6QIHsQucnGXCbiql6ufhHe0zgGsn99KN6FY2otcUvhnh8BY0O87mPsGf6KNko9Ld6n IDRPUVW2T/u8Dn0f7y9m0SVXhBr5elxISUPODZsUrg2eBFlIfLgQxZK6plb2h8UMviJJdgIsBsfJ aiHFg1yXPyDP9wQXLsdIl05vUKnIAMqvdDnlPn6cR0SV+vcKETFW8WGsueZZdMS7YPuKOu3Rczdf D07tO8j+OmcPzjqjpITgqhzTAmmHacdKeehE+VRq+S31ZdkwVvgONVB7lJnGPMAPSAqwo3SuR6/Z oCrhP/oQ5tZZlMLiXHAhIePBdZBpxM3zCmKIPbENfml1uA32z6qB4xkAoG4PlTjfAZdr3yekgGGo h45etzM7yhR5nt/dFoEwelWw+jpbdCCcFG1nTKy1dMoMhwHYH6quJi6i4lInCxZaKd4Kiu50ka0N sZw+sTxe/n311J1NIyV4U0T3iWG2wrnoAS+OGb18RlGO9mwM4O5dwWbvzbrRNUreCTqIDEeHOlW6 XiqSGiQmb/NLw6vaKQUry/dCoosqPrZJAGO+SH3+/08acsNoFb/C9MWk40oWTq6/fPG2IYPqTyUa cbunIe3N9we4UJ0mYKKQyB8RlXcBmEjjAyHs1LwcsaEQHtkNc13loekcLmNwY0yq3tZ7yDaGy6NY Ec+mivhJ/dhI0n8um7Mfk/DVKA0tMY7ZXYvuW9yI8YizZgjbHPqnZf7qGtPw3KHMs7U4+X2DAJOy 5JtpZwn+huXGZYAdtM1xb+2ZTcJJ2phtLTKk14DRYvKLV7dYp1mXmO+dvi1J9iBW5aL3eJpH6bol x6PhzG3nroXNwtcViNLrPrXXTQXGdf6e7QRK19lccVhLBEvM7i4O28n5O5NNK0SkbAmHDdIZ8F9I GtFcN50thVk1m39ZqocjLpETcM9IuisbRKTecJ457PwMKjwd5hmcjm56Rw5r+gVXVZqMMWx9xVyZ xKWt2oA8EQcJ1j8+xurZLp72FdGNONE40A4vFMlxv6hUhp3lq2K4v0yxmO2jW7pImQfiyJaqMm8y Y6p/Vt457XQXAAw5phO5K2tNda8yothzUncz54r7wyMCMaQbrWfKau8mUdmV6vAc/8CgS+5kmaNE 5YOuIVv0IkRhRTP45nczccRFPOX6yObqRQBXkaEXdfmMhOoj7iOwgz5S3+YysA2ac8YOGvWPSc+q /LBgPmHo6QYyqKlb4vQbORoigZQagfStoIFoxrubhv8Hd2jA6wrcw64HCOQ5In0HwqXLU2oXwZeG Stqq0QVC4BHrJLYCI2NBwJfPbUok8QpY8VMMU0j5M/LGSYYyUtE68X7276M3ijvtXINJgxyADgeT ncuUZXcyIz9rl9Tw2xFMTLOANZyVT5r6DWTAjbj/Rd7x2ZX7jQGmIFH08LjU8pgZAJ9QP4B8hJm+ jmV5HXYWV5T82OJoraUSu/r38sOoolna9Cd4H9W4r5rYmwJgAV9O449OFO6Yz0vZjitoQzag7vik JfYDLwx58PnfK2uNBDuugvi1PHHaBpOQsg+diT1hJ7LjZA8i+aAIYRc8+JRcG3P5FbTDB9edbIe2 qu1EAq2zwWDyAw8RSJGCU1GM85SAxyCZxsm3pOQ4Nl+yMlCfMARReK6yCPnOPFuTx8Y1Dbr1+6fP 7Kju7FnPUrZDlC9K1+PzE9qW6fpu7G9gdmw47uiY1BSjEef2YqllHuC4wBug0zDanMFL/PeVZw52 w/0zZxieQ+9EBVHb9iQtzADkfbTOKW9Qx8lGKPJMGglyPaOt5Zh6F4addQrrK5VJFAfvyK+xJzBH fj3ZPk0nVLDTx+ZAGaBEmSmWDp5QizopsUVz+PZmLsEUrocSZ85/cb3aOdvq9gmcVsNkqc8G0gFe SVuZx6g80/dE01Ur0R3b0tPKAj29CrwxZkRo0/UbNUxWz6QV1kz2C6ShI5s2ntYkWHdp3H+qGW/9 BfWeKi6CYazW67zK4guEWWYvZFOzyYFULYZf+Uhm+XRdtBYgIxP4bMh2A8Y4PTZiIocrWmhZw/KU H7FgHJaaC/TtV3ou00DHYQoHoZR6teJjQXyw4m01ePvG8cCE7CpohXoapY/uJZod2jzmrSlYdBgD foNJ9a20oI2cz0eqIJ54zG3zrDRpG9oIVjbTOAofkyp9bWM/eM+pp3Z9xMXdO+qCCarzD2WPuTZR zW0AYJxo/vkTf+Fum9iGcwx0a7HwwjWDY8+R/+X7ajpTpoUNNMN6duhpgWuUUWUgjgrGpJ6/j9Eg 9f6m6lXZ11sz6+EFZFlg48mRzAlWNz7aHfQJACO7i1K99adn74r/qYwA+GB33AzOcSXw3UlS1/jf zWsubhfu5+LRZZFF4gmjBDCWrVTcFxA3Z40AH6tiD2xUQltgzkyB8UfXhjKnvTABYxTk97vHxfrC NQwGGMpnnfVgqYpuk9hzmZ2wNb9m9fKpx787ojSGWfcvL2laxzjz05CEun5HPnNTHsFzegUWVi09 FbQYXaJbgV8hHeKhhrOtvhjL/QhYAjiS+o9kIXLuUO/VPaAflK8Icl4RNf1gZVXWyn+WqrJmfl2b Knjg1sbFm+py9ooKRm0sB8p0wETb6PTWjzekcl3XQ+ymfZ5wFHvB/YHa7kFDnQ0Ys7trYd9HYaCB b48rjLwQ64tUUFWGfgH6ibvAe4ypfjmOybXkv5ZoBYOAMKdtNg3B6/4NiVP+1SqQijCqBjJyM1BO CKU+pdV7WHcJ375xXMY4FRK3Rmslwykp2YV6kLw/aBNCpl9ABIw3Qn1mbmdkC84WF0DjMLn2CjQi VT80gWZeH4lfhUnZ9Ew+KUw3HQ3Fe+6u0Q4ePLHyDoFyQ18kHeD7MgtOGDA4sTBEZ3SLYUjUjQC8 nc35quj6JCrqSKTfMT+ZwaiPx+MGY+Xz9E6ITejXyiYo9NePXjSQGneI4XoGHuaS84Q+caen59Gu 7Re1WI1phVNeeALnteWV80eIP9Cq3DsOH2lKkNHsVRKtjkrzP6DHtfVbTq6xwtjE6lP5qS6iWi3g h1I1SiKyH2Pz33DWqktp9Ln5wlaqyvCEkBz1xLO9kVNwKxwbtfllu4BThMtot8DUB9US7Avl2F6I FQdZoHSTTkhkewClr/ZKsXgQ6WmkQTmYdGAusaytpRlCA/paeJ5l0+bjhescV2eHyFTjm6CsaIuM 1TGNDDGimsBR3i3Ubu86NsaF4pxZX3WiwyuPfdaHzp9cAlfBRACDcQzE0pb5W8a6mD3zI2v+EV60 Qr4Mf4vmgau1KYFqdLlQp1aMJM/R+8vBT/2P25zKEZnQ/EUapxcRwWbTFcNCb+F9ble+PniRDx6A 2esTGF2kgPWoq4A5cLJx8+IVYDJkNSPrwnejR6BV0nwXsnbBxXTxAhSuTB24ss1InOGYsCbeg4De ZPZfXnH/zfvjY/MoFsdA0geQl4V4sR0LaNec1TmxlgoAhVRsRJBGWCnn8b1cN9bv1NsFVEkIy9Oy sABZw6nQMC3JhMuTQyUTkX8UIsLMz/mL4ZIxcjGNjRD+xJ2sgxGQyzj56RR9N4UbGQjnd8/Jz5V+ 3x1r4+ytKcLMzDZ3F79326/Sw9v22qlsE0wOc60c8gmhhYKu6jVNPDvJ2UByhnFJRrcxCocShuuX vwHHokc9UdVEVKlN9TdbmS5WyJ1xJC8U3UxoOJLbR1re1DNf2L9q5Mmk29Bo/yrxuAB9m+EpKIH8 9uKffvaEKvgTDYMHraegFI2za/QHkhvoDCU1VA6nqRa32WqvMVYT00CmVOvLi0zRfPSyJ1F2ziO9 vY+hUPP+RfiBvaflnM9EVaVlIXQzMlkvjLKDPdJioXc7uq33L3aa2Ei5TWTtgsfsRbLK9ApWOqez X1z7erdUuMawD35YBKSoHLPJ9Fdvc0l4f+uq8wFcztUNOMhpxjGfNDbAKjDijym37c/ojc46lBsT HSeGv5Z4k74W6dpTFAIO0beenpOqTgWvh43SzQin2FZ/skYVS8FnaJIjEQGTIw3Zca2fOv5khtAH QvpsA29Ev3yc/CSwf1fv+219ZhQ19TZ3hbxQXp44e554BRwVTTAwLRDqcYiMMtu8WI3AtmJ9H0F1 tYitdmUPrYhIvPHLmANIehJVgc6MHa33+ErJ9KgW2IAi0iqgFxw0/D/cL7TdUUjDMDeovg2sRK8E vsrRO1r1QsXBxlN1vyEWkDBm0llk7l1OuiObPzmXXtmtmzAmByAJSFhWSr1FuTSSXAfbahHgar1K c3KJaqVOAo+a3gc9cBPjBlMsdub+luBZeLkDhdTgwzPZPYJ7pNzF/zY5SUYPpp59e0nl9ddzOETH IzTFRdZ4W1pXEzDVlU3N71FK+RNwLK6HULu7A4yJsXLl2UDcLvgJyYZ2JHhDwAIvIK05IdjsE4Z7 5dUtukMtzqlXsO4L1V8x+z7N/cXe7q+ys48tOA7TSe9E5BCF046k/dhcXLYq6WYWOuvtMDycgqdi 882VLMXEI+EkX9Lh+lqeIB6HPIXg2K1fI+TfcG3sZnTy744IS8kWLaiJx4Iv/mcNXRooiIkJSRDg Vs+QfG513WwHYRkK6NUgiABnc5kbjw6yGH4m22N+7x7LHD1UXCBgPaPkMTv+543uLS0xFgkGHGf0 IRrjYHnfYllTX15z5j8nh2FDyulOP7prtx/ZFf9tUvZXDed6KbAwfaT8DeW+J7U7I+esCH7NLxUX K0pWacODVhalfiqJzAUcNmK5aa8eDSM9nPasbyxIsbbAoMG9n5H39NP+6XgEAm5i2Q/Z/rlyOJGZ 5mreUYLrliH3TCqqZvEM1WgEvj/SmUddBVTNqTMaH6+rKbv2+/ZGbuP+SGMBAzJGgNhuxN/ZDhYO nsK6XfVanIQ424lCvjVs64YhoS11x11UruvgcEnkkHIdLEGRliEnCKknLq2nShS8VpGSwGreSZIJ t+z/jvFYdTBbrQ6zIsZtKUTXnXTbCYMwh6EDr1mXDWeEs3qE0b0rgF9ybW60fXjjrGwhTtEf+U1G o2FifoF7WdABwegSkTvAVGSJC7QGdCAe0vmDcy8YRtPKh+pgqPJ7U8oj5d6hKutlu9ellv+0VCyo 77GeJSCe2gPdAWxjfNfHzTKb55VmvNlUTAzjNDSEhB01DiowA7912KEUpUS7WIWGH24fsfpmqHaj cPqxmI8mdYWT/NffiTI3KmLNmAzWwOX+9p1lR0A8n8kNz+9h5dFiDrPEiUw0kGa98hE2MSw0m96J JY+NgO6qw7L71h5wOo1VF174u3lUNVwtsaTX0i+mFvaJwBAiLZuN3/hHQN09+o45PXIQ1iT+WiQM zsXOBbEmL06PtR446oSG3moncz37TpdCDrKsVYlTDqhCPMgudJxVYqC5b6vh+p4IRvDclsOUM5Xq BGRDJuskxFx1JdcRGgowCYLxo5+BJHip6Kqq7u3x6TEjJhqoJyHTDRbNuDCN+TiAbxZdCS59DSQ7 oAXjPu8pTPEViLq3xdyU+eTFWJuZOGpCxizuQVhiE5fTx/0G5qIrOesnaX0T3wZV/RZRuFPBBjcr 0MsLd1W6vXtBA6gSB2euFWi1ulcmqbhamUV8Kd5OGbKHdsP02+kGo26u+V4f/K0mGABpym8kC9UJ iW+twjmJLIB6dYv0jBcUG2XoJh+xpr6vOs8tWpuVSmkFXAcMyaN9drL0t1ALxTeem/M8RavL2Q96 nEkjY3I/AeN8wHz3D8sbrE0cYoA16nYVcw7UE2GUwB3LZVFHM2IsF8uBWwB5MEnQshzjXRa6VIJG Ua45g0HJW3ydq/S1pMgJ2hCkY+C9woFkQsnNElkPB+riCsY8oHa6LjFtQM5RRt4Pd/v7uY0m1pyw rgooTQyzej3U6VqtXQMP0fp+o9qTLo5zV4T15+rcesb6XvDvTGaL0KGN51vJFgITsc1Q/5EQD+mW R4TsAyizfDDw4YyDa6U40uc5EqF3jP7RZkpXxpdr3pcGJOk6YYfYI/U09IuFXULIF0Lf11o2tbDU TdysEF/hp/hHWKR+f0o+ZH/eAPKr+pLINZe5h9+qKt3WZLIfa4vPX/AEsk34zHNpWfnOdMnKZ9oL sXPXUIrWojN5Y6W+y6kUFIx86F8X7jeoihyHb/96A+k+1WgV0wSD1UayZIXchubTdqPxkwkKQMk= `protect end_protected
<filename>alsu.vhd LIBRARY IEEE; USE IEEE.std_logic_1164.all; ENTITY ALSU IS PORT( A,B : IN STD_LOGIC_VECTOR (15 DOWNTO 0); S : IN STD_LOGIC_VECTOR (3 DOWNTO 0); CIN : IN STD_LOGIC; COUT : out STD_LOGIC; F : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); FLAGS : OUT STD_LOGIC_VECTOR (4 DOWNTO 0) ); END ALSU; ARCHITECTURE ALSU_FUNC OF ALSU IS COMPONENT ALU IS PORT( A,B : IN STD_LOGIC_VECTOR (15 DOWNTO 0); S : IN STD_LOGIC_VECTOR (3 DOWNTO 0); CIN : IN STD_LOGIC; COUT: OUT STD_LOGIC; F : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); END COMPONENT; COMPONENT PARTA IS PORT( A,B : IN STD_LOGIC_VECTOR (15 DOWNTO 0); S : IN STD_LOGIC_VECTOR (1 DOWNTO 0); CIN : IN STD_LOGIC; COUT: OUT STD_LOGIC; F : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); END COMPONENT; SIGNAL F0,F1,TMP_F: STD_LOGIC_VECTOR (15 DOWNTO 0); SIGNAL C0,C1: STD_LOGIC; begin ALU_C: ALU PORT MAP (B,A,S,CIN,C0,F0); PART_A: PARTA PORT MAP (A,B,S (1 DOWNTO 0),CIN,C1,F1); F<= F1 WHEN S(3 DOWNTO 2)="00" ELSE F0; COUT<= C1 WHEN S(3 DOWNTO 2)="00" ELSE C0; TMP_F <= F1 WHEN S(3 DOWNTO 2)="00" ELSE F0; -- Flag(0) => C -- Flag(1) => Z -- Flag(2) => N -- Flag(3) => P -- Flag(4) => O -- FLAG <= (OTHERS => 'Z'); FLAGS(0) <= C1 WHEN S(3 DOWNTO 2)="00" ELSE C0; FLAGS(1) <= '1' WHEN TMP_F = X"0000" ELSE '0'; FLAGS(2) <= TMP_F(15); FLAGS(3) <= NOT TMP_F(0); FLAGS(4) <= '1' WHEN (S="0001" AND ((A(15)='0' AND B(15)='0' AND TMP_F(15)='1') OR (A(15)='1' AND B(15)='1' AND TMP_F(15)='0'))) OR (S="0010" AND ((A(15)='0' AND B(15)='1' AND TMP_F(15)='1') OR (A(15)='1' AND B(15)='0' AND TMP_F(15)='0'))) ELSE '0'; END ALSU_FUNC;
<gh_stars>0 -- File : address_decoder_v1.vhd -- Author : <NAME> -- Team : Arun and <NAME> Team Mentor : <NAME> -- usage : level 2 of control flow , interfaces the address decoder and master controller. , has statemachines for all the modes of instructions -- supported by master controller. generates the required control signals for data flow and address decoder. -- DLM : 6/23/2016 7:36 AM -- Tested : modelsim student edition 10.4 a -- Todo : none. -- error : none. -- warning: none. -- copyright : ArunJeevaraj. 2016. Lund University. library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity address_decoder_v1 is port( address : out std_logic_vector(13 downto 0); row_cnt : in std_logic_vector(5 downto 0); row_set : in std_logic_vector(3 downto 0); mat_index : in std_logic_vector(3 downto 0); mat_order : in std_logic_vector(5 downto 0); ram_sel_in: in std_logic_vector(3 downto 0); to_ram_sel: out std_logic_vector(3 downto 0) ); end entity; architecture beh of address_decoder_v1 is -- used to fill up the LUT. -- decimal to hex.. max 10 bits needed log2(O_60*14) -- may use 13 bits of constant for row offset address. as it only needs 13 bits. -- and for mat, index use seperate constants that are 14 bits long. constant O_8 : unsigned(13 downto 0):="00"&x"008"; -- offset by 8. constant O_12 : unsigned(13 downto 0):="00"&x"00c"; -- offset by 12. constant O_16 : unsigned(13 downto 0):="00"&x"010"; -- offset by 16. constant O_20 : unsigned(13 downto 0):="00"&x"014"; -- offest by 20. constant O_24 : unsigned(13 downto 0):="00"&x"018"; -- offset by 24. constant O_28 : unsigned(13 downto 0):="00"&x"01C"; -- offset by 28. constant O_32 : unsigned(13 downto 0):="00"&x"020"; -- offset by 32. constant O_36 : unsigned(13 downto 0):="00"&x"024"; -- offset by 36. constant O_40 : unsigned(13 downto 0):="00"&x"028"; -- offset by 40. constant O_44 : unsigned(13 downto 0):="00"&x"02c"; -- offset by 44. constant O_48 : unsigned(13 downto 0):="00"&x"030"; -- offset by 48. constant O_52 : unsigned(13 downto 0):="00"&x"034"; -- offset by 52. constant O_56 : unsigned(13 downto 0):="00"&x"038"; -- offset by 52. constant O_60 : unsigned(13 downto 0):="00"&x"03c"; -- offset by 60. constant O_64 : unsigned(13 downto 0):="00"&x"040"; -- offset by 64. constant O_80 : unsigned(13 downto 0):="00"&x"050"; -- offset by 80. constant O_100 : unsigned(13 downto 0):="00"&x"064"; -- offset by 80. constant O_144 : unsigned(13 downto 0):="00"&x"090"; -- offset by 80. constant O_196 : unsigned(13 downto 0):="00"&x"0c4"; -- offset by 80. constant O_256 : unsigned(13 downto 0):="00"&x"100"; -- offset by 80. constant O_324 : unsigned(13 downto 0):="00"&x"144"; -- offset by 80. constant O_400 : unsigned(13 downto 0):="00"&x"190"; -- offset by 80. constant O_484 : unsigned(13 downto 0):="00"&x"1e4"; -- offset by 80. constant O_576 : unsigned(13 downto 0):="00"&x"240"; -- offset by 80. constant O_676 : unsigned(13 downto 0):="00"&x"2a4"; -- offset by 80. constant O_784 : unsigned(13 downto 0):="00"&x"310"; -- offset by 80. constant O_900 : unsigned(13 downto 0):="00"&x"384"; -- offset by 80. constant c_test : unsigned(3 downto 0):="1010"; --- zeros bit to concatenate. constant ZEROS_8BL : unsigned(7 downto 0) :=(others=>'0'); -- mat_index address max bits needed .. 14 log2(60*15*15) signal mat_index_address, -- add all these three addresses to get the address out. row_set_address, row_cnt_address, address_out :unsigned(13 downto 0); -- generate the offset addresses. signal enc_mat_order: std_logic_vector(3 downto 0); -- only multiples of 4 is used for LUT. so to optimize the LUT. -- encode the mat_order to a 4 bit value from the 6 bit value. begin -- only multiples of 4 is used for LUT. so to optimize the LUT. -- encode the mat_order to a 4 bit value from the 6 bit value. mat_order_encode: process(mat_order) begin case mat_order is when "001000"=> --8 enc_mat_order <=x"0"; when "001100"=> --12 enc_mat_order <=x"1"; when "010000"=> --16 enc_mat_order <=x"2"; when "010100"=>-- d20 enc_mat_order <=x"3"; when "011000"=>-- d24 enc_mat_order <=x"4"; when "010110"=>-- d28 enc_mat_order <=x"5"; when "100000"=>-- d32 enc_mat_order <=x"6"; when "100100"=>-- d36 enc_mat_order <=x"7"; when "101000"=>-- d40 enc_mat_order <=x"8"; when "101100"=>-- d44 enc_mat_order <=x"9"; when "110000"=>-- d48 enc_mat_order <=x"a"; when "110100"=>-- d52 enc_mat_order <=x"b"; when "111000"=>-- d56 enc_mat_order <=x"c"; when "111100"=>-- d60 enc_mat_order <=x"d"; -- optional to encode 63 as 64... ? have to change the row_cnt_max and other max values in the mat controller if need be. when others => enc_mat_order <= (others=>'0'); end case; end process; -- get the offset address from mat_order- and row_set from mat controller.- A LUT is set up here for that. -- this can be placed in a ram, but for this much of table a look up table should suffix. row_set_address_gen: process(enc_mat_order,row_set) begin case enc_mat_order is -- only making for multiples of d4. -- for four no offset needed. when x"0"=>-- when mat_order is d8 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_8; when others=> row_set_address <= (others=>'0'); end case; when x"1"=>-- d12 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_12; when x"2"=> row_set_address <= O_24; when others=> row_set_address <= (others=>'0'); end case; when x"2"=>--d16 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_16; when x"2"=> row_set_address <= O_32; when x"3"=> row_set_address <= O_48; when others=> -- is not used for the d16 case. row_set_address <= (others=>'0'); end case; when x"3"=>-- d20 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_20; when x"2"=> row_set_address <= O_40; when x"3"=> row_set_address <= O_60; when x"4"=> row_set_address <= O_80; when others=> row_set_address <= (others=>'0'); end case; when x"4"=>-- d24 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_24; when x"2"=> row_set_address <= O_48; when x"3"=> row_set_address <= O_48 + O_24; --O_72. when x"4"=> row_set_address <= O_48 + O_48; --O_96. when x"5"=> row_set_address <= O_48 + O_48 + O_48 + O_24; --O_120 when others=> row_set_address <= (others=>'0'); end case; when x"5"=>-- d28 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_28; when x"2"=> row_set_address <= O_28 + O_28; --56. when x"3"=> row_set_address <= O_28 + O_28 + O_28; when x"4"=> row_set_address <= O_28 + O_28 + O_28 + O_28; when x"5"=> row_set_address <= O_28 + O_28 + O_28 + O_28 + O_28; when x"6"=> row_set_address <= O_28 + O_28 + O_28 + O_28 + O_28 + O_28; when others=> row_set_address <= (others=>'0'); end case; when x"6"=>-- d32 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_32; when x"2"=> row_set_address <= O_32 + O_32; when x"3"=> row_set_address <= O_32 + O_32 + O_32; when x"4"=> row_set_address <= O_32 + O_32 + O_32 + O_32; when x"5"=> row_set_address <= O_32 + O_32 + O_32 + O_32 + O_32; when x"6"=> row_set_address <= O_32 + O_32 + O_32 + O_32 + O_32 + O_32; when x"7"=> row_set_address <= O_32 + O_32 + O_32 + O_32 + O_32 + O_32 + O_32; when others=> row_set_address <= (others=>'0'); end case; when x"7"=>-- d36 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_36; when x"2"=> row_set_address <= O_36 + O_36; when x"3"=> row_set_address <= O_36 + O_36 + O_36; when x"4"=> row_set_address <= O_36 + O_36 + O_36 + O_36; when x"5"=> row_set_address <= O_36 + O_36 + O_36 + O_36 + O_36; when x"6"=> row_set_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"7"=> row_set_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"8"=> row_set_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when others=> row_set_address <= (others=>'0'); end case; when x"8"=>-- d40 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_40; when x"2"=> row_set_address <= O_40 + O_40; when x"3"=> row_set_address <= O_40 + O_40 + O_40; when x"4"=> row_set_address <= O_40 + O_40 + O_40 + O_40; when x"5"=> row_set_address <= O_40 + O_40 + O_40 + O_40 + O_40; when x"6"=> row_set_address <= O_40 + O_40 + O_40 + O_40 + O_40 + O_40; when x"7"=> row_set_address <= O_40 + O_40 + O_40 + O_40 + O_40 + O_40 + O_40; when x"8"=> row_set_address <= O_40 + O_40 + O_40 + O_40 + O_40 + O_40 + O_40 + O_40; when x"9"=> row_set_address <= O_40 + O_40 + O_40 + O_40 + O_40 + O_40 + O_40 + O_40 + O_40; when others=> row_set_address <= (others=>'0'); end case; when x"9"=>-- d44 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_44; when x"2"=> row_set_address <= O_44 + O_44; when x"3"=> row_set_address <= O_44 + O_44 + O_44; when x"4"=> row_set_address <= O_44 + O_44 + O_44 + O_44; when x"5"=> row_set_address <= O_44 + O_44 + O_44 + O_44 + O_44; when x"6"=> row_set_address <= O_44 + O_44 + O_44 + O_44 + O_44 + O_44; when x"7"=> row_set_address <= O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44; when x"8"=> row_set_address <= O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44; when x"9"=> row_set_address <= O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44; when x"a"=> row_set_address <= O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44 + O_44; when others=> row_set_address <= (others=>'0'); end case; when x"a"=>-- d48 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_48; when x"2"=> row_set_address <= O_48 + O_48; when x"3"=> row_set_address <= O_48 + O_48 + O_48; when x"4"=> row_set_address <= O_48 + O_48 + O_48 + O_48; when x"5"=> row_set_address <= O_48 + O_48 + O_48 + O_48 + O_48; when x"6"=> row_set_address <= O_48 + O_48 + O_48 + O_48 + O_48 + O_48; when x"7"=> row_set_address <= O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48; when x"8"=> row_set_address <= O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48; when x"9"=> row_set_address <= O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48; when x"a"=> row_set_address <= O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48; when x"b"=> row_set_address <= O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48 + O_48; when others=> row_set_address <= (others=>'0'); end case; when x"b"=>-- d52 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_52; when x"2"=> row_set_address <= O_52 + O_52; when x"3"=> row_set_address <= O_52 + O_52 + O_52; when x"4"=> row_set_address <= O_52 + O_52 + O_52 + O_52; when x"5"=> row_set_address <= O_52 + O_52 + O_52 + O_52 + O_52; when x"6"=> row_set_address <= O_52 + O_52 + O_52 + O_52 + O_52 + O_52; when x"7"=> row_set_address <= O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52; when x"8"=> row_set_address <= O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52; when x"9"=> row_set_address <= O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52; when x"a"=> row_set_address <= O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52; when x"b"=> row_set_address <= O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52; when x"c"=> row_set_address <= O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52 + O_52; when others=> row_set_address <= (others=>'0'); end case; when x"c"=>-- d56 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_56; when x"2"=> row_set_address <= O_56 + O_56; when x"3"=> row_set_address <= O_56 + O_56 + O_56; when x"4"=> row_set_address <= O_56 + O_56 + O_56 + O_56; when x"5"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56; when x"6"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56 + O_56; when x"7"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56; when x"8"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56; when x"9"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56; when x"a"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56; when x"b"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56; when x"c"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56; when x"d"=> row_set_address <= O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56 + O_56; when others=> row_set_address <= (others=>'0'); end case; when x"d"=>-- d60 case row_set is when x"0"=> row_set_address <= (others=>'0'); when x"1"=> row_set_address <= O_60; when x"2"=> row_set_address <= O_60 + O_60; when x"3"=> row_set_address <= O_60 + O_60 + O_60; when x"4"=> row_set_address <= O_60 + O_60 + O_60 + O_60; when x"5"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60; when x"6"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when x"7"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when x"8"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when x"9"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when x"a"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when x"b"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when x"c"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when x"d"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when x"e"=> row_set_address <= O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60 + O_60; when others=> row_set_address <= (others=>'0'); end case; when others => row_set_address <=(others =>'0'); end case; end process; --LUT for the MAT index. -- have to generate matrix offset address based on mat_index and mat_order. mat_index_address_gen: process(mat_index,enc_mat_order) begin case enc_mat_order is when x"0"=>-- when mat_order is d8 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_16; -- 8*8/2 when x"2" => mat_index_address <= O_16 + O_16; when x"3" => mat_index_address <= O_16 + O_16 + O_16; when x"4" => mat_index_address <= O_16 + O_16 + O_16 + O_16; when x"5" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16; when x"6" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"7" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"8" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"9" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"a" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"b" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"c" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"d" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"e" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when x"f" => mat_index_address <= O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16 + O_16; when others=> mat_index_address <= (others=>'0'); end case; when x"1"=>-- when 12 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_36; --12 *12/4 when x"2" => mat_index_address <= O_36 + O_36; when x"3" => mat_index_address <= O_36 + O_36 + O_36; when x"4" => mat_index_address <= O_36 + O_36 + O_36 + O_36; when x"5" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36; when x"6" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"7" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"8" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"9" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"a" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"b" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"c" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"d" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"e" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when x"f" => mat_index_address <= O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36 + O_36; when others=> mat_index_address <= (others=>'0'); end case; when x"2"=> --16 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_64; --16*16/4 = 64 when x"2" => mat_index_address <= O_64 + O_64; when x"3" => mat_index_address <= O_64 + O_64 + O_64; when x"4" => mat_index_address <= O_64 + O_64 + O_64 + O_64; when x"5" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64; when x"6" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"7" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"8" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"9" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"a" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"b" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"c" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"d" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"e" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when x"f" => mat_index_address <= O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64 + O_64; when others=> mat_index_address <= (others=>'0'); end case; when x"3"=> --20 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_100; --20*20/4 = 100 when x"2" => mat_index_address <= O_100 + O_100; when x"3" => mat_index_address <= O_100 + O_100 + O_100; when x"4" => mat_index_address <= O_100 + O_100 + O_100 + O_100; when x"5" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100; when x"6" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"7" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"8" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"9" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"a" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"b" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"c" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"d" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"e" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when x"f" => mat_index_address <= O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100 + O_100; when others=> mat_index_address <= (others=>'0'); end case; when x"4"=> --24 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_144; --24*24/4 = 64 when x"2" => mat_index_address <= O_144 + O_144; when x"3" => mat_index_address <= O_144 + O_144 + O_144; when x"4" => mat_index_address <= O_144 + O_144 + O_144 + O_144; when x"5" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144; when x"6" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"7" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"8" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"9" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"a" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"b" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"c" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"d" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"e" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when x"f" => mat_index_address <= O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144 + O_144; when others=> mat_index_address <= (others=>'0'); end case; when x"5"=> --28 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_196; --28*28/4 = 64 when x"2" => mat_index_address <= O_196 + O_196; when x"3" => mat_index_address <= O_196 + O_196 + O_196; when x"4" => mat_index_address <= O_196 + O_196 + O_196 + O_196; when x"5" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196; when x"6" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"7" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"8" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"9" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"a" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"b" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"c" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"d" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"e" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when x"f" => mat_index_address <= O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196 + O_196; when others=> mat_index_address <= (others=>'0'); end case; when x"6"=> --32 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_256; --32*32/4 = 64 when x"2" => mat_index_address <= O_256 + O_256; when x"3" => mat_index_address <= O_256 + O_256 + O_256; when x"4" => mat_index_address <= O_256 + O_256 + O_256 + O_256; when x"5" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256; when x"6" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"7" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"8" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"9" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"a" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"b" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"c" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"d" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"e" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when x"f" => mat_index_address <= O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256 + O_256; when others=> mat_index_address <= (others=>'0'); end case; when x"7"=> --36 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_324; --36*36/4 = 64 when x"2" => mat_index_address <= O_324 + O_324; when x"3" => mat_index_address <= O_324 + O_324 + O_324; when x"4" => mat_index_address <= O_324 + O_324 + O_324 + O_324; when x"5" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324; when x"6" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"7" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"8" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"9" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"a" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"b" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"c" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"d" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"e" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when x"f" => mat_index_address <= O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324 + O_324; when others=> mat_index_address <= (others=>'0'); end case; when x"8"=> case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_400; --16*16/4 = 64 when x"2" => mat_index_address <= O_400 + O_400; when x"3" => mat_index_address <= O_400 + O_400 + O_400; when x"4" => mat_index_address <= O_400 + O_400 + O_400 + O_400; when x"5" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400; when x"6" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"7" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"8" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"9" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"a" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"b" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"c" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"d" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"e" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when x"f" => mat_index_address <= O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400 + O_400; when others=> mat_index_address <= (others=>'0'); end case; when x"9"=> case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_484; --16*16/4 = 64 when x"2" => mat_index_address <= O_484 + O_484; when x"3" => mat_index_address <= O_484 + O_484 + O_484; when x"4" => mat_index_address <= O_484 + O_484 + O_484 + O_484; when x"5" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484; when x"6" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"7" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"8" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"9" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"a" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"b" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"c" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"d" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"e" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when x"f" => mat_index_address <= O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484 + O_484; when others=> mat_index_address <= (others=>'0'); end case; when x"a"=> case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_576; --16*16/4 = 64 when x"2" => mat_index_address <= O_576 + O_576; when x"3" => mat_index_address <= O_576 + O_576 + O_576; when x"4" => mat_index_address <= O_576 + O_576 + O_576 + O_576; when x"5" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576; when x"6" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"7" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"8" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"9" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"a" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"b" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"c" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"d" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"e" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when x"f" => mat_index_address <= O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576 + O_576; when others=> mat_index_address <= (others=>'0'); end case; when x"b"=> case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_676; --16*16/4 = 64 when x"2" => mat_index_address <= O_676 + O_676; when x"3" => mat_index_address <= O_676 + O_676 + O_676; when x"4" => mat_index_address <= O_676 + O_676 + O_676 + O_676; when x"5" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676; when x"6" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"7" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"8" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"9" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"a" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"b" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"c" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"d" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"e" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when x"f" => mat_index_address <= O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676 + O_676; when others=> mat_index_address <= (others=>'0'); end case; when x"c"=> case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_784; --16*16/4 = 64 when x"2" => mat_index_address <= O_784 + O_784; when x"3" => mat_index_address <= O_784 + O_784 + O_784; when x"4" => mat_index_address <= O_784 + O_784 + O_784 + O_784; when x"5" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784; when x"6" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"7" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"8" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"9" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"a" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"b" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"c" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"d" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"e" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when x"f" => mat_index_address <= O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784 + O_784; when others=> mat_index_address <= (others=>'0'); end case; when x"d"=> --60 case mat_index is when x"0" => mat_index_address <= (others=>'0'); when x"1" => mat_index_address <= O_900; --60*60/4 = 64 when x"2" => mat_index_address <= O_900 + O_900; when x"3" => mat_index_address <= O_900 + O_900 + O_900; when x"4" => mat_index_address <= O_900 + O_900 + O_900 + O_900; when x"5" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900; when x"6" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"7" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"8" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"9" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"a" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"b" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"c" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"d" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"e" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when x"f" => mat_index_address <= O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900 + O_900; when others=> mat_index_address <= (others=>'0'); end case; when others=> mat_index_address <=(others =>'0'); end case; end process; -- row_cnt_address_generate. row_cnt_address <= ZEROS_8BL & unsigned(row_cnt); -- ram_sel_generate. ram_sel_out_gen: process(ram_sel_in) begin if(ram_sel_in(3 downto 2)="01") then -- select all the RAMS. to_ram_sel <="1111"; elsif(ram_sel_in(3 downto 2)="10") then -- deselect all the RAMS. to_ram_sel <="0000"; else case ram_sel_in(1 downto 0) is when "00"=> to_ram_sel<="0001"; when "01"=> to_ram_sel<="0010"; when "10"=> to_ram_sel<="0100"; when "11"=> to_ram_sel<="1000"; when others=> to_ram_sel<=(others=>'0'); end case; end if; end process; address <= std_logic_vector(address_out); -- decode the final address for the RAM. address_gen : process (mat_index_address,row_set_address,row_cnt_address) begin address_out <= mat_index_address + row_set_address + row_cnt_address; end process; end beh;
library ieee; use ieee.std_logic_1164.all; entity tb_ROM_write_data is end entity tb_ROM_write_data; architecture writer of tb_ROM_write_data is begin process is subtype word is std_logic_vector(0 to 7); type load_file_type is file of word; file load_file : load_file_type open write_mode is "tb_ROM.dat"; begin write(load_file, word'(X"00")); write(load_file, word'(X"01")); write(load_file, word'(X"02")); write(load_file, word'(X"03")); write(load_file, word'(X"04")); write(load_file, word'(X"05")); write(load_file, word'(X"06")); write(load_file, word'(X"07")); write(load_file, word'(X"08")); write(load_file, word'(X"09")); write(load_file, word'(X"0A")); write(load_file, word'(X"0B")); write(load_file, word'(X"0C")); write(load_file, word'(X"0D")); write(load_file, word'(X"0E")); write(load_file, word'(X"0F")); wait; end process; end architecture writer; library ieee; use ieee.std_logic_1164.all; entity tb_ROM is end entity tb_ROM; architecture test of tb_ROM is signal sel : std_logic; signal address : std_logic_vector(3 downto 0); signal data : std_logic_vector(0 to 7); begin dut : entity work.ROM(behavioral) generic map ( load_file_name => "tb_ROM.dat" ) port map ( sel, address, data ); end architecture test;