Search is not available for this dataset
content
stringlengths 0
376M
|
---|
<filename>hardware/src/host_channel/channel_DVCtoDNC.vhd<gh_stars>1-10
--------------------------------------------------------------------------------
-- Entity: channel_DVCtoDNC
--------------------------------------------------------------------------------
-- Copyright ... 2011
-- Filename : channel_DVCtoDNC.vhd
-- Creation date : 2011-09-07
-- Author(s) : dornbusc
-- Version : 1.00
-- Description : <short description>
--------------------------------------------------------------------------------
-- File History:
-- Date Version Author Comment
-- 2011-09-07 1.00 dornbusc Creation of File
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity channel_DVCtoDNC is
generic(
data_bits : natural --! data width of the channel (in bits).
);
port(
clk : in std_logic; --! input clock
i_data : in std_logic_vector(data_bits-1 downto 0);
i_vld : in std_logic;
i_cont : out std_logic;
o_data : out std_logic_vector(data_bits-1 downto 0);
o_new : out std_logic;
o_cont : in std_logic
);
end channel_DVCtoDNC;
architecture arch of channel_DVCtoDNC is
signal new_sig : std_logic;
begin
inst_output_ctrl: entity work.output_ctrl
port map(
clk => clk,
s_cont => i_cont,
s_vld => i_vld,
o_cont => o_cont,
o_new => new_sig
);
inst_output_buf : entity work.output_buf
generic map(
data_bits => data_bits
)
port map(
clk => clk,
s_data => i_data,
o_new => new_sig,
o_data => o_data
);
o_new <= new_sig;
end arch;
|
<reponame>AsciiShell/hse_hlimds_labs
component multiprocessor_tutorial_main_system is
port (
clk_clk_in_reset_reset_n : in std_logic := 'X'; -- reset_n
clk_in_clk : in std_logic := 'X' -- clk
);
end component multiprocessor_tutorial_main_system;
u0 : component multiprocessor_tutorial_main_system
port map (
clk_clk_in_reset_reset_n => CONNECTED_TO_clk_clk_in_reset_reset_n, -- clk_clk_in_reset.reset_n
clk_in_clk => CONNECTED_TO_clk_in_clk -- clk_in.clk
);
|
<reponame>tolgakarakurt/32x32-combinational-multipliers<gh_stars>1-10
------------------------------------------------------------------------------------------
--Karakurt--------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY A_register_8b_dp_tb IS
END ENTITY;
ARCHITECTURE tb OF A_register_8b_dp_tb IS
-- Component Declaration for the Unit Under Test (UUT)
------------------------------------------------------------------------------------------
COMPONENT A_register_8b_dp
PORT(clk : IN STD_LOGIC;
op : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
F : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
A : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
------------------------------------------------------------------------------------------
--Inputs
SIGNAL clk_tb : STD_LOGIC := '0';
SIGNAL op_tb : STD_LOGIC_VECTOR(6 DOWNTO 0) := "0000000";
SIGNAL F_tb : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000";
--Outputs
SIGNAL A_tb : STD_LOGIC_VECTOR(7 DOWNTO 0);
CONSTANT period : time := 6 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: A_register_8b_dp
PORT MAP (clk => clk_tb,
op => op_tb,
F => F_tb,
A => A_tb
);
clk_tb <= NOT clk_tb after period/2;
op_tb <= "0000000", "1111111" AFTER 50 ns, "1010101" AFTER 100 ns, "0101010" AFTER 150 ns, "0010011" AFTER 200 ns, "1011100" AFTER 250 ns;
F_tb <= "00000000", "11111111" AFTER 50 ns, "01010101" AFTER 100 ns, "10011001" AFTER 150 ns, "00110011" AFTER 200 ns, "10001000" AFTER 250 ns;
stop: PROCESS
BEGIN
WAIT FOR 300 ns; -- Total Simulation Time
ASSERT FALSE
REPORT "Simulation ended by TK at" & time'image(now)
SEVERITY FAILURE;
END PROCESS;
END tb;
------------------------------------------------------------------------------------------
|
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY SimpleEnum IS
PORT(
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
s_in0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_in1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_out : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ENTITY;
ARCHITECTURE rtl OF SimpleEnum IS
TYPE fsmT IS (send0, send1);
SIGNAL fsmSt : fsmt := send0;
SIGNAL fsmSt_next : fsmt;
BEGIN
assig_process_fsmSt: PROCESS(clk)
BEGIN
IF RISING_EDGE(clk) THEN
IF rst = '1' THEN
fsmSt <= send0;
ELSE
fsmSt <= fsmSt_next;
END IF;
END IF;
END PROCESS;
assig_process_fsmSt_next: PROCESS(fsmSt, s_in0, s_in1)
BEGIN
IF fsmSt = send0 THEN
s_out <= s_in0;
fsmSt_next <= send1;
ELSE
s_out <= s_in1;
fsmSt_next <= send0;
END IF;
END PROCESS;
END ARCHITECTURE;
|
<filename>CertificationSystem_M2S090TS/hdl/gv_sha256.vhd
----------------------------------------------------------------------------------
-- Author: <NAME>, <EMAIL>, <EMAIL>, <EMAIL>
--
-- Create Date: 01:21:32 05/05/2016
-- Design Name: gv_sha256
-- Module Name: GV_SHA256 toplevel
-- Project Name: GV_SHA256 engine
-- Target Devices: Spartan-6 LX45
-- Tool versions: ISE 14.7
-- Description:
--
-- This is the gv_sha256 engine top level.
-- The gv_sha256 is a stream hash engine, i.e., the data words are hashed as a stream of words read from an input bus, with
-- control inputs for BEGIN/END of the message data stream. The input bus is a 32bit word bus, with a byte lane selector to signalize
-- how many bytes are valid in the last word.
--
-- The core is a structural integration of the logic blocks for the SHA256 engine, with the internal datapath and controlpath wires.
--
-- Written in synthesizable VHDL, the hash engine is a low resource, area-efficient implementation of the FIPS-180-4 SHA256 hash algorithm.
-- Designed around the core registers and combinational hash functions as a 768bit-wide engine, the engine takes 64+1 clocks to
-- compute a hash block.
--
-- It is designed for stand-alone ASIC functions and 32-bit bus interfaces for generic processor integration.
--
-- The data input port is organized as a 32bit word write register, with flow control and begin/end signals.
-- The 256bit result register is organized as 8 x 32bit registers that can be read simultaneously.
--
-- This implementation is a conservative implementation of the approved FIPS-180-4 algorithm, with a fair compromise of resources,
-- comprising of only 32 registers of 32bit words for the hash engine, with a single-cycle combinational logic for each algorithm step.
-- The combinational logic depth of the engine is 10 logic layers. For a process with 650ps of average (Tpd + Tsu), this core can
-- be synthesized to 75MHz system clock.
--
-- The GV_SHA256 is a basic cryptographic block, used by almost all encryption and digital signature schemes.
--
-- Applications include low-cost CyberPhysical Systems and also fast backend crypto functions for realtime hashing of packet data.
-- It is used in the GridVortex CyberSec IP, as a base for the fused HMAC-SHA256, HKDF, HMAC-SHA256-DRBG, and the SP-800 TRNG Entropy Source.
--
------------------------------ COPYRIGHT NOTICE -----------------------------------------------------------------------
--
-- This file is part of the SHA256 HASH CORE project http://opencores.org/project,sha256_hash_core
--
-- Author(s): <NAME>, <EMAIL>, <EMAIL>, <EMAIL>
--
-- Copyright (C) 2016 <NAME>
-- -----------------------------
--
-- This source file may be used and distributed without restriction provided that this copyright statement is not
-- removed from the file and that any derivative work contains the original copyright notice and the associated
-- disclaimer.
--
-- This source file is free software; you can redistribute it and/or modify it under the terms of the GNU Lesser
-- General Public License as published by the Free Software Foundation; either version 2.1 of the License, or
-- (at your option) any later version.
--
-- This source is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public License for more
-- details.
--
-- You should have received a copy of the GNU Lesser General Public License along with this source; if not, download
-- it from http://www.gnu.org/licenses/lgpl.txt
--
------------------------------ REVISION HISTORY -----------------------------------------------------------------------
--
-- 2016/05/22 v0.01.0010 [JD] started development. design of blocks and port interfaces.
-- 2016/06/05 v0.01.0090 [JD] all modules integrated. testbench for basic test vectors verification.
-- 2016/06/05 v0.01.0095 [JD] verification failed. misalignment of words in the datapath.
-- 2016/06/06 v0.01.0100 [JD] first simulation verification against NIST-FIPS-180-4 test vectors "abc" passed.
-- 2016/06/07 v0.01.0105 [JD] verification against all NIST-FIPS-180-4 test vectors passed.
-- 2016/06/11 v0.01.0105 [JD] verification against NIST-SHA2_Additional test vectors #1 to #10 passed.
-- 2016/06/11 v0.01.0110 [JD] optimized controller states, reduced 2 clocks per block, added lookahead register feedback.
-- 2016/09/25 v0.01.0220 [JD] changed 'di_ack_i' name to 'di_wr_i', and changed semantics to 'data write'.
--
--
-----------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity gv_sha256 is
port (
-- clock and core enable
clk_i : in std_logic := 'U'; -- system clock
ce_i : in std_logic := 'U'; -- core clock enable
-- input data
di_i : in std_logic_vector (31 downto 0) := (others => 'U'); -- big endian input message words
bytes_i : in std_logic_vector (1 downto 0) := (others => 'U'); -- valid bytes in input word
-- start/end commands
start_i : in std_logic := 'U'; -- reset the engine and start a new hash
end_i : in std_logic := 'U'; -- marks end of last block data input
-- handshake
di_req_o : out std_logic; -- requests data input for next word
di_wr_i : in std_logic := 'U'; -- high for di_i valid, low for hold
error_o : out std_logic; -- signalizes error. output data is invalid
do_valid_o : out std_logic; -- when high, the output is valid
-- 256bit output registers
H0_o : out std_logic_vector (31 downto 0);
H1_o : out std_logic_vector (31 downto 0);
H2_o : out std_logic_vector (31 downto 0);
H3_o : out std_logic_vector (31 downto 0);
H4_o : out std_logic_vector (31 downto 0);
H5_o : out std_logic_vector (31 downto 0);
H6_o : out std_logic_vector (31 downto 0);
H7_o : out std_logic_vector (31 downto 0)
);
end gv_sha256;
architecture rtl of gv_sha256 is
-- internal register data values
signal R0_data : std_logic_vector (31 downto 0);
signal R1_data : std_logic_vector (31 downto 0);
signal R2_data : std_logic_vector (31 downto 0);
signal R3_data : std_logic_vector (31 downto 0);
signal R4_data : std_logic_vector (31 downto 0);
signal R5_data : std_logic_vector (31 downto 0);
signal R6_data : std_logic_vector (31 downto 0);
signal R7_data : std_logic_vector (31 downto 0);
-- initial hash data values
signal K0_data : std_logic_vector (31 downto 0);
signal K1_data : std_logic_vector (31 downto 0);
signal K2_data : std_logic_vector (31 downto 0);
signal K3_data : std_logic_vector (31 downto 0);
signal K4_data : std_logic_vector (31 downto 0);
signal K5_data : std_logic_vector (31 downto 0);
signal K6_data : std_logic_vector (31 downto 0);
signal K7_data : std_logic_vector (31 downto 0);
-- hash result lookahead port
signal N0_data : std_logic_vector (31 downto 0);
signal N1_data : std_logic_vector (31 downto 0);
signal N2_data : std_logic_vector (31 downto 0);
signal N3_data : std_logic_vector (31 downto 0);
signal N4_data : std_logic_vector (31 downto 0);
signal N5_data : std_logic_vector (31 downto 0);
signal N6_data : std_logic_vector (31 downto 0);
signal N7_data : std_logic_vector (31 downto 0);
-- hash result data
signal H0_data : std_logic_vector (31 downto 0);
signal H1_data : std_logic_vector (31 downto 0);
signal H2_data : std_logic_vector (31 downto 0);
signal H3_data : std_logic_vector (31 downto 0);
signal H4_data : std_logic_vector (31 downto 0);
signal H5_data : std_logic_vector (31 downto 0);
signal H6_data : std_logic_vector (31 downto 0);
signal H7_data : std_logic_vector (31 downto 0);
-- message schedule word datapath
signal Mi_data : std_logic_vector (31 downto 0);
signal Wt_data : std_logic_vector (31 downto 0);
-- coefficients ROMs
signal Kt_data : std_logic_vector (31 downto 0);
signal Kt_addr : std_logic_vector (5 downto 0);
-- padding control
signal words_sel : std_logic_vector (1 downto 0);
signal bytes_ena : std_logic_vector (3 downto 0);
signal one_insert : std_logic;
signal msg_bitlen : std_logic_vector (63 downto 0);
signal pad_data : std_logic_vector (31 downto 0);
-- block mux selectors
signal sch_ld : std_logic;
signal core_ld : std_logic;
signal oregs_ld : std_logic;
-- block clock enables
signal sch_ce : std_logic;
signal core_ce : std_logic;
signal oregs_ce : std_logic;
-- output data valid / error
signal data_valid : std_logic;
signal error_pad : std_logic;
signal error_ctrl : std_logic;
begin
--=============================================================================================
-- INTERNAL COMPONENT INSTANTIATIONS AND CONNECTIONS
--=============================================================================================
-- control path core logic
Inst_sha256_control: entity work.sha256_control(rtl)
port map(
-- inputs
clk_i => clk_i,
ce_i => ce_i,
bytes_i => bytes_i,
wr_i => di_wr_i,
start_i => start_i,
end_i => end_i,
error_i => error_pad,
-- output control signals
bitlen_o => msg_bitlen,
words_sel_o => words_sel,
Kt_addr_o => Kt_addr,
sch_ld_o => sch_ld,
core_ld_o => core_ld,
oregs_ld_o => oregs_ld,
sch_ce_o => sch_ce,
core_ce_o => core_ce,
oregs_ce_o => oregs_ce,
one_insert_o => one_insert,
bytes_ena_o => bytes_ena,
di_req_o => di_req_o,
data_valid_o => data_valid,
error_o => error_ctrl
);
-- datapath: sha256 byte padding
Inst_sha256_padding: entity work.sha256_padding(rtl)
port map(
words_sel_i => words_sel,
one_insert_i => one_insert,
bytes_ena_i => bytes_ena,
bitlen_i => msg_bitlen,
di_i => di_i,
do_o => Mi_data,
error_o => error_pad
);
-- datapath: sha256 message schedule
Inst_sha256_msg_sch: entity work.sha256_msg_sch(rtl)
port map(
clk_i => clk_i,
ce_i => sch_ce,
ld_i => sch_ld,
M_i => Mi_data,
Wt_o => Wt_data
);
-- datapath: sha256 core logic
Inst_sha256_hash_core: entity work.sha256_hash_core(rtl)
port map(
clk_i => clk_i,
ce_i => core_ce,
ld_i => core_ld,
-- initial hash data values
A_i => N0_data,
B_i => N1_data,
C_i => N2_data,
D_i => N3_data,
E_i => N4_data,
F_i => N5_data,
G_i => N6_data,
H_i => N7_data,
-- block hash values
A_o => R0_data,
B_o => R1_data,
C_o => R2_data,
D_o => R3_data,
E_o => R4_data,
F_o => R5_data,
G_o => R6_data,
H_o => R7_data,
-- key coefficients
Kt_i => Kt_data,
-- message schedule word input
Wt_i => Wt_data
);
-- datapath: sha256 output registers
Inst_sha256_regs: entity work.sha256_regs(rtl)
port map(
clk_i => clk_i,
ce_i => oregs_ce,
ld_i => oregs_ld,
-- register data from the core logic
A_i => R0_data,
B_i => R1_data,
C_i => R2_data,
D_i => R3_data,
E_i => R4_data,
F_i => R5_data,
G_i => R6_data,
H_i => R7_data,
-- initial hash values
K0_i => K0_data,
K1_i => K1_data,
K2_i => K2_data,
K3_i => K3_data,
K4_i => K4_data,
K5_i => K5_data,
K6_i => K6_data,
K7_i => K7_data,
-- lookahead output hash values, one pipeline advanced
N0_o => N0_data,
N1_o => N1_data,
N2_o => N2_data,
N3_o => N3_data,
N4_o => N4_data,
N5_o => N5_data,
N6_o => N6_data,
N7_o => N7_data,
-- output hash values
H0_o => H0_data,
H1_o => H1_data,
H2_o => H2_data,
H3_o => H3_data,
H4_o => H4_data,
H5_o => H5_data,
H6_o => H6_data,
H7_o => H7_data
);
-- coefficients ROM: modelled as an asynchronously addressable ROM
Inst_sha256_kt_rom: entity work.sha256_kt_rom(behavioral)
port map(
addr_i => Kt_addr,
dout_o => Kt_data
);
-- init output data ROM: modelled as a statically defined constant
Inst_sha256_ki_rom: entity work.sha256_ki_rom(behavioral)
port map(
K0_o => K0_data,
K1_o => K1_data,
K2_o => K2_data,
K3_o => K3_data,
K4_o => K4_data,
K5_o => K5_data,
K6_o => K6_data,
K7_o => K7_data
);
--=============================================================================================
-- OUTPUTS LOGIC
--=============================================================================================
error_o_proc: error_o <= error_ctrl;
do_valid_o_proc: do_valid_o <= data_valid;
H0_o_proc: H0_o <= H0_data;
H1_o_proc: H1_o <= H1_data;
H2_o_proc: H2_o <= H2_data;
H3_o_proc: H3_o <= H3_data;
H4_o_proc: H4_o <= H4_data;
H5_o_proc: H5_o <= H5_data;
H6_o_proc: H6_o <= H6_data;
H7_o_proc: H7_o <= H7_data;
end rtl;
|
<gh_stars>10-100
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, <NAME>
-- Copyright (C) 2015 - 2017, <NAME>
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: grgprbank
-- File: grgprbank.vhd
-- Author: <NAME> - <NAME>
-- Description: General purpose register bank
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library grlib;
use grlib.amba.all;
use grlib.devices.all;
use grlib.stdlib.all;
entity grgprbank is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
regbits: integer range 1 to 32 := 32;
nregs : integer range 1 to 32 := 1;
rstval : integer := 0;
extrst : integer := 0;
rdataen: integer := 0;
wproten: integer := 0;
partrstmsk: integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
rego : out std_logic_vector(nregs*regbits-1 downto 0);
resval : in std_logic_vector(nregs*regbits-1 downto 0);
rdata : in std_logic_vector(nregs*regbits-1 downto 0);
wprot : in std_logic_vector(nregs-1 downto 0);
partrst : in std_ulogic
);
end;
architecture rtl of grgprbank is
constant nregsp2: integer := 2**log2(nregs);
subtype regtype is std_logic_vector(regbits-1 downto 0);
type regbank is array(nregsp2-1 downto 0) of regtype;
type grgprbank_regs is record
regs: regbank;
end record;
signal r,nr: grgprbank_regs;
constant pconfig: apb_config_type := (
0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_GPREGBANK, 0, 0, 0),
1 => apb_iobar(paddr, pmask));
begin
comb: process(r,rst,apbi,resval,rdata,wprot,partrst)
variable v: grgprbank_regs;
variable o: apb_slv_out_type;
variable rd: regbank;
variable wprotx: std_logic_vector(nregsp2-1 downto 0);
begin
-- Init vars
v := r;
o := apb_none;
o.pindex := pindex;
o.pconfig := pconfig;
for x in nregs-1 downto 0 loop
rd(x) := rdata(x*regbits+regbits-1 downto x*regbits);
end loop;
wprotx := (others => '0');
wprotx(nregs-1 downto 0) := wprot;
-- APB Interface
if nregs > 1 then
o.prdata(regbits-1 downto 0) := r.regs(to_integer(unsigned(apbi.paddr(1+log2(nregs) downto 2))));
if rdataen /= 0 then
o.prdata(regbits-1 downto 0) := rd(to_integer(unsigned(apbi.paddr(1+log2(nregs) downto 2))));
end if;
if apbi.penable='1' and apbi.psel(pindex)='1' and apbi.pwrite='1' then
if wproten=0 or (wprotx(to_integer(unsigned(apbi.paddr(1+log2(nregs) downto 2))))='0') then
v.regs(to_integer(unsigned(apbi.paddr(1+log2(nregs) downto 2)))) := apbi.pwdata(regbits-1 downto 0);
end if;
end if;
else
o.prdata(regbits-1 downto 0) := r.regs(0);
if apbi.penable='1' and apbi.psel(pindex)='1' and apbi.pwrite='1' then
v.regs(0) := apbi.pwdata(regbits-1 downto 0);
end if;
end if;
-- Partial reset
if partrstmsk/=0 then
if partrst='0' then
for x in 0 to nregs-1 loop
if ((partrstmsk / (2**x)) mod 2) = 1 then
if extrst=0 then
v.regs(x) := std_logic_vector(to_unsigned(rstval,regbits));
else
v.regs(x) := resval(x*regbits+regbits-1 downto x*regbits);
end if;
end if;
end loop;
end if;
end if;
-- Reset
if rst='0' then
v.regs := (others => std_logic_vector(to_unsigned(rstval,regbits)));
if extrst/=0 then
for x in nregs-1 downto 0 loop
v.regs(x) := resval(x*regbits+regbits-1 downto x*regbits);
end loop;
end if;
end if;
-- clear unused part of reg bank so it can be pruned
if nregs < nregsp2 then
for x in nregsp2-1 downto nregs loop
v.regs(x) := (others => '0');
end loop;
end if;
-- Drive outputs
nr <= v;
apbo <= o;
for x in nregs-1 downto 0 loop
rego(x*regbits+regbits-1 downto x*regbits) <= r.regs(x);
end loop;
end process;
regs: process(clk)
begin
if rising_edge(clk) then r <= nr; end if;
end process;
end;
|
--
-- Copyright (c) 2018, UPC
-- All rights reserved.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.param_disenyo_pkg.all;
use work.cte_tipos_deco_camino_pkg.all;
use work.componentes_secuenciamiento_PreSecu_pkg.all;
use work.RegDes_pkg.all;
entity RErsec is
port(reloj, pcero, I: in std_logic;
opSEC: in st_opSEC;
ErPre: in std_logic;
msecuseg: out std_logic);
end RErsec;
architecture comporta of RErsec is
signal opSEC_A4: st_opSEC;
begin
RA4_opSEC: RDI_N generic map (tam => num_opSEC)
port map (reloj => reloj, pcero => pcero, I => I, e => opSEC, s => opSEC_A4);
R_Ersec: Ersec port map (opSEC => opSEC_A4, ErPre => ErPre, msecuseg => msecuseg);
end;
|
<gh_stars>10-100
------------------------------------------------------------------------------------------------
-- Copyright (c) 2011 <NAME>
-- All rights reserved.
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
-- * Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
-- * Neither the name of the copyright holder nor the
-- names of its contributors may be used to endorse or promote products
-- derived from this software without specific prior written permission.
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDER ``AS IS'' AND ANY
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
-- IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR
-- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE
-- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN
-- IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-- ------------------------------------------------------------------------------------------------
-- ------------------------------------------------------------------------------------------------
-- Generates a sticky-bit for rounding purpose. During alignment of the exponents the C operand is
-- shifted to the right. If bits are shifted out of the range of this operand, these bits are
-- logically or'ed into a sticky-bit. In other words, during shift, if a '1' is shifted out of the
-- range then the sticky bit becomes '1' and stays '1'. This sticky-bit is used to indicate that
-- the intermediate result is inexact.
-- ------------------------------------------------------------------------------------------------
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use work.alu_pkg_lvl1.all;
use work.alu_pkg_lvl2.all;
entity stickybit_generation is
port(
significand_c_in : in std_logic_vector(SIGNIFICANDWIDTH downto 0); -- the entire significand of operand C
shift_in : in std_logic_vector(log2_ceil(3*(HIDDENBIT+SIGNIFICANDWIDTH)+GUARDBITS) downto 0); -- the alignment shift for C
sticky_out : out std_logic -- the primary sticky-bit (caused by alignment only)
);
end stickybit_generation;
architecture rtl of stickybit_generation is
begin
combinatorial : process(significand_c_in, shift_in)
variable newshift : integer;
variable reduce : std_logic_vector(SIGNIFICANDWIDTH downto 0);
variable shift : std_logic_vector(2*(SIGNIFICANDWIDTH+HIDDENBIT)-1 downto 0);
begin
-- C shifted out of range entirely, it does not matter how far, just or-reduce the significand
-- of C into a sticky-bit.
if(unsigned(shift_in) >= (3*(SIGNIFICANDWIDTH+HIDDENBIT)+GUARDBITS)) then
reduce := significand_c_in;
-- C stays entirely within range, no bits are shifted out so sticky-bit is '0'.
elsif(unsigned(shift_in) < (2*(SIGNIFICANDWIDTH+HIDDENBIT)+GUARDBITS+1)) then
reduce := (others => '0');
-- only partially shifted out of range, compute which part is shifted out.
else
-- Or-reduce only the bits shifted out of the range.
newshift := to_integer(unsigned(shift_in) - (2*(SIGNIFICANDWIDTH+HIDDENBIT)+2));
shift := (others => '0');
shift(shift'left downto (shift'left-(SIGNIFICANDWIDTH))) := significand_c_in;
shift := std_logic_vector(shift_right(unsigned(shift),newshift));
reduce := shift(SIGNIFICANDWIDTH downto 0);
end if;
sticky_out <= or_reduce(reduce);
end process;
end rtl;
|
library verilog;
use verilog.vl_types.all;
entity oper_less_than is
generic(
width_a : integer := 6;
width_b : integer := 6;
sgate_representation: integer := 0;
width_max : vl_notype
);
port(
a : in vl_logic_vector;
b : in vl_logic_vector;
cin : in vl_logic;
o : out vl_logic
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of width_a : constant is 1;
attribute mti_svvh_generic_type of width_b : constant is 1;
attribute mti_svvh_generic_type of sgate_representation : constant is 1;
attribute mti_svvh_generic_type of width_max : constant is 3;
end oper_less_than;
|
-- ----------------------------------------------------------------------------
-- FILE: ddr2rxiq.vhd
-- DESCRIPTION: Take data from ddri and convert to RXIQ
-- DATE: Mar 31, 2015
-- AUTHOR(s): <NAME>
-- REVISIONS:
-- ----------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- ----------------------------------------------------------------------------
-- Entity declaration
-- ----------------------------------------------------------------------------
entity ddr2rxiq is
port(
reset_n : in std_logic; ---input reset active low
clk : in std_logic;
dil : in std_logic_vector(12 downto 0);
dih : in std_logic_vector(12 downto 0);
rxiqsel : out std_logic;
rxdA : out std_logic_vector(11 downto 0);
rxdB : out std_logic_vector(11 downto 0);
AI : out std_logic_vector(11 downto 0);
AQ : out std_logic_vector(11 downto 0);
BI : out std_logic_vector(11 downto 0);
BQ : out std_logic_vector(11 downto 0)
);
end entity ddr2rxiq;
-- ----------------------------------------------------------------------------
-- Architecture
-- ----------------------------------------------------------------------------
architecture ddr2rxiq_arch of ddr2rxiq is
signal rai, raid1 : std_logic_vector(11 downto 0);
signal raq : std_logic_vector(11 downto 0);
signal rbi : std_logic_vector(11 downto 0);
signal rbq : std_logic_vector(11 downto 0);
begin
-- A channel
process(clk, reset_n)
begin
if(reset_n = '0') then
rai <= (others => '0');
raq <= (others => '0');
raid1 <= (others => '0');
elsif (clk'event and clk = '1') then
if dih(12) = '0' then
rai <= dih(11 downto 0);
raq <= dil(11 downto 0);
raid1 <= rai;
end if;
end if;
end process;
-- B channel
process(clk, reset_n)
begin
if(reset_n = '0') then
rbi <= (others => '0');
rbq <= (others => '0');
elsif (clk'event and clk = '1') then
if dih(12) = '1' then
rbi <= dih(11 downto 0);
rbq <= dil(11 downto 0);
end if;
end if;
end process;
rxiqsel <= dih(12);
rxdA <= raid1 when dih(12) = '1' else raq;
rxdB <= rbi when dih(12) = '1' else rbq;
AI <= rai;
AQ <= raq;
BI <= rbi;
BQ <= rbq;
end architecture;
|
<filename>packages/pkg_directions.vhd
package PROJECT_DIRECTION_PKG is
constant D_UP : integer range 0 to 3 := 0;
constant D_RIGHT : integer range 0 to 3 := 1;
constant D_DOWN : integer range 0 to 3 := 2;
constant D_LEFT : integer range 0 to 3 := 3;
subtype direction_type is integer range 0 to 3;
end package;
|
library IEEE;
library work;
use work.commonPackage.all;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
entity control_unit is
port (
clk : in std_logic;
clear_rst : in std_logic;
output_reg : out rpn_type_register;
add_input : in std_logic;
subtract_input : in std_logic;
multiply_input : in std_logic;
divide_input : in std_logic;
enter_input : in std_logic;
s_ascii_out_input : in std_logic;
input_keypad_input : in std_logic_vector(3 downto 0 );
-- outputs
output_string_output : out string(3 downto 1);
-- error_out_of_bound_output : out std_logic;
test_led : out std_logic_vector(2 downto 0);
error_out_of_bound : out std_logic;
error_division_by_zero : out std_logic
);
end control_unit;
architecture Behavioral of control_unit is
-- signal test_led : std_logic_vector(3 downto 0) := "0000";
signal reg : rpn_type_register := (others => ( others => '0'));
signal add : std_logic;
signal last_add_state : std_logic;
signal subtract : std_logic;
signal last_subtract_state : std_logic;
signal multiply : std_logic;
signal last_multiply_state : std_logic;
signal divide : std_logic;
signal last_divide_state : std_logic;
signal enter : std_logic;
signal last_enter_state : std_logic;
signal int_data : integer;
signal output_string : string(3 downto 1) := " ";
signal signed_output : signed( DATA_SIZE -1 DOWNTO 0);
signal operation_signal : std_logic_vector(4 - 1 downto 0);
signal s_ascii_out : std_logic ;
signal last_keypress_state : std_logic;
signal keypad_input : std_logic_vector(3 downto 0 );
signal error_check : signed( DATA_SIZE DOWNTO 0);
-- error string
-- signal error_out_of_bound : std_logic;
-- signal error_division_by_zero : std_logic;
-- signal temp_for_error_testing : signed(DATA_SIZE - 1 downto 0);
-- signal input2_signal : signed(DATA_SIZE - 1 downto 0);
-- signal input1_signal : signed(DATA_SIZE - 1 DOWNTO 0);
-- division circuit dummies
-- signal signal_busy : std_logic;
-- signal mul_result : std_logic_vector(2 * DATA_SIZE - 1 downto 0);
-- signal signal_s : std_logic;
-- signal done : std_logic;
-- signal hello_temp : std_logic_vector(DATA_SIZE - 1 downto 0);
-- component ALU is
-- Port (
-- clk : in std_logic;
-- Reset : in std_logic;
-- input1 : in signed(DATA_SIZE - 1 DOWNTO 0);
-- input2 : in signed(DATA_SIZE - 1 DOWNTO 0);
-- output : out signed(DATA_SIZE - 1 DOWNTO 0);
-- operation : in std_logic_vector(4 - 1 downto 0)
-- );
-- end component;
-- component division_controller IS
-- PORT(
-- clock : IN STD_LOGIC; --system clock
-- reset_n : IN STD_LOGIC; --resets on logic low
-- enable : IN STD_LOGIC; --signal high for division to start
-- busy : OUT STD_LOGIC; --goes high when busy, low when done
-- divisor : IN STD_LOGIC_VECTOR(7 DOWNTO 0); --2 digit divisor
-- dividend : IN STD_LOGIC_VECTOR(23 DOWNTO 0); --6 digit dividend
-- quotient : OUT STD_LOGIC_VECTOR(23 DOWNTO 0);--6 digit quotient result
-- remainder : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --2 digit remainder result
-- subtrahend : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);--output to bcd adder
-- minuend : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);--output to bcd adder
-- result : IN STD_LOGIC_VECTOR(11 DOWNTO 0)); --input from bcd adder
-- END component;
component multiply_CIR IS
GENERIC
(
N : integer;
NN : integer -- stands for 2 * N
);
PORT (
Clock : IN STD_LOGIC ;
Resetn : IN STD_LOGIC ;
Load_A, Load_B, s : IN STD_LOGIC ;
DataA : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0);
DataB : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0);
P_out : out STD_LOGIC_VECTOR(NN-1 DOWNTO 0);
Done : OUT STD_LOGIC
);
END component ;
signal signal_s : std_logic;
signal load_A_signal : std_logic;
signal load_B_signal : std_logic;
signal dataB_temp : std_logic_vector(DATA_SIZE - 1 downto 0);
signal dataA_temp : std_logic_vector(DATA_SIZE - 1 downto 0);
signal mul_result : std_logic_vector(2 * DATA_SIZE - 1 downto 0);
signal done : std_logic;
type mul_state is (stop, go);
signal current_mul_state : mul_state;
signal to_temp : STD_LOGIC_VECTOR(DATA_SIZE - 1 DOWNTO 0);
begin
-- with current_mul_state select test_led(1 downto 0)
-- <= "10" when go,
-- "01" when others;
-- test_led(2) <= done;
dataB_temp <= std_logic_vector(to_signed(int_data, reg(0)'length));
dataA_temp <= std_logic_vector(reg(0));
-- to_temp <= mul_result(2 * DATA_SIZE -1 DOWNTO DATA_SIZE);
-- with to_temp select
-- error_out_of_bound <= '0' when "00000000000",
-- '1' when others;
-- process(error_check, clear_rst)
-- begin
-- if clear_rst = '0' then
-- error_out_of_bound <= '0';
-- end process;
mul_FSM : process(clear_rst, clk)
begin
if clear_rst = '0' then
current_mul_state <= stop;
test_led <= "000";
elsif rising_edge(clk) then
case current_mul_state is
when stop =>
if multiply = '1' and last_multiply_state = '0' then
current_mul_state <= go;
test_led(1 downto 0) <= "00";
test_led(2) <= '1';
else
current_mul_state <= stop;
test_led(1 downto 0) <= "01";
end if;
when go =>
if done = '1' then -- done! can go to stable state multiply = '1' and last_multiply_state = '0' and
current_mul_state <= stop;
test_led(1 downto 0) <= "10";
else
current_mul_state <= go; -- remain in multiplication period
test_led(1 downto 0) <= "11";
end if;
end case;
last_multiply_state <= multiply;
end if;
end process;
test : process(current_mul_state)
begin
if current_mul_state = go then
signal_s <= '1';
load_A_signal <= '0';
load_B_signal <= '0';
elsif current_mul_state = stop then
signal_s <= '0';
load_A_signal <= '1';
load_B_signal <= '1';
end if;
end process;
-- mul_data_FSM : process(current_mul_state)
-- begin
-- if current_mul_state = go then--multiply = '1' and last_multiply_state = '0' then
---- operation_signal <= "0100";
---- reg(0) <= signed_output;
---- reg(0) <= reg(0) * to_signed(int_data, reg(0)'length);
-- reg(0) <= signed(mul_result(DATA_SIZE -1 DOWNTO 0));
-- output_string <= " ";
-- end if;
-- end process;
mul_uut : multiply_CIR
GENERIC map
(
N => DATA_SIZE,
NN => 2 * DATA_SIZE
)
PORT map(
Clock => CLK,
Resetn => clear_rst,
s => signal_s,
Load_A => load_A_signal,
Load_B => load_B_signal,
DataA => dataA_temp,
DataB => dataB_temp,
P_out => mul_result,
Done => done
);
-- division_uut : division_controller port map (
-- clock => clk,
-- reset_n => clear_rst,
-- enable => '1',
-- busy => signal_busy,
-- divisor : std_logic_vector(to_signed(int_data, reg(0)'length)),
-- dividend : std_logic_vector(reg(0)),
-- quotient : division_result,
-- remainder : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); --2 digit remainder result
-- subtrahend : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);--output to bcd adder
-- minuend : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);--output to bcd adder
-- result : IN STD_LOGIC_VECTOR(11 DOWNTO 0)); --input from bcd adder
-- test_led <= keypad_input;
-- input2_signal <= to_signed(int_data, reg(0)'length); -- good
-- input1_signal <= reg(0); -- good
-- process(operation_signal)
-- begin
-- case operation_signal is
-- when "0001" => signed_output <= input2_signal + input1_signal;
-- when "0010" => signed_output <= input2_signal - input1_signal;
-- when "0100" => signed_output <= input2_signal + input1_signal;
-- when "1000" => signed_output <= input2_signal - input1_signal;
-- when others => signed_output <= REGISTER_ZERO;
-- end case;
-- end process;
-- ALU_uut : ALU port map
-- (
-- clk => clk,
-- Reset => clear_rst,
-- input1 => input1_signal,
-- input2 => input2_signal,
-- output => signed_output,
-- operation => operation_signal
-- );
-- error_check : process(clk)
-- begin
-- temp_for_error_testing <= reg(1) + reg(0);
-- if ( add = '1' and last_add_state = '0' ) then
-- if (reg(1)(MOST_SIGNIFICANT_BIT_NUMBER) = '0' and reg(0)(MOST_SIGNIFICANT_BIT_NUMBER) = '0'
-- and temp_for_error_testing(MOST_SIGNIFICANT_BIT_NUMBER) = '1')
-- OR (reg(1)(MOST_SIGNIFICANT_BIT_NUMBER) = '1' and reg(0)(MOST_SIGNIFICANT_BIT_NUMBER) = '1'
-- and temp_for_error_testing(MOST_SIGNIFICANT_BIT_NUMBER) = '0') then
-- error_out_of_bound <= '1';
-- else
-- error_out_of_bound <= '0';
-- end if;
-- elsif ( subtract = '1' and last_subtract_state = '0' ) then
-- if (reg(1)(MOST_SIGNIFICANT_BIT_NUMBER) = '0' and reg(0)(MOST_SIGNIFICANT_BIT_NUMBER) = '0'
-- and temp_for_error_testing(MOST_SIGNIFICANT_BIT_NUMBER) = '1')
-- OR (reg(1)(MOST_SIGNIFICANT_BIT_NUMBER) = '1' and reg(0)(MOST_SIGNIFICANT_BIT_NUMBER) = '1'
-- and temp_for_error_testing(MOST_SIGNIFICANT_BIT_NUMBER) = '0') then
-- error_out_of_bound <= '1';
-- else
-- error_out_of_bound <= '0';
-- end if;
-- end if;
-- end process;
rpn_calculator : process(clk, clear_rst)
begin
if clear_rst = '0' then
reg <= (others => ( others => '0'));
output_string <= " ";
error_division_by_zero <= '0';
error_out_of_bound <= '0';
elsif rising_edge(clk) then
if add = '1' and last_add_state = '0' and current_mul_state = stop then
-- operation_signal <= "0001";
-- reg(0) <= signed_output;
reg(0) <= reg(0) + to_signed(int_data, reg(0)'length);
output_string <= " ";
error_check <= ('0' & reg(0)) + ('0' & to_signed(int_data, reg(0)'length));
-- signal_s <= '0';
-- load_A_signal <= '1';
-- load_B_signal <= '1';
elsif subtract = '1' and last_subtract_state = '0' and current_mul_state = stop then
-- operation_signal <= "0010";
-- reg(0) <= signed_output;
reg(0) <= reg(0) - to_signed(int_data, reg(0)'length);
output_string <= " ";
error_check <= ('0' & reg(0)) - ('0' & to_signed(int_data, reg(0)'length));
elsif current_mul_state = go then--multiply = '1' and last_multiply_state = '0' then
reg(0) <= signed(mul_result(DATA_SIZE -1 DOWNTO 0));
output_string <= " ";
to_temp <= mul_result(2 * DATA_SIZE -1 DOWNTO DATA_SIZE);
if to_temp = "00000000000" then
error_out_of_bound <= '0';
else
error_out_of_bound <= '1';
end if;
--error_check <= reg(0) + to_signed(int_data, reg(0)'length);
elsif divide = '1' and last_divide_state = '0' then
reg(0) <= reg(0) / to_signed(int_data, reg(0)'length);
output_string <= " ";
error_check <= ('0' & reg(0)) / ('0' & to_signed(int_data, reg(0)'length));
if to_signed(int_data, reg(0)'length) = "00000000000" then
error_division_by_zero <= '1';
else
error_division_by_zero <= '0';
end if;
-- append the third string from right
elsif output_string = " " and keypad_input = "0001" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '1';
int_data <= 1;
elsif output_string = " " and keypad_input = "0010" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '2';
int_data <= 2;
elsif output_string = " " and keypad_input = "0011" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '3';
int_data <= 3;
elsif output_string = " " and keypad_input = "0100" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '4';
int_data <= 4;
elsif output_string = " " and keypad_input = "0101" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '5';
int_data <= 5;
elsif output_string = " " and keypad_input = "0110" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '6';
int_data <= 6;
elsif output_string = " " and keypad_input = "0111" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '7';
int_data <= 7;
elsif output_string = " " and keypad_input = "1000" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '8';
int_data <= 8;
elsif output_string = " " and keypad_input = "1001" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '9';
int_data <= 9;
elsif output_string = " " and keypad_input = "0000" and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(3) <= '0';
int_data <= 0;
-- append the second string from right
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "0001"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '1';
int_data <= (int_data * 10) + 1;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "0010"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '2';
int_data <= (int_data * 10) + 2;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "0011"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '3';
int_data <= (int_data * 10) + 3;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "0100"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '4';
int_data <= (int_data * 10) + 4;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "0101"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '5';
int_data <= (int_data * 10) + 5;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "0110"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '6';
int_data <= (int_data * 10) + 6;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "0111"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '7';
int_data <= (int_data * 10) + 7;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "1000"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '8';
int_data <= (int_data * 10) + 8;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "1001"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '9';
int_data <= (int_data * 10) + 9;
elsif output_string(3) /= ' ' and output_string(2 downto 1) = " " and keypad_input = "0000"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(2) <= '0';
int_data <= (int_data * 10) + 0;
-- append the first string from right
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "0001"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '1';
int_data <= (int_data * 10) + 1;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "0010"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '2';
int_data <= (int_data * 10) + 2;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "0011"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '3';
int_data <= (int_data * 10) + 3;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "0100"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '4';
int_data <= (int_data * 10) + 4;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "0101"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '5';
int_data <= (int_data * 10) + 5;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "0110"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '6';
int_data <= (int_data * 10) + 6;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "0111"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '7';
int_data <= (int_data * 10) + 7;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "1000"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '8';
int_data <= (int_data * 10) + 8;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "1001"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '9';
int_data <= (int_data * 10) + 9;
elsif output_string(3) /= ' ' and output_string(2) /= ' ' and output_string(1) = ' ' and keypad_input = "0000"
and (s_ascii_out = '1' and last_keypress_state = '0') then
output_string(1) <= '0';
int_data <= (int_data * 10) + 0;
end if;
last_add_state <= add;
last_subtract_state <= subtract;
last_divide_state <= divide;
last_enter_state <= enter;
last_keypress_state <= s_ascii_out;
--last_keypad_input_state <= keypad_input;
end if;
end process;
-- inputs
-- signals <= entity inputs
add <= add_input;
subtract <= subtract_input;
multiply <= multiply_input;
divide <= divide_input;
enter <= enter_input;
s_ascii_out <= s_ascii_out_input;
keypad_input <= input_keypad_input;
-- output
-- entity outputs <= signals
output_string_output <= output_string;
output_reg <= reg;
end Behavioral;
|
library IEEE;
use ieee.std_logic_1164.all;
entity MUX81_GENERIC is
generic(NBIT: integer);
Port( a:In std_logic_vector(NBIT-1 downto 0);
b: In std_logic_vector(NBIT-1 downto 0);
c: In std_logic_vector(NBIT-1 downto 0);
d: In std_logic_vector(NBIT-1 downto 0);
e: In std_logic_vector(NBIT-1 downto 0);
f: In std_logic_vector(NBIT-1 downto 0);
g: In std_logic_vector(NBIT-1 downto 0);
h: In std_logic_vector(NBIT-1 downto 0);
sel: In std_logic_vector(2 downto 0);
Y: Out std_logic_vector(NBIT-1 downto 0));
end entity;
architecture BEHAVIORAL of MUX81_GENERIC is
begin
process (a, b, c, d, e, f, g, h, sel)
begin
if sel="111" then Y <= a;
elsif sel="110" then Y<= b;
elsif sel="101" then Y <= c;
elsif sel="100" then Y <= d;
elsif sel="011" then Y <= e;
elsif sel="010" then Y<= f;
elsif sel="001" then Y <= g;
else Y <= h;
end if;
end process;
end architecture;
|
-------------------------------------------------------------------------------
-- Title : Multiformat Division and Square Root
-- Project :
-------------------------------------------------------------------------------
-- File : fp_divsqrt_multi.vhd
-- Author : <NAME> <<EMAIL>>
-- Company : Integrated Systems Laboratory, ETH Zurich
-- Created : 2018-04-08
-- Last update: 2018-10-10
-- Platform : ModelSim (simulation), Synopsys (synthesis)
-- Standard : VHDL'08
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright 2018 ETH Zurich and University of Bologna.
-- Copyright and related rights are licensed under the Solderpad Hardware
-- License, Version 0.51 (the "License"); you may not use this file except in
-- compliance with the License. You may obtain a copy of the License at
-- http://solderpad.org/licenses/SHL-0.51. Unless required by applicable law
-- or agreed to in writing, software, hardware and materials distributed under
-- this License is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
-- CONDITIONS OF ANY KIND, either express or implied. See the License for the
-- specific language governing permissions and limitations under the License.
-------------------------------------------------------------------------------
library IEEE, work;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.fpnew_pkg.all;
use work.fpnew_fmts_pkg.all;
use work.fpnew_comps_pkg.all;
entity fp_divsqrt_multi is
generic (
FORMATS : activeFormats_t := (Active => (FP32 to FP16ALT => true, others => false),
Encoding => DEFAULTENCODING);
LATENCY : natural := 0;
TAG_WIDTH : natural := 0);
port (
Clk_CI : in std_logic;
Reset_RBI : in std_logic;
---------------------------------------------------------------------------
A_DI, B_DI : in std_logic_vector(MAXWIDTH(FORMATS)-1 downto 0);
ABox_SI, BBox_SI : in fmtLogic_t;
RoundMode_SI : in rvRoundingMode_t;
Op_SI : in fpOp_t;
OpMod_SI : in std_logic;
FpFmt_SI : in fpFmt_t;
Tag_DI : in std_logic_vector(TAG_WIDTH-1 downto 0);
PrecCtl_SI : in std_logic_vector(6 downto 0);
---------------------------------------------------------------------------
InValid_SI : in std_logic;
InReady_SO : out std_logic;
Flush_SI : in std_logic;
---------------------------------------------------------------------------
Z_DO : out std_logic_vector(MAXWIDTH(FORMATS)-1 downto 0);
Status_DO : out rvStatus_t;
Tag_DO : out std_logic_vector(TAG_WIDTH-1 downto 0);
Zext_SO : out std_logic;
---------------------------------------------------------------------------
OutValid_SO : out std_logic;
OutReady_SI : in std_logic);
end entity fp_divsqrt_multi;
architecture iterative_lei of fp_divsqrt_multi is
-----------------------------------------------------------------------------
-- Constant Definitions
-----------------------------------------------------------------------------
constant FP_WIDTH : natural := MAXWIDTH(FORMATS);
-----------------------------------------------------------------------------
-- Type Definitions
-----------------------------------------------------------------------------
type t_ffsmState is (IDLE, BUSY, HOLD);
type t_pipeDataSrc is (DIRECT, HOLDREG);
-----------------------------------------------------------------------------
-- Signal Declarations
-----------------------------------------------------------------------------
-- Input Handshaking
signal InReady_S : std_logic;
signal IsInFP8_S : boolean;
-- DivSqrt input side
signal DivValid_S, SqrtValid_S : std_logic;
signal DivSqrtReady_S : std_logic;
signal A_D, B_D : std_logic_vector(63 downto 0);
signal Fmt_S : std_logic_vector(1 downto 0);
-- DivSqrt output side
signal DivSqrtDone_S : std_logic;
signal DivSqrtResultPre_D : std_logic_vector(63 downto 0);
signal DivSqrtResult_D : std_logic_vector(Z_DO'range);
signal DivSqrtStatusSlv_D : std_logic_vector(4 downto 0);
signal DivSqrtStatus_D : rvStatus_t;
-- Tag buffer
signal CurrentTag_DP : std_logic_vector(TAG_WIDTH-1 downto 0);
signal IsOutFP8_SP : boolean;
-- Output holding
signal HoldResult_S : std_logic;
signal HoldResult_DP : std_logic_vector(Z_DO'range);
signal HoldStatus_DP : rvStatus_t;
-- Output pipelining
signal PipeInValid_S, PipeInReady_S : std_logic;
signal PipeInDataSel_S : t_pipeDataSrc;
signal PipeInResult_D : std_logic_vector(Z_DO'range);
signal PipeInStatus_D : rvStatus_t;
-- FSM states
signal State_DP, State_DN : t_ffsmState;
-----------------------------------------------------------------------------
-- Component Declarations
-----------------------------------------------------------------------------
component div_sqrt_top_mvp is
port (
Clk_CI : in std_logic;
Rst_RBI : in std_logic;
Div_start_SI : in std_logic;
Sqrt_start_SI : in std_logic;
Operand_a_DI : in std_logic_vector(63 downto 0);
Operand_b_DI : in std_logic_vector(63 downto 0);
RM_SI : in std_logic_vector(2 downto 0);
Precision_ctl_SI : in std_logic_vector(5 downto 0);
Format_sel_SI : in std_logic_vector(1 downto 0);
Kill_SI : in std_logic;
Result_DO : out std_logic_vector(63 downto 0);
Fflags_SO : out std_logic_vector(4 downto 0);
Ready_SO : out std_logic;
Done_SO : out std_logic);
end component div_sqrt_top_mvp;
begin -- architecture iterative_lei
-----------------------------------------------------------------------------
-- Input side signals
-----------------------------------------------------------------------------
-- Format encoding of unit
with FpFmt_SI select
Fmt_S <=
"00" when FP32,
"01" when FP64,
"10" when FP16,
"11" when FP16ALT,
"10" when others; -- map fp8 to fp16
IsInFP8_S <= FpFmt_SI = FP8;
-- Map FP8 onto FP16
A_D <= std_logic_vector(resize(unsigned(A_DI), 64) sll 8) when IsInFP8_S else
std_logic_vector(resize(unsigned(A_DI), 64));
B_D <= std_logic_vector(resize(unsigned(B_DI), 64) sll 8) when IsInFP8_S else
std_logic_vector(resize(unsigned(B_DI), 64));
-- Upstream ready given by FSM
InReady_SO <= InReady_S;
-----------------------------------------------------------------------------
-- Control
-----------------------------------------------------------------------------
-- Operation is only started when the control FSM is ready
DivValid_S <= InValid_SI and to_sl(Op_SI = DIV) and InReady_S and not Flush_SI;
SqrtValid_S <= InValid_SI and to_sl(Op_SI /= DIV) and InReady_S and not Flush_SI;
-- FSM process
p_flagFSM : process (all) is
begin
-- Default Assignments
InReady_S <= '0';
PipeInValid_S <= '0';
PipeInDataSel_S <= DIRECT; -- Divsqrt feeds pipeline directly
HoldResult_S <= '0'; -- Don't save divsqrt output to hold
State_DN <= State_DP; -- By default, stay in the same state
-- FSM
case State_DP is
-- Waiting for work
when IDLE =>
InReady_S <= '1'; -- We're ready
-- New work arrives
-- if (DivValid_S or SqrtValid_S) = '1' then
if ((DivValid_S or SqrtValid_S) and DivSqrtReady_S) = '1' then
State_DN <= BUSY;
end if;
-- Operation in progress
when BUSY =>
-- Wait until divsqrt is done
if DivSqrtDone_S = '1' then
PipeInValid_S <= '1'; -- Apply outputs to Pipeline
-- The result will be processed downstream
if PipeInReady_S = '1' then
State_DN <= IDLE; -- We can go back to idling
-- ..unless there is another incoming instruction
-- if InValid_SI = '1' then
if (InValid_SI and DivSqrtReady_S) = '1' then
InReady_S <= '1'; -- We take the next instruction
State_DN <= BUSY; -- And stay busy with it
end if;
-- The downstream pipeline is not ready for us
else
HoldResult_S <= '1'; -- Activate the hold register
State_DN <= HOLD; -- Wait until the pipeline is unstuck
end if;
end if;
-- Holding data for output pipe
when HOLD =>
PipeInDataSel_S <= HOLDREG; -- Apply data from hold reg to pipe
PipeInValid_S <= '1'; -- We have valid data
-- Wait until result will be processed downstream
if PipeInReady_S = '1' then
State_DN <= IDLE; -- We can go back to idling
-- ..unless there is another incoming instruction
-- if InValid_SI = '1' then
if (InValid_SI and DivSqrtReady_S) = '1' then
InReady_S <= '1'; -- We take the next instruction
State_DN <= BUSY; -- And stay busy with it
end if;
end if;
end case;
-- Flushing overrides the other actions
if Flush_SI = '1' then
PipeInValid_S <= '0'; -- Don't commit to pipe
State_DN <= IDLE; -- Go back to default state
end if;
end process p_flagFSM;
-----------------------------------------------------------------------------
-- Instance of multifmt div/sqrt unit
-----------------------------------------------------------------------------
i_fp_divsqrt : div_sqrt_top_mvp
port map (
Clk_CI => Clk_CI,
Rst_RBI => Reset_RBI,
Div_start_SI => DivValid_S,
Sqrt_start_SI => SqrtValid_S,
Operand_a_DI => A_D,
Operand_b_DI => B_D,
RM_SI => to_slv(RoundMode_SI),
Precision_ctl_SI => PrecCtl_SI(5 downto 0),
Format_sel_SI => Fmt_S,
Kill_SI => Flush_SI,
Result_DO => DivSqrtResultPre_D,
Fflags_SO => DivSqrtStatusSlv_D,
Ready_SO => DivSqrtReady_S,
Done_SO => DivSqrtDone_S);
DivSqrtResult_D <= std_logic_vector(resize(unsigned(DivSqrtResultPre_D), Z_DO'length) srl 8) when IsOutFP8_SP else
std_logic_vector(resize(unsigned(DivSqrtResultPre_D), Z_DO'length));
DivSqrtStatus_D <= to_rvStatus(DivSqrtStatusSlv_D);
-----------------------------------------------------------------------------
-- Tag Buffer and output hold register, also FSM state keeping
-----------------------------------------------------------------------------
p_registers : process (Clk_CI, Reset_RBI) is
begin -- process p_tagBuffer
if Reset_RBI = '0' then -- asynchronous reset (active low)
--FSM state--------------------------------------------------------------
State_DP <= IDLE;
--Tag Buffer-------------------------------------------------------------
CurrentTag_DP <= (others => '0');
IsOutFP8_SP <= false;
--Hold Register----------------------------------------------------------
HoldResult_DP <= (others => '0');
HoldStatus_DP <= (others => '0');
elsif Clk_CI'event and Clk_CI = '1' then -- rising clock edge
-- Advance FSM state
State_DP <= State_DN;
-- Only store tag if a new operation starts
if (DivValid_S or SqrtValid_S) = '1' then
CurrentTag_DP <= Tag_DI;
IsOutFP8_SP <= IsInFP8_S;
end if;
-- Hold register is enabled if needed
if HoldResult_S = '1' then
HoldResult_DP <= DivSqrtResult_D;
HoldStatus_DP <= DivSqrtStatus_D;
end if;
end if;
end process p_registers;
-----------------------------------------------------------------------------
-- Pipeline registers at the outputs of the unit
-----------------------------------------------------------------------------
PipeInResult_D <= HoldResult_DP when PipeInDataSel_S = HOLDREG else DivSqrtResult_D;
PipeInStatus_D <= HoldStatus_DP when PipeInDataSel_S = HOLDREG else DivSqrtStatus_D;
i_fp_pipe : fp_pipe
generic map (
WIDTH => FP_WIDTH,
LATENCY => LATENCY,
TAG_WIDTH => TAG_WIDTH)
port map (
Clk_CI => Clk_CI,
Reset_RBI => Reset_RBI,
Result_DI => PipeInResult_D,
Status_DI => PipeInStatus_D,
Tag_DI => CurrentTag_DP,
InValid_SI => PipeInValid_S,
InReady_SO => PipeInReady_S,
Flush_SI => Flush_SI,
ResultPiped_DO => Z_DO,
StatusPiped_DO => Status_DO,
TagPiped_DO => Tag_DO,
OutValid_SO => OutValid_SO,
OutReady_SI => OutReady_SI);
Zext_SO <= '0'; -- always NaN-box
end architecture iterative_lei;
|
<gh_stars>0
-----------------------------
--! @author <NAME>
--! @date 18.03.2021
--! @file delay_line.vhd
--! @version C
--! @copyright Copyright (c) 2021 <NAME>
--!
--! @brief Project name: Delay line
--! Module name: Delay line module
--!
--! @details Delay line module for Xilinx 7 series. Its length can be configurable from the top module.
--! The Delay line consists of MUXes(CARRY4 primitives) and D flip Flops at the output.
--! -------------------------------------------------------------
--! ***CARRY4*** (description from *Xilinx 7 Series FPGA Libraries Guide for HDL Designs*)
--! Primitive: Fast Carry Logic with Look Ahead
--! **Introduction**
--! This circuit design represents the fast carry logic for a slice. The carry chain consists of a series of four MUXes
--! and four XORs that connect to the other logic (LUTs) in the slice via dedicated routes to form more complex
--! functions. The fast carry logic is useful for building arithmetic functions like adders, counters, subtractors and
--! add/subs, as well as such other logic functions as wide comparators, address decoders, and some logic gates
--! (specifically, AND and OR).
--! **Port Descriptions**
--! ```
--! | Port | Direction | Width | Function |
--! | ------ | --------- | ----- | ------------------------------------------ |
--! | O | Output | 4 | Carry chain XOR general data out |
--! | CO | Output | 4 | Carry-out of each stage of the carry chain |
--! | DI | Input | 4 | Carry-MUX data input |
--! | S | Input | 4 | Carry-MUX select line |
--! | CYINIT | Input | 1 | Carry-in initialization input |
--! | CI | Input | 1 | Carry cascade input |
--! ```
--! -------------------------------------------------------------
--! **Revision:**
--! A - initial design
--! B - Long delay line test without D-Flip-Flops
--! C - Add XOR output and nReset input
--! D -
--! -----------------------------
LIBRARY IEEE; --always use this library
USE ieee.std_logic_unsigned.ALL; --extends the std_logic_arith library
USE ieee.std_logic_arith.ALL; --basic arithmetic operations for representing integers in standard ways
USE IEEE.numeric_std.ALL; --use this library if arithmetic required
USE IEEE.std_logic_1164.ALL; --always use this library
LIBRARY UNISIM; -- Xilinx primitive
USE UNISIM.vcomponents.ALL; -- Xilinx primitive
ENTITY delay_line IS
GENERIC (
g_DL_ELEMENT_COUNT : INTEGER := 16; --! Count of delay elements in the module. Four delay elements are in one CARRY4 primitive. The minimal number of CARRY4 blocks are 2, e.i. minimal delay element count are 2*4=8.
g_LOCATION : STRING := "SLICE_X1Y1" --! Location of the first CARRY4 block
);
PORT (
i_clk : IN STD_LOGIC; --! Main clock for D-Flip-Flops
i_trigger_in : IN STD_LOGIC; --! Input of delay line
o_dff_q : OUT STD_LOGIC_VECTOR(g_DL_ELEMENT_COUNT - 1 DOWNTO 0); -- thermometer time code
i_D : IN STD_LOGIC_VECTOR(3 DOWNTO 0); --! DI for CARRY4 block
i_S : IN STD_LOGIC_VECTOR(3 DOWNTO 0); --! S for CARRY4 block
o_loop_out : OUT STD_LOGIC; --! Output of delay line
i_nReset : IN std_logic; --! Synchronous reset input for D-Flip-Flops
i_clock_enable: IN std_logic --! Clock enable input for D-Flip-Flops
);
END delay_line;
--define inside of the module
ARCHITECTURE rtl OF delay_line IS
--define components to use
SIGNAL w_CO : STD_LOGIC_VECTOR(g_DL_ELEMENT_COUNT - 1 DOWNTO 0) := (OTHERS => '0'); --! CO vector from Carry-out of each stage of the carry chain
SIGNAL w_O : STD_LOGIC_VECTOR(g_DL_ELEMENT_COUNT - 1 DOWNTO 0) := (OTHERS => '0'); --! CO vector from Carry-out of each stage of the carry chain
-- Preserve the hierarchy of instance CARRY4
ATTRIBUTE KEEP_HIERARCHY : STRING;
ATTRIBUTE KEEP_HIERARCHY OF CARRY4_first : LABEL IS "TRUE";
ATTRIBUTE KEEP_HIERARCHY OF CARRY4_last : LABEL IS "TRUE";
-- Designates instantiated register instance CARRY4 to be placed
-- in SLICE site SLICE_X0Y0
ATTRIBUTE LOC : STRING;
ATTRIBUTE LOC OF CARRY4_first : LABEL IS g_LOCATION;
ATTRIBUTE keep : STRING;
ATTRIBUTE keep OF i_clk : SIGNAL IS "true";
ATTRIBUTE keep OF i_trigger_in : SIGNAL IS "true";
ATTRIBUTE keep OF w_CO : SIGNAL IS "true";
ATTRIBUTE keep OF w_O : SIGNAL IS "true";
ATTRIBUTE keep OF o_dff_q : SIGNAL IS "true";
BEGIN
--------------------------------------------------------------------------
--! CARRY4: Fast Carry Logic Component
--! 7 Series
--! Xilinx HDL Libraries Guide, version 2012.2
CARRY4_first : CARRY4 PORT MAP(
CO => w_CO(3 DOWNTO 0), --! 4-bit carry out
O => w_O(3 DOWNTO 0), --! 4-bit carry chain XOR data out
CI => '0', --! 1-bit carry cascade input
CYINIT => i_trigger_in, --! 1-bit carry initialization
DI => i_D, --! 4-bit carry-MUX data in
S => i_S --! 4-bit carry-MUX select input
);
CARRY4_gen : FOR I IN 1 TO (g_DL_ELEMENT_COUNT/4) - 2 GENERATE CARRY4_inst_next : COMPONENT CARRY4
PORT MAP(
CO => w_CO(I * 4 + 3 DOWNTO I * 4), -- 4-bit carry out
O => w_O(I * 4 + 3 DOWNTO I * 4), -- 4-bit carry chain XOR data out
CI => w_CO(I * 4 - 1), -- 1-bit carry cascade input
CYINIT => '0', -- 1-bit carry initialization
DI => i_D, -- 4-bit carry-MUX data in
S => i_S -- 4-bit carry-MUX select input
);
END GENERATE;
--! CARRY4: Fast Carry Logic Component
CARRY4_last : CARRY4 PORT MAP(
CO => w_CO(g_DL_ELEMENT_COUNT - 1 DOWNTO g_DL_ELEMENT_COUNT - 4), -- 4-bit carry out
O => w_O(g_DL_ELEMENT_COUNT - 1 DOWNTO g_DL_ELEMENT_COUNT - 4), -- 4-bit carry chain XOR data out
CI => w_CO(g_DL_ELEMENT_COUNT - 4 - 1), -- 1-bit carry cascade input
CYINIT => '0', -- 1-bit carry initialization
DI => i_D, -- 4-bit carry-MUX data in
S => i_S -- 4-bit carry-MUX select input
);
-- End_of_CARRY4_inst instantiation
---------------------------------------------------------------------------
---------------------------------------------------------------------------
--! FDRE: Single Data Rate D Flip-Flop with Synchronous Reset and
--! Clock Enable (pos_edge clk).
--! 7 Series
--! Xilinx HDL Libraries Guide, version 2012.2
DFF_CO_gen : FOR I IN 0 TO g_DL_ELEMENT_COUNT - 1 GENERATE FDRE_inst : COMPONENT FDRE
generic map (
INIT => '0') --! Initial value of register ('0' or '1')
PORT MAP(
Q => o_dff_q(I), --! Data output
C => i_clk, --! Clock input
CE => i_clock_enable, --! Clock enable input
R => i_nReset, --! Synchronous reset input
D => w_CO(I) --! Data input
);
END GENERATE;
-- End of FDRE_inst instantiation
--------------------------------------------------------------------------------
---------------------------------------------------------------------------
--! FDRE: Single Data Rate D Flip-Flop with Synchronous Reset and
--! Clock Enable (pos_edge clk).
--! 7 Series
--! Xilinx HDL Libraries Guide, version 2012.2
DFF_O_gen : FOR I IN 0 TO g_DL_ELEMENT_COUNT - 1 GENERATE FDRE_inst : COMPONENT FDRE
generic map (
INIT => '0') --! Initial value of register ('0' or '1')
PORT MAP(
-- Q => o_dff_q(I), --! Data output
C => i_clk, --! Clock input
CE => i_clock_enable, --! Clock enable input
R => i_nReset, --! Synchronous reset input
D => w_O(I) --! Data input
);
END GENERATE;
-- End of FDRE_inst instantiation
--------------------------------------------------------------------------------
o_loop_out <= w_CO(g_DL_ELEMENT_COUNT - 1); --! last element of delay line
END rtl;
|
library ieee;
use ieee.std_logic_1164.all;
entity tb_Klok is
end tb_Klok;
architecture tb of tb_Klok is
component VGA
port (CLK : in std_logic;
BTNL: in std_logic;
BTNR: in std_logic;
BTNU: in std_logic;
BTND: in std_logic;
BTNC: in std_logic );
end component;
signal simClock: std_logic:='0';
signal L: std_logic:='0';
signal R: std_logic:='0';
signal U: std_logic:='0';
signal D: std_logic:='0';
signal C: std_logic:='0';
begin
dut : VGA
port map (
CLK => simClock,
BTNL => L,
BTNR => R,
BTND => D,
BTNU => U,
BTNC => C
);
stimuli : process
begin
L <= '0';
R <= '0';
U <= '0';
D <= '0';
C <= '0';
LoopClock: while(true)loop
simClock <= not(simClock);
wait for 5 ns; --periode wordt 10ns => 100Mhz
end loop;
end process;
end tb;
|
--------------------------------------------------------------------------------
-- __ _ _ _ --
-- / _(_) | | | | --
-- __ _ _ _ ___ ___ _ __ | |_ _ ___| | __| | --
-- / _` | | | |/ _ \/ _ \ '_ \| _| |/ _ \ |/ _` | --
-- | (_| | |_| | __/ __/ | | | | | | __/ | (_| | --
-- \__, |\__,_|\___|\___|_| |_|_| |_|\___|_|\__,_| --
-- | | --
-- |_| --
-- --
-- --
-- Peripheral-NTM for MPSoC --
-- Neural Turing Machine for MPSoC --
-- --
--------------------------------------------------------------------------------
-- Copyright (c) 2020-2021 by the author(s)
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to deal
-- in the Software without restriction, including without limitation the rights
-- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-- copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-- THE SOFTWARE.
--
--------------------------------------------------------------------------------
-- Author(s):
-- <NAME> <<EMAIL>>
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ntm_arithmetic_pkg.all;
use work.ntm_integer_pkg.all;
entity ntm_integer_stimulus is
generic (
-- SYSTEM-SIZE
DATA_SIZE : integer := 32;
CONTROL_SIZE : integer := 64;
X : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- x in 0 to X-1
Y : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- y in 0 to Y-1
N : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- j in 0 to N-1
W : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- k in 0 to W-1
L : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)); -- l in 0 to L-1
R : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(64, DATA_SIZE)) -- i in 0 to R-1
);
port (
-- GLOBAL
CLK : out std_logic;
RST : out std_logic;
-----------------------------------------------------------------------
-- STIMULUS SCALAR
-----------------------------------------------------------------------
-- SCALAR ADDER
-- CONTROL
SCALAR_INTEGER_ADDER_START : out std_logic;
SCALAR_INTEGER_ADDER_READY : in std_logic;
SCALAR_INTEGER_ADDER_OPERATION : out std_logic;
-- DATA
SCALAR_INTEGER_ADDER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_ADDER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_ADDER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_ADDER_OVERFLOW_OUT : in std_logic;
-- SCALAR MULTIPLIER
-- CONTROL
SCALAR_INTEGER_MULTIPLIER_START : out std_logic;
SCALAR_INTEGER_MULTIPLIER_READY : in std_logic;
-- DATA
SCALAR_INTEGER_MULTIPLIER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_MULTIPLIER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_MULTIPLIER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_MULTIPLIER_OVERFLOW_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
-- SCALAR DIVIDER
-- CONTROL
SCALAR_INTEGER_DIVIDER_START : out std_logic;
SCALAR_INTEGER_DIVIDER_READY : in std_logic;
-- DATA
SCALAR_INTEGER_DIVIDER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_DIVIDER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_DIVIDER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
SCALAR_INTEGER_DIVIDER_REMAINDER_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
-----------------------------------------------------------------------
-- STIMULUS VECTOR
-----------------------------------------------------------------------
-- VECTOR ADDER
-- CONTROL
VECTOR_INTEGER_ADDER_START : out std_logic;
VECTOR_INTEGER_ADDER_READY : in std_logic;
VECTOR_INTEGER_ADDER_OPERATION : out std_logic;
VECTOR_INTEGER_ADDER_DATA_A_IN_ENABLE : out std_logic;
VECTOR_INTEGER_ADDER_DATA_B_IN_ENABLE : out std_logic;
VECTOR_INTEGER_ADDER_DATA_OUT_ENABLE : in std_logic;
-- DATA
VECTOR_INTEGER_ADDER_SIZE_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
VECTOR_INTEGER_ADDER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_ADDER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_ADDER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_ADDER_OVERFLOW_OUT : in std_logic;
-- VECTOR MULTIPLIER
-- CONTROL
VECTOR_INTEGER_MULTIPLIER_START : out std_logic;
VECTOR_INTEGER_MULTIPLIER_READY : in std_logic;
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN_ENABLE : out std_logic;
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN_ENABLE : out std_logic;
VECTOR_INTEGER_MULTIPLIER_DATA_OUT_ENABLE : in std_logic;
-- DATA
VECTOR_INTEGER_MULTIPLIER_SIZE_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_MULTIPLIER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_MULTIPLIER_OVERFLOW_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
-- VECTOR DIVIDER
-- CONTROL
VECTOR_INTEGER_DIVIDER_START : out std_logic;
VECTOR_INTEGER_DIVIDER_READY : in std_logic;
VECTOR_INTEGER_DIVIDER_DATA_A_IN_ENABLE : out std_logic;
VECTOR_INTEGER_DIVIDER_DATA_B_IN_ENABLE : out std_logic;
VECTOR_INTEGER_DIVIDER_DATA_OUT_ENABLE : in std_logic;
-- DATA
VECTOR_INTEGER_DIVIDER_SIZE_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
VECTOR_INTEGER_DIVIDER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_DIVIDER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_DIVIDER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
VECTOR_INTEGER_DIVIDER_REMAINDER_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
-----------------------------------------------------------------------
-- STIMULUS MATRIX
-----------------------------------------------------------------------
-- MATRIX ADDER
-- CONTROL
MATRIX_INTEGER_ADDER_START : out std_logic;
MATRIX_INTEGER_ADDER_READY : in std_logic;
MATRIX_INTEGER_ADDER_OPERATION : out std_logic;
MATRIX_INTEGER_ADDER_DATA_A_IN_I_ENABLE : out std_logic;
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE : out std_logic;
MATRIX_INTEGER_ADDER_DATA_B_IN_I_ENABLE : out std_logic;
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE : out std_logic;
MATRIX_INTEGER_ADDER_DATA_OUT_I_ENABLE : in std_logic;
MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE : in std_logic;
-- DATA
MATRIX_INTEGER_ADDER_SIZE_I_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
MATRIX_INTEGER_ADDER_SIZE_J_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
MATRIX_INTEGER_ADDER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_ADDER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_ADDER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_ADDER_OVERFLOW_OUT : in std_logic;
-- MATRIX MULTIPLIER
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_START : out std_logic;
MATRIX_INTEGER_MULTIPLIER_READY : in std_logic;
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE : out std_logic;
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE : out std_logic;
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE : out std_logic;
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE : out std_logic;
MATRIX_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE : in std_logic;
MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE : in std_logic;
-- DATA
MATRIX_INTEGER_MULTIPLIER_SIZE_I_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
MATRIX_INTEGER_MULTIPLIER_SIZE_J_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_MULTIPLIER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_MULTIPLIER_OVERFLOW_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
-- MATRIX DIVIDER
-- CONTROL
MATRIX_INTEGER_DIVIDER_START : out std_logic;
MATRIX_INTEGER_DIVIDER_READY : in std_logic;
MATRIX_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE : out std_logic;
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE : out std_logic;
MATRIX_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE : out std_logic;
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE : out std_logic;
MATRIX_INTEGER_DIVIDER_DATA_OUT_I_ENABLE : in std_logic;
MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE : in std_logic;
-- DATA
MATRIX_INTEGER_DIVIDER_SIZE_I_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
MATRIX_INTEGER_DIVIDER_SIZE_J_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
MATRIX_INTEGER_DIVIDER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_DIVIDER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_DIVIDER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
MATRIX_INTEGER_DIVIDER_REMAINDER_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
-----------------------------------------------------------------------
-- STIMULUS TENSOR
-----------------------------------------------------------------------
-- TENSOR ADDER
-- CONTROL
TENSOR_INTEGER_ADDER_START : out std_logic;
TENSOR_INTEGER_ADDER_READY : in std_logic;
TENSOR_INTEGER_ADDER_OPERATION : out std_logic;
TENSOR_INTEGER_ADDER_DATA_A_IN_I_ENABLE : out std_logic;
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE : out std_logic;
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE : out std_logic;
TENSOR_INTEGER_ADDER_DATA_B_IN_I_ENABLE : out std_logic;
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE : out std_logic;
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE : out std_logic;
TENSOR_INTEGER_ADDER_DATA_OUT_I_ENABLE : in std_logic;
TENSOR_INTEGER_ADDER_DATA_OUT_J_ENABLE : in std_logic;
TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE : in std_logic;
-- DATA
TENSOR_INTEGER_ADDER_SIZE_I_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_ADDER_SIZE_J_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_ADDER_SIZE_K_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_ADDER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_ADDER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_ADDER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_ADDER_OVERFLOW_OUT : in std_logic;
-- TENSOR MULTIPLIER
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_START : out std_logic;
TENSOR_INTEGER_MULTIPLIER_READY : in std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE : out std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE : out std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE : out std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE : out std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE : out std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE : out std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE : in std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE : in std_logic;
TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE : in std_logic;
-- DATA
TENSOR_INTEGER_MULTIPLIER_SIZE_I_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_MULTIPLIER_SIZE_J_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_MULTIPLIER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_MULTIPLIER_OVERFLOW_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
-- TENSOR DIVIDER
-- CONTROL
TENSOR_INTEGER_DIVIDER_START : out std_logic;
TENSOR_INTEGER_DIVIDER_READY : in std_logic;
TENSOR_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE : out std_logic;
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE : out std_logic;
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE : out std_logic;
TENSOR_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE : out std_logic;
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE : out std_logic;
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE : out std_logic;
TENSOR_INTEGER_DIVIDER_DATA_OUT_I_ENABLE : in std_logic;
TENSOR_INTEGER_DIVIDER_DATA_OUT_J_ENABLE : in std_logic;
TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE : in std_logic;
-- DATA
TENSOR_INTEGER_DIVIDER_SIZE_I_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_DIVIDER_SIZE_J_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_DIVIDER_SIZE_K_IN : out std_logic_vector(CONTROL_SIZE-1 downto 0);
TENSOR_INTEGER_DIVIDER_DATA_A_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_DIVIDER_DATA_B_IN : out std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_DIVIDER_DATA_OUT : in std_logic_vector(DATA_SIZE-1 downto 0);
TENSOR_INTEGER_DIVIDER_REMAINDER_OUT : in std_logic_vector(DATA_SIZE-1 downto 0)
);
end entity;
architecture ntm_integer_stimulus_architecture of ntm_integer_stimulus is
-----------------------------------------------------------------------
-- Types
-----------------------------------------------------------------------
-----------------------------------------------------------------------
-- Constants
-----------------------------------------------------------------------
constant PERIOD : time := 10 ns;
constant WAITING : time := 50 ns;
constant WORKING : time := 1 ms;
constant ZERO_CONTROL : std_logic_vector(CONTROL_SIZE-1 downto 0) := std_logic_vector(to_unsigned(0, CONTROL_SIZE));
constant ONE_CONTROL : std_logic_vector(CONTROL_SIZE-1 downto 0) := std_logic_vector(to_unsigned(1, CONTROL_SIZE));
constant TWO_CONTROL : std_logic_vector(CONTROL_SIZE-1 downto 0) := std_logic_vector(to_unsigned(2, CONTROL_SIZE));
constant THREE_CONTROL : std_logic_vector(CONTROL_SIZE-1 downto 0) := std_logic_vector(to_unsigned(3, CONTROL_SIZE));
constant ZERO_DATA : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(0, DATA_SIZE));
constant ONE_DATA : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(1, DATA_SIZE));
constant TWO_DATA : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(2, DATA_SIZE));
constant THREE_DATA : std_logic_vector(DATA_SIZE-1 downto 0) := std_logic_vector(to_unsigned(3, DATA_SIZE));
constant FULL : std_logic_vector(DATA_SIZE-1 downto 0) := (others => '1');
constant EMPTY : std_logic_vector(DATA_SIZE-1 downto 0) := (others => '0');
constant EULER : std_logic_vector(DATA_SIZE-1 downto 0) := (others => '0');
-----------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------
-- LOOP
signal index_i_loop : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal index_j_loop : std_logic_vector(CONTROL_SIZE-1 downto 0);
signal index_k_loop : std_logic_vector(CONTROL_SIZE-1 downto 0);
-- GLOBAL
signal clk_int : std_logic;
signal rst_int : std_logic;
-- CONTROL
signal start_int : std_logic;
begin
-----------------------------------------------------------------------
-- Body
-----------------------------------------------------------------------
-- clk generation
clk_process : process
begin
clk_int <= '1';
wait for PERIOD/2;
clk_int <= '0';
wait for PERIOD/2;
end process;
CLK <= clk_int;
-- rst generation
rst_process : process
begin
rst_int <= '0';
wait for WAITING;
rst_int <= '1';
wait for WORKING;
end process;
RST <= rst_int;
-- start generation
start_process : process
begin
start_int <= '0';
wait for WAITING;
start_int <= '1';
wait for PERIOD;
start_int <= '0';
wait for WORKING;
end process;
-- SCALAR-FUNCTIONALITY
SCALAR_INTEGER_ADDER_START <= start_int;
SCALAR_INTEGER_MULTIPLIER_START <= start_int;
SCALAR_INTEGER_DIVIDER_START <= start_int;
-- VECTOR-FUNCTIONALITY
VECTOR_INTEGER_ADDER_START <= start_int;
VECTOR_INTEGER_MULTIPLIER_START <= start_int;
VECTOR_INTEGER_DIVIDER_START <= start_int;
-- MATRIX-FUNCTIONALITY
MATRIX_INTEGER_ADDER_START <= start_int;
MATRIX_INTEGER_MULTIPLIER_START <= start_int;
MATRIX_INTEGER_DIVIDER_START <= start_int;
-- TENSOR-FUNCTIONALITY
TENSOR_INTEGER_ADDER_START <= start_int;
TENSOR_INTEGER_MULTIPLIER_START <= start_int;
TENSOR_INTEGER_DIVIDER_START <= start_int;
-----------------------------------------------------------------------
-- STIMULUS
-----------------------------------------------------------------------
main_test : process
begin
-------------------------------------------------------------------
-- SCALAR-INTEGER
-------------------------------------------------------------------
if (STIMULUS_NTM_SCALAR_INTEGER_ADDER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_SCALAR_ADDER_TEST ";
-------------------------------------------------------------------
-- CONTROL
SCALAR_INTEGER_ADDER_OPERATION <= '0';
if (STIMULUS_NTM_SCALAR_INTEGER_ADDER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_SCALAR_ADDER_CASE 0 ";
-------------------------------------------------------------------
SCALAR_INTEGER_ADDER_DATA_A_IN <= SCALAR_SAMPLE_A;
SCALAR_INTEGER_ADDER_DATA_B_IN <= SCALAR_SAMPLE_B;
end if;
if (STIMULUS_NTM_SCALAR_INTEGER_ADDER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_SCALAR_ADDER_CASE 1 ";
-------------------------------------------------------------------
SCALAR_INTEGER_ADDER_DATA_A_IN <= SCALAR_SAMPLE_B;
SCALAR_INTEGER_ADDER_DATA_B_IN <= SCALAR_SAMPLE_A;
end if;
wait for WORKING;
end if;
if (STIMULUS_NTM_SCALAR_INTEGER_MULTIPLIER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_SCALAR_MULTIPLIER_TEST ";
-------------------------------------------------------------------
if (STIMULUS_NTM_SCALAR_INTEGER_MULTIPLIER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_SCALAR_MULTIPLIER_CASE 0 ";
-------------------------------------------------------------------
SCALAR_INTEGER_MULTIPLIER_DATA_A_IN <= SCALAR_SAMPLE_A;
SCALAR_INTEGER_MULTIPLIER_DATA_B_IN <= SCALAR_SAMPLE_B;
end if;
if (STIMULUS_NTM_SCALAR_INTEGER_MULTIPLIER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_SCALAR_MULTIPLIER_CASE 1 ";
-------------------------------------------------------------------
SCALAR_INTEGER_MULTIPLIER_DATA_A_IN <= SCALAR_SAMPLE_B;
SCALAR_INTEGER_MULTIPLIER_DATA_B_IN <= SCALAR_SAMPLE_A;
end if;
wait for WORKING;
end if;
if (STIMULUS_NTM_SCALAR_INTEGER_DIVIDER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_SCALAR_DIVIDER_TEST ";
-------------------------------------------------------------------
if (STIMULUS_NTM_SCALAR_INTEGER_DIVIDER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_SCALAR_DIVIDER_CASE 0 ";
-------------------------------------------------------------------
SCALAR_INTEGER_DIVIDER_DATA_A_IN <= SCALAR_SAMPLE_A;
SCALAR_INTEGER_DIVIDER_DATA_B_IN <= SCALAR_SAMPLE_B;
end if;
if (STIMULUS_NTM_SCALAR_INTEGER_DIVIDER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_SCALAR_DIVIDER_CASE 1 ";
-------------------------------------------------------------------
SCALAR_INTEGER_DIVIDER_DATA_A_IN <= SCALAR_SAMPLE_B;
SCALAR_INTEGER_DIVIDER_DATA_B_IN <= SCALAR_SAMPLE_A;
end if;
wait for WORKING;
end if;
-------------------------------------------------------------------
-- VECTOR-INTEGER
-------------------------------------------------------------------
if (STIMULUS_NTM_VECTOR_INTEGER_ADDER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_VECTOR_ADDER_TEST ";
-------------------------------------------------------------------
-- CONTROL
VECTOR_INTEGER_ADDER_OPERATION <= '0';
-- DATA
VECTOR_INTEGER_ADDER_SIZE_IN <= THREE_CONTROL;
if (STIMULUS_NTM_VECTOR_INTEGER_ADDER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_VECTOR_ADDER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
VECTOR_INTEGER_ADDER_DATA_A_IN <= ZERO_DATA;
VECTOR_INTEGER_ADDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
VECTOR_INTEGER_ADDER_FIRST_RUN : loop
if (VECTOR_INTEGER_ADDER_DATA_OUT_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(VECTOR_INTEGER_ADDER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_ADDER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_ADDER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_ADDER_DATA_A_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_ADDER_DATA_B_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= ZERO_CONTROL;
elsif ((VECTOR_INTEGER_ADDER_DATA_OUT_ENABLE = '1' or VECTOR_INTEGER_ADDER_START = '1') and (unsigned(index_i_loop) < unsigned(VECTOR_INTEGER_ADDER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_ADDER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_ADDER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_ADDER_DATA_A_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_ADDER_DATA_B_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
else
-- CONTROL
VECTOR_INTEGER_ADDER_DATA_A_IN_ENABLE <= '0';
VECTOR_INTEGER_ADDER_DATA_B_IN_ENABLE <= '0';
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit VECTOR_INTEGER_ADDER_FIRST_RUN when VECTOR_INTEGER_ADDER_READY = '1';
end loop VECTOR_INTEGER_ADDER_FIRST_RUN;
end if;
if (STIMULUS_NTM_VECTOR_INTEGER_ADDER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_VECTOR_ADDER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
VECTOR_INTEGER_ADDER_DATA_A_IN <= ZERO_DATA;
VECTOR_INTEGER_ADDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
VECTOR_INTEGER_ADDER_SECOND_RUN : loop
if (VECTOR_INTEGER_ADDER_DATA_OUT_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(VECTOR_INTEGER_ADDER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_ADDER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_ADDER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_ADDER_DATA_A_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_ADDER_DATA_B_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= ZERO_CONTROL;
elsif ((VECTOR_INTEGER_ADDER_DATA_OUT_ENABLE = '1' or VECTOR_INTEGER_ADDER_START = '1') and (unsigned(index_i_loop) < unsigned(VECTOR_INTEGER_ADDER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_ADDER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_ADDER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_ADDER_DATA_A_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_ADDER_DATA_B_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
else
-- CONTROL
VECTOR_INTEGER_ADDER_DATA_A_IN_ENABLE <= '0';
VECTOR_INTEGER_ADDER_DATA_B_IN_ENABLE <= '0';
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit VECTOR_INTEGER_ADDER_SECOND_RUN when VECTOR_INTEGER_ADDER_READY = '1';
end loop VECTOR_INTEGER_ADDER_SECOND_RUN;
end if;
wait for WORKING;
end if;
if (STIMULUS_NTM_VECTOR_INTEGER_MULTIPLIER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_VECTOR_MULTIPLIER_TEST ";
-------------------------------------------------------------------
-- DATA
VECTOR_INTEGER_MULTIPLIER_SIZE_IN <= THREE_CONTROL;
if (STIMULUS_NTM_VECTOR_INTEGER_MULTIPLIER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_VECTOR_MULTIPLIER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN <= ZERO_DATA;
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
VECTOR_INTEGER_MULTIPLIER_FIRST_RUN : loop
if (VECTOR_INTEGER_MULTIPLIER_DATA_OUT_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(VECTOR_INTEGER_MULTIPLIER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= ZERO_CONTROL;
elsif ((VECTOR_INTEGER_MULTIPLIER_DATA_OUT_ENABLE = '1' or VECTOR_INTEGER_MULTIPLIER_START = '1') and (unsigned(index_i_loop) < unsigned(VECTOR_INTEGER_MULTIPLIER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
else
-- CONTROL
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN_ENABLE <= '0';
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN_ENABLE <= '0';
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit VECTOR_INTEGER_MULTIPLIER_FIRST_RUN when VECTOR_INTEGER_MULTIPLIER_READY = '1';
end loop VECTOR_INTEGER_MULTIPLIER_FIRST_RUN;
end if;
if (STIMULUS_NTM_VECTOR_INTEGER_MULTIPLIER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_VECTOR_MULTIPLIER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN <= ZERO_DATA;
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
VECTOR_INTEGER_MULTIPLIER_SECOND_RUN : loop
if (VECTOR_INTEGER_MULTIPLIER_DATA_OUT_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(VECTOR_INTEGER_MULTIPLIER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= ZERO_CONTROL;
elsif ((VECTOR_INTEGER_MULTIPLIER_DATA_OUT_ENABLE = '1' or VECTOR_INTEGER_MULTIPLIER_START = '1') and (unsigned(index_i_loop) < unsigned(VECTOR_INTEGER_MULTIPLIER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
else
-- CONTROL
VECTOR_INTEGER_MULTIPLIER_DATA_A_IN_ENABLE <= '0';
VECTOR_INTEGER_MULTIPLIER_DATA_B_IN_ENABLE <= '0';
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit VECTOR_INTEGER_MULTIPLIER_SECOND_RUN when VECTOR_INTEGER_MULTIPLIER_READY = '1';
end loop VECTOR_INTEGER_MULTIPLIER_SECOND_RUN;
end if;
wait for WORKING;
end if;
if (STIMULUS_NTM_VECTOR_INTEGER_DIVIDER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_VECTOR_DIVIDER_TEST ";
-------------------------------------------------------------------
-- DATA
VECTOR_INTEGER_DIVIDER_SIZE_IN <= THREE_CONTROL;
if (STIMULUS_NTM_VECTOR_INTEGER_DIVIDER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_VECTOR_DIVIDER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
VECTOR_INTEGER_DIVIDER_DATA_A_IN <= ZERO_DATA;
VECTOR_INTEGER_DIVIDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
VECTOR_INTEGER_DIVIDER_FIRST_RUN : loop
if (VECTOR_INTEGER_DIVIDER_DATA_OUT_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(VECTOR_INTEGER_DIVIDER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_DIVIDER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_DIVIDER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_DIVIDER_DATA_A_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_DIVIDER_DATA_B_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= ZERO_CONTROL;
elsif ((VECTOR_INTEGER_DIVIDER_DATA_OUT_ENABLE = '1' or VECTOR_INTEGER_DIVIDER_START = '1') and (unsigned(index_i_loop) < unsigned(VECTOR_INTEGER_DIVIDER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_DIVIDER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_DIVIDER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_DIVIDER_DATA_A_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_DIVIDER_DATA_B_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
else
-- CONTROL
VECTOR_INTEGER_DIVIDER_DATA_A_IN_ENABLE <= '0';
VECTOR_INTEGER_DIVIDER_DATA_B_IN_ENABLE <= '0';
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit VECTOR_INTEGER_DIVIDER_FIRST_RUN when VECTOR_INTEGER_DIVIDER_READY = '1';
end loop VECTOR_INTEGER_DIVIDER_FIRST_RUN;
end if;
if (STIMULUS_NTM_VECTOR_INTEGER_DIVIDER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_VECTOR_DIVIDER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
VECTOR_INTEGER_DIVIDER_DATA_A_IN <= ZERO_DATA;
VECTOR_INTEGER_DIVIDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
VECTOR_INTEGER_DIVIDER_SECOND_RUN : loop
if (VECTOR_INTEGER_DIVIDER_DATA_OUT_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(VECTOR_INTEGER_DIVIDER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_DIVIDER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_DIVIDER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_DIVIDER_DATA_A_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_DIVIDER_DATA_B_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= ZERO_CONTROL;
elsif ((VECTOR_INTEGER_DIVIDER_DATA_OUT_ENABLE = '1' or VECTOR_INTEGER_DIVIDER_START = '1') and (unsigned(index_i_loop) < unsigned(VECTOR_INTEGER_DIVIDER_SIZE_IN)-unsigned(ONE_CONTROL))) then
-- CONTROL
VECTOR_INTEGER_DIVIDER_DATA_A_IN_ENABLE <= '1';
VECTOR_INTEGER_DIVIDER_DATA_B_IN_ENABLE <= '1';
-- DATA
VECTOR_INTEGER_DIVIDER_DATA_A_IN <= VECTOR_SAMPLE_B(to_integer(unsigned(index_i_loop)));
VECTOR_INTEGER_DIVIDER_DATA_B_IN <= VECTOR_SAMPLE_A(to_integer(unsigned(index_i_loop)));
-- LOOP
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
else
-- CONTROL
VECTOR_INTEGER_DIVIDER_DATA_A_IN_ENABLE <= '0';
VECTOR_INTEGER_DIVIDER_DATA_B_IN_ENABLE <= '0';
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit VECTOR_INTEGER_DIVIDER_SECOND_RUN when VECTOR_INTEGER_DIVIDER_READY = '1';
end loop VECTOR_INTEGER_DIVIDER_SECOND_RUN;
end if;
wait for WORKING;
end if;
-------------------------------------------------------------------
-- MATRIX-INTEGER
-------------------------------------------------------------------
if (STIMULUS_NTM_MATRIX_INTEGER_ADDER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_MATRIX_ADDER_TEST ";
-------------------------------------------------------------------
-- CONTROL
MATRIX_INTEGER_ADDER_OPERATION <= '0';
-- DATA
MATRIX_INTEGER_ADDER_SIZE_I_IN <= THREE_CONTROL;
MATRIX_INTEGER_ADDER_SIZE_J_IN <= THREE_CONTROL;
if (STIMULUS_NTM_MATRIX_INTEGER_ADDER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_MATRIX_ADDER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
MATRIX_INTEGER_ADDER_DATA_A_IN <= ZERO_DATA;
MATRIX_INTEGER_ADDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
MATRIX_INTEGER_ADDER_FIRST_RUN : loop
if (MATRIX_INTEGER_ADDER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_ADDER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_ADDER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_ADDER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_ADDER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_ADDER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_ADDER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_ADDER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
else
-- CONTROL
MATRIX_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '0';
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '0';
MATRIX_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '0';
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '0';
end if;
-- LOOP
if (MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(MATRIX_INTEGER_ADDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
elsif (MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(MATRIX_INTEGER_ADDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
elsif ((MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' or MATRIX_INTEGER_ADDER_START = '1') and (unsigned(index_j_loop) < unsigned(MATRIX_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit MATRIX_INTEGER_ADDER_FIRST_RUN when MATRIX_INTEGER_ADDER_READY = '1';
end loop MATRIX_INTEGER_ADDER_FIRST_RUN;
end if;
if (STIMULUS_NTM_MATRIX_INTEGER_ADDER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_MATRIX_ADDER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
MATRIX_INTEGER_ADDER_DATA_A_IN <= ZERO_DATA;
MATRIX_INTEGER_ADDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
MATRIX_INTEGER_ADDER_SECOND_RUN : loop
if (MATRIX_INTEGER_ADDER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_ADDER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_ADDER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_ADDER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_ADDER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_ADDER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_ADDER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_ADDER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
else
-- CONTROL
MATRIX_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '0';
MATRIX_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '0';
MATRIX_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '0';
MATRIX_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '0';
end if;
-- LOOP
if (MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(MATRIX_INTEGER_ADDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
elsif (MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(MATRIX_INTEGER_ADDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
elsif ((MATRIX_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' or MATRIX_INTEGER_ADDER_START = '1') and (unsigned(index_j_loop) < unsigned(MATRIX_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit MATRIX_INTEGER_ADDER_SECOND_RUN when MATRIX_INTEGER_ADDER_READY = '1';
end loop MATRIX_INTEGER_ADDER_SECOND_RUN;
end if;
wait for WORKING;
end if;
if (STIMULUS_NTM_MATRIX_INTEGER_MULTIPLIER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_MATRIX_MULTIPLIER_TEST ";
-------------------------------------------------------------------
-- DATA
MATRIX_INTEGER_MULTIPLIER_SIZE_I_IN <= THREE_CONTROL;
MATRIX_INTEGER_MULTIPLIER_SIZE_J_IN <= THREE_CONTROL;
if (STIMULUS_NTM_MATRIX_INTEGER_MULTIPLIER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_MATRIX_MULTIPLIER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN <= ZERO_DATA;
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
MATRIX_INTEGER_MULTIPLIER_FIRST_RUN : loop
if (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
else
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '0';
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '0';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '0';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '0';
end if;
-- LOOP
if (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
elsif (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
elsif ((MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' or MATRIX_INTEGER_MULTIPLIER_START = '1') and (unsigned(index_j_loop) < unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit MATRIX_INTEGER_MULTIPLIER_FIRST_RUN when MATRIX_INTEGER_MULTIPLIER_READY = '1';
end loop MATRIX_INTEGER_MULTIPLIER_FIRST_RUN;
end if;
if (STIMULUS_NTM_MATRIX_INTEGER_MULTIPLIER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_MATRIX_MULTIPLIER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN <= ZERO_DATA;
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
MATRIX_INTEGER_MULTIPLIER_SECOND_RUN : loop
if (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
else
-- CONTROL
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '0';
MATRIX_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '0';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '0';
MATRIX_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '0';
end if;
-- LOOP
if (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
elsif (MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
elsif ((MATRIX_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' or MATRIX_INTEGER_MULTIPLIER_START = '1') and (unsigned(index_j_loop) < unsigned(MATRIX_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit MATRIX_INTEGER_MULTIPLIER_SECOND_RUN when MATRIX_INTEGER_MULTIPLIER_READY = '1';
end loop MATRIX_INTEGER_MULTIPLIER_SECOND_RUN;
end if;
wait for WORKING;
end if;
if (STIMULUS_NTM_MATRIX_INTEGER_DIVIDER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_MATRIX_DIVIDER_TEST ";
-------------------------------------------------------------------
-- DATA
MATRIX_INTEGER_DIVIDER_SIZE_I_IN <= THREE_CONTROL;
MATRIX_INTEGER_DIVIDER_SIZE_J_IN <= THREE_CONTROL;
if (STIMULUS_NTM_MATRIX_INTEGER_DIVIDER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_MATRIX_DIVIDER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
MATRIX_INTEGER_DIVIDER_DATA_A_IN <= ZERO_DATA;
MATRIX_INTEGER_DIVIDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
MATRIX_INTEGER_DIVIDER_FIRST_RUN : loop
if (MATRIX_INTEGER_DIVIDER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_DIVIDER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_DIVIDER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_DIVIDER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_DIVIDER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_DIVIDER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_DIVIDER_DATA_A_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_DIVIDER_DATA_B_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
else
-- CONTROL
MATRIX_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '0';
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '0';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '0';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '0';
end if;
-- LOOP
if (MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(MATRIX_INTEGER_DIVIDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
elsif (MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(MATRIX_INTEGER_DIVIDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
elsif ((MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' or MATRIX_INTEGER_DIVIDER_START = '1') and (unsigned(index_j_loop) < unsigned(MATRIX_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit MATRIX_INTEGER_DIVIDER_FIRST_RUN when MATRIX_INTEGER_DIVIDER_READY = '1';
end loop MATRIX_INTEGER_DIVIDER_FIRST_RUN;
end if;
if (STIMULUS_NTM_MATRIX_INTEGER_DIVIDER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_MATRIX_DIVIDER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
MATRIX_INTEGER_DIVIDER_DATA_A_IN <= ZERO_DATA;
MATRIX_INTEGER_DIVIDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
MATRIX_INTEGER_DIVIDER_SECOND_RUN : loop
if (MATRIX_INTEGER_DIVIDER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_DIVIDER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_DIVIDER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_DIVIDER_DATA_OUT_I_ENABLE = '1' and MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_DIVIDER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_DIVIDER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
elsif (MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and unsigned(index_j_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
MATRIX_INTEGER_DIVIDER_DATA_A_IN <= MATRIX_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
MATRIX_INTEGER_DIVIDER_DATA_B_IN <= MATRIX_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)));
-- CONTROL
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
else
-- CONTROL
MATRIX_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '0';
MATRIX_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '0';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '0';
MATRIX_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '0';
end if;
-- LOOP
if (MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(MATRIX_INTEGER_DIVIDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
elsif (MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(MATRIX_INTEGER_DIVIDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(MATRIX_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
elsif ((MATRIX_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' or MATRIX_INTEGER_DIVIDER_START = '1') and (unsigned(index_j_loop) < unsigned(MATRIX_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit MATRIX_INTEGER_DIVIDER_SECOND_RUN when MATRIX_INTEGER_DIVIDER_READY = '1';
end loop MATRIX_INTEGER_DIVIDER_SECOND_RUN;
end if;
wait for WORKING;
end if;
-------------------------------------------------------------------
-- TENSOR-INTEGER
-------------------------------------------------------------------
if (STIMULUS_NTM_TENSOR_INTEGER_ADDER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_TENSOR_ADDER_TEST ";
-------------------------------------------------------------------
-- CONTROL
TENSOR_INTEGER_ADDER_OPERATION <= '0';
-- DATA
TENSOR_INTEGER_ADDER_SIZE_I_IN <= THREE_CONTROL;
TENSOR_INTEGER_ADDER_SIZE_J_IN <= THREE_CONTROL;
TENSOR_INTEGER_ADDER_SIZE_K_IN <= THREE_CONTROL;
if (STIMULUS_NTM_TENSOR_INTEGER_ADDER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_TENSOR_ADDER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= ZERO_DATA;
TENSOR_INTEGER_ADDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
TENSOR_INTEGER_ADDER_FIRST_RUN : loop
if (TENSOR_INTEGER_ADDER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_ADDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_ADDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_ADDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_ADDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '1';
else
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '0';
end if;
-- LOOP
if (TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(TENSOR_INTEGER_ADDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_j_loop) < unsigned(TENSOR_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
index_k_loop <= ZERO_CONTROL;
elsif ((TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' or TENSOR_INTEGER_ADDER_START = '1') and (unsigned(index_k_loop) < unsigned(TENSOR_INTEGER_ADDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_k_loop <= std_logic_vector(unsigned(index_k_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit TENSOR_INTEGER_ADDER_FIRST_RUN when TENSOR_INTEGER_ADDER_READY = '1';
end loop TENSOR_INTEGER_ADDER_FIRST_RUN;
end if;
if (STIMULUS_NTM_TENSOR_INTEGER_ADDER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_TENSOR_ADDER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= ZERO_DATA;
TENSOR_INTEGER_ADDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
TENSOR_INTEGER_ADDER_SECOND_RUN : loop
if (TENSOR_INTEGER_ADDER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_ADDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_ADDER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_ADDER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_ADDER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_ADDER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '1';
else
-- CONTROL
TENSOR_INTEGER_ADDER_DATA_A_IN_I_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_A_IN_J_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_A_IN_K_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_B_IN_I_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_B_IN_J_ENABLE <= '0';
TENSOR_INTEGER_ADDER_DATA_B_IN_K_ENABLE <= '0';
end if;
-- LOOP
if (TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(TENSOR_INTEGER_ADDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_j_loop) < unsigned(TENSOR_INTEGER_ADDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_ADDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
index_k_loop <= ZERO_CONTROL;
elsif ((TENSOR_INTEGER_ADDER_DATA_OUT_K_ENABLE = '1' or TENSOR_INTEGER_ADDER_START = '1') and (unsigned(index_k_loop) < unsigned(TENSOR_INTEGER_ADDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_k_loop <= std_logic_vector(unsigned(index_k_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit TENSOR_INTEGER_ADDER_SECOND_RUN when TENSOR_INTEGER_ADDER_READY = '1';
end loop TENSOR_INTEGER_ADDER_SECOND_RUN;
end if;
wait for WORKING;
end if;
if (STIMULUS_NTM_TENSOR_INTEGER_MULTIPLIER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_TENSOR_MULTIPLIER_TEST ";
-------------------------------------------------------------------
-- DATA
TENSOR_INTEGER_MULTIPLIER_SIZE_I_IN <= THREE_CONTROL;
TENSOR_INTEGER_MULTIPLIER_SIZE_J_IN <= THREE_CONTROL;
TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN <= THREE_CONTROL;
if (STIMULUS_NTM_TENSOR_INTEGER_MULTIPLIER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_TENSOR_MULTIPLIER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= ZERO_DATA;
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
TENSOR_INTEGER_MULTIPLIER_FIRST_RUN : loop
if (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '1';
else
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '0';
end if;
-- LOOP
if (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_j_loop) < unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
index_k_loop <= ZERO_CONTROL;
elsif ((TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' or TENSOR_INTEGER_MULTIPLIER_START = '1') and (unsigned(index_k_loop) < unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_k_loop <= std_logic_vector(unsigned(index_k_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit TENSOR_INTEGER_MULTIPLIER_FIRST_RUN when TENSOR_INTEGER_MULTIPLIER_READY = '1';
end loop TENSOR_INTEGER_MULTIPLIER_FIRST_RUN;
end if;
if (STIMULUS_NTM_TENSOR_INTEGER_MULTIPLIER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_TENSOR_MULTIPLIER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= ZERO_DATA;
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
TENSOR_INTEGER_MULTIPLIER_SECOND_RUN : loop
if (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '1';
else
-- CONTROL
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_I_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_J_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_A_IN_K_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_I_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_J_ENABLE <= '0';
TENSOR_INTEGER_MULTIPLIER_DATA_B_IN_K_ENABLE <= '0';
end if;
-- LOOP
if (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_j_loop) < unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
index_k_loop <= ZERO_CONTROL;
elsif ((TENSOR_INTEGER_MULTIPLIER_DATA_OUT_K_ENABLE = '1' or TENSOR_INTEGER_MULTIPLIER_START = '1') and (unsigned(index_k_loop) < unsigned(TENSOR_INTEGER_MULTIPLIER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_k_loop <= std_logic_vector(unsigned(index_k_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit TENSOR_INTEGER_MULTIPLIER_SECOND_RUN when TENSOR_INTEGER_MULTIPLIER_READY = '1';
end loop TENSOR_INTEGER_MULTIPLIER_SECOND_RUN;
end if;
wait for WORKING;
end if;
if (STIMULUS_NTM_TENSOR_INTEGER_DIVIDER_TEST) then
-------------------------------------------------------------------
MONITOR_TEST <= "STIMULUS_NTM_TENSOR_DIVIDER_TEST ";
-------------------------------------------------------------------
-- DATA
TENSOR_INTEGER_DIVIDER_SIZE_I_IN <= THREE_CONTROL;
TENSOR_INTEGER_DIVIDER_SIZE_J_IN <= THREE_CONTROL;
TENSOR_INTEGER_DIVIDER_SIZE_K_IN <= THREE_CONTROL;
if (STIMULUS_NTM_TENSOR_INTEGER_DIVIDER_CASE_0) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_TENSOR_DIVIDER_CASE 0 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= ZERO_DATA;
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
TENSOR_INTEGER_DIVIDER_FIRST_RUN : loop
if (TENSOR_INTEGER_DIVIDER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '1';
else
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '0';
end if;
-- LOOP
if (TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(TENSOR_INTEGER_DIVIDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_j_loop) < unsigned(TENSOR_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
index_k_loop <= ZERO_CONTROL;
elsif ((TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' or TENSOR_INTEGER_DIVIDER_START = '1') and (unsigned(index_k_loop) < unsigned(TENSOR_INTEGER_DIVIDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_k_loop <= std_logic_vector(unsigned(index_k_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit TENSOR_INTEGER_DIVIDER_FIRST_RUN when TENSOR_INTEGER_DIVIDER_READY = '1';
end loop TENSOR_INTEGER_DIVIDER_FIRST_RUN;
end if;
if (STIMULUS_NTM_TENSOR_INTEGER_DIVIDER_CASE_1) then
-------------------------------------------------------------------
MONITOR_CASE <= "STIMULUS_NTM_TENSOR_DIVIDER_CASE 1 ";
-------------------------------------------------------------------
-- INITIAL CONDITIONS
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= ZERO_DATA;
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= ZERO_DATA;
-- LOOP
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
TENSOR_INTEGER_DIVIDER_SECOND_RUN : loop
if (TENSOR_INTEGER_DIVIDER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_i_loop) = unsigned(ZERO_CONTROL) and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_I_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_j_loop) = unsigned(ZERO_CONTROL) and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_J_ENABLE = '1' and TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) = unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '1';
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and unsigned(index_k_loop) > unsigned(ZERO_CONTROL)) then
-- DATA
TENSOR_INTEGER_DIVIDER_DATA_A_IN <= TENSOR_SAMPLE_B(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
TENSOR_INTEGER_DIVIDER_DATA_B_IN <= TENSOR_SAMPLE_A(to_integer(unsigned(index_i_loop)), to_integer(unsigned(index_j_loop)), to_integer(unsigned(index_k_loop)));
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '1';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '1';
else
-- CONTROL
TENSOR_INTEGER_DIVIDER_DATA_A_IN_I_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_J_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_A_IN_K_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_I_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_J_ENABLE <= '0';
TENSOR_INTEGER_DIVIDER_DATA_B_IN_K_ENABLE <= '0';
end if;
-- LOOP
if (TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= ZERO_CONTROL;
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_i_loop) < unsigned(TENSOR_INTEGER_DIVIDER_SIZE_I_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_j_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_i_loop <= std_logic_vector(unsigned(index_i_loop) + unsigned(ONE_CONTROL));
index_j_loop <= ZERO_CONTROL;
index_k_loop <= ZERO_CONTROL;
elsif (TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' and (unsigned(index_j_loop) < unsigned(TENSOR_INTEGER_DIVIDER_SIZE_J_IN)-unsigned(ONE_CONTROL)) and (unsigned(index_k_loop) = unsigned(TENSOR_INTEGER_DIVIDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_j_loop <= std_logic_vector(unsigned(index_j_loop) + unsigned(ONE_CONTROL));
index_k_loop <= ZERO_CONTROL;
elsif ((TENSOR_INTEGER_DIVIDER_DATA_OUT_K_ENABLE = '1' or TENSOR_INTEGER_DIVIDER_START = '1') and (unsigned(index_k_loop) < unsigned(TENSOR_INTEGER_DIVIDER_SIZE_K_IN)-unsigned(ONE_CONTROL))) then
index_k_loop <= std_logic_vector(unsigned(index_k_loop) + unsigned(ONE_CONTROL));
end if;
-- GLOBAL
wait until rising_edge(clk_int);
-- CONTROL
exit TENSOR_INTEGER_DIVIDER_SECOND_RUN when TENSOR_INTEGER_DIVIDER_READY = '1';
end loop TENSOR_INTEGER_DIVIDER_SECOND_RUN;
end if;
wait for WORKING;
end if;
assert false
report "END OF TEST"
severity failure;
end process main_test;
end architecture;
|
<filename>RISCV_withSpecialUnit/RISCV_withSpecialUnit_vhd/DataPath.core/memoryUnit.vhd<gh_stars>1-10
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
entity memoryUnit is
port(
--*INPUTS*--
clock : in std_logic;
reset : in std_logic;
----INPUTS FROM CONTROL WORD---------
en4: in std_logic;--memory stage enable
-----INPUT FROM PREVIOUS STAGE-----------
ALUout:in std_logic_vector(63 downto 0);
DRAMout:in std_logic_vector(31 downto 0);
AddressWfromEXECUTE:in std_logic_vector(63 downto 0);
-----OUTPUTS-----------
LOADDATA: out std_logic_vector(63 downto 0); --LMD register output
ALUtoWBMUX: out std_logic_vector(63 downto 0);
AddressWtoWB:out std_logic_vector(63 downto 0)
);
end memoryUnit;
architecture structural of memoryUnit is
component reg64 is
port(
clock,reset,load : in std_logic;
i : in std_logic_vector(63 downto 0);
o : out std_logic_vector(63 downto 0)
);
end component;
signal LDDATA64: std_logic_vector(63 downto 0);
begin
LDDATA64(31 downto 0)<= DRAMout;
LDDATA64(63 downto 32)<= (others=>DRAMout(31));
LMD_REG : reg64 port map(clock,reset,en4,LDDATA64,LOADDATA);
ALUout_REG: reg64 port map(clock,reset,en4,ALUout,ALUtoWBMUX); -- ALU output register
AddressW_REG: reg64 port map(clock,reset,en4,AddressWfromEXECUTE,AddressWtoWB); -- Addresses register real
end structural;
configuration CFG_memoryUnit of memoryUnit is
for structural
end for;
end CFG_memoryUnit;
configuration CFG_memoryUnit of memoryUnit is
for structural
for all : reg64
use configuration WORK.CFG_reg64;
end for;
end for;
end CFG_memoryUnit;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:15:27 10/22/2017
-- Design Name:
-- Module Name: C:/Users/Stiven/Desktop/ARQUITECTURA/PROCESADOR_III/ALU_PC_MODULE_TB.vhd
-- Project Name: PROCESADOR_III
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: ALU_PC_MODULE
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY ALU_PC_MODULE_TB IS
END ALU_PC_MODULE_TB;
ARCHITECTURE behavior OF ALU_PC_MODULE_TB IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT ALU_PC_MODULE
PORT(
NPC : IN std_logic_vector(31 downto 0);
STATIC : IN std_logic_vector(31 downto 0);
PC : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal NPC : std_logic_vector(31 downto 0) := (others => '0');
signal STATIC : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal PC : std_logic_vector(31 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: ALU_PC_MODULE PORT MAP (
NPC => NPC,
STATIC => STATIC,
PC => PC
);
-- Stimulus process
stim_proc: process
begin
NPC <= X"0000000F";
STATIC<= X"00000001";
WAIT FOR 10 NS;
NPC <= X"00000007";
STATIC<= X"00000001";
wait;
end process;
END;
|
<filename>fft/tf16.vhd<gh_stars>1-10
-- tf16.vhd
--
-- Created on: 17 Jul 2017
-- Author: <NAME>
--
-- Clock synchronous twiddle factor provider for 16-point FFT.
library ieee;
library work;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.fft_helpers.all;
entity tf16 is
generic(RSTDEF: std_logic := '0';
FFTEXP: natural := 4);
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
swrst: in std_logic; -- software reset, RSTDEF active
en: in std_logic; -- enable, high active
addr: in std_logic_vector(FFTEXP-2 downto 0); -- address of twiddle factor
w: out complex); -- twiddle factor
end tf16;
architecture behavioral of tf16 is
-- twiddle factors for 16-Point FFT
constant WFACS: complex_arr(0 to (2**(FFTEXP-1))-1) := (
to_complex(1.0, 0.0),
to_complex(0.9239, 0.3827),
to_complex(0.7071, 0.7071),
to_complex(0.3827, 0.9239),
to_complex(0.0, 1.0),
to_complex(-0.3827, 0.9239),
to_complex(-0.7071, 0.7071),
to_complex(-0.9239, 0.3827)
);
signal w_tmp: complex := COMPZERO;
begin
w <= w_tmp;
process(rst, clk) is
begin
if rst = RSTDEF then
w_tmp <= COMPZERO;
elsif rising_edge(clk) then
if swrst = RSTDEF then
w_tmp <= COMPZERO;
elsif en = '1' then
w_tmp <= WFACS(to_integer(unsigned(addr)));
end if;
end if;
end process;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity leds_time is
PORT
(
horl : IN STD_LOGIC;
nb_leds : IN INTEGER range 0 to 7;
leds_ctrl : OUT STD_LOGIC_VECTOR(7 downto 0)
);
end leds_time;
ARCHITECTURE a of leds_time is
begin
process(horl)
begin
if (horl'event and horl='1') then
case nb_leds is
when 0 => leds_ctrl <= "00000001";
when 1 => leds_ctrl <= "00000011";
when 2 => leds_ctrl <= "00000111";
when 3 => leds_ctrl <= "00001111";
when 4 => leds_ctrl <= "00011111";
when 5 => leds_ctrl <= "00111111";
when 6 => leds_ctrl <= "01111111";
when 7 => leds_ctrl <= "11111111";
when others => leds_ctrl <= "00000000";
end case;
end if;
end process;
end a ;
|
<reponame>pedrovrc/Laboratorio-Sistemas-Digitais
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity contador10 is
port( clock : in STD_LOGIC;
reset : in STD_LOGIC;
enable : in STD_LOGIC;
rci : in STD_LOGIC;
load : in STD_LOGIC;
D : in STD_LOGIC_VECTOR(3 downto 0);
Q : out STD_LOGIC_VECTOR(3 downto 0);
rco : out STD_LOGIC );
end contador10;
architecture contador10_arch of contador10 is
type estado is (ZERO, UM, DOIS, TRES, QUATRO,
CINCO, SEIS, SETE, OITO, NOVE);
signal EstadoAtual, ProxEstado, CarregaEstado: estado;
begin
with D select
CarregaEstado <= ZERO when "0000",
UM when "0001",
DOIS when "0010",
TRES when "0011",
QUATRO when "0100",
CINCO when "0101",
SEIS when "0110",
SETE when "0111",
OITO when "1000",
NOVE when "1001",
ZERO when others;
ProcSinc: process(clock)
begin
if rising_edge(clock) then
EstadoAtual <= ProxEstado;
end if;
end process ProcSinc;
ProcComb: process(EstadoAtual, reset, enable, rci, load, CarregaEstado)
begin
case EstadoAtual is
when ZERO =>
Q <= "0000";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= UM;
else ProxEstado <= ZERO;
end if;
when UM =>
Q <= "0001";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= DOIS;
else ProxEstado <= UM;
end if;
when DOIS =>
Q <= "0010";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= TRES;
else ProxEstado <= DOIS;
end if;
when TRES =>
Q <= "0011";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= QUATRO;
else ProxEstado <= TRES;
end if;
when QUATRO =>
Q <= "0100";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= CINCO;
else ProxEstado <= QUATRO;
end if;
when CINCO =>
Q <= "0101";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= SEIS;
else ProxEstado <= CINCO;
end if;
when SEIS =>
Q <= "0110";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= SETE;
else ProxEstado <= SEIS;
end if;
when SETE =>
Q <= "0111";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= OITO;
else ProxEstado <= SETE;
end if;
when OITO =>
Q <= "1000";
rco <= '1';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= NOVE;
else ProxEstado <= OITO;
end if;
when NOVE =>
Q <= "1001";
rco <= '0';
if reset = '1' then ProxEstado <= ZERO;
elsif load = '1' then ProxEstado <= CarregaEstado;
elsif not(enable) = '1' and not(rci) = '1' then ProxEstado <= ZERO;
else ProxEstado <= NOVE;
end if;
end case;
end process ProcComb;
end contador10_arch;
|
<filename>tb/tb_WasmFpgaControl.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
library work;
use work.tb_types.all;
entity tb_WasmFpgaControl is
generic (
stimulus_path : string := "../../../../../simstm/";
stimulus_file : string := "WasmFpgaControl.stm"
);
end;
architecture behavioural of tb_WasmFpgaControl is
constant CLK100M_PERIOD : time := 10 ns;
signal Clk100M : std_logic := '0';
signal Rst : std_logic := '1';
signal nRst : std_logic := '0';
signal WasmFpgaControl_FileIO : T_WasmFpgaControl_FileIO;
signal FileIO_WasmFpgaControl : T_FileIO_WasmFpgaControl;
begin
nRst <= not Rst;
Clk100MGen : process is
begin
Clk100M <= not Clk100M;
wait for CLK100M_PERIOD / 2;
end process;
RstGen : process is
begin
Rst <= '1';
wait for 100ns;
Rst <= '0';
wait;
end process;
tb_FileIO_i : entity work.tb_FileIO
generic map (
stimulus_path => stimulus_path,
stimulus_file => stimulus_file
)
port map (
Clk => Clk100M,
Rst => Rst,
WasmFpgaControl_FileIO => WasmFpgaControl_FileIO,
FileIO_WasmFpgaControl => FileIO_WasmFpgaControl
);
WasmFpgaControl_i : entity work.WasmFpgaControl
port map (
Clk => Clk100M,
nRst => nRst,
Run => FileIO_WasmFpgaControl.Run,
Busy => WasmFpgaControl_FileIO.Busy,
Loader_Adr => open,
Loader_Sel => open,
Loader_DatIn => (others => '0'),
Loader_We => open,
Loader_Stb => open,
Loader_Cyc => open,
Loader_DatOut => open,
Loader_Ack => '0',
Engine_Adr => open,
Engine_Sel => open,
Engine_DatIn => (others => '0'),
Engine_We => open,
Engine_Stb => open,
Engine_Cyc => open,
Engine_DatOut => open,
Engine_Ack => '0'
);
end;
|
-------------------------------------------------------------------------------
-- cadr2_ml401_tb.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
use work.wb_pack.all;
entity cadr2_ml401_tb is
end cadr2_ml401_tb;
architecture STRUCTURE of cadr2_ml401_tb is
component cadr2_ml401 is
port (
sys_clk_in : in std_logic;
sys_rst_in : in std_logic
);
end component cadr2_ml401;
-- stimulus file declaration
component STIMULUS is
PORT (
RST : out std_logic;
CLK : out std_logic
);
end component;
signal RST : std_logic;
signal CLK : std_logic;
begin
-- PCI stimulus file
stimulus_i : STIMULUS
port map (
CLK => CLK,
RST => RST
);
system_i : cadr2_ml401
port map (
sys_clk_in => CLK,
sys_rst_in => RST
);
end architecture;
|
<filename>bitvis_vip_axistream/tb/maintenance_tb/axistream_th.vhd
--================================================================================================================================
-- Copyright 2020 Bitvis
-- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT.
--
-- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on
-- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and limitations under the License.
--================================================================================================================================
-- Note : Any functionality not explicitly described in the documentation is subject to change at any time
----------------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------
-- Description : See library quick reference (under 'doc') and README-file(s)
------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Include Verification IPs
library bitvis_vip_axistream;
use bitvis_vip_axistream.axistream_bfm_pkg.all;
--=================================================================================================
entity test_harness is
generic(
constant GC_DATA_WIDTH : natural := 32;
constant GC_USER_WIDTH : natural := 1;
constant GC_ID_WIDTH : natural := 1;
constant GC_DEST_WIDTH : natural := 1;
constant GC_DUT_FIFO_DEPTH : natural := 4;
CONSTANT GC_INCLUDE_TUSER : boolean := true -- If tuser is used in AXI interface
);
port(
signal clk : in std_logic;
signal areset : in std_logic;
-- BFM
signal axistream_if_m_VVC2FIFO : inout t_axistream_if( tdata( GC_DATA_WIDTH -1 downto 0),
tkeep( (GC_DATA_WIDTH/8)-1 downto 0),
tuser( GC_USER_WIDTH -1 downto 0),
tstrb( GC_DATA_WIDTH/8 -1 downto 0),
tid( GC_ID_WIDTH -1 downto 0),
tdest( GC_DEST_WIDTH -1 downto 0)
);
signal axistream_if_s_FIFO2VVC : inout t_axistream_if( tdata( GC_DATA_WIDTH -1 downto 0),
tkeep( (GC_DATA_WIDTH/8)-1 downto 0),
tuser( GC_USER_WIDTH -1 downto 0),
tstrb( GC_DATA_WIDTH/8 -1 downto 0),
tid( GC_ID_WIDTH -1 downto 0),
tdest( GC_DEST_WIDTH -1 downto 0)
);
signal axistream_if_m_VVC2VVC : inout t_axistream_if( tdata( GC_DATA_WIDTH -1 downto 0),
tkeep( (GC_DATA_WIDTH/8)-1 downto 0),
tuser( GC_USER_WIDTH -1 downto 0),
tstrb( GC_DATA_WIDTH/8 -1 downto 0),
tid( GC_ID_WIDTH -1 downto 0),
tdest( GC_DEST_WIDTH -1 downto 0)
)
);
end entity test_harness;
--=================================================================================================
architecture struct_simple of test_harness is
signal s_axis_tready : std_logic;
signal s_axis_tvalid : std_logic;
signal s_axis_tdata : std_logic_vector (GC_DATA_WIDTH - 1 downto 0);
signal s_axis_tuser : std_logic_vector (GC_USER_WIDTH - 1 downto 0);
signal s_axis_tkeep : std_logic_vector (GC_DATA_WIDTH/8 - 1 downto 0);
signal s_axis_tlast : std_logic;
signal m_axis_tready : std_logic;
signal m_axis_tvalid : std_logic;
signal m_axis_tdata : std_logic_vector (GC_DATA_WIDTH - 1 downto 0);
signal m_axis_tuser : std_logic_vector (GC_USER_WIDTH - 1 downto 0);
signal m_axis_tkeep : std_logic_vector (GC_DATA_WIDTH/8 - 1 downto 0);
signal m_axis_tlast : std_logic;
begin
-- Mapping of interface to signals is done to make TB run in Riviera Pro.
-- Values are not propagated when interface elements are mapped directly
-- to ports. Riviera-PRO version 2018.10.137.7135
axistream_if_m_VVC2FIFO.tready <= s_axis_tready;
s_axis_tvalid <= axistream_if_m_VVC2FIFO.tvalid;
s_axis_tdata <= axistream_if_m_VVC2FIFO.tdata;
s_axis_tuser <= axistream_if_m_VVC2FIFO.tuser;
s_axis_tkeep <= axistream_if_m_VVC2FIFO.tkeep;
s_axis_tlast <= axistream_if_m_VVC2FIFO.tlast;
m_axis_tready <= axistream_if_s_FIFO2VVC.tready;
axistream_if_s_FIFO2VVC.tvalid <= m_axis_tvalid;
axistream_if_s_FIFO2VVC.tdata <= m_axis_tdata;
axistream_if_s_FIFO2VVC.tuser <= m_axis_tuser;
axistream_if_s_FIFO2VVC.tkeep <= m_axis_tkeep;
axistream_if_s_FIFO2VVC.tlast <= m_axis_tlast;
-----------------------------
-- Instantiate a DUT model : a self-made AXI-Stream FIFO
-- (I tried using a Xilinx FIFO IP between the BFMs but could only get verilog files, causing Modelsim licencing issues)
-----------------------------
i_axis_fifo : entity work.axis_fifo
generic map (
GC_DATA_WIDTH => GC_DATA_WIDTH ,
GC_USER_WIDTH => GC_USER_WIDTH ,
GC_FIFO_DEPTH => GC_DUT_FIFO_DEPTH
)
PORT MAP (
rst => areset,
clk => clk,
s_axis_tready => s_axis_tready,
s_axis_tvalid => s_axis_tvalid,
s_axis_tdata => s_axis_tdata,
s_axis_tuser => s_axis_tuser,
s_axis_tkeep => s_axis_tkeep,
s_axis_tlast => s_axis_tlast,
m_axis_tready => m_axis_tready,
m_axis_tvalid => m_axis_tvalid,
m_axis_tdata => m_axis_tdata,
m_axis_tuser => m_axis_tuser,
m_axis_tkeep => m_axis_tkeep,
m_axis_tlast => m_axis_tlast,
empty => open
);
end struct_simple;
--=================================================================================================
architecture struct_vvc of test_harness is
begin
-----------------------------
-- Instantiate a DUT model : a self-made AXI-Stream FIFO
-- (I tried using a Xilinx FIFO IP between the BFMs but could only get verilog files, causing Modelsim licencing issues)
-----------------------------
i_axis_fifo : entity work.axis_fifo
generic map (
GC_DATA_WIDTH => GC_DATA_WIDTH ,
GC_USER_WIDTH => GC_USER_WIDTH ,
GC_FIFO_DEPTH => GC_DUT_FIFO_DEPTH
)
PORT MAP (
rst => areset,
clk => clk,
s_axis_tready => axistream_if_m_VVC2FIFO.tready,
s_axis_tvalid => axistream_if_m_VVC2FIFO.tvalid,
s_axis_tdata => axistream_if_m_VVC2FIFO.tdata,
s_axis_tuser => axistream_if_m_VVC2FIFO.tuser,
s_axis_tkeep => axistream_if_m_VVC2FIFO.tkeep,
s_axis_tlast => axistream_if_m_VVC2FIFO.tlast,
m_axis_tready => axistream_if_s_FIFO2VVC.tready,
m_axis_tvalid => axistream_if_s_FIFO2VVC.tvalid,
m_axis_tdata => axistream_if_s_FIFO2VVC.tdata,
m_axis_tuser => axistream_if_s_FIFO2VVC.tuser,
m_axis_tkeep => axistream_if_s_FIFO2VVC.tkeep,
m_axis_tlast => axistream_if_s_FIFO2VVC.tlast,
empty => open
);
-- This is not necessary, the BFM can receive 'U' without problems
-- axistream_if_s_FIFO2VVC.tstrb <= (others => '0');
-- axistream_if_s_FIFO2VVC.tid <= (others => '0');
-- axistream_if_s_FIFO2VVC.tdest <= (others => '0');
-- g_Not_Include_tuser: if (not GC_INCLUDE_TUSER) generate
-- axistream_if_s_FIFO2VVC.tuser <= (others => '0');
-- end generate;
-----------------------------
-- vvc/executors
-----------------------------
-- master vvc that transmit to FIFO
i_axistream_vvc_master_VVC2FIFO : entity work.axistream_vvc
generic map(
GC_VVC_IS_MASTER => true,
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_USER_WIDTH => GC_USER_WIDTH,
GC_ID_WIDTH => GC_ID_WIDTH,
GC_DEST_WIDTH => GC_DEST_WIDTH,
GC_INSTANCE_IDX => 0
)
port map(
clk => clk,
axistream_vvc_if => axistream_if_m_VVC2FIFO
);
-- slave vvc that receive from FIFO
i_axistream_vvc_slave_FIFO2VVC : entity work.axistream_vvc
generic map(
GC_VVC_IS_MASTER => false,
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_USER_WIDTH => GC_USER_WIDTH,
GC_ID_WIDTH => GC_ID_WIDTH,
GC_DEST_WIDTH => GC_DEST_WIDTH,
GC_INSTANCE_IDX => 1
)
port map(
clk => clk,
axistream_vvc_if => axistream_if_s_FIFO2VVC
);
--------------------------------------------------------------------
-- master vvc that transmit directly to Slave VVC
i_axistream_vvc_master_VVC2VVC : entity work.axistream_vvc
generic map(
GC_VVC_IS_MASTER => true,
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_USER_WIDTH => GC_USER_WIDTH,
GC_ID_WIDTH => GC_ID_WIDTH,
GC_DEST_WIDTH => GC_DEST_WIDTH,
GC_INSTANCE_IDX => 2
)
port map(
clk => clk,
axistream_vvc_if => axistream_if_m_VVC2VVC
);
-- slave vvc that receive directly from Master VVC
i_axistream_vvc_slave_VVC2VVC : entity work.axistream_vvc
generic map(
GC_VVC_IS_MASTER => false,
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_USER_WIDTH => GC_USER_WIDTH,
GC_ID_WIDTH => GC_ID_WIDTH,
GC_DEST_WIDTH => GC_DEST_WIDTH,
GC_INSTANCE_IDX => 3
)
port map(
clk => clk,
axistream_vvc_if => axistream_if_m_VVC2VVC
);
end struct_vvc;
architecture struct_multiple_vvc of test_harness is
begin
-----------------------------
-- Multiple VVCs just to test await_any_completion
-----------------------------
gen_axistream_vvc_master : for i in 0 to 7 generate
signal axistream_if_m_local : t_axistream_if( tdata( GC_DATA_WIDTH -1 downto 0),
tkeep( (GC_DATA_WIDTH/8)-1 downto 0),
tuser( GC_USER_WIDTH -1 downto 0),
tstrb( GC_DATA_WIDTH/8 -1 downto 0),
tid( GC_ID_WIDTH -1 downto 0),
tdest( GC_DEST_WIDTH -1 downto 0)
);
begin
axistream_if_m_local.tready <= '1';
i_axistream_vvc_master : entity work.axistream_vvc
generic map(
GC_VVC_IS_MASTER => true,
GC_DATA_WIDTH => GC_DATA_WIDTH,
GC_USER_WIDTH => GC_USER_WIDTH,
GC_ID_WIDTH => GC_ID_WIDTH,
GC_DEST_WIDTH => GC_DEST_WIDTH,
GC_INSTANCE_IDX => i
)
port map(
clk => clk,
axistream_vvc_if => axistream_if_m_local
);
end generate gen_axistream_vvc_master;
end struct_multiple_vvc;
|
<reponame>AndrewD/ctucanfd_ip_core
--------------------------------------------------------------------------------
--
-- CTU CAN FD IP Core
-- Copyright (C) 2021-present <NAME>
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this VHDL component and associated documentation files (the "Component"),
-- to use, copy, modify, merge, publish, distribute the Component for
-- educational, research, evaluation, self-interest purposes. Using the
-- Component for commercial purposes is forbidden unless previously agreed with
-- Copyright holder.
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Component.
--
-- THE COMPONENT IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHTHOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
-- FROM, OUT OF OR IN CONNECTION WITH THE COMPONENT OR THE USE OR OTHER DEALINGS
-- IN THE COMPONENT.
--
-- The CAN protocol is developed by Robert Bosch GmbH and protected by patents.
-- Anybody who wants to implement this IP core on silicon has to obtain a CAN
-- protocol license from Bosch.
--
-- -------------------------------------------------------------------------------
--
-- CTU CAN FD IP Core
-- Copyright (C) 2015-2020 MIT License
--
-- Authors:
-- <NAME> <<EMAIL>>
-- <NAME> <<EMAIL>>
--
-- Project advisors:
-- <NAME> <<EMAIL>>
-- <NAME> <<EMAIL>>
--
-- Department of Measurement (http://meas.fel.cvut.cz/)
-- Faculty of Electrical Engineering (http://www.fel.cvut.cz)
-- Czech Technical University (http://www.cvut.cz/)
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this VHDL component and associated documentation files (the "Component"),
-- to deal in the Component without restriction, including without limitation
-- the rights to use, copy, modify, merge, publish, distribute, sublicense,
-- and/or sell copies of the Component, and to permit persons to whom the
-- Component is furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Component.
--
-- THE COMPONENT IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHTHOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
-- FROM, OUT OF OR IN CONNECTION WITH THE COMPONENT OR THE USE OR OTHER DEALINGS
-- IN THE COMPONENT.
--
-- The CAN protocol is developed by <NAME> GmbH and protected by patents.
-- Anybody who wants to implement this IP core on silicon has to obtain a CAN
-- protocol license from Bosch.
--
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Register map implementation of: Control_registers
--------------------------------------------------------------------------------
-- This file is autogenerated, DO NOT EDIT!
Library ieee;
use ieee.std_logic_1164.all;
Library ctu_can_fd_rtl;
use ctu_can_fd_rtl.can_registers_pkg.all;
use ctu_can_fd_rtl.cmn_reg_map_pkg.all;
entity control_registers_reg_map is
generic (
constant DATA_WIDTH : natural := 32;
constant ADDRESS_WIDTH : natural := 8;
constant REGISTERED_READ : boolean := true;
constant CLEAR_READ_DATA : boolean := true;
constant RESET_POLARITY : std_logic := '0';
constant SUP_FILT_A : boolean := true;
constant SUP_TRAFFIC_CTRS : boolean := true;
constant SUP_RANGE : boolean := true;
constant SUP_FILT_C : boolean := true;
constant SUP_FILT_B : boolean := true
);
port (
signal clk_sys :in std_logic;
signal res_n :in std_logic;
signal address :in std_logic_vector(address_width - 1 downto 0);
signal w_data :in std_logic_vector(data_width - 1 downto 0);
signal r_data :out std_logic_vector(data_width - 1 downto 0);
signal cs :in std_logic;
signal read :in std_logic;
signal write :in std_logic;
signal be :in std_logic_vector(data_width / 8 - 1 downto 0);
signal lock_1 :in std_logic;
signal lock_2 :in std_logic;
signal control_registers_out :out Control_registers_out_t;
signal control_registers_in :in Control_registers_in_t
);
end entity control_registers_reg_map;
architecture rtl of control_registers_reg_map is
signal reg_sel : std_logic_vector(38 downto 0);
constant ADDR_VECT
: std_logic_vector(233 downto 0) := "100110100101100100100011100010100001100000011111011110011101011100011011011010011001011000010111010110010101010100010011010010010001010000001111001110001101001100001011001010001001001000000111000110000101000100000011000010000001000000";
signal read_data_mux_in : std_logic_vector(1247 downto 0);
signal read_data_mask_n : std_logic_vector(31 downto 0);
signal control_registers_out_i : Control_registers_out_t;
signal read_mux_ena : std_logic;
begin
----------------------------------------------------------------------------
-- Write address to One-hot decoder
----------------------------------------------------------------------------
address_decoder_control_registers_comp : address_decoder
generic map(
address_width => 6 ,
address_entries => 39 ,
addr_vect => ADDR_VECT ,
registered_out => false ,
reset_polarity => RESET_POLARITY
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
address => address(7 downto 2) ,-- in
enable => cs ,-- in
addr_dec => reg_sel -- out
);
----------------------------------------------------------------------------
-- MODE register
----------------------------------------------------------------------------
mode_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "0000001111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000001000010000" ,
auto_clear => "0000000000000001" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(1) ,-- in
w_be => be(1 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.mode -- out
);
----------------------------------------------------------------------------
-- SETTINGS register
----------------------------------------------------------------------------
settings_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "0000011111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000001000000000" ,
auto_clear => "0000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 16) ,-- in
write => write ,-- in
cs => reg_sel(1) ,-- in
w_be => be(3 downto 2) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.settings -- out
);
----------------------------------------------------------------------------
-- COMMAND register
----------------------------------------------------------------------------
command_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00000000000000000000000011111110" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000011111110" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(3) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.command -- out
);
----------------------------------------------------------------------------
-- INT_STAT register
----------------------------------------------------------------------------
int_stat_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "0000111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000000000000000" ,
auto_clear => "0000111111111111" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(4) ,-- in
w_be => be(1 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.int_stat -- out
);
----------------------------------------------------------------------------
-- INT_ENA_SET register
----------------------------------------------------------------------------
int_ena_set_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "0000111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000000000000000" ,
auto_clear => "0000111111111111" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(5) ,-- in
w_be => be(1 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.int_ena_set -- out
);
----------------------------------------------------------------------------
-- INT_ENA_CLR register
----------------------------------------------------------------------------
int_ena_clr_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "0000111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000000000000000" ,
auto_clear => "0000111111111111" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(6) ,-- in
w_be => be(1 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.int_ena_clr -- out
);
----------------------------------------------------------------------------
-- INT_MASK_SET register
----------------------------------------------------------------------------
int_mask_set_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "0000111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000000000000000" ,
auto_clear => "0000111111111111" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(7) ,-- in
w_be => be(1 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.int_mask_set -- out
);
----------------------------------------------------------------------------
-- INT_MASK_CLR register
----------------------------------------------------------------------------
int_mask_clr_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "0000111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000000000000000" ,
auto_clear => "0000111111111111" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(8) ,-- in
w_be => be(1 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.int_mask_clr -- out
);
----------------------------------------------------------------------------
-- BTR register
----------------------------------------------------------------------------
btr_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "11111111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00010000010100001010000110000101" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => true
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(9) ,-- in
w_be => be(3 downto 0) ,-- in
lock => lock_2 ,-- in
reg_value => control_registers_out_i.btr -- out
);
----------------------------------------------------------------------------
-- BTR_FD register
----------------------------------------------------------------------------
btr_fd_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "11111111111110111110111110111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00010000001000000110000110000011" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => true
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(10) ,-- in
w_be => be(3 downto 0) ,-- in
lock => lock_2 ,-- in
reg_value => control_registers_out_i.btr_fd -- out
);
----------------------------------------------------------------------------
-- EWL register
----------------------------------------------------------------------------
ewl_reg_comp : memory_reg
generic map(
data_width => 8 ,
data_mask => "11111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "01100000" ,
auto_clear => "00000000" ,
is_lockable => true
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(7 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(11) ,-- in
w_be => be(0 downto 0) ,-- in
lock => lock_1 ,-- in
reg_value => control_registers_out_i.ewl -- out
);
----------------------------------------------------------------------------
-- ERP register
----------------------------------------------------------------------------
erp_reg_comp : memory_reg
generic map(
data_width => 8 ,
data_mask => "11111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "10000000" ,
auto_clear => "00000000" ,
is_lockable => true
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 8) ,-- in
write => write ,-- in
cs => reg_sel(11) ,-- in
w_be => be(1 downto 1) ,-- in
lock => lock_1 ,-- in
reg_value => control_registers_out_i.erp -- out
);
----------------------------------------------------------------------------
-- CTR_PRES register
----------------------------------------------------------------------------
ctr_pres_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00000000000000000001111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000001111000000000" ,
is_lockable => true
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(14) ,-- in
w_be => be(3 downto 0) ,-- in
lock => lock_1 ,-- in
reg_value => control_registers_out_i.ctr_pres -- out
);
----------------------------------------------------------------------------
-- FILTER_A_MASK register
----------------------------------------------------------------------------
FILTER_A_MASK_present_gen_t : if (SUP_FILT_A = true) generate
filter_a_mask_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00011111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(15) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_a_mask -- out
);
end generate FILTER_A_MASK_present_gen_t;
FILTER_A_MASK_present_gen_f : if (SUP_FILT_A = false) generate
control_registers_out_i.filter_a_mask <= "00000000000000000000000000000000";
end generate FILTER_A_MASK_present_gen_f;
----------------------------------------------------------------------------
-- FILTER_A_VAL register
----------------------------------------------------------------------------
FILTER_A_VAL_present_gen_t : if (SUP_FILT_A = true) generate
filter_a_val_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00011111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(16) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_a_val -- out
);
end generate FILTER_A_VAL_present_gen_t;
FILTER_A_VAL_present_gen_f : if (SUP_FILT_A = false) generate
control_registers_out_i.filter_a_val <= "00000000000000000000000000000000";
end generate FILTER_A_VAL_present_gen_f;
----------------------------------------------------------------------------
-- FILTER_B_MASK register
----------------------------------------------------------------------------
FILTER_B_MASK_present_gen_t : if (SUP_FILT_B = true) generate
filter_b_mask_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00011111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(17) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_b_mask -- out
);
end generate FILTER_B_MASK_present_gen_t;
FILTER_B_MASK_present_gen_f : if (SUP_FILT_B = false) generate
control_registers_out_i.filter_b_mask <= "00000000000000000000000000000000";
end generate FILTER_B_MASK_present_gen_f;
----------------------------------------------------------------------------
-- FILTER_B_VAL register
----------------------------------------------------------------------------
FILTER_B_VAL_present_gen_t : if (SUP_FILT_B = true) generate
filter_b_val_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00011111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(18) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_b_val -- out
);
end generate FILTER_B_VAL_present_gen_t;
FILTER_B_VAL_present_gen_f : if (SUP_FILT_B = false) generate
control_registers_out_i.filter_b_val <= "00000000000000000000000000000000";
end generate FILTER_B_VAL_present_gen_f;
----------------------------------------------------------------------------
-- FILTER_C_MASK register
----------------------------------------------------------------------------
FILTER_C_MASK_present_gen_t : if (SUP_FILT_C = true) generate
filter_c_mask_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00011111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(19) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_c_mask -- out
);
end generate FILTER_C_MASK_present_gen_t;
FILTER_C_MASK_present_gen_f : if (SUP_FILT_C = false) generate
control_registers_out_i.filter_c_mask <= "00000000000000000000000000000000";
end generate FILTER_C_MASK_present_gen_f;
----------------------------------------------------------------------------
-- FILTER_C_VAL register
----------------------------------------------------------------------------
FILTER_C_VAL_present_gen_t : if (SUP_FILT_C = true) generate
filter_c_val_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00011111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(20) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_c_val -- out
);
end generate FILTER_C_VAL_present_gen_t;
FILTER_C_VAL_present_gen_f : if (SUP_FILT_C = false) generate
control_registers_out_i.filter_c_val <= "00000000000000000000000000000000";
end generate FILTER_C_VAL_present_gen_f;
----------------------------------------------------------------------------
-- FILTER_RAN_LOW register
----------------------------------------------------------------------------
FILTER_RAN_LOW_present_gen_t : if (SUP_RANGE = true) generate
filter_ran_low_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00011111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(21) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_ran_low -- out
);
end generate FILTER_RAN_LOW_present_gen_t;
FILTER_RAN_LOW_present_gen_f : if (SUP_RANGE = false) generate
control_registers_out_i.filter_ran_low <= "00000000000000000000000000000000";
end generate FILTER_RAN_LOW_present_gen_f;
----------------------------------------------------------------------------
-- FILTER_RAN_HIGH register
----------------------------------------------------------------------------
FILTER_RAN_HIGH_present_gen_t : if (SUP_RANGE = true) generate
filter_ran_high_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "00011111111111111111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000000" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(22) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_ran_high -- out
);
end generate FILTER_RAN_HIGH_present_gen_t;
FILTER_RAN_HIGH_present_gen_f : if (SUP_RANGE = false) generate
control_registers_out_i.filter_ran_high <= "00000000000000000000000000000000";
end generate FILTER_RAN_HIGH_present_gen_f;
----------------------------------------------------------------------------
-- FILTER_CONTROL register
----------------------------------------------------------------------------
filter_control_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "1111111111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000000000001111" ,
auto_clear => "0000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(23) ,-- in
w_be => be(1 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.filter_control -- out
);
----------------------------------------------------------------------------
-- RX_SETTINGS register
----------------------------------------------------------------------------
rx_settings_reg_comp : memory_reg
generic map(
data_width => 8 ,
data_mask => "00000001" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000" ,
auto_clear => "00000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(23 downto 16) ,-- in
write => write ,-- in
cs => reg_sel(26) ,-- in
w_be => be(2 downto 2) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.rx_settings -- out
);
----------------------------------------------------------------------------
-- RX_DATA access signallization
----------------------------------------------------------------------------
rx_data_access_signaller_comp : access_signaller
generic map(
reset_polarity => RESET_POLARITY ,
data_width => 32 ,
read_signalling => True ,
write_signalling => False ,
read_signalling_reg => False ,
write_signalling_reg => False
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
cs => reg_sel(27) ,-- in
read => read ,-- in
write => write ,-- in
be => be(3 downto 0) ,-- in
write_signal => open ,-- out
read_signal => control_registers_out_i.rx_data_read -- out
);
----------------------------------------------------------------------------
-- TX_COMMAND register
----------------------------------------------------------------------------
tx_command_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "1111111100000111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000000000000000" ,
auto_clear => "0000000000000111" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(15 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(29) ,-- in
w_be => be(1 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.tx_command -- out
);
----------------------------------------------------------------------------
-- TX_PRIORITY register
----------------------------------------------------------------------------
tx_priority_reg_comp : memory_reg
generic map(
data_width => 32 ,
data_mask => "01110111011101110111011101110111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "00000000000000000000000000000001" ,
auto_clear => "00000000000000000000000000000000" ,
is_lockable => false
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 0) ,-- in
write => write ,-- in
cs => reg_sel(30) ,-- in
w_be => be(3 downto 0) ,-- in
lock => '0' ,-- in
reg_value => control_registers_out_i.tx_priority -- out
);
----------------------------------------------------------------------------
-- SSP_CFG register
----------------------------------------------------------------------------
ssp_cfg_reg_comp : memory_reg
generic map(
data_width => 16 ,
data_mask => "0000001111111111" ,
reset_polarity => RESET_POLARITY ,
reset_value => "0000000000001010" ,
auto_clear => "0000000000000000" ,
is_lockable => true
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_in => w_data(31 downto 16) ,-- in
write => write ,-- in
cs => reg_sel(32) ,-- in
w_be => be(3 downto 2) ,-- in
lock => lock_2 ,-- in
reg_value => control_registers_out_i.ssp_cfg -- out
);
----------------------------------------------------------------------------
-- Read data multiplexor enable
----------------------------------------------------------------------------
read_data_keep_gen : if (CLEAR_READ_DATA = false) generate
read_mux_ena <= read and cs;
end generate read_data_keep_gen;
read_data_clear_gen : if (CLEAR_READ_DATA = true) generate
read_mux_ena <= '1';
end generate read_data_clear_gen;
----------------------------------------------------------------------------
-- Read data multiplexor
----------------------------------------------------------------------------
data_mux_control_registers_comp : data_mux
generic map(
data_out_width => 32 ,
data_in_width => 1248 ,
sel_width => 6 ,
registered_out => REGISTERED_READ ,
reset_polarity => RESET_POLARITY
)
port map(
clk_sys => clk_sys ,-- in
res_n => res_n ,-- in
data_selector => address(7 downto 2) ,-- in
data_in => read_data_mux_in ,-- in
data_mask_n => read_data_mask_n ,-- in
enable => read_mux_ena ,-- in
data_out => r_data -- out
);
------------------------------------------------------------------------------
-- Read data driver
------------------------------------------------------------------------------
read_data_mux_in <=
-- Adress:152
control_registers_in.timestamp_high &
-- Adress:148
control_registers_in.timestamp_low &
-- Adress:144
control_registers_in.yolo_reg &
-- Adress:140
control_registers_in.debug_register &
-- Adress:136
control_registers_in.tx_fr_ctr &
-- Adress:132
control_registers_in.rx_fr_ctr &
-- Adress:128
control_registers_out_i.ssp_cfg & control_registers_in.trv_delay &
-- Adress:124
"00000000" & control_registers_in.alc & control_registers_in.retr_ctr & control_registers_in.err_capt &
-- Adress:120
control_registers_out_i.tx_priority &
-- Adress:116
control_registers_in.txtb_info & "00000000" & "00000000" &
-- Adress:112
control_registers_in.tx_status &
-- Adress:108
control_registers_in.rx_data &
-- Adress:104
"00000000" & control_registers_out_i.rx_settings & control_registers_in.rx_status &
-- Adress:100
control_registers_in.rx_pointers &
-- Adress:96
control_registers_in.rx_mem_info &
-- Adress:92
control_registers_in.filter_status & control_registers_out_i.filter_control &
-- Adress:88
control_registers_out_i.filter_ran_high &
-- Adress:84
control_registers_out_i.filter_ran_low &
-- Adress:80
control_registers_out_i.filter_c_val &
-- Adress:76
control_registers_out_i.filter_c_mask &
-- Adress:72
control_registers_out_i.filter_b_val &
-- Adress:68
control_registers_out_i.filter_b_mask &
-- Adress:64
control_registers_out_i.filter_a_val &
-- Adress:60
control_registers_out_i.filter_a_mask &
-- Adress:56
"00000000" & "00000000" & "00000000" & "00000000" &
-- Adress:52
control_registers_in.err_fd & control_registers_in.err_norm &
-- Adress:48
control_registers_in.tec & control_registers_in.rec &
-- Adress:44
control_registers_in.fault_state & control_registers_out_i.erp & control_registers_out_i.ewl &
-- Adress:40
control_registers_out_i.btr_fd &
-- Adress:36
control_registers_out_i.btr &
-- Adress:32
"00000000" & "00000000" & "00000000" & "00000000" &
-- Adress:28
"00000000" & "00000000" & control_registers_in.int_mask_set &
-- Adress:24
"00000000" & "00000000" & "00000000" & "00000000" &
-- Adress:20
"00000000" & "00000000" & control_registers_in.int_ena_set &
-- Adress:16
"00000000" & "00000000" & control_registers_in.int_stat &
-- Adress:12
"00000000" & "00000000" & "00000000" & "00000000" &
-- Adress:8
control_registers_in.status &
-- Adress:4
control_registers_out_i.settings & control_registers_out_i.mode &
-- Adress:0
control_registers_in.version & control_registers_in.device_id;
----------------------------------------------------------------------------
-- Read data mask - Byte enables
----------------------------------------------------------------------------
read_data_mask_n <=
be(3) & be(3) & be(3) & be(3) & be(3) & be(3) & be(3) & be(3) &
be(2) & be(2) & be(2) & be(2) & be(2) & be(2) & be(2) & be(2) &
be(1) & be(1) & be(1) & be(1) & be(1) & be(1) & be(1) & be(1) &
be(0) & be(0) & be(0) & be(0) & be(0) & be(0) & be(0) & be(0) ;
Control_registers_out <= Control_registers_out_i;
-- <RELEASE_OFF>
----------------------------------------------------------------------------
-- Functional coverage
----------------------------------------------------------------------------
-- psl default clock is rising_edge(clk_sys);
-- psl device_id_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(0)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl version_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(0)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl mode_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(1)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl mode_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(1)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl settings_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(1)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl settings_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(1)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl status_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(2)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl command_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(3)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl int_stat_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(4)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl int_stat_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(4)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl int_ena_set_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(5)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl int_ena_set_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(5)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl int_ena_clr_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(6)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl int_mask_set_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(7)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl int_mask_set_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(7)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl int_mask_clr_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(8)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl btr_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(9)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl btr_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(9)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl btr_fd_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(10)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl btr_fd_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(10)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl ewl_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(11)='1') and ((be(0)='1')))};
-- psl ewl_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(11)='1') and ((be(0)='1')))};
-- psl erp_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(11)='1') and ((be(1)='1')))};
-- psl erp_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(11)='1') and ((be(1)='1')))};
-- psl fault_state_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(11)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl rec_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(12)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl tec_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(12)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl err_norm_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(13)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl err_fd_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(13)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl ctr_pres_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(14)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_a_mask_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(15)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_a_mask_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(15)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_a_val_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(16)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_a_val_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(16)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_b_mask_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(17)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_b_mask_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(17)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_b_val_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(18)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_b_val_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(18)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_c_mask_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(19)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_c_mask_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(19)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_c_val_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(20)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_c_val_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(20)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_ran_low_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(21)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_ran_low_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(21)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_ran_high_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(22)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_ran_high_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(22)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl filter_control_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(23)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl filter_control_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(23)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl filter_status_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(23)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl rx_mem_info_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(24)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl rx_pointers_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(25)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl rx_status_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(26)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl rx_settings_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(26)='1') and ((be(2)='1')))};
-- psl rx_settings_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(26)='1') and ((be(2)='1')))};
-- psl rx_data_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(27)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl tx_status_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(28)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl tx_command_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(29)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl txtb_info_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(29)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl tx_priority_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(30)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl tx_priority_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(30)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl err_capt_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(31)='1') and ((be(0)='1')))};
-- psl retr_ctr_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(31)='1') and ((be(1)='1')))};
-- psl alc_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(31)='1') and ((be(2)='1')))};
-- psl trv_delay_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(32)='1') and ((be(0)='1') or (be(1)='1')))};
-- psl ssp_cfg_write_access_cov : cover
-- {((cs='1') and (write='1') and (reg_sel(32)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl ssp_cfg_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(32)='1') and ((be(2)='1') or (be(3)='1')))};
-- psl rx_fr_ctr_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(33)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl tx_fr_ctr_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(34)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl debug_register_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(35)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl yolo_reg_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(36)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl timestamp_low_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(37)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- psl timestamp_high_read_access_cov : cover
-- {((cs='1') and (read='1') and (reg_sel(38)='1') and ((be(0)='1') or (be(1)='1') or (be(2)='1') or (be(3)='1')))};
-- <RELEASE_ON>
end architecture rtl;
|
<reponame>roneissu/bonfire-cpu
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 17:51:42 10/01/2016
-- Design Name:
-- Module Name: /home/thomas/riscv/lxp32-cpu/ut/tb_mult_dsp.vhd
-- Project Name: lxp32riscv
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: lxp32_mul_dsp
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
USE ieee.numeric_std.ALL;
ENTITY tb_mult_dsp IS
END tb_mult_dsp;
ARCHITECTURE behavior OF tb_mult_dsp IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT lxp32_mul_dsp
PORT(
clk_i : IN std_logic;
rst_i : IN std_logic;
ce_i : IN std_logic;
op1_i : IN std_logic_vector(31 downto 0);
op2_i : IN std_logic_vector(31 downto 0);
ce_o : OUT std_logic;
result_o : OUT std_logic_vector(31 downto 0);
result_high_o : OUT std_logic_vector(31 downto 0)
);
END COMPONENT;
--Inputs
signal clk_i : std_logic := '0';
signal rst_i : std_logic := '0';
signal ce_i : std_logic := '0';
signal op1_i : std_logic_vector(31 downto 0) := (others => '0');
signal op2_i : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal ce_o : std_logic;
signal result_o : std_logic_vector(31 downto 0);
signal result_high_o : std_logic_vector(31 downto 0);
signal result_u : unsigned(63 downto 0);
signal result_s : signed(63 downto 0);
-- Clock period definitions
constant clk_i_period : time := 10 ns;
subtype dword is std_logic_vector(31 downto 0);
function l32(v: integer) return dword is
begin
return std_logic_vector(to_signed(v,32));
end;
BEGIN
result_u <= unsigned(result_high_o & result_o);
result_s <= signed(result_high_o & result_o);
-- Instantiate the Unit Under Test (UUT)
uut: lxp32_mul_dsp PORT MAP (
clk_i => clk_i,
rst_i => rst_i,
ce_i => ce_i,
op1_i => op1_i,
op2_i => op2_i,
ce_o => ce_o,
result_o => result_o,
result_high_o => result_high_o
);
-- Clock process definitions
clk_i_process :process
begin
clk_i <= '0';
wait for clk_i_period/2;
clk_i <= '1';
wait for clk_i_period/2;
end process;
-- process(clk_i)
-- begin
-- if rising_edge(clk_i) then
-- if ce_o='1' then
-- ce_i<='0';
-- end if;
--
-- end if;
-- end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for clk_i_period*3;
op1_i<= l32(5);
op2_i<= l32(-1);
ce_i<='1';
wait for clk_i_period;
ce_i<='0';
wait for clk_i_period*2;
op1_i<= X"00000005";
op2_i<= X"00000005";
ce_i<='1';
wait for clk_i_period;
ce_i<='0';
wait for clk_i_period*2;
op1_i<= X"00001388"; -- dec. 5000
op2_i<= X"00001388";
ce_i<='1';
wait for clk_i_period;
ce_i<='0';
wait for clk_i_period*2;
op1_i<= l32(5000000);
op2_i<= l32(5000000);
ce_i<='1';
wait for clk_i_period;
ce_i<='0';
wait for clk_i_period*2;
op1_i<= l32(5000000);
op2_i<= l32(-3);
ce_i<='1';
wait for clk_i_period;
ce_i<='0';
wait for clk_i_period*2;
wait;
end process;
END;
|
<filename>Lab4/decrement.vhd
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity decrement is
Port( a1 : in STD_LOGIC_VECTOR(3 downto 0);
out1: out STD_LOGIC_VECTOR(3 downto 0));
end decrement;
architecture Behavioral of decrement is
begin
out1 <= (a1-"0001");
end Behavioral;
|
<gh_stars>1-10
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity DECODE_5b4b is
port (IN_5b_i : in std_logic_vector(4 downto 0) ;
clk: in std_logic;
OUT_4b_o : out std_logic_vector(3 downto 0) );
end DECODE_5b4b;
architecture Behaviorial of DECODE_5b4b is
signal q_4b: std_logic_vector(3 downto 0);
begin
q_4b <= "0000" when IN_5b_i ="11110" else
"0001" when IN_5b_i ="01001" else
"0010" when IN_5b_i ="10100" else
"0011" when IN_5b_i ="10101" else
"0100" when IN_5b_i ="01010" else
"0101" when IN_5b_i ="01011" else
"0110" when IN_5b_i ="01110" else
"0111" when IN_5b_i ="01111" else
"1000" when IN_5b_i ="10010" else
"1001" when IN_5b_i ="10011" else
"1010" when IN_5b_i ="10110" else
"1011" when IN_5b_i ="10111" else
"1100" when IN_5b_i ="11010" else
"1101" when IN_5b_i ="11011" else
"1110" when IN_5b_i ="11100" else
"1111" when IN_5b_i ="11101" else
"0000";
process (clk)
begin
if (rising_edge(clk)) then
OUT_4b_o<=q_4b;
end if;
end process;
end Behaviorial;
|
<reponame>veeYceeY/SCiV<filename>src/rtl/peripherals/wb_gpio.vhd<gh_stars>0
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
--use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
use work.pkg_aukv.all;
entity wb_gpio is
port(
i_clk : in std_logic;
i_rst : in std_logic;
o_m_wb : out t_in_wb_master;
i_m_wb : in t_out_wb_master;
i_port_a: in std_logic_vector(31 downto 0);
o_port_b: out std_logic_vector(31 downto 0)
);
end wb_gpio;
architecture behave of wb_gpio is
type mem_type is array(7 downto 0) of std_logic_vector(31 downto 0);
signal mem : mem_type;
signal data : std_logic_vector(31 downto 0);
begin
ri0:entity work.wb_reg_if
port map(
i_clk => i_clk,
i_rst => i_rst,
o_m_wb => o_m_wb,
i_m_wb => i_m_wb,
i_reg0 =>i_port_a ,
o_reg0 =>o_port_b
);
end behave;
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.1 (lin64) Build 1846317 Fri Apr 14 18:54:47 MDT 2017
-- Date : Thu Mar 29 11:22:27 2018
-- Host : justin-desktop running 64-bit unknown
-- Command : write_vhdl -force -mode synth_stub
-- /home/justin/Vivado/jtag_prog/jtag_prog.srcs/sources_1/ip/fifo_read/fifo_read_stub.vhdl
-- Design : fifo_read
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a35tftg256-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity fifo_read is
Port (
clk : in STD_LOGIC;
srst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 7 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 0 to 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
end fifo_read;
architecture stub of fifo_read is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,srst,din[7:0],wr_en,rd_en,dout[0:0],full,empty";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v13_1_4,Vivado 2017.1";
begin
end;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity singleReg is
port(
R_reset : in std_logic;
R_clock : in std_logic;
Data_in : in std_logic_vector(16-1 downto 0);
R_WriteEnable : in std_logic;
Data_out : out std_logic_vector(16-1 downto 0)
);
end singleReg;
architecture comportamento of singleReg is
signal out_tmp : std_logic_vector(15 downto 0);
begin
process(R_clock, R_reset)
begin
if( R_reset = '1') then
out_tmp <= (out_tmp'range =>'0');
elsif ( rising_edge(R_clock)) then
if( R_WriteEnable = '1' ) then
out_tmp <= Data_in;
end if;
end if;
end process;
Data_out <= out_tmp ;
end comportamento;
|
<reponame>OmarRaed/PipelinedMips
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
PACKAGE stageOnePackage IS
TYPE muxInputs IS array(0 to 31) of std_logic_vector(31 DOWNTO 0);
COMPONENT InstructionMemory IS
PORT (
clk : IN STD_LOGIC ; --CLK SIGNAL
pc : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ; --PC VALUE
instructionOutput : Out STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT INSTRUCTION
) ;
END COMPONENT InstructionMemory ;
COMPONENT AdderFour IS
PORT(
clk : IN STD_LOGIC ;
PCIN : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ;
PCOUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT AdderFour ;
COMPONENT pcRegister IS
PORT(
clk: in std_logic; -- clock
D: in std_logic_vector(31 downto 0); -- data input
Q: out std_logic_vector(31 downto 0)); -- data output
END COMPONENT pcRegister;
COMPONENT mux_2_1 IS
PORT(
sel: IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
c : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT mux_2_1;
END PACKAGE stageOnePackage ;
|
<reponame>mkiesinger/mimaFPGA
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity MicroFunctionAddrROM is
Port ( op_addr : in STD_LOGIC_VECTOR (4 downto 0);
func_entry_addr : out STD_LOGIC_VECTOR (7 downto 0));
end MicroFunctionAddrROM;
architecture Behavioral of MicroFunctionAddrROM is
function bin(i : integer) return std_logic_vector is
begin
return std_logic_vector(to_unsigned(i, 8));
end function bin;
type MICRO_FUNCTION_ADRESSES_ROM is array (0 to 31) of STD_LOGIC_VECTOR(7 downto 0);
constant rom : MICRO_FUNCTION_ADRESSES_ROM := (
-- OPC |MNEM |ARG |LOC in u-inst ROM
0 => bin(8), -- 0 |LDC |c |8
1 => bin(12), -- 1 |LDV |[a] |12
2 => bin(20), -- 2 |STV |[a] |20
3 => bin(28), -- 3 |ADD |[a] |28
4 => bin(36), -- 4 |AND |[a] |36
5 => bin(44), -- 5 |OR |[a] |44
6 => bin(52), -- 6 |XOR |[a] |52
7 => bin(60), -- 7 |EQL |[a] |60
8 => bin(68), -- 8 |JMP |a |68
9 => bin(72), -- 9 |JMN |a |72
10 => bin(100), -- A |LDIV |[[a]]|100
11 => bin(112), -- B |LDIV |[[a]]|112
12 => bin(124), -- C |JMS |a |124
13 => bin(136), -- D |JIND |a |136
17 => bin(88), -- F1 |NOT | |88
18 => bin(96), -- F2 |RAR | |96
others => (others => '0')
);
begin
func_entry_addr <= rom(to_integer(unsigned(op_addr)));
end Behavioral;
|
<reponame>marekhudec/VHDL
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity traffic is
port ( clk_i: in std_logic;
srst_n_i: in std_logic;
lights_o: out std_logic_vector(6-1 downto 0)
);
end traffic;
architecture traffic of traffic is
type state_type is (green_red, yellow_red, red_red, red_green,red_yellow,red_red_2);
signal state: state_type;
signal s_count: unsigned(3 downto 0);
constant SEC5: unsigned(3 downto 0) := "1111";
constant SEC1: unsigned(3 downto 0) := "0011";
begin
process(clk_i,srst_n_i)
begin
if rising_edge(clk_i) then
if srst_n_i = '0' then
state <= green_red;
s_count <= x"0";
else
case state is
when green_red =>
if s_count < SEC5 then
state <= green_red;
s_count <= s_count +1;
else
state <= yellow_red;
s_count <= x"0";
end if;
when yellow_red =>
if s_count < SEC1 then
state <= yellow_red;
s_count <= s_count +1;
else
state <= red_red;
s_count <= x"0";
end if;
when red_red =>
if s_count < SEC1 then
state <= red_red;
s_count <= s_count + 1;
else
state <= red_green;
s_count <= x"0";
end if;
when red_green =>
if s_count < SEC1 then
state <= red_green;
s_count <= s_count +1;
else
state <= red_yellow;
s_count <= x"0";
end if;
when red_yellow =>
if s_count < SEC1 then
state <= red_yellow;
s_count <= s_count +1;
else
state <= red_red_2;
s_count <= x"0";
end if;
when red_red_2 =>
if s_count < SEC1 then
state <= red_red_2;
s_count <= s_count +1;
else
state <= green_red;
s_count <= x"0";
end if;
when others =>
state <= green_red;
end case;
end if;
end if;
end process;
C2: process(state)
begin
case state is
when green_red => lights_o <= "100001";
when yellow_red => lights_o <= "100010";
when red_red => lights_o<= "100100";
when red_green => lights_o <= "001100";
when red_yellow => lights_o <= "010100";
when red_red_2 => lights_o <= "100100";
when others => lights_o <= "100001";
end case;
end process;
end traffic;
|
----------------------------------------------------------------------------------
-- Developers: <NAME>, <NAME>
--
-- Create Date: 09:25:25 04/30/2020
--
-- Project Name: HC-SR04
-- Target Devices: CoolRunner-II CPLD starter board
-- Description: counter echo pulse and transform BIN to BCD code
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
entity cntpulse is
port( clk : in std_logic;
start : in std_logic; --TRIGR
stop : in std_logic; --ECHO
bjed : out std_logic_vector(3 downto 0); --bin_jednotky
bdes : out std_logic_vector(3 downto 0); --bin_desitky
bsto : out std_logic_vector(3 downto 0) --bin_stovky
);
end cntpulse;
architecture Behavioral of cntpulse is
signal cnttime : std_logic_vector(13 downto 0):= (Others => '0'); --delka pulzu ECHO
signal stopinside : std_logic := '0'; -- 1 -> citac cita 0 -> citac po resetu
signal bcdconv : std_logic_vector(14 downto 0):= (Others => '0'); -- promenna prevodu
signal stobcdconv : std_logic_vector(2 downto 0):= (Others => '0'); -- pocet cyklu v prevodu
signal sepadd : std_logic_vector(1 downto 0):= (Others => '0'); --pomocna promena pro prevod
begin
pulse : process (clk)
begin
if rising_edge(clk) then
if stop = '1' then -- zacatek scitani
cnttime <= cnttime + 1;
stopinside <= '1';
bjed <= bcdconv(8 downto 5); --prirazeni jednotky
bdes <= bcdconv(12 downto 9); --prirazeni desitky
bsto <= "00" & bcdconv(14 downto 13); --prirazeni stovky
elsif (start = '1' and stopinside = '1') then --reset
bcdconv <= "0000000" & cnttime(13 downto 6); --vzdeleni casu 64 a nasledne prirazeni do promenne prevodu
stopinside <= '0' ;
cnttime <= (Others => '0');
stobcdconv <= (Others => '0');
end if;
if (stop = '1' and stobcdconv < "101") then -- prepocet BIN to BCD
if (bcdconv(8 downto 5) > 4 and sepadd(0) = '0') then -- jednotky
bcdconv(8 downto 5) <= bcdconv(8 downto 5) + 3;
sepadd(0) <='1';
elsif (bcdconv(12 downto 9) > 4 and sepadd(1) = '0') then -- desitky
bcdconv(12 downto 9) <= bcdconv(12 downto 9) + 3;
sepadd(1) <='1';
else
bcdconv <= bcdconv(13 downto 0) & '0'; -- posunuti doleva
stobcdconv <= stobcdconv +1;
sepadd <="00";
end if;
end if;
end if;
end process pulse;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package WasmFpgaBusWshBn_Package is
-- type decalarations ---------------------------------
type WasmFpgaBus_arr_of_std_logic_vector_2_t is
array (natural range <>) of std_logic_vector(1 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_3_t is
array (natural range <>) of std_logic_vector(1 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_4_t is
array (natural range <>) of std_logic_vector(3 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_5_t is
array (natural range <>) of std_logic_vector(4 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_6_t is
array (natural range <>) of std_logic_vector(5 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_7_t is
array (natural range <>) of std_logic_vector(6 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_8_t is
array (natural range <>) of std_logic_vector(7 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_9_t is
array (natural range <>) of std_logic_vector(8 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_10_t is
array (natural range <>) of std_logic_vector(9 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_11_t is
array (natural range <>) of std_logic_vector(10 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_12_t is
array (natural range <>) of std_logic_vector(11 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_13_t is
array (natural range <>) of std_logic_vector(12 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_14_t is
array (natural range <>) of std_logic_vector(13 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_15_t is
array (natural range <>) of std_logic_vector(14 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_16_t is
array (natural range <>) of std_logic_vector(15 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_24_t is
array (natural range <>) of std_logic_vector(23 downto 0);
type WasmFpgaBus_arr_of_std_logic_vector_32_t is
array (natural range <>) of std_logic_vector(31 downto 0);
type T_WasmFpgaBusWshBnDn is
record
Adr : std_logic_vector(23 downto 0);
Sel : std_logic_vector(3 downto 0);
DatIn : std_logic_vector(31 downto 0);
We : std_logic;
Stb : std_logic;
Cyc : std_logic_vector(0 downto 0);
end record;
type array_of_T_WasmFpgaBusWshBnDn is
array (natural range <>) of T_WasmFpgaBusWshBnDn;
type T_WasmFpgaBusWshBnUp is
record
DatOut : std_logic_vector(31 downto 0);
Ack : std_logic;
end record;
type array_of_T_WasmFpgaBusWshBnUp is
array (natural range <>) of T_WasmFpgaBusWshBnUp;
type T_WasmFpgaBusWshBn_UnOccpdRcrd is
record
forRecord_Adr : std_logic_vector(23 downto 0);
forRecord_Sel : std_logic_vector(3 downto 0);
forRecord_We : std_logic;
forRecord_Cyc : std_logic_vector(0 downto 0);
Unoccupied_Ack : std_logic;
end record;
type array_of_T_WasmFpgaBusWshBn_UnOccpdRcrd is
array (natural range <>) of T_WasmFpgaBusWshBn_UnOccpdRcrd;
type T_WasmFpgaBusWshBn_BusBlk is
record
ModuleArea_Adr : std_logic_vector(23 downto 0);
ModuleArea_Sel : std_logic_vector(3 downto 0);
ModuleArea_We : std_logic;
ModuleArea_Stb : std_logic;
ModuleArea_DatOut : std_logic_vector(31 downto 0);
ModuleArea_Cyc : std_logic;
StackArea_Adr : std_logic_vector(23 downto 0);
StackArea_Sel : std_logic_vector(3 downto 0);
StackArea_We : std_logic;
StackArea_Stb : std_logic;
StackArea_DatOut : std_logic_vector(31 downto 0);
StackArea_Cyc : std_logic;
StoreArea_Adr : std_logic_vector(23 downto 0);
StoreArea_Sel : std_logic_vector(3 downto 0);
StoreArea_We : std_logic;
StoreArea_Stb : std_logic;
StoreArea_DatOut : std_logic_vector(31 downto 0);
StoreArea_Cyc : std_logic;
MemoryArea_Adr : std_logic_vector(23 downto 0);
MemoryArea_Sel : std_logic_vector(3 downto 0);
MemoryArea_We : std_logic;
MemoryArea_Stb : std_logic;
MemoryArea_DatOut : std_logic_vector(31 downto 0);
MemoryArea_Cyc : std_logic;
end record;
type array_of_T_WasmFpgaBusWshBn_BusBlk is
array (natural range <>) of T_WasmFpgaBusWshBn_BusBlk;
type T_BusBlk_WasmFpgaBusWshBn is
record
ModuleArea_DatIn: std_logic_vector(31 downto 0);
ModuleArea_Ack : std_logic;
StackArea_DatIn: std_logic_vector(31 downto 0);
StackArea_Ack : std_logic;
StoreArea_DatIn: std_logic_vector(31 downto 0);
StoreArea_Ack : std_logic;
MemoryArea_DatIn: std_logic_vector(31 downto 0);
MemoryArea_Ack : std_logic;
end record;
type array_of_T_BusBlk_WasmFpgaBusWshBn is
array (natural range <>) of T_BusBlk_WasmFpgaBusWshBn;
-- ---------- WebAssembly Bus Block( BusBlk ) ----------
-- BUS:
constant WASMFPGABUS_ADR_BLK_BASE_BusBlk : std_logic_vector(23 downto 0) := x"000000";
constant WASMFPGABUS_ADR_BLK_SIZE_BusBlk : std_logic_vector(23 downto 0) := x"020000";
-- ModuleArea: WebAssembly Module
constant WASMFPGABUS_ADR_BASE_ModuleArea : std_logic_vector(23 downto 0) := std_logic_vector(x"000000" + unsigned(WASMFPGABUS_ADR_BLK_BASE_BusBlk));
constant WASMFPGABUS_ADR_SIZE_ModuleArea : std_logic_vector(23 downto 0) := x"000100";
-- StackArea: WebAssembly Stack
constant WASMFPGABUS_ADR_BASE_StackArea : std_logic_vector(23 downto 0) := std_logic_vector(x"000100" + unsigned(WASMFPGABUS_ADR_BLK_BASE_BusBlk));
constant WASMFPGABUS_ADR_SIZE_StackArea : std_logic_vector(23 downto 0) := x"000100";
-- StoreArea: WebAssembly Store
constant WASMFPGABUS_ADR_BASE_StoreArea : std_logic_vector(23 downto 0) := std_logic_vector(x"000200" + unsigned(WASMFPGABUS_ADR_BLK_BASE_BusBlk));
constant WASMFPGABUS_ADR_SIZE_StoreArea : std_logic_vector(23 downto 0) := x"000100";
-- MemoryArea: WebAssembly Memory Index 0 (1 Page)
constant WASMFPGABUS_ADR_BASE_MemoryArea : std_logic_vector(23 downto 0) := std_logic_vector(x"010000" + unsigned(WASMFPGABUS_ADR_BLK_BASE_BusBlk));
constant WASMFPGABUS_ADR_SIZE_MemoryArea : std_logic_vector(23 downto 0) := x"010003";
end WasmFpgaBusWshBn_Package;
|
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity FORWARDING_UNIT is
port(
EX_MEM_REGWRITE : in STD_LOGIC;
MEM_WB_REGWRITE : in STD_LOGIC;
ID_EX_RS : in STD_LOGIC_VECTOR(4 downto 0);
ID_EX_RT : in STD_LOGIC_VECTOR(4 downto 0);
EX_MEM_RD : in STD_LOGIC_VECTOR(4 downto 0);
MEM_WB_RD : in STD_LOGIC_VECTOR(4 downto 0);
MUX_A : out STD_LOGIC_VECTOR(1 downto 0);
MUX_B : out STD_LOGIC_VECTOR(1 downto 0)
);
end FORWARDING_UNIT;
architecture behaviour of FORWARDING_UNIT is
--Signals
--Variables
begin
forwarder : process (ID_EX_RS, ID_EX_RT)
begin
--EX FORWARDING--
if((EX_MEM_REGWRITE = '1') AND (EX_MEM_RD /= "00000") AND (EX_MEM_RD = ID_EX_RS)) then
MUX_A <= "10";
elsif((EX_MEM_REGWRITE = '1') AND (EX_MEM_RD /= "00000") AND (EX_MEM_RD = ID_EX_RT)) then
MUX_B <= "10";
--MEM FORWARDING--
elsif((MEM_WB_REGWRITE = '1') AND (MEM_WB_RD /= "00000") AND NOT((EX_MEM_REGWRITE = '1') AND (EX_MEM_RD /= "00000") AND (EX_MEM_RD /= ID_EX_RS)) AND (MEM_WB_RD = ID_EX_RS)) then
MUX_A <= "01";
elsif((MEM_WB_REGWRITE = '1') AND (MEM_WB_RD /= "00000") AND NOT ((EX_MEM_REGWRITE = '1') AND (EX_MEM_RD /= "00000") AND (EX_MEM_RD /= ID_EX_RT)) AND (MEM_WB_RD = ID_EX_RT)) then
MUX_B <= "01";
else
MUX_A <= "00";
MUX_B <= "00";
end if;
end process forwarder;
end behaviour;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 01/05/2018 03:46:37 PM
-- Design Name:
-- Module Name: uart_mock - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity uart_mock is
Port ( o_RX_DV : out STD_LOGIC;
o_RX_Byte : out STD_LOGIC_VECTOR (7 downto 0);
i_clk : in std_logic;
i_fifo_full: in std_logic);
end uart_mock;
architecture Behavioral of uart_mock is
signal wr_enable: std_logic :='0';
begin
process(i_clk) begin
if rising_edge(i_clk) then
o_RX_Byte <= B"01010101";
if i_fifo_full = '0' then
o_RX_DV <= '1';
wr_enable <= '1';
end if;
end if;
end process;
process(i_clk) begin
if rising_edge(i_clk) AND wr_enable='1' then
o_RX_DV <='0';
wr_enable<='0';
end if;
end process;
end Behavioral;
|
<gh_stars>1-10
---------------------------------------------------------------------------
-- Component:
-- Router Channel Receiver
-- Purpose:
-- Router component that handles all inbound traffic on a single link.
--
-- Requires: VHDL-2008
--
-- Written on Jan 26/2021, Updated on May 15/2021
-- Copyright 2021 <NAME>
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
---------------------------------------------------------------------------
-- Library declarations
library ieee;
library work;
-- Use packages
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.rx_componentspkg.all;
use work.fifo_componentspkg.all;
use work.noc_parameterspkg.all;
entity rx_top is
generic(
addressWidth : integer := ADDR_DATA_SIZE;
fifoWidth : integer := CHANNEL_DATA_SIZE;
fifoDepth : integer := FIFO_DEPTH
);
port(
-- Basic control signals
clk, rst, networkMode : in std_logic;
-- Packet Define
rx_packet_in : in packet_t;
rx_packet_out : out packet_t;
-- Channel Controls
rx_clearToSend : out clearToSend_t;
rx_channelValid : in channelValid_t;
-- Crossbar Side
channelA_dataAvailable, channelB_dataAvailable : out std_logic;
channelA_popRqst, channelB_popRqst : in std_logic;
rx_ChannelA_select, rx_channelB_select : in std_logic
);
end rx_top;
-- Architecture
architecture rx_top_impl of rx_top is
-- Channel A Signals
signal channelA_popEn_i, channelA_writeEn_i : std_logic;
signal channelA_fifoFull_i, channelA_fifoEmpty_i : std_logic;
-- Signals for concatenation
signal rx_channelA_fifo_in, rx_channelA_fifo_out : std_logic_vector (ADDR_DATA_SIZE + CHANNEL_DATA_SIZE - 1 downto 0);
-- Channel B Signals
signal channelB_popEn_i, channelB_writeEn_i : std_logic;
signal channelB_fifoFull_i, channelB_fifoEmpty_i : std_logic;
-- Signals for concatenation
signal rx_channelB_fifo_in, rx_channelB_fifo_out : std_logic_vector (ADDR_DATA_SIZE + CHANNEL_DATA_SIZE - 1 downto 0);
begin
-- Concatenate everything (Channel A)
rx_channelA_fifo_in (ADDR_DATA_SIZE + CHANNEL_DATA_SIZE - 1 downto ADDR_DATA_SIZE) <= rx_packet_in.dataA;
rx_channelA_fifo_in (ADDR_DATA_SIZE - 1 downto 0) <= rx_packet_in.addrA;
rx_packet_out.dataA <= rx_channelA_fifo_out (ADDR_DATA_SIZE + CHANNEL_DATA_SIZE - 1 downto ADDR_DATA_SIZE);
rx_packet_out.addrA <= rx_channelA_fifo_out (ADDR_DATA_SIZE - 1 downto 0);
-- Concatenate everything (Channel B)
rx_channelB_fifo_in (ADDR_DATA_SIZE + CHANNEL_DATA_SIZE - 1 downto ADDR_DATA_SIZE) <= rx_packet_in.dataB;
rx_channelB_fifo_in (ADDR_DATA_SIZE - 1 downto 0) <= rx_packet_in.addrB;
rx_packet_out.dataB <= rx_channelB_fifo_out (ADDR_DATA_SIZE + CHANNEL_DATA_SIZE - 1 downto ADDR_DATA_SIZE);
rx_packet_out.addrB <= rx_channelB_fifo_out (ADDR_DATA_SIZE - 1 downto 0);
-------------------------------------------
----------- Entity Instantiation ----------
-------------------------------------------
-- Channel A
channelA_FIFO: fifo_normal
generic map
(fifoWidth => (ADDR_DATA_SIZE + CHANNEL_DATA_SIZE), fifoDepth => fifoDepth)
port map (clk => clk, rst => rst, popEn => channelA_popEn_i,
writeEn => channelA_writeEn_i, fifo_full => channelA_fifoFull_i,
fifo_empty => channelA_fifoEmpty_i, dataIn => rx_channelA_fifo_in,
dataOut => rx_channelA_fifo_out);
-- Channel B
channelB_FIFO: fifo_normal
generic map
(fifoWidth => (ADDR_DATA_SIZE + CHANNEL_DATA_SIZE), fifoDepth => fifoDepth)
port map (clk => clk, rst => rst, popEn => channelB_popEn_i,
writeEn => channelB_writeEn_i, fifo_full => channelB_fifoFull_i,
fifo_empty => channelB_fifoEmpty_i, dataIn => rx_channelB_fifo_in,
dataOut => rx_channelB_fifo_out);
-- FSMs
channelB_write_fsm: rx_writefsm
port map
(clk => clk, rst => rst,
fifo_full => channelB_fifoFull_i, fifo_writeEn => channelB_writeEn_i,
rx_channelValid => rx_channelValid.channelValidB,
rx_clearToSend => rx_clearToSend.clearToSendB);
channelA_write_fsm: rx_writefsm
port map
(clk => clk, rst => rst,
fifo_full => channelA_fifoFull_i, fifo_writeEn => channelA_writeEn_i,
rx_channelValid => rx_channelValid.channelValidA,
rx_clearToSend => rx_clearToSend.clearToSendA);
channelB_read_fsm: rx_readfsm
port map
(clk => clk, rst => rst,
fifo_empty => channelB_fifoEmpty_i, fifo_popEn => channelB_popEn_i,
fifo_popRqst => channelB_popRqst, rx_select => rx_channelB_select,
dataAvailable => channelB_DataAvailable);
channelA_read_fsm: rx_readfsm
port map
(clk => clk, rst => rst,
fifo_empty => channelA_fifoEmpty_i, fifo_popEn => channelA_popEn_i,
fifo_popRqst => channelA_popRqst, rx_select => rx_channelA_select,
dataAvailable => channelA_DataAvailable);
end rx_top_impl;
|
-------------------------------------------------------------------------------
-- Title : SSI Protocol: https://confluence.slac.stanford.edu/x/0oyfD
-------------------------------------------------------------------------------
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description: This module generates
-- PseudoRandom Binary Sequence (PRBS) on Virtual Channel Lane.
-------------------------------------------------------------------------------
-- This file is part of 'SLAC Firmware Standard Library'.
-- It is subject to the license terms in the LICENSE.txt file found in the
-- top-level directory of this distribution and at:
-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
-- No part of 'SLAC Firmware Standard Library', including this file,
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
library surf;
use surf.StdRtlPkg.all;
use surf.AxiLitePkg.all;
use surf.AxiStreamPkg.all;
use surf.SsiPkg.all;
entity SsiPrbsTx is
generic (
-- General Configurations
TPD_G : time := 1 ns;
AXI_EN_G : sl := '1';
AXI_DEFAULT_PKT_LEN_G : slv(31 downto 0) := x"00000FFF";
AXI_DEFAULT_TRIG_DLY_G : slv(31 downto 0) := x"00000000";
-- FIFO Configurations
VALID_THOLD_G : natural := 1;
VALID_BURST_MODE_G : boolean := false;
SYNTH_MODE_G : string := "inferred";
MEMORY_TYPE_G : string := "block";
GEN_SYNC_FIFO_G : boolean := false;
CASCADE_SIZE_G : positive := 1;
FIFO_ADDR_WIDTH_G : positive := 9;
FIFO_PAUSE_THRESH_G : positive := 2**8;
-- PRBS Configurations
PRBS_SEED_SIZE_G : natural range 32 to 512 := 32;
PRBS_TAPS_G : NaturalArray := (0 => 31, 1 => 6, 2 => 2, 3 => 1);
PRBS_INCREMENT_G : boolean := false; -- Increment mode by default instead of PRBS
-- AXI Stream Configurations
MASTER_AXI_STREAM_CONFIG_G : AxiStreamConfigType;
MASTER_AXI_PIPE_STAGES_G : natural range 0 to 16 := 0);
port (
-- Master Port (mAxisClk)
mAxisClk : in sl;
mAxisRst : in sl;
mAxisMaster : out AxiStreamMasterType;
mAxisSlave : in AxiStreamSlaveType;
-- Trigger Signal (locClk domain)
locClk : in sl;
locRst : in sl := '0';
trig : in sl := '1';
packetLength : in slv(31 downto 0) := x"00000FFF";
forceEofe : in sl := '0';
busy : out sl;
tDest : in slv(7 downto 0) := X"00";
tId : in slv(7 downto 0) := X"00";
-- Optional: Axi-Lite Register Interface (locClk domain)
axilReadMaster : in AxiLiteReadMasterType := AXI_LITE_READ_MASTER_INIT_C;
axilReadSlave : out AxiLiteReadSlaveType;
axilWriteMaster : in AxiLiteWriteMasterType := AXI_LITE_WRITE_MASTER_INIT_C;
axilWriteSlave : out AxiLiteWriteSlaveType);
end SsiPrbsTx;
architecture rtl of SsiPrbsTx is
constant PRBS_BYTES_C : natural := wordCount(PRBS_SEED_SIZE_G, 8);
constant PRBS_SSI_CONFIG_C : AxiStreamConfigType := (
TSTRB_EN_C => false,
TDATA_BYTES_C => PRBS_BYTES_C,
TDEST_BITS_C => 8,
TID_BITS_C => 8,
TKEEP_MODE_C => MASTER_AXI_STREAM_CONFIG_G.TKEEP_MODE_C,
TUSER_BITS_C => 2,
TUSER_MODE_C => MASTER_AXI_STREAM_CONFIG_G.TUSER_MODE_C);
type StateType is (
IDLE_S,
SEED_RAND_S,
LENGTH_S,
DATA_S);
type RegType is record
busy : sl;
overflow : sl;
length : slv(31 downto 0);
packetLength : slv(31 downto 0);
dataCnt : slv(31 downto 0);
trigDly : slv(31 downto 0);
trigDlyCnt : slv(31 downto 0);
eventCnt : slv(PRBS_SEED_SIZE_G-1 downto 0);
randomData : slv(PRBS_SEED_SIZE_G-1 downto 0);
txAxisMaster : AxiStreamMasterType;
state : StateType;
axiEn : sl;
oneShot : sl;
trig : sl;
trigger : sl;
cntData : sl;
tDest : slv(7 downto 0);
tId : slv(7 downto 0);
axilReadSlave : AxiLiteReadSlaveType;
axilWriteSlave : AxiLiteWriteSlaveType;
end record;
constant REG_INIT_C : RegType := (
busy => '1',
overflow => '0',
length => (others => '0'),
packetLength => AXI_DEFAULT_PKT_LEN_G,
dataCnt => (others => '0'),
trigDly => AXI_DEFAULT_TRIG_DLY_G,
trigDlyCnt => (others => '0'),
eventCnt => toSlv(1, PRBS_SEED_SIZE_G),
randomData => (others => '0'),
txAxisMaster => AXI_STREAM_MASTER_INIT_C,
state => IDLE_S,
axiEn => AXI_EN_G,
oneShot => '0',
trig => '0',
trigger => '0',
cntData => toSl(PRBS_INCREMENT_G),
tDest => X"00",
tId => X"00",
axilReadSlave => AXI_LITE_READ_SLAVE_INIT_C,
axilWriteSlave => AXI_LITE_WRITE_SLAVE_INIT_C);
signal r : RegType := REG_INIT_C;
signal rin : RegType;
signal txSlave : AxiStreamSlaveType;
signal txCtrl : AxiStreamCtrlType;
begin
assert ((PRBS_SEED_SIZE_G = 32) or (PRBS_SEED_SIZE_G = 64) or (PRBS_SEED_SIZE_G = 128) or (PRBS_SEED_SIZE_G = 256) or (PRBS_SEED_SIZE_G = 512)) report "PRBS_SEED_SIZE_G must be either [32,64,128,256,512]" severity failure;
comb : process (axilReadMaster, axilWriteMaster, forceEofe, locRst,
packetLength, r, tDest, tId, trig, txCtrl, txSlave) is
variable v : RegType;
variable axilStatus : AxiLiteStatusType;
variable axilWriteResp : slv(1 downto 0);
variable axilReadResp : slv(1 downto 0);
begin
-- Latch the current value
v := r;
----------------------------------------------------------------------------------------------
-- Axi-Lite interface
----------------------------------------------------------------------------------------------
axiSlaveWaitTxn(axilWriteMaster, axilReadMaster, v.axilWriteSlave, v.axilReadSlave, axilStatus);
if (axilStatus.writeEnable = '1') then
axilWriteResp := ite(axilWriteMaster.awaddr(1 downto 0) = "00", AXI_RESP_OK_C, AXI_RESP_DECERR_C);
case (axilWriteMaster.awaddr(7 downto 0)) is
when X"00" =>
v.axiEn := axilWriteMaster.wdata(0);
v.trig := axilWriteMaster.wdata(1);
-- BIT2 reserved for busy
-- BIT3 reserved for overflow
-- BIT4 reserved
v.cntData := axilWriteMaster.wdata(5);
when X"04" =>
v.packetLength := axilWriteMaster.wdata(31 downto 0);
when X"08" =>
v.tDest := axilWriteMaster.wdata(7 downto 0);
v.tId := axilWriteMaster.wdata(15 downto 8);
when X"18" =>
v.oneShot := axilWriteMaster.wdata(0);
when X"1C" =>
v.trigDly := axilWriteMaster.wdata(31 downto 0);
when others =>
axilWriteResp := AXI_RESP_DECERR_C;
end case;
axiSlaveWriteResponse(v.axilWriteSlave);
end if;
if (axilStatus.readEnable = '1') then
axilReadResp := ite(axilReadMaster.araddr(1 downto 0) = "00", AXI_RESP_OK_C, AXI_RESP_DECERR_C);
case (axilReadMaster.araddr(7 downto 0)) is
when X"00" =>
v.axilReadSlave.rdata(0) := r.axiEn;
v.axilReadSlave.rdata(1) := r.trig;
v.axilReadSlave.rdata(2) := r.busy;
v.axilReadSlave.rdata(3) := r.overflow;
-- BIT4 reserved
v.axilReadSlave.rdata(5) := r.cntData;
when X"04" =>
v.axilReadSlave.rdata(31 downto 0) := r.packetLength;
when X"08" =>
v.axilReadSlave.rdata(7 downto 0) := r.tDest;
v.axilReadSlave.rdata(15 downto 8) := r.tId;
when X"0C" =>
v.axilReadSlave.rdata(31 downto 0) := r.dataCnt;
when X"10" =>
if (PRBS_SEED_SIZE_G < 32) then
v.axilReadSlave.rdata(PRBS_SEED_SIZE_G-1 downto 0) := r.eventCnt;
else
v.axilReadSlave.rdata(31 downto 0) := r.eventCnt(31 downto 0);
end if;
when X"14" =>
if (PRBS_SEED_SIZE_G < 32) then
v.axilReadSlave.rdata(PRBS_SEED_SIZE_G-1 downto 0) := r.randomData;
else
v.axilReadSlave.rdata(31 downto 0) := r.randomData(31 downto 0);
end if;
when X"1C" =>
v.axilReadSlave.rdata(31 downto 0):= r.trigDly;
when others =>
axilReadResp := AXI_RESP_DECERR_C;
end case;
axiSlaveReadResponse(v.axilReadSlave);
end if;
-- Check for delay between AXI triggers
if (r.trigDlyCnt = r.trigDly) or (r.trigDly /= v.trigDly) then
v.trigDlyCnt := (others=>'0');
v.trigger := r.trig;
elsif (r.trigger = '0') then
v.trigDlyCnt := r.trigDlyCnt + 1;
end if;
-- Override axi settings if axi not enabled
if (v.axiEn = '0') then
v.trigger := trig;
v.packetLength := packetLength;
v.tDest := tDest;
v.tId := tId;
end if;
-- Check for overflow condition or forced EOFE
if (txCtrl.overflow = '1') or (forceEofe = '1') then
-- Latch the overflow error bit for the data packet
v.overflow := '1';
end if;
-- Check the AXIS flow control
if txSlave.tReady = '1' then
v.txAxisMaster.tValid := '0';
v.txAxisMaster.tLast := '0';
v.txAxisMaster.tUser := (others => '0');
v.txAxisMaster.tKeep := (others => '1');
end if;
-- State Machine
case (r.state) is
----------------------------------------------------------------------
when IDLE_S =>
-- Reset the busy flag
v.busy := '0';
-- Check for a trigger
if (r.trigger = '1') or (r.oneShot = '1') then
-- Reset the one shot
v.oneShot := '0';
v.trigger := '0';
-- Latch the generator seed
v.randomData := r.eventCnt;
-- Set the busy flag
v.busy := '1';
-- Reset the overflow flag
v.overflow := '0';
-- Latch the configuration
v.txAxisMaster.tDest := r.tDest;
v.txAxisMaster.tId := r.tId;
-- Check the packet length request value
if r.packetLength = 0 then
-- Force minimum packet length of 2 (+1)
v.length := toSlv(2, 32);
elsif r.packetLength = 1 then
-- Force minimum packet length of 2 (+1)
v.length := toSlv(2, 32);
else
v.length := r.packetLength;
end if;
-- Next State
v.state := SEED_RAND_S;
end if;
----------------------------------------------------------------------
when SEED_RAND_S =>
-- Check if the FIFO is ready
if v.txAxisMaster.tvalid = '0' then
-- Send the random seed word
v.txAxisMaster.tvalid := '1';
v.txAxisMaster.tData(PRBS_SEED_SIZE_G-1 downto 0) := r.eventCnt;
-- Generate the next random data word
-- for i in 0 to PRBS_SEED_SIZE_G-1 loop
v.randomData := lfsrShift(v.randomData, PRBS_TAPS_G, '0');
-- end loop;
-- Increment the counter
v.eventCnt := r.eventCnt + 1;
-- Increment the counter
v.dataCnt := r.dataCnt + 1;
-- Set the SOF bit
ssiSetUserSof(PRBS_SSI_CONFIG_C, v.txAxisMaster, '1');
-- Next State
v.state := LENGTH_S;
end if;
----------------------------------------------------------------------
when LENGTH_S =>
-- Check if the FIFO is ready
if v.txAxisMaster.tvalid = '0' then
-- Send the upper packetLength value
v.txAxisMaster.tvalid := '1';
v.txAxisMaster.tData := (others => '0');
v.txAxisMaster.tData(31 downto 0) := r.length;
-- Increment the counter
v.dataCnt := r.dataCnt + 1;
-- Next State
v.state := DATA_S;
end if;
----------------------------------------------------------------------
when DATA_S =>
-- Check if the FIFO is ready
if v.txAxisMaster.tvalid = '0' then
-- Send the random data word
v.txAxisMaster.tValid := '1';
-- Check if we are sending PRBS or counter data
if r.cntData = '0' then
-- PRBS data
v.txAxisMaster.tData(PRBS_SEED_SIZE_G-1 downto 0) := r.randomData;
else
-- Counter data
v.txAxisMaster.tData(PRBS_SEED_SIZE_G-1 downto 0) := (others => '0');
v.txAxisMaster.tData(31 downto 0) := r.dataCnt;
end if;
-- Generate the next random data word
-- for i in 0 to PRBS_SEED_SIZE_G-1 loop
v.randomData := lfsrShift(v.randomData, PRBS_TAPS_G, '0');
-- end loop;
-- Increment the counter
v.dataCnt := r.dataCnt + 1;
-- Check the counter
if r.dataCnt = r.length then
-- Reset the counter
v.dataCnt := (others => '0');
-- Set the EOF bit
v.txAxisMaster.tLast := '1';
-- Set the EOFE bit
ssiSetUserEofe(PRBS_SSI_CONFIG_C, v.txAxisMaster, r.overflow);
-- Reset the busy flag
v.busy := '0';
-- Next State
v.state := IDLE_S;
end if;
end if;
----------------------------------------------------------------------
end case;
-- Reset
if (locRst = '1') then
v := REG_INIT_C;
end if;
-- Register the variable for next clock cycle
rin <= v;
-- Outputs
busy <= r.busy;
axilReadSlave <= r.axilReadSlave;
axilWriteSlave <= r.axilWriteSlave;
end process comb;
seq : process (locClk) is
begin
if rising_edge(locClk) then
r <= rin after TPD_G;
end if;
end process seq;
AxiStreamFifo_Inst : entity surf.AxiStreamFifoV2
generic map(
-- General Configurations
TPD_G => TPD_G,
INT_PIPE_STAGES_G => MASTER_AXI_PIPE_STAGES_G,
PIPE_STAGES_G => MASTER_AXI_PIPE_STAGES_G,
SLAVE_READY_EN_G => true,
VALID_THOLD_G => VALID_THOLD_G,
VALID_BURST_MODE_G => VALID_BURST_MODE_G,
-- FIFO configurations
SYNTH_MODE_G => SYNTH_MODE_G,
MEMORY_TYPE_G => MEMORY_TYPE_G,
GEN_SYNC_FIFO_G => GEN_SYNC_FIFO_G,
CASCADE_SIZE_G => CASCADE_SIZE_G,
FIFO_ADDR_WIDTH_G => FIFO_ADDR_WIDTH_G,
FIFO_FIXED_THRESH_G => true,
FIFO_PAUSE_THRESH_G => FIFO_PAUSE_THRESH_G,
CASCADE_PAUSE_SEL_G => (CASCADE_SIZE_G-1),
-- AXI Stream Port Configurations
SLAVE_AXI_CONFIG_G => PRBS_SSI_CONFIG_C,
MASTER_AXI_CONFIG_G => MASTER_AXI_STREAM_CONFIG_G)
port map (
-- Slave Port
sAxisClk => locClk,
sAxisRst => locRst,
sAxisMaster => r.txAxisMaster,
sAxisSlave => txSlave,
sAxisCtrl => txCtrl,
-- Master Port
mAxisClk => mAxisClk,
mAxisRst => mAxisRst,
mAxisMaster => mAxisMaster,
mAxisSlave => mAxisSlave);
end rtl;
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (lin64) Build 1909853 Thu Jun 15 18:39:10 MDT 2017
-- Date : Mon Mar 26 12:18:02 2018
-- Host : dots running 64-bit Ubuntu 16.04.4 LTS
-- Command : write_vhdl -force -mode funcsim
-- /home/gokul/playground/ece594bb/fpgacc/vcnn/vcnn.srcs/sources_1/bd/vcnnbd/ip/vcnnbd_conv1l_top_0_0/vcnnbd_conv1l_top_0_0_sim_netlist.vhdl
-- Design : vcnnbd_conv1l_top_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg400-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=64)
`protect key_block
<KEY>
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
<KEY>
`protect key_keyowner="Synopsys", key_keyname="<KEY>", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=128)
`protect key_block
<KEY>
`protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
<KEY>
`protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
<KEY>
`protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa"
`protect encoding = (enctype="BASE64", line_length=76, bytes=256)
`protect key_block
<KEY>
`protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
<KEY>
`protect key_keyowner="Synplicity", key_keyname="<KEY>", key_method="rsa"
`protect encoding = (enctype="base64", line_length=76, bytes=256)
`protect key_block
<KEY>
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 500096)
`protect data_block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<KEY>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<KEY>
<KEY>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<KEY>
<KEY>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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 is
port (
aclk : in STD_LOGIC;
aclken : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axis_a_tvalid : in STD_LOGIC;
s_axis_a_tready : out STD_LOGIC;
s_axis_a_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axis_a_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_a_tlast : in STD_LOGIC;
s_axis_b_tvalid : in STD_LOGIC;
s_axis_b_tready : out STD_LOGIC;
s_axis_b_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axis_b_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_b_tlast : in STD_LOGIC;
s_axis_c_tvalid : in STD_LOGIC;
s_axis_c_tready : out STD_LOGIC;
s_axis_c_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axis_c_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_c_tlast : in STD_LOGIC;
s_axis_operation_tvalid : in STD_LOGIC;
s_axis_operation_tready : out STD_LOGIC;
s_axis_operation_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_operation_tuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_operation_tlast : in STD_LOGIC;
m_axis_result_tvalid : out STD_LOGIC;
m_axis_result_tready : in STD_LOGIC;
m_axis_result_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_result_tuser : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axis_result_tlast : out STD_LOGIC
);
attribute C_ACCUM_INPUT_MSB : integer;
attribute C_ACCUM_INPUT_MSB of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 15;
attribute C_ACCUM_LSB : integer;
attribute C_ACCUM_LSB of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is -24;
attribute C_ACCUM_MSB : integer;
attribute C_ACCUM_MSB of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 32;
attribute C_A_FRACTION_WIDTH : integer;
attribute C_A_FRACTION_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 11;
attribute C_A_TDATA_WIDTH : integer;
attribute C_A_TDATA_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 16;
attribute C_A_TUSER_WIDTH : integer;
attribute C_A_TUSER_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 16;
attribute C_BRAM_USAGE : integer;
attribute C_BRAM_USAGE of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_B_FRACTION_WIDTH : integer;
attribute C_B_FRACTION_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 11;
attribute C_B_TDATA_WIDTH : integer;
attribute C_B_TDATA_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 16;
attribute C_B_TUSER_WIDTH : integer;
attribute C_B_TUSER_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 16;
attribute C_COMPARE_OPERATION : integer;
attribute C_COMPARE_OPERATION of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 8;
attribute C_C_FRACTION_WIDTH : integer;
attribute C_C_FRACTION_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 11;
attribute C_C_TDATA_WIDTH : integer;
attribute C_C_TDATA_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 16;
attribute C_C_TUSER_WIDTH : integer;
attribute C_C_TUSER_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_C_WIDTH : integer;
attribute C_C_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 16;
attribute C_FIXED_DATA_UNSIGNED : integer;
attribute C_FIXED_DATA_UNSIGNED of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_ABSOLUTE : integer;
attribute C_HAS_ABSOLUTE of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_ACCUMULATOR_A : integer;
attribute C_HAS_ACCUMULATOR_A of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_ACCUMULATOR_S : integer;
attribute C_HAS_ACCUMULATOR_S of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_ACCUM_INPUT_OVERFLOW : integer;
attribute C_HAS_ACCUM_INPUT_OVERFLOW of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_ACCUM_OVERFLOW : integer;
attribute C_HAS_ACCUM_OVERFLOW of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_ADD : integer;
attribute C_HAS_ADD of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_A_TLAST : integer;
attribute C_HAS_A_TLAST of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_A_TUSER : integer;
attribute C_HAS_A_TUSER of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_B : integer;
attribute C_HAS_B of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_HAS_B_TLAST : integer;
attribute C_HAS_B_TLAST of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_B_TUSER : integer;
attribute C_HAS_B_TUSER of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_C : integer;
attribute C_HAS_C of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_HAS_COMPARE : integer;
attribute C_HAS_COMPARE of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_C_TLAST : integer;
attribute C_HAS_C_TLAST of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_C_TUSER : integer;
attribute C_HAS_C_TUSER of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_DIVIDE : integer;
attribute C_HAS_DIVIDE of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_DIVIDE_BY_ZERO : integer;
attribute C_HAS_DIVIDE_BY_ZERO of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_EXPONENTIAL : integer;
attribute C_HAS_EXPONENTIAL of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_FIX_TO_FLT : integer;
attribute C_HAS_FIX_TO_FLT of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_FLT_TO_FIX : integer;
attribute C_HAS_FLT_TO_FIX of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_FLT_TO_FLT : integer;
attribute C_HAS_FLT_TO_FLT of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_FMA : integer;
attribute C_HAS_FMA of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_HAS_FMS : integer;
attribute C_HAS_FMS of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_INVALID_OP : integer;
attribute C_HAS_INVALID_OP of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_LOGARITHM : integer;
attribute C_HAS_LOGARITHM of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_MULTIPLY : integer;
attribute C_HAS_MULTIPLY of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_OPERATION : integer;
attribute C_HAS_OPERATION of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_OPERATION_TLAST : integer;
attribute C_HAS_OPERATION_TLAST of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_OPERATION_TUSER : integer;
attribute C_HAS_OPERATION_TUSER of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_HAS_RECIP : integer;
attribute C_HAS_RECIP of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_RECIP_SQRT : integer;
attribute C_HAS_RECIP_SQRT of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_RESULT_TLAST : integer;
attribute C_HAS_RESULT_TLAST of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_RESULT_TUSER : integer;
attribute C_HAS_RESULT_TUSER of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_HAS_SQRT : integer;
attribute C_HAS_SQRT of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_SUBTRACT : integer;
attribute C_HAS_SUBTRACT of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_LATENCY : integer;
attribute C_LATENCY of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_MULT_USAGE : integer;
attribute C_MULT_USAGE of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_OPERATION_TDATA_WIDTH : integer;
attribute C_OPERATION_TDATA_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 8;
attribute C_OPERATION_TUSER_WIDTH : integer;
attribute C_OPERATION_TUSER_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_OPTIMIZATION : integer;
attribute C_OPTIMIZATION of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_RATE : integer;
attribute C_RATE of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 1;
attribute C_RESULT_FRACTION_WIDTH : integer;
attribute C_RESULT_FRACTION_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 11;
attribute C_RESULT_TDATA_WIDTH : integer;
attribute C_RESULT_TDATA_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 16;
attribute C_RESULT_TUSER_WIDTH : integer;
attribute C_RESULT_TUSER_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 2;
attribute C_RESULT_WIDTH : integer;
attribute C_RESULT_WIDTH of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 16;
attribute C_THROTTLE_SCHEME : integer;
attribute C_THROTTLE_SCHEME of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 4;
attribute C_TLAST_RESOLUTION : integer;
attribute C_TLAST_RESOLUTION of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is "zynq";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is "yes";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 : entity is "floating_point_v7_1_4";
end vcnnbd_conv1l_top_0_0_floating_point_v7_1_4;
architecture STRUCTURE of vcnnbd_conv1l_top_0_0_floating_point_v7_1_4 is
signal \<const0>\ : STD_LOGIC;
signal NLW_i_synth_m_axis_result_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_i_synth_s_axis_operation_tready_UNCONNECTED : STD_LOGIC;
attribute C_ACCUM_INPUT_MSB of i_synth : label is 15;
attribute C_ACCUM_LSB of i_synth : label is -24;
attribute C_ACCUM_MSB of i_synth : label is 32;
attribute C_A_FRACTION_WIDTH of i_synth : label is 11;
attribute C_A_TDATA_WIDTH of i_synth : label is 16;
attribute C_A_TUSER_WIDTH of i_synth : label is 1;
attribute C_A_WIDTH of i_synth : label is 16;
attribute C_BRAM_USAGE of i_synth : label is 0;
attribute C_B_FRACTION_WIDTH of i_synth : label is 11;
attribute C_B_TDATA_WIDTH of i_synth : label is 16;
attribute C_B_TUSER_WIDTH of i_synth : label is 1;
attribute C_B_WIDTH of i_synth : label is 16;
attribute C_COMPARE_OPERATION of i_synth : label is 8;
attribute C_C_FRACTION_WIDTH of i_synth : label is 11;
attribute C_C_TDATA_WIDTH of i_synth : label is 16;
attribute C_C_TUSER_WIDTH of i_synth : label is 1;
attribute C_C_WIDTH of i_synth : label is 16;
attribute C_FIXED_DATA_UNSIGNED of i_synth : label is 0;
attribute C_HAS_ABSOLUTE of i_synth : label is 0;
attribute C_HAS_ACCUMULATOR_A of i_synth : label is 0;
attribute C_HAS_ACCUMULATOR_S of i_synth : label is 0;
attribute C_HAS_ACCUM_INPUT_OVERFLOW of i_synth : label is 0;
attribute C_HAS_ACCUM_OVERFLOW of i_synth : label is 0;
attribute C_HAS_ACLKEN of i_synth : label is 0;
attribute C_HAS_ADD of i_synth : label is 0;
attribute C_HAS_ARESETN of i_synth : label is 0;
attribute C_HAS_A_TLAST of i_synth : label is 0;
attribute C_HAS_A_TUSER of i_synth : label is 0;
attribute C_HAS_B of i_synth : label is 1;
attribute C_HAS_B_TLAST of i_synth : label is 0;
attribute C_HAS_B_TUSER of i_synth : label is 0;
attribute C_HAS_C of i_synth : label is 1;
attribute C_HAS_COMPARE of i_synth : label is 0;
attribute C_HAS_C_TLAST of i_synth : label is 0;
attribute C_HAS_C_TUSER of i_synth : label is 0;
attribute C_HAS_DIVIDE of i_synth : label is 0;
attribute C_HAS_DIVIDE_BY_ZERO of i_synth : label is 0;
attribute C_HAS_EXPONENTIAL of i_synth : label is 0;
attribute C_HAS_FIX_TO_FLT of i_synth : label is 0;
attribute C_HAS_FLT_TO_FIX of i_synth : label is 0;
attribute C_HAS_FLT_TO_FLT of i_synth : label is 0;
attribute C_HAS_FMA of i_synth : label is 1;
attribute C_HAS_FMS of i_synth : label is 0;
attribute C_HAS_INVALID_OP of i_synth : label is 0;
attribute C_HAS_LOGARITHM of i_synth : label is 0;
attribute C_HAS_MULTIPLY of i_synth : label is 0;
attribute C_HAS_OPERATION of i_synth : label is 0;
attribute C_HAS_OPERATION_TLAST of i_synth : label is 0;
attribute C_HAS_OPERATION_TUSER of i_synth : label is 0;
attribute C_HAS_OVERFLOW of i_synth : label is 1;
attribute C_HAS_RECIP of i_synth : label is 0;
attribute C_HAS_RECIP_SQRT of i_synth : label is 0;
attribute C_HAS_RESULT_TLAST of i_synth : label is 0;
attribute C_HAS_RESULT_TUSER of i_synth : label is 1;
attribute C_HAS_SQRT of i_synth : label is 0;
attribute C_HAS_SUBTRACT of i_synth : label is 0;
attribute C_HAS_UNDERFLOW of i_synth : label is 1;
attribute C_LATENCY of i_synth : label is 1;
attribute C_MULT_USAGE of i_synth : label is 1;
attribute C_OPERATION_TDATA_WIDTH of i_synth : label is 8;
attribute C_OPERATION_TUSER_WIDTH of i_synth : label is 1;
attribute C_OPTIMIZATION of i_synth : label is 1;
attribute C_RATE of i_synth : label is 1;
attribute C_RESULT_FRACTION_WIDTH of i_synth : label is 11;
attribute C_RESULT_TDATA_WIDTH of i_synth : label is 16;
attribute C_RESULT_TUSER_WIDTH of i_synth : label is 2;
attribute C_RESULT_WIDTH of i_synth : label is 16;
attribute C_THROTTLE_SCHEME of i_synth : label is 4;
attribute C_TLAST_RESOLUTION of i_synth : label is 0;
attribute C_XDEVICEFAMILY of i_synth : label is "zynq";
attribute downgradeipidentifiedwarnings of i_synth : label is "yes";
begin
m_axis_result_tlast <= \<const0>\;
s_axis_operation_tready <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
i_synth: entity work.vcnnbd_conv1l_top_0_0_floating_point_v7_1_4_viv
port map (
aclk => aclk,
aclken => '0',
aresetn => '0',
m_axis_result_tdata(15 downto 0) => m_axis_result_tdata(15 downto 0),
m_axis_result_tlast => NLW_i_synth_m_axis_result_tlast_UNCONNECTED,
m_axis_result_tready => '0',
m_axis_result_tuser(1 downto 0) => m_axis_result_tuser(1 downto 0),
m_axis_result_tvalid => m_axis_result_tvalid,
s_axis_a_tdata(15 downto 0) => s_axis_a_tdata(15 downto 0),
s_axis_a_tlast => '0',
s_axis_a_tready => s_axis_a_tready,
s_axis_a_tuser(0) => '0',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_b_tdata(15 downto 0) => s_axis_b_tdata(15 downto 0),
s_axis_b_tlast => '0',
s_axis_b_tready => s_axis_b_tready,
s_axis_b_tuser(0) => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_c_tdata(15 downto 0) => s_axis_c_tdata(15 downto 0),
s_axis_c_tlast => '0',
s_axis_c_tready => s_axis_c_tready,
s_axis_c_tuser(0) => '0',
s_axis_c_tvalid => s_axis_c_tvalid,
s_axis_operation_tdata(7 downto 0) => B"00000000",
s_axis_operation_tlast => '0',
s_axis_operation_tready => NLW_i_synth_s_axis_operation_tready_UNCONNECTED,
s_axis_operation_tuser(0) => '0',
s_axis_operation_tvalid => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity vcnnbd_conv1l_top_0_0_fp_mult_add_16bit is
port (
aclk : in STD_LOGIC;
s_axis_a_tvalid : in STD_LOGIC;
s_axis_a_tready : out STD_LOGIC;
s_axis_a_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axis_b_tvalid : in STD_LOGIC;
s_axis_b_tready : out STD_LOGIC;
s_axis_b_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axis_c_tvalid : in STD_LOGIC;
s_axis_c_tready : out STD_LOGIC;
s_axis_c_tdata : in STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_result_tvalid : out STD_LOGIC;
m_axis_result_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 );
m_axis_result_tuser : out STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of vcnnbd_conv1l_top_0_0_fp_mult_add_16bit : entity is "fp_mult_add_16bit,floating_point_v7_1_4,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of vcnnbd_conv1l_top_0_0_fp_mult_add_16bit : entity is "yes";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of vcnnbd_conv1l_top_0_0_fp_mult_add_16bit : entity is "fp_mult_add_16bit";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of vcnnbd_conv1l_top_0_0_fp_mult_add_16bit : entity is "floating_point_v7_1_4,Vivado 2017.2";
end vcnnbd_conv1l_top_0_0_fp_mult_add_16bit;
architecture STRUCTURE of vcnnbd_conv1l_top_0_0_fp_mult_add_16bit is
signal NLW_U0_m_axis_result_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_operation_tready_UNCONNECTED : STD_LOGIC;
attribute C_ACCUM_INPUT_MSB : integer;
attribute C_ACCUM_INPUT_MSB of U0 : label is 15;
attribute C_ACCUM_LSB : integer;
attribute C_ACCUM_LSB of U0 : label is -24;
attribute C_ACCUM_MSB : integer;
attribute C_ACCUM_MSB of U0 : label is 32;
attribute C_A_FRACTION_WIDTH : integer;
attribute C_A_FRACTION_WIDTH of U0 : label is 11;
attribute C_A_TDATA_WIDTH : integer;
attribute C_A_TDATA_WIDTH of U0 : label is 16;
attribute C_A_TUSER_WIDTH : integer;
attribute C_A_TUSER_WIDTH of U0 : label is 1;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 16;
attribute C_BRAM_USAGE : integer;
attribute C_BRAM_USAGE of U0 : label is 0;
attribute C_B_FRACTION_WIDTH : integer;
attribute C_B_FRACTION_WIDTH of U0 : label is 11;
attribute C_B_TDATA_WIDTH : integer;
attribute C_B_TDATA_WIDTH of U0 : label is 16;
attribute C_B_TUSER_WIDTH : integer;
attribute C_B_TUSER_WIDTH of U0 : label is 1;
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 16;
attribute C_COMPARE_OPERATION : integer;
attribute C_COMPARE_OPERATION of U0 : label is 8;
attribute C_C_FRACTION_WIDTH : integer;
attribute C_C_FRACTION_WIDTH of U0 : label is 11;
attribute C_C_TDATA_WIDTH : integer;
attribute C_C_TDATA_WIDTH of U0 : label is 16;
attribute C_C_TUSER_WIDTH : integer;
attribute C_C_TUSER_WIDTH of U0 : label is 1;
attribute C_C_WIDTH : integer;
attribute C_C_WIDTH of U0 : label is 16;
attribute C_FIXED_DATA_UNSIGNED : integer;
attribute C_FIXED_DATA_UNSIGNED of U0 : label is 0;
attribute C_HAS_ABSOLUTE : integer;
attribute C_HAS_ABSOLUTE of U0 : label is 0;
attribute C_HAS_ACCUMULATOR_A : integer;
attribute C_HAS_ACCUMULATOR_A of U0 : label is 0;
attribute C_HAS_ACCUMULATOR_S : integer;
attribute C_HAS_ACCUMULATOR_S of U0 : label is 0;
attribute C_HAS_ACCUM_INPUT_OVERFLOW : integer;
attribute C_HAS_ACCUM_INPUT_OVERFLOW of U0 : label is 0;
attribute C_HAS_ACCUM_OVERFLOW : integer;
attribute C_HAS_ACCUM_OVERFLOW of U0 : label is 0;
attribute C_HAS_ACLKEN : integer;
attribute C_HAS_ACLKEN of U0 : label is 0;
attribute C_HAS_ADD : integer;
attribute C_HAS_ADD of U0 : label is 0;
attribute C_HAS_ARESETN : integer;
attribute C_HAS_ARESETN of U0 : label is 0;
attribute C_HAS_A_TLAST : integer;
attribute C_HAS_A_TLAST of U0 : label is 0;
attribute C_HAS_A_TUSER : integer;
attribute C_HAS_A_TUSER of U0 : label is 0;
attribute C_HAS_B : integer;
attribute C_HAS_B of U0 : label is 1;
attribute C_HAS_B_TLAST : integer;
attribute C_HAS_B_TLAST of U0 : label is 0;
attribute C_HAS_B_TUSER : integer;
attribute C_HAS_B_TUSER of U0 : label is 0;
attribute C_HAS_C : integer;
attribute C_HAS_C of U0 : label is 1;
attribute C_HAS_COMPARE : integer;
attribute C_HAS_COMPARE of U0 : label is 0;
attribute C_HAS_C_TLAST : integer;
attribute C_HAS_C_TLAST of U0 : label is 0;
attribute C_HAS_C_TUSER : integer;
attribute C_HAS_C_TUSER of U0 : label is 0;
attribute C_HAS_DIVIDE : integer;
attribute C_HAS_DIVIDE of U0 : label is 0;
attribute C_HAS_DIVIDE_BY_ZERO : integer;
attribute C_HAS_DIVIDE_BY_ZERO of U0 : label is 0;
attribute C_HAS_EXPONENTIAL : integer;
attribute C_HAS_EXPONENTIAL of U0 : label is 0;
attribute C_HAS_FIX_TO_FLT : integer;
attribute C_HAS_FIX_TO_FLT of U0 : label is 0;
attribute C_HAS_FLT_TO_FIX : integer;
attribute C_HAS_FLT_TO_FIX of U0 : label is 0;
attribute C_HAS_FLT_TO_FLT : integer;
attribute C_HAS_FLT_TO_FLT of U0 : label is 0;
attribute C_HAS_FMA : integer;
attribute C_HAS_FMA of U0 : label is 1;
attribute C_HAS_FMS : integer;
attribute C_HAS_FMS of U0 : label is 0;
attribute C_HAS_INVALID_OP : integer;
attribute C_HAS_INVALID_OP of U0 : label is 0;
attribute C_HAS_LOGARITHM : integer;
attribute C_HAS_LOGARITHM of U0 : label is 0;
attribute C_HAS_MULTIPLY : integer;
attribute C_HAS_MULTIPLY of U0 : label is 0;
attribute C_HAS_OPERATION : integer;
attribute C_HAS_OPERATION of U0 : label is 0;
attribute C_HAS_OPERATION_TLAST : integer;
attribute C_HAS_OPERATION_TLAST of U0 : label is 0;
attribute C_HAS_OPERATION_TUSER : integer;
attribute C_HAS_OPERATION_TUSER of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 1;
attribute C_HAS_RECIP : integer;
attribute C_HAS_RECIP of U0 : label is 0;
attribute C_HAS_RECIP_SQRT : integer;
attribute C_HAS_RECIP_SQRT of U0 : label is 0;
attribute C_HAS_RESULT_TLAST : integer;
attribute C_HAS_RESULT_TLAST of U0 : label is 0;
attribute C_HAS_RESULT_TUSER : integer;
attribute C_HAS_RESULT_TUSER of U0 : label is 1;
attribute C_HAS_SQRT : integer;
attribute C_HAS_SQRT of U0 : label is 0;
attribute C_HAS_SUBTRACT : integer;
attribute C_HAS_SUBTRACT of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 1;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 1;
attribute C_MULT_USAGE : integer;
attribute C_MULT_USAGE of U0 : label is 1;
attribute C_OPERATION_TDATA_WIDTH : integer;
attribute C_OPERATION_TDATA_WIDTH of U0 : label is 8;
attribute C_OPERATION_TUSER_WIDTH : integer;
attribute C_OPERATION_TUSER_WIDTH of U0 : label is 1;
attribute C_OPTIMIZATION : integer;
attribute C_OPTIMIZATION of U0 : label is 1;
attribute C_RATE : integer;
attribute C_RATE of U0 : label is 1;
attribute C_RESULT_FRACTION_WIDTH : integer;
attribute C_RESULT_FRACTION_WIDTH of U0 : label is 11;
attribute C_RESULT_TDATA_WIDTH : integer;
attribute C_RESULT_TDATA_WIDTH of U0 : label is 16;
attribute C_RESULT_TUSER_WIDTH : integer;
attribute C_RESULT_TUSER_WIDTH of U0 : label is 2;
attribute C_RESULT_WIDTH : integer;
attribute C_RESULT_WIDTH of U0 : label is 16;
attribute C_THROTTLE_SCHEME : integer;
attribute C_THROTTLE_SCHEME of U0 : label is 4;
attribute C_TLAST_RESOLUTION : integer;
attribute C_TLAST_RESOLUTION of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.vcnnbd_conv1l_top_0_0_floating_point_v7_1_4
port map (
aclk => aclk,
aclken => '1',
aresetn => '1',
m_axis_result_tdata(15 downto 0) => m_axis_result_tdata(15 downto 0),
m_axis_result_tlast => NLW_U0_m_axis_result_tlast_UNCONNECTED,
m_axis_result_tready => '0',
m_axis_result_tuser(1 downto 0) => m_axis_result_tuser(1 downto 0),
m_axis_result_tvalid => m_axis_result_tvalid,
s_axis_a_tdata(15 downto 0) => s_axis_a_tdata(15 downto 0),
s_axis_a_tlast => '0',
s_axis_a_tready => s_axis_a_tready,
s_axis_a_tuser(0) => '0',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_b_tdata(15 downto 0) => s_axis_b_tdata(15 downto 0),
s_axis_b_tlast => '0',
s_axis_b_tready => s_axis_b_tready,
s_axis_b_tuser(0) => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_c_tdata(15 downto 0) => s_axis_c_tdata(15 downto 0),
s_axis_c_tlast => '0',
s_axis_c_tready => s_axis_c_tready,
s_axis_c_tuser(0) => '0',
s_axis_c_tvalid => s_axis_c_tvalid,
s_axis_operation_tdata(7 downto 0) => B"00000000",
s_axis_operation_tlast => '0',
s_axis_operation_tready => NLW_U0_s_axis_operation_tready_UNCONNECTED,
s_axis_operation_tuser(0) => '0',
s_axis_operation_tvalid => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity vcnnbd_conv1l_top_0_0_conv1l_top is
port (
\weight_bram_rd_adddr_reg[8]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 );
output_bram_wr_addr : out STD_LOGIC_VECTOR ( 13 downto 0 );
AR : out STD_LOGIC_VECTOR ( 0 to 0 );
input_bram_rd_en : out STD_LOGIC;
input_bram_rd_adddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
weight_bram_rd_en : out STD_LOGIC;
weight_bram_rd_adddr : out STD_LOGIC_VECTOR ( 8 downto 0 );
output_bram_wr_wen : out STD_LOGIC;
output_bram_wr_dout : out STD_LOGIC_VECTOR ( 14 downto 0 );
ap_done : out STD_LOGIC;
ap_ready : out STD_LOGIC;
input_bram_rd_din : in STD_LOGIC_VECTOR ( 15 downto 0 );
weight_bram_rd_din : in STD_LOGIC_VECTOR ( 15 downto 0 );
clk : in STD_LOGIC;
ap_start : in STD_LOGIC;
S : in STD_LOGIC_VECTOR ( 2 downto 0 );
\conv_wrows_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 );
rstn : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of vcnnbd_conv1l_top_0_0_conv1l_top : entity is "conv1l_top";
end vcnnbd_conv1l_top_0_0_conv1l_top;
architecture STRUCTURE of vcnnbd_conv1l_top_0_0_conv1l_top is
signal \^ar\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal C : STD_LOGIC_VECTOR ( 4 downto 0 );
signal add_c : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \^ap_done\ : STD_LOGIC;
signal ap_done_r_i_1_n_0 : STD_LOGIC;
signal ap_done_r_i_2_n_0 : STD_LOGIC;
signal \^ap_ready\ : STD_LOGIC;
signal ap_ready_r_i_1_n_0 : STD_LOGIC;
signal ap_start_r : STD_LOGIC;
signal ap_start_rr : STD_LOGIC;
signal calc_col_addr : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute MARK_DEBUG : boolean;
attribute MARK_DEBUG of calc_col_addr : signal is std.standard.true;
signal calc_row_addr : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute MARK_DEBUG of calc_row_addr : signal is std.standard.true;
signal col_addr_overflow : STD_LOGIC;
attribute MARK_DEBUG of col_addr_overflow : signal is std.standard.true;
signal col_addr_underflow : STD_LOGIC;
attribute MARK_DEBUG of col_addr_underflow : signal is std.standard.true;
signal conv_iaddr : STD_LOGIC_VECTOR ( 11 downto 0 );
attribute MARK_DEBUG of conv_iaddr : signal is std.standard.true;
signal conv_iaddr_inferred_i_10_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_11_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_12_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_13_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_14_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_15_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_16_n_1 : STD_LOGIC;
signal conv_iaddr_inferred_i_16_n_2 : STD_LOGIC;
signal conv_iaddr_inferred_i_16_n_3 : STD_LOGIC;
signal conv_iaddr_inferred_i_16_n_4 : STD_LOGIC;
signal conv_iaddr_inferred_i_16_n_5 : STD_LOGIC;
signal conv_iaddr_inferred_i_16_n_6 : STD_LOGIC;
signal conv_iaddr_inferred_i_16_n_7 : STD_LOGIC;
signal conv_iaddr_inferred_i_17_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_17_n_1 : STD_LOGIC;
signal conv_iaddr_inferred_i_17_n_2 : STD_LOGIC;
signal conv_iaddr_inferred_i_17_n_3 : STD_LOGIC;
signal conv_iaddr_inferred_i_17_n_4 : STD_LOGIC;
signal conv_iaddr_inferred_i_17_n_5 : STD_LOGIC;
signal conv_iaddr_inferred_i_17_n_6 : STD_LOGIC;
signal conv_iaddr_inferred_i_17_n_7 : STD_LOGIC;
signal conv_iaddr_inferred_i_18_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_19_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_1_n_1 : STD_LOGIC;
signal conv_iaddr_inferred_i_1_n_2 : STD_LOGIC;
signal conv_iaddr_inferred_i_1_n_3 : STD_LOGIC;
signal conv_iaddr_inferred_i_20_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_21_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_22_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_23_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_24_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_25_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_2_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_2_n_1 : STD_LOGIC;
signal conv_iaddr_inferred_i_2_n_2 : STD_LOGIC;
signal conv_iaddr_inferred_i_2_n_3 : STD_LOGIC;
signal conv_iaddr_inferred_i_3_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_3_n_1 : STD_LOGIC;
signal conv_iaddr_inferred_i_3_n_2 : STD_LOGIC;
signal conv_iaddr_inferred_i_3_n_3 : STD_LOGIC;
signal conv_iaddr_inferred_i_4_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_5_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_6_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_7_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_8_n_0 : STD_LOGIC;
signal conv_iaddr_inferred_i_9_n_0 : STD_LOGIC;
signal conv_ichnls : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute MARK_DEBUG of conv_ichnls : signal is std.standard.true;
signal \conv_ichnls[0]_i_1_n_0\ : STD_LOGIC;
signal \conv_ichnls[0]_i_2_n_0\ : STD_LOGIC;
signal \conv_ichnls[0]_i_3_n_0\ : STD_LOGIC;
signal \conv_ichnls[1]_i_1_n_0\ : STD_LOGIC;
signal \conv_ichnls[1]_i_2_n_0\ : STD_LOGIC;
signal \conv_ichnls[2]_i_1_n_0\ : STD_LOGIC;
signal \conv_ichnls[2]_i_2_n_0\ : STD_LOGIC;
signal \conv_ichnls[2]_i_3_n_0\ : STD_LOGIC;
signal conv_icols : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute MARK_DEBUG of conv_icols : signal is std.standard.true;
signal \conv_icols[0]_i_1_n_0\ : STD_LOGIC;
signal \conv_icols[1]_i_1_n_0\ : STD_LOGIC;
signal \conv_icols[2]_i_1_n_0\ : STD_LOGIC;
signal \conv_icols[3]_i_1_n_0\ : STD_LOGIC;
signal \conv_icols[4]_i_1_n_0\ : STD_LOGIC;
signal \conv_icols[5]_i_1_n_0\ : STD_LOGIC;
signal \conv_icols[5]_i_2_n_0\ : STD_LOGIC;
signal \conv_icols[5]_i_3_n_0\ : STD_LOGIC;
signal \conv_icols[5]_i_4_n_0\ : STD_LOGIC;
signal \conv_icols[5]_i_5_n_0\ : STD_LOGIC;
signal conv_ip_zeros : STD_LOGIC;
signal conv_ip_zeros_i_1_n_0 : STD_LOGIC;
signal conv_ip_zeros_r : STD_LOGIC_VECTOR ( 1 downto 0 );
signal conv_irows : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute MARK_DEBUG of conv_irows : signal is std.standard.true;
signal \conv_irows[0]_i_1_n_0\ : STD_LOGIC;
signal \conv_irows[1]_i_1_n_0\ : STD_LOGIC;
signal \conv_irows[2]_i_1_n_0\ : STD_LOGIC;
signal \conv_irows[3]_i_1_n_0\ : STD_LOGIC;
signal \conv_irows[4]_i_1_n_0\ : STD_LOGIC;
signal \conv_irows[4]_i_2_n_0\ : STD_LOGIC;
signal \conv_irows[4]_i_3_n_0\ : STD_LOGIC;
signal \conv_irows[4]_i_4_n_0\ : STD_LOGIC;
signal \conv_irows[5]_i_1_n_0\ : STD_LOGIC;
signal \conv_irows[5]_i_2_n_0\ : STD_LOGIC;
signal conv_state : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \conv_state[0]_i_1_n_0\ : STD_LOGIC;
signal \conv_state[1]_i_1_n_0\ : STD_LOGIC;
signal \conv_state[1]_i_2_n_0\ : STD_LOGIC;
signal \conv_state[1]_i_3_n_0\ : STD_LOGIC;
signal \conv_state[2]_i_1_n_0\ : STD_LOGIC;
signal \conv_state[2]_i_2_n_0\ : STD_LOGIC;
signal conv_waddr : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute MARK_DEBUG of conv_waddr : signal is std.standard.true;
signal conv_waddr_inferred_i_10_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_11_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_12_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_13_n_7 : STD_LOGIC;
signal conv_waddr_inferred_i_14_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_14_n_1 : STD_LOGIC;
signal conv_waddr_inferred_i_14_n_2 : STD_LOGIC;
signal conv_waddr_inferred_i_14_n_3 : STD_LOGIC;
signal conv_waddr_inferred_i_14_n_4 : STD_LOGIC;
signal conv_waddr_inferred_i_14_n_5 : STD_LOGIC;
signal conv_waddr_inferred_i_14_n_6 : STD_LOGIC;
signal conv_waddr_inferred_i_14_n_7 : STD_LOGIC;
signal conv_waddr_inferred_i_15_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_15_n_1 : STD_LOGIC;
signal conv_waddr_inferred_i_15_n_2 : STD_LOGIC;
signal conv_waddr_inferred_i_15_n_3 : STD_LOGIC;
signal conv_waddr_inferred_i_15_n_4 : STD_LOGIC;
signal conv_waddr_inferred_i_15_n_5 : STD_LOGIC;
signal conv_waddr_inferred_i_15_n_6 : STD_LOGIC;
signal conv_waddr_inferred_i_15_n_7 : STD_LOGIC;
signal conv_waddr_inferred_i_17_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_17_n_1 : STD_LOGIC;
signal conv_waddr_inferred_i_17_n_2 : STD_LOGIC;
signal conv_waddr_inferred_i_17_n_3 : STD_LOGIC;
signal conv_waddr_inferred_i_21_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_22_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_22_n_1 : STD_LOGIC;
signal conv_waddr_inferred_i_22_n_2 : STD_LOGIC;
signal conv_waddr_inferred_i_22_n_3 : STD_LOGIC;
signal conv_waddr_inferred_i_23_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_24_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_25_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_26_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_28_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_29_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_2_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_2_n_1 : STD_LOGIC;
signal conv_waddr_inferred_i_2_n_2 : STD_LOGIC;
signal conv_waddr_inferred_i_2_n_3 : STD_LOGIC;
signal conv_waddr_inferred_i_30_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_31_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_32_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_33_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_34_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_35_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_36_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_3_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_3_n_1 : STD_LOGIC;
signal conv_waddr_inferred_i_3_n_2 : STD_LOGIC;
signal conv_waddr_inferred_i_3_n_3 : STD_LOGIC;
signal conv_waddr_inferred_i_4_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_5_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_6_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_7_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_8_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_9_n_0 : STD_LOGIC;
signal conv_wcols : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute MARK_DEBUG of conv_wcols : signal is std.standard.true;
signal \conv_wcols[0]_i_1_n_0\ : STD_LOGIC;
signal \conv_wcols[0]_i_2_n_0\ : STD_LOGIC;
signal \conv_wcols[1]_i_1_n_0\ : STD_LOGIC;
signal \conv_wcols[2]_i_1_n_0\ : STD_LOGIC;
signal \conv_wcols[2]_i_2_n_0\ : STD_LOGIC;
signal conv_wfltrs : STD_LOGIC_VECTOR ( 4 downto 0 );
attribute MARK_DEBUG of conv_wfltrs : signal is std.standard.true;
signal \conv_wfltrs[0]_i_1_n_0\ : STD_LOGIC;
signal \conv_wfltrs[1]_i_1_n_0\ : STD_LOGIC;
signal \conv_wfltrs[2]_i_1_n_0\ : STD_LOGIC;
signal \conv_wfltrs[3]_i_1_n_0\ : STD_LOGIC;
signal \conv_wfltrs[4]_i_1_n_0\ : STD_LOGIC;
signal \conv_wfltrs[4]_i_2_n_0\ : STD_LOGIC;
signal \conv_wfltrs[4]_i_3_n_0\ : STD_LOGIC;
signal \conv_wfltrs[4]_i_4_n_0\ : STD_LOGIC;
signal \conv_wfltrs[4]_i_5_n_0\ : STD_LOGIC;
signal conv_wrows : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute MARK_DEBUG of conv_wrows : signal is std.standard.true;
signal \conv_wrows[0]_i_1_n_0\ : STD_LOGIC;
signal \conv_wrows[1]_i_1_n_0\ : STD_LOGIC;
signal \conv_wrows[2]_i_1_n_0\ : STD_LOGIC;
signal \conv_wrows[2]_i_2_n_0\ : STD_LOGIC;
signal \conv_wrows[2]_i_3_n_0\ : STD_LOGIC;
signal conv_zero_pad_en : STD_LOGIC;
attribute MARK_DEBUG of conv_zero_pad_en : signal is std.standard.true;
signal current_col : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute MARK_DEBUG of current_col : signal is std.standard.true;
signal \current_col[0]_i_1_n_0\ : STD_LOGIC;
signal \current_col[1]_i_1_n_0\ : STD_LOGIC;
signal \current_col[2]_i_1_n_0\ : STD_LOGIC;
signal \current_col[3]_i_1_n_0\ : STD_LOGIC;
signal \current_col[4]_i_1_n_0\ : STD_LOGIC;
signal \current_col[5]_i_1_n_0\ : STD_LOGIC;
signal \current_col[5]_i_2_n_0\ : STD_LOGIC;
signal current_row : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute MARK_DEBUG of current_row : signal is std.standard.true;
signal \current_row[0]_i_1_n_0\ : STD_LOGIC;
signal \current_row[1]_i_1_n_0\ : STD_LOGIC;
signal \current_row[2]_i_1_n_0\ : STD_LOGIC;
signal \current_row[3]_i_1_n_0\ : STD_LOGIC;
signal \current_row[4]_i_1_n_0\ : STD_LOGIC;
signal \current_row[5]_i_1_n_0\ : STD_LOGIC;
signal \current_row[5]_i_2_n_0\ : STD_LOGIC;
signal \current_row[5]_i_3_n_0\ : STD_LOGIC;
signal \i__carry__0_i_1_n_0\ : STD_LOGIC;
signal \i__carry__0_i_2_n_0\ : STD_LOGIC;
signal \i__carry__0_i_3_n_0\ : STD_LOGIC;
signal \i__carry__0_i_4_n_0\ : STD_LOGIC;
signal \i__carry_i_1_n_0\ : STD_LOGIC;
signal \i__carry_i_2_n_0\ : STD_LOGIC;
signal \i__carry_i_3_n_0\ : STD_LOGIC;
signal \i__carry_i_4_n_0\ : STD_LOGIC;
signal in01 : STD_LOGIC_VECTOR ( 8 downto 0 );
signal in01_1 : STD_LOGIC_VECTOR ( 6 downto 0 );
signal \in01_carry__0_i_10_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_11_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_12_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_13_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_1_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_1_n_1\ : STD_LOGIC;
signal \in01_carry__0_i_1_n_2\ : STD_LOGIC;
signal \in01_carry__0_i_1_n_3\ : STD_LOGIC;
signal \in01_carry__0_i_1_n_4\ : STD_LOGIC;
signal \in01_carry__0_i_1_n_5\ : STD_LOGIC;
signal \in01_carry__0_i_1_n_6\ : STD_LOGIC;
signal \in01_carry__0_i_1_n_7\ : STD_LOGIC;
signal \in01_carry__0_i_2_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_3_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_4_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_5_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_6_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_7_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_8_n_0\ : STD_LOGIC;
signal \in01_carry__0_i_9_n_0\ : STD_LOGIC;
signal \in01_carry__0_n_0\ : STD_LOGIC;
signal \in01_carry__0_n_1\ : STD_LOGIC;
signal \in01_carry__0_n_2\ : STD_LOGIC;
signal \in01_carry__0_n_3\ : STD_LOGIC;
signal \in01_carry__1_i_1_n_0\ : STD_LOGIC;
signal \in01_carry__1_i_2_n_7\ : STD_LOGIC;
signal \in01_carry__1_i_3_n_0\ : STD_LOGIC;
signal in01_carry_i_10_n_0 : STD_LOGIC;
signal in01_carry_i_1_n_0 : STD_LOGIC;
signal in01_carry_i_1_n_1 : STD_LOGIC;
signal in01_carry_i_1_n_2 : STD_LOGIC;
signal in01_carry_i_1_n_3 : STD_LOGIC;
signal in01_carry_i_1_n_4 : STD_LOGIC;
signal in01_carry_i_1_n_5 : STD_LOGIC;
signal in01_carry_i_1_n_6 : STD_LOGIC;
signal in01_carry_i_1_n_7 : STD_LOGIC;
signal in01_carry_i_2_n_0 : STD_LOGIC;
signal in01_carry_i_3_n_0 : STD_LOGIC;
signal in01_carry_i_4_n_0 : STD_LOGIC;
signal in01_carry_i_5_n_0 : STD_LOGIC;
signal in01_carry_i_6_n_0 : STD_LOGIC;
signal in01_carry_i_7_n_0 : STD_LOGIC;
signal in01_carry_i_8_n_0 : STD_LOGIC;
signal in01_carry_i_9_n_0 : STD_LOGIC;
signal in01_carry_n_0 : STD_LOGIC;
signal in01_carry_n_1 : STD_LOGIC;
signal in01_carry_n_2 : STD_LOGIC;
signal in01_carry_n_3 : STD_LOGIC;
signal in02 : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \in02_carry__0_i_1_n_0\ : STD_LOGIC;
signal \in02_carry__0_i_2_n_0\ : STD_LOGIC;
signal \in02_carry__0_i_3_n_0\ : STD_LOGIC;
signal \in02_carry__0_n_2\ : STD_LOGIC;
signal \in02_carry__0_n_3\ : STD_LOGIC;
signal in02_carry_i_1_n_0 : STD_LOGIC;
signal in02_carry_i_2_n_0 : STD_LOGIC;
signal in02_carry_i_3_n_0 : STD_LOGIC;
signal in02_carry_i_4_n_0 : STD_LOGIC;
signal in02_carry_n_0 : STD_LOGIC;
signal in02_carry_n_1 : STD_LOGIC;
signal in02_carry_n_2 : STD_LOGIC;
signal in02_carry_n_3 : STD_LOGIC;
signal \in02_inferred__0/i__carry__0_n_1\ : STD_LOGIC;
signal \in02_inferred__0/i__carry__0_n_2\ : STD_LOGIC;
signal \in02_inferred__0/i__carry__0_n_3\ : STD_LOGIC;
signal \in02_inferred__0/i__carry_n_0\ : STD_LOGIC;
signal \in02_inferred__0/i__carry_n_1\ : STD_LOGIC;
signal \in02_inferred__0/i__carry_n_2\ : STD_LOGIC;
signal \in02_inferred__0/i__carry_n_3\ : STD_LOGIC;
signal \input_bram_rd_adddr[11]_i_1_n_0\ : STD_LOGIC;
signal \input_bram_rd_adddr[11]_i_3_n_0\ : STD_LOGIC;
signal input_read_req : STD_LOGIC;
signal input_read_req_i_1_n_0 : STD_LOGIC;
signal input_read_req_r : STD_LOGIC_VECTOR ( 1 downto 0 );
signal mac_o : STD_LOGIC_VECTOR ( 15 downto 0 );
signal mac_o_last : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \mac_o_last[0]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[10]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[11]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[12]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[13]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[14]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[15]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[1]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[2]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[3]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[4]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[5]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[6]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[7]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[8]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last[9]_i_1_n_0\ : STD_LOGIC;
signal mac_o_last_relu : STD_LOGIC_VECTOR ( 14 downto 0 );
signal \mac_o_last_relu[0]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[10]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[11]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[12]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[13]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[14]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[1]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[2]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[3]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[4]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[5]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[6]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[7]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[8]_i_1_n_0\ : STD_LOGIC;
signal \mac_o_last_relu[9]_i_1_n_0\ : STD_LOGIC;
signal macinst0_n_0 : STD_LOGIC;
signal macinst0_n_1 : STD_LOGIC;
signal macinst0_n_2 : STD_LOGIC;
signal mult_a : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \mult_a[0]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[10]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[11]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[12]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[13]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[14]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[15]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[1]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[2]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[3]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[4]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[5]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[6]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[7]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[8]_i_1_n_0\ : STD_LOGIC;
signal \mult_a[9]_i_1_n_0\ : STD_LOGIC;
signal mult_b : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \mult_b[0]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[10]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[11]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[12]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[13]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[14]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[15]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[1]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[2]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[3]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[4]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[5]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[6]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[7]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[8]_i_1_n_0\ : STD_LOGIC;
signal \mult_b[9]_i_1_n_0\ : STD_LOGIC;
signal multadd_en : STD_LOGIC;
signal multadd_en_i_1_n_0 : STD_LOGIC;
signal multadd_op_valid : STD_LOGIC;
signal n_output_bram_wr_addr0 : STD_LOGIC_VECTOR ( 13 downto 1 );
signal \n_output_bram_wr_addr0_carry__0_i_1_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__0_i_2_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__0_i_3_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__0_i_4_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__0_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__0_n_1\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__0_n_2\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__0_n_3\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__1_i_1_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__1_i_2_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__1_i_3_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__1_i_4_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__1_n_0\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__1_n_1\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__1_n_2\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__1_n_3\ : STD_LOGIC;
signal \n_output_bram_wr_addr0_carry__2_i_1_n_0\ : STD_LOGIC;
signal n_output_bram_wr_addr0_carry_i_1_n_0 : STD_LOGIC;
signal n_output_bram_wr_addr0_carry_i_2_n_0 : STD_LOGIC;
signal n_output_bram_wr_addr0_carry_i_3_n_0 : STD_LOGIC;
signal n_output_bram_wr_addr0_carry_i_4_n_0 : STD_LOGIC;
signal n_output_bram_wr_addr0_carry_n_0 : STD_LOGIC;
signal n_output_bram_wr_addr0_carry_n_1 : STD_LOGIC;
signal n_output_bram_wr_addr0_carry_n_2 : STD_LOGIC;
signal n_output_bram_wr_addr0_carry_n_3 : STD_LOGIC;
signal \^output_bram_wr_addr\ : STD_LOGIC_VECTOR ( 13 downto 0 );
signal \output_bram_wr_addr[0]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[10]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[11]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[12]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[13]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[13]_i_2_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[1]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[2]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[3]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[4]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[5]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[6]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[7]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[8]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_addr[9]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[0]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[10]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[11]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[12]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[13]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[14]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[1]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[2]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[3]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[4]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[5]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[6]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[7]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[8]_i_1_n_0\ : STD_LOGIC;
signal \output_bram_wr_dout[9]_i_1_n_0\ : STD_LOGIC;
signal output_bram_wr_wen_i_1_n_0 : STD_LOGIC;
signal p_1_in : STD_LOGIC_VECTOR ( 11 downto 0 );
signal row_addr_overflow : STD_LOGIC;
attribute MARK_DEBUG of row_addr_overflow : signal is std.standard.true;
signal row_addr_underflow : STD_LOGIC;
attribute MARK_DEBUG of row_addr_underflow : signal is std.standard.true;
signal sum_col_addr : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute MARK_DEBUG of sum_col_addr : signal is std.standard.true;
signal sum_col_addr_inferred_i_7_n_0 : STD_LOGIC;
signal sum_row_addr : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute MARK_DEBUG of sum_row_addr : signal is std.standard.true;
signal sum_row_addr_inferred_i_7_n_0 : STD_LOGIC;
signal \weight_bram_rd_adddr[0]_i_1_n_0\ : STD_LOGIC;
signal \weight_bram_rd_adddr[1]_i_1_n_0\ : STD_LOGIC;
signal \weight_bram_rd_adddr[2]_i_1_n_0\ : STD_LOGIC;
signal \weight_bram_rd_adddr[3]_i_1_n_0\ : STD_LOGIC;
signal \weight_bram_rd_adddr[4]_i_1_n_0\ : STD_LOGIC;
signal \weight_bram_rd_adddr[5]_i_1_n_0\ : STD_LOGIC;
signal \weight_bram_rd_adddr[6]_i_1_n_0\ : STD_LOGIC;
signal \weight_bram_rd_adddr[7]_i_1_n_0\ : STD_LOGIC;
signal \weight_bram_rd_adddr[8]_i_1_n_0\ : STD_LOGIC;
signal NLW_conv_iaddr_inferred_i_1_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 to 3 );
signal NLW_conv_iaddr_inferred_i_16_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 to 3 );
signal NLW_conv_waddr_inferred_i_1_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_conv_waddr_inferred_i_1_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 );
signal NLW_conv_waddr_inferred_i_13_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_conv_waddr_inferred_i_13_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 );
signal NLW_conv_waddr_inferred_i_27_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_conv_waddr_inferred_i_27_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_in01_carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_in01_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_in01_carry__1_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_in01_carry__1_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_in02_carry__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_in02_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_in02_inferred__0/i__carry__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal NLW_macinst0_m_axis_result_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_n_output_bram_wr_addr0_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_n_output_bram_wr_addr0_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of ap_done_r_i_2 : label is "soft_lutpair34";
attribute SOFT_HLUTNM of ap_ready_r_i_1 : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \conv_ichnls[2]_i_2\ : label is "soft_lutpair33";
attribute KEEP : string;
attribute KEEP of \conv_ichnls_reg[0]\ : label is "yes";
attribute KEEP of \conv_ichnls_reg[1]\ : label is "yes";
attribute KEEP of \conv_ichnls_reg[2]\ : label is "yes";
attribute SOFT_HLUTNM of \conv_icols[5]_i_4\ : label is "soft_lutpair44";
attribute KEEP of \conv_icols_reg[0]\ : label is "yes";
attribute KEEP of \conv_icols_reg[1]\ : label is "yes";
attribute KEEP of \conv_icols_reg[2]\ : label is "yes";
attribute KEEP of \conv_icols_reg[3]\ : label is "yes";
attribute KEEP of \conv_icols_reg[4]\ : label is "yes";
attribute KEEP of \conv_icols_reg[5]\ : label is "yes";
attribute SOFT_HLUTNM of \conv_irows[4]_i_3\ : label is "soft_lutpair44";
attribute KEEP of \conv_irows_reg[0]\ : label is "yes";
attribute KEEP of \conv_irows_reg[1]\ : label is "yes";
attribute KEEP of \conv_irows_reg[2]\ : label is "yes";
attribute KEEP of \conv_irows_reg[3]\ : label is "yes";
attribute KEEP of \conv_irows_reg[4]\ : label is "yes";
attribute KEEP of \conv_irows_reg[5]\ : label is "yes";
attribute SOFT_HLUTNM of \conv_state[2]_i_2\ : label is "soft_lutpair33";
attribute KEEP of \conv_wcols_reg[0]\ : label is "yes";
attribute KEEP of \conv_wcols_reg[1]\ : label is "yes";
attribute KEEP of \conv_wcols_reg[2]\ : label is "yes";
attribute SOFT_HLUTNM of \conv_wfltrs[4]_i_4\ : label is "soft_lutpair38";
attribute KEEP of \conv_wfltrs_reg[0]\ : label is "yes";
attribute KEEP of \conv_wfltrs_reg[1]\ : label is "yes";
attribute KEEP of \conv_wfltrs_reg[2]\ : label is "yes";
attribute KEEP of \conv_wfltrs_reg[3]\ : label is "yes";
attribute KEEP of \conv_wfltrs_reg[4]\ : label is "yes";
attribute SOFT_HLUTNM of \conv_wrows[2]_i_2\ : label is "soft_lutpair38";
attribute KEEP of \conv_wrows_reg[0]\ : label is "yes";
attribute KEEP of \conv_wrows_reg[1]\ : label is "yes";
attribute KEEP of \conv_wrows_reg[2]\ : label is "yes";
attribute KEEP of \current_col_reg[0]\ : label is "yes";
attribute KEEP of \current_col_reg[1]\ : label is "yes";
attribute KEEP of \current_col_reg[2]\ : label is "yes";
attribute KEEP of \current_col_reg[3]\ : label is "yes";
attribute KEEP of \current_col_reg[4]\ : label is "yes";
attribute KEEP of \current_col_reg[5]\ : label is "yes";
attribute KEEP of \current_row_reg[0]\ : label is "yes";
attribute KEEP of \current_row_reg[1]\ : label is "yes";
attribute KEEP of \current_row_reg[2]\ : label is "yes";
attribute KEEP of \current_row_reg[3]\ : label is "yes";
attribute KEEP of \current_row_reg[4]\ : label is "yes";
attribute KEEP of \current_row_reg[5]\ : label is "yes";
attribute X_INTERFACE_INFO : string;
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[0]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[10]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[11]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[1]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[2]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[3]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[4]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[5]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[6]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[7]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[8]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of \input_bram_rd_adddr_reg[9]\ : label is "xilinx.com:interface:bram:1.0 input_bram_rd ADDR";
attribute X_INTERFACE_INFO of input_bram_rd_en_reg : label is "xilinx.com:interface:bram:1.0 input_bram_rd EN";
attribute SOFT_HLUTNM of \mac_o_last_relu[0]_i_1\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \mac_o_last_relu[10]_i_1\ : label is "soft_lutpair57";
attribute SOFT_HLUTNM of \mac_o_last_relu[11]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \mac_o_last_relu[12]_i_1\ : label is "soft_lutpair58";
attribute SOFT_HLUTNM of \mac_o_last_relu[14]_i_1\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \mac_o_last_relu[1]_i_1\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \mac_o_last_relu[2]_i_1\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \mac_o_last_relu[3]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \mac_o_last_relu[4]_i_1\ : label is "soft_lutpair54";
attribute SOFT_HLUTNM of \mac_o_last_relu[5]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \mac_o_last_relu[6]_i_1\ : label is "soft_lutpair55";
attribute SOFT_HLUTNM of \mac_o_last_relu[7]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \mac_o_last_relu[8]_i_1\ : label is "soft_lutpair56";
attribute SOFT_HLUTNM of \mac_o_last_relu[9]_i_1\ : label is "soft_lutpair57";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of macinst0 : label is "fp_mult_add_16bit,floating_point_v7_1_4,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of macinst0 : label is "yes";
attribute x_core_info : string;
attribute x_core_info of macinst0 : label is "floating_point_v7_1_4,Vivado 2017.2";
attribute SOFT_HLUTNM of macinst0_i_1 : label is "soft_lutpair35";
attribute SOFT_HLUTNM of macinst0_i_10 : label is "soft_lutpair42";
attribute SOFT_HLUTNM of macinst0_i_11 : label is "soft_lutpair41";
attribute SOFT_HLUTNM of macinst0_i_12 : label is "soft_lutpair40";
attribute SOFT_HLUTNM of macinst0_i_13 : label is "soft_lutpair37";
attribute SOFT_HLUTNM of macinst0_i_14 : label is "soft_lutpair36";
attribute SOFT_HLUTNM of macinst0_i_15 : label is "soft_lutpair35";
attribute SOFT_HLUTNM of macinst0_i_16 : label is "soft_lutpair39";
attribute SOFT_HLUTNM of macinst0_i_2 : label is "soft_lutpair36";
attribute SOFT_HLUTNM of macinst0_i_3 : label is "soft_lutpair37";
attribute SOFT_HLUTNM of macinst0_i_4 : label is "soft_lutpair39";
attribute SOFT_HLUTNM of macinst0_i_5 : label is "soft_lutpair40";
attribute SOFT_HLUTNM of macinst0_i_6 : label is "soft_lutpair41";
attribute SOFT_HLUTNM of macinst0_i_7 : label is "soft_lutpair42";
attribute SOFT_HLUTNM of macinst0_i_8 : label is "soft_lutpair43";
attribute SOFT_HLUTNM of macinst0_i_9 : label is "soft_lutpair43";
attribute SOFT_HLUTNM of \output_bram_wr_addr[0]_i_1\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \output_bram_wr_addr[10]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \output_bram_wr_addr[11]_i_1\ : label is "soft_lutpair50";
attribute SOFT_HLUTNM of \output_bram_wr_addr[12]_i_1\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \output_bram_wr_addr[13]_i_2\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \output_bram_wr_addr[1]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \output_bram_wr_addr[2]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \output_bram_wr_addr[3]_i_1\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \output_bram_wr_addr[4]_i_1\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \output_bram_wr_addr[5]_i_1\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \output_bram_wr_addr[6]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \output_bram_wr_addr[7]_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \output_bram_wr_addr[8]_i_1\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \output_bram_wr_addr[9]_i_1\ : label is "soft_lutpair51";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[0]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[10]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[11]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[12]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[13]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[1]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[2]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[3]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[4]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[5]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[6]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[7]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[8]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_addr_reg[9]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr ADDR";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[0]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[10]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[11]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[12]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[13]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[14]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[1]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[2]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[3]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[4]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[5]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[6]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[7]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[8]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of \output_bram_wr_dout_reg[9]\ : label is "xilinx.com:interface:bram:1.0 output_bram_wr DIN";
attribute X_INTERFACE_INFO of output_bram_wr_wen_reg : label is "xilinx.com:interface:bram:1.0 output_bram_wr WE";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[0]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[1]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[2]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[3]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[4]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[5]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[6]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[7]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of \weight_bram_rd_adddr_reg[8]\ : label is "xilinx.com:interface:bram:1.0 weight_bram_rd ADDR";
attribute X_INTERFACE_INFO of weight_bram_rd_en_reg : label is "xilinx.com:interface:bram:1.0 weight_bram_rd EN";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of weight_bram_rd_en_reg : label is "no";
begin
AR(0) <= \^ar\(0);
ap_done <= \^ap_done\;
ap_ready <= \^ap_ready\;
output_bram_wr_addr(13 downto 0) <= \^output_bram_wr_addr\(13 downto 0);
\_inferred__5/i_\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA8"
)
port map (
I0 => sum_row_addr(5),
I1 => sum_row_addr(2),
I2 => sum_row_addr(1),
I3 => sum_row_addr(0),
I4 => sum_row_addr(4),
I5 => sum_row_addr(3),
O => row_addr_overflow
);
\_inferred__6/i_\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAAAAA8"
)
port map (
I0 => sum_col_addr(5),
I1 => sum_col_addr(2),
I2 => sum_col_addr(1),
I3 => sum_col_addr(0),
I4 => sum_col_addr(4),
I5 => sum_col_addr(3),
O => col_addr_overflow
);
ap_done_r_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFFFE000C0000"
)
port map (
I0 => ap_done_r_i_2_n_0,
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => conv_wfltrs(4),
I5 => \^ap_done\,
O => ap_done_r_i_1_n_0
);
ap_done_r_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => ap_start_rr,
I1 => ap_start_r,
O => ap_done_r_i_2_n_0
);
ap_done_r_reg: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => ap_done_r_i_1_n_0,
Q => \^ap_done\
);
ap_ready_r_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F3A2"
)
port map (
I0 => \^ap_done\,
I1 => ap_start_r,
I2 => ap_start_rr,
I3 => \^ap_ready\,
O => ap_ready_r_i_1_n_0
);
ap_ready_r_reg: unisim.vcomponents.FDPE
port map (
C => clk,
CE => '1',
D => ap_ready_r_i_1_n_0,
PRE => \^ar\(0),
Q => \^ap_ready\
);
ap_start_r_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => ap_start,
Q => ap_start_r,
R => '0'
);
ap_start_rr_reg: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => ap_start_r,
Q => ap_start_rr,
R => '0'
);
calc_col_addr_inferred_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFE00000001"
)
port map (
I0 => sum_col_addr(4),
I1 => sum_col_addr(0),
I2 => sum_col_addr(1),
I3 => sum_col_addr(2),
I4 => sum_col_addr(3),
I5 => sum_col_addr(5),
O => calc_col_addr(5)
);
calc_col_addr_inferred_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0001"
)
port map (
I0 => sum_col_addr(3),
I1 => sum_col_addr(2),
I2 => sum_col_addr(1),
I3 => sum_col_addr(0),
I4 => sum_col_addr(4),
O => calc_col_addr(4)
);
calc_col_addr_inferred_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"FE01"
)
port map (
I0 => sum_col_addr(0),
I1 => sum_col_addr(1),
I2 => sum_col_addr(2),
I3 => sum_col_addr(3),
O => calc_col_addr(3)
);
calc_col_addr_inferred_i_4: unisim.vcomponents.LUT3
generic map(
INIT => X"E1"
)
port map (
I0 => sum_col_addr(1),
I1 => sum_col_addr(0),
I2 => sum_col_addr(2),
O => calc_col_addr(2)
);
calc_col_addr_inferred_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => sum_col_addr(0),
I1 => sum_col_addr(1),
O => calc_col_addr(1)
);
calc_row_addr_inferred_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFE00000001"
)
port map (
I0 => sum_row_addr(4),
I1 => sum_row_addr(0),
I2 => sum_row_addr(1),
I3 => sum_row_addr(2),
I4 => sum_row_addr(3),
I5 => sum_row_addr(5),
O => calc_row_addr(5)
);
calc_row_addr_inferred_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0001"
)
port map (
I0 => sum_row_addr(3),
I1 => sum_row_addr(2),
I2 => sum_row_addr(1),
I3 => sum_row_addr(0),
I4 => sum_row_addr(4),
O => calc_row_addr(4)
);
calc_row_addr_inferred_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"FE01"
)
port map (
I0 => sum_row_addr(0),
I1 => sum_row_addr(1),
I2 => sum_row_addr(2),
I3 => sum_row_addr(3),
O => calc_row_addr(3)
);
calc_row_addr_inferred_i_4: unisim.vcomponents.LUT3
generic map(
INIT => X"E1"
)
port map (
I0 => sum_row_addr(1),
I1 => sum_row_addr(0),
I2 => sum_row_addr(2),
O => calc_row_addr(2)
);
calc_row_addr_inferred_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => sum_row_addr(0),
I1 => sum_row_addr(1),
O => calc_row_addr(1)
);
conv_iaddr_inferred_i_1: unisim.vcomponents.CARRY4
port map (
CI => conv_iaddr_inferred_i_2_n_0,
CO(3) => NLW_conv_iaddr_inferred_i_1_CO_UNCONNECTED(3),
CO(2) => conv_iaddr_inferred_i_1_n_1,
CO(1) => conv_iaddr_inferred_i_1_n_2,
CO(0) => conv_iaddr_inferred_i_1_n_3,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => conv_iaddr(11 downto 8),
S(3) => conv_iaddr_inferred_i_4_n_0,
S(2) => conv_iaddr_inferred_i_5_n_0,
S(1) => conv_iaddr_inferred_i_6_n_0,
S(0) => conv_iaddr_inferred_i_7_n_0
);
conv_iaddr_inferred_i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_iaddr_inferred_i_17_n_6,
O => conv_iaddr_inferred_i_10_n_0
);
conv_iaddr_inferred_i_11: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_iaddr_inferred_i_17_n_7,
O => conv_iaddr_inferred_i_11_n_0
);
conv_iaddr_inferred_i_12: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in02(3),
O => conv_iaddr_inferred_i_12_n_0
);
conv_iaddr_inferred_i_13: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_ichnls(2),
I1 => in02(2),
O => conv_iaddr_inferred_i_13_n_0
);
conv_iaddr_inferred_i_14: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_ichnls(1),
I1 => in02(1),
O => conv_iaddr_inferred_i_14_n_0
);
conv_iaddr_inferred_i_15: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_ichnls(0),
I1 => in02(0),
O => conv_iaddr_inferred_i_15_n_0
);
conv_iaddr_inferred_i_16: unisim.vcomponents.CARRY4
port map (
CI => conv_iaddr_inferred_i_17_n_0,
CO(3) => NLW_conv_iaddr_inferred_i_16_CO_UNCONNECTED(3),
CO(2) => conv_iaddr_inferred_i_16_n_1,
CO(1) => conv_iaddr_inferred_i_16_n_2,
CO(0) => conv_iaddr_inferred_i_16_n_3,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => conv_iaddr_inferred_i_16_n_4,
O(2) => conv_iaddr_inferred_i_16_n_5,
O(1) => conv_iaddr_inferred_i_16_n_6,
O(0) => conv_iaddr_inferred_i_16_n_7,
S(3) => conv_iaddr_inferred_i_18_n_0,
S(2) => conv_iaddr_inferred_i_19_n_0,
S(1) => conv_iaddr_inferred_i_20_n_0,
S(0) => conv_iaddr_inferred_i_21_n_0
);
conv_iaddr_inferred_i_17: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => conv_iaddr_inferred_i_17_n_0,
CO(2) => conv_iaddr_inferred_i_17_n_1,
CO(1) => conv_iaddr_inferred_i_17_n_2,
CO(0) => conv_iaddr_inferred_i_17_n_3,
CYINIT => '0',
DI(3 downto 1) => in02(7 downto 5),
DI(0) => '0',
O(3) => conv_iaddr_inferred_i_17_n_4,
O(2) => conv_iaddr_inferred_i_17_n_5,
O(1) => conv_iaddr_inferred_i_17_n_6,
O(0) => conv_iaddr_inferred_i_17_n_7,
S(3) => conv_iaddr_inferred_i_22_n_0,
S(2) => conv_iaddr_inferred_i_23_n_0,
S(1) => conv_iaddr_inferred_i_24_n_0,
S(0) => conv_iaddr_inferred_i_25_n_0
);
conv_iaddr_inferred_i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in01_1(6),
O => conv_iaddr_inferred_i_18_n_0
);
conv_iaddr_inferred_i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in01_1(5),
O => conv_iaddr_inferred_i_19_n_0
);
conv_iaddr_inferred_i_2: unisim.vcomponents.CARRY4
port map (
CI => conv_iaddr_inferred_i_3_n_0,
CO(3) => conv_iaddr_inferred_i_2_n_0,
CO(2) => conv_iaddr_inferred_i_2_n_1,
CO(1) => conv_iaddr_inferred_i_2_n_2,
CO(0) => conv_iaddr_inferred_i_2_n_3,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => conv_iaddr(7 downto 4),
S(3) => conv_iaddr_inferred_i_8_n_0,
S(2) => conv_iaddr_inferred_i_9_n_0,
S(1) => conv_iaddr_inferred_i_10_n_0,
S(0) => conv_iaddr_inferred_i_11_n_0
);
conv_iaddr_inferred_i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in01_1(4),
O => conv_iaddr_inferred_i_20_n_0
);
conv_iaddr_inferred_i_21: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in01_1(3),
O => conv_iaddr_inferred_i_21_n_0
);
conv_iaddr_inferred_i_22: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => in02(7),
I1 => in01_1(2),
O => conv_iaddr_inferred_i_22_n_0
);
conv_iaddr_inferred_i_23: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => in02(6),
I1 => in01_1(1),
O => conv_iaddr_inferred_i_23_n_0
);
conv_iaddr_inferred_i_24: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => in02(5),
I1 => in01_1(0),
O => conv_iaddr_inferred_i_24_n_0
);
conv_iaddr_inferred_i_25: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in02(4),
O => conv_iaddr_inferred_i_25_n_0
);
conv_iaddr_inferred_i_3: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => conv_iaddr_inferred_i_3_n_0,
CO(2) => conv_iaddr_inferred_i_3_n_1,
CO(1) => conv_iaddr_inferred_i_3_n_2,
CO(0) => conv_iaddr_inferred_i_3_n_3,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => conv_ichnls(2 downto 0),
O(3 downto 0) => conv_iaddr(3 downto 0),
S(3) => conv_iaddr_inferred_i_12_n_0,
S(2) => conv_iaddr_inferred_i_13_n_0,
S(1) => conv_iaddr_inferred_i_14_n_0,
S(0) => conv_iaddr_inferred_i_15_n_0
);
conv_iaddr_inferred_i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_iaddr_inferred_i_16_n_4,
O => conv_iaddr_inferred_i_4_n_0
);
conv_iaddr_inferred_i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_iaddr_inferred_i_16_n_5,
O => conv_iaddr_inferred_i_5_n_0
);
conv_iaddr_inferred_i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_iaddr_inferred_i_16_n_6,
O => conv_iaddr_inferred_i_6_n_0
);
conv_iaddr_inferred_i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_iaddr_inferred_i_16_n_7,
O => conv_iaddr_inferred_i_7_n_0
);
conv_iaddr_inferred_i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_iaddr_inferred_i_17_n_4,
O => conv_iaddr_inferred_i_8_n_0
);
conv_iaddr_inferred_i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_iaddr_inferred_i_17_n_5,
O => conv_iaddr_inferred_i_9_n_0
);
\conv_ichnls[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CC9CCCC0C09CCCC0"
)
port map (
I0 => \conv_ichnls[0]_i_2_n_0\,
I1 => conv_ichnls(0),
I2 => conv_state(1),
I3 => conv_state(0),
I4 => conv_state(2),
I5 => \conv_ichnls[0]_i_3_n_0\,
O => \conv_ichnls[0]_i_1_n_0\
);
\conv_ichnls[0]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => conv_ichnls(2),
I1 => conv_ichnls(1),
I2 => conv_ichnls(0),
O => \conv_ichnls[0]_i_2_n_0\
);
\conv_ichnls[0]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => conv_wcols(2),
I1 => conv_wcols(1),
I2 => conv_wcols(0),
O => \conv_ichnls[0]_i_3_n_0\
);
\conv_ichnls[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C8C8C8C8FBC8CC88"
)
port map (
I0 => \conv_ichnls[1]_i_2_n_0\,
I1 => conv_ichnls(1),
I2 => conv_state(1),
I3 => \conv_ichnls[2]_i_2_n_0\,
I4 => conv_ichnls(0),
I5 => conv_ichnls(2),
O => \conv_ichnls[1]_i_1_n_0\
);
\conv_ichnls[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"DEDEDEDEDE9E9E9E"
)
port map (
I0 => conv_state(1),
I1 => conv_state(0),
I2 => conv_state(2),
I3 => conv_wcols(0),
I4 => conv_wcols(1),
I5 => conv_wcols(2),
O => \conv_ichnls[1]_i_2_n_0\
);
\conv_ichnls[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF007000"
)
port map (
I0 => conv_ichnls(1),
I1 => conv_ichnls(0),
I2 => \conv_ichnls[2]_i_2_n_0\,
I3 => conv_ichnls(2),
I4 => \conv_ichnls[2]_i_3_n_0\,
O => \conv_ichnls[2]_i_1_n_0\
);
\conv_ichnls[2]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => conv_state(0),
I1 => conv_state(1),
I2 => conv_state(2),
O => \conv_ichnls[2]_i_2_n_0\
);
\conv_ichnls[2]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEAFFFFFFFFAAAA"
)
port map (
I0 => conv_state(1),
I1 => conv_wcols(0),
I2 => conv_wcols(1),
I3 => conv_wcols(2),
I4 => conv_state(2),
I5 => conv_state(0),
O => \conv_ichnls[2]_i_3_n_0\
);
\conv_ichnls_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_ichnls[0]_i_1_n_0\,
Q => conv_ichnls(0)
);
\conv_ichnls_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_ichnls[1]_i_1_n_0\,
Q => conv_ichnls(1)
);
\conv_ichnls_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_ichnls[2]_i_1_n_0\,
Q => conv_ichnls(2)
);
\conv_icols[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCCCCCCCC6C0C600"
)
port map (
I0 => \conv_wcols[0]_i_2_n_0\,
I1 => conv_icols(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => conv_irows(5),
I5 => conv_state(0),
O => \conv_icols[0]_i_1_n_0\
);
\conv_icols[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF807080"
)
port map (
I0 => conv_icols(0),
I1 => \conv_wcols[0]_i_2_n_0\,
I2 => \conv_icols[5]_i_4_n_0\,
I3 => conv_icols(1),
I4 => \conv_icols[5]_i_5_n_0\,
O => \conv_icols[1]_i_1_n_0\
);
\conv_icols[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCCCCCCCC6C0C600"
)
port map (
I0 => \conv_icols[5]_i_2_n_0\,
I1 => conv_icols(2),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => conv_irows(5),
I5 => conv_state(0),
O => \conv_icols[2]_i_1_n_0\
);
\conv_icols[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF807080"
)
port map (
I0 => conv_icols(2),
I1 => \conv_icols[5]_i_2_n_0\,
I2 => \conv_icols[5]_i_4_n_0\,
I3 => conv_icols(3),
I4 => \conv_icols[5]_i_5_n_0\,
O => \conv_icols[3]_i_1_n_0\
);
\conv_icols[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF80007F008000"
)
port map (
I0 => \conv_icols[5]_i_2_n_0\,
I1 => conv_icols(2),
I2 => conv_icols(3),
I3 => \conv_icols[5]_i_4_n_0\,
I4 => conv_icols(4),
I5 => \conv_icols[5]_i_5_n_0\,
O => \conv_icols[4]_i_1_n_0\
);
\conv_icols[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF80007F008000"
)
port map (
I0 => \conv_icols[5]_i_2_n_0\,
I1 => \conv_icols[5]_i_3_n_0\,
I2 => conv_icols(2),
I3 => \conv_icols[5]_i_4_n_0\,
I4 => conv_icols(5),
I5 => \conv_icols[5]_i_5_n_0\,
O => \conv_icols[5]_i_1_n_0\
);
\conv_icols[5]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"A8880000"
)
port map (
I0 => conv_icols(0),
I1 => conv_wrows(2),
I2 => conv_wrows(1),
I3 => conv_wrows(0),
I4 => conv_icols(1),
O => \conv_icols[5]_i_2_n_0\
);
\conv_icols[5]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => conv_icols(3),
I1 => conv_icols(4),
O => \conv_icols[5]_i_3_n_0\
);
\conv_icols[5]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => conv_state(2),
I1 => conv_state(1),
I2 => conv_state(0),
O => \conv_icols[5]_i_4_n_0\
);
\conv_icols[5]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFA8"
)
port map (
I0 => conv_state(1),
I1 => conv_state(2),
I2 => conv_irows(5),
I3 => conv_state(0),
O => \conv_icols[5]_i_5_n_0\
);
\conv_icols_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_icols[0]_i_1_n_0\,
Q => conv_icols(0)
);
\conv_icols_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_icols[1]_i_1_n_0\,
Q => conv_icols(1)
);
\conv_icols_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_icols[2]_i_1_n_0\,
Q => conv_icols(2)
);
\conv_icols_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_icols[3]_i_1_n_0\,
Q => conv_icols(3)
);
\conv_icols_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_icols[4]_i_1_n_0\,
Q => conv_icols(4)
);
\conv_icols_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_icols[5]_i_1_n_0\,
Q => conv_icols(5)
);
conv_ip_zeros_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"04440000"
)
port map (
I0 => conv_ichnls(2),
I1 => conv_zero_pad_en,
I2 => conv_ichnls(0),
I3 => conv_ichnls(1),
I4 => \conv_ichnls[2]_i_2_n_0\,
O => conv_ip_zeros_i_1_n_0
);
\conv_ip_zeros_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => conv_ip_zeros,
Q => conv_ip_zeros_r(0),
R => '0'
);
\conv_ip_zeros_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => conv_ip_zeros_r(0),
Q => conv_ip_zeros_r(1),
R => '0'
);
conv_ip_zeros_reg: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => conv_ip_zeros_i_1_n_0,
Q => conv_ip_zeros
);
\conv_irows[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C6C6CCCCCCC0C0C0"
)
port map (
I0 => conv_icols(5),
I1 => conv_irows(0),
I2 => conv_state(2),
I3 => conv_wfltrs(4),
I4 => conv_state(0),
I5 => conv_state(1),
O => \conv_irows[0]_i_1_n_0\
);
\conv_irows[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF807080"
)
port map (
I0 => conv_icols(5),
I1 => conv_irows(0),
I2 => \conv_irows[4]_i_3_n_0\,
I3 => conv_irows(1),
I4 => \conv_irows[4]_i_4_n_0\,
O => \conv_irows[1]_i_1_n_0\
);
\conv_irows[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C6C6CCCCCCC0C0C0"
)
port map (
I0 => \conv_irows[4]_i_2_n_0\,
I1 => conv_irows(2),
I2 => conv_state(2),
I3 => conv_wfltrs(4),
I4 => conv_state(0),
I5 => conv_state(1),
O => \conv_irows[2]_i_1_n_0\
);
\conv_irows[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF807080"
)
port map (
I0 => conv_irows(2),
I1 => \conv_irows[4]_i_2_n_0\,
I2 => \conv_irows[4]_i_3_n_0\,
I3 => conv_irows(3),
I4 => \conv_irows[4]_i_4_n_0\,
O => \conv_irows[3]_i_1_n_0\
);
\conv_irows[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF80007F008000"
)
port map (
I0 => \conv_irows[4]_i_2_n_0\,
I1 => conv_irows(2),
I2 => conv_irows(3),
I3 => \conv_irows[4]_i_3_n_0\,
I4 => conv_irows(4),
I5 => \conv_irows[4]_i_4_n_0\,
O => \conv_irows[4]_i_1_n_0\
);
\conv_irows[4]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => conv_icols(5),
I1 => conv_irows(0),
I2 => conv_irows(1),
O => \conv_irows[4]_i_2_n_0\
);
\conv_irows[4]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => conv_state(1),
I1 => conv_state(0),
I2 => conv_state(2),
O => \conv_irows[4]_i_3_n_0\
);
\conv_irows[4]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"AFEA"
)
port map (
I0 => conv_state(2),
I1 => conv_wfltrs(4),
I2 => conv_state(0),
I3 => conv_state(1),
O => \conv_irows[4]_i_4_n_0\
);
\conv_irows[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C6C6CCCCCCC0C0C0"
)
port map (
I0 => \conv_irows[5]_i_2_n_0\,
I1 => conv_irows(5),
I2 => conv_state(2),
I3 => conv_wfltrs(4),
I4 => conv_state(0),
I5 => conv_state(1),
O => \conv_irows[5]_i_1_n_0\
);
\conv_irows[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => conv_irows(2),
I1 => conv_irows(3),
I2 => conv_irows(4),
I3 => conv_irows(1),
I4 => conv_irows(0),
I5 => conv_icols(5),
O => \conv_irows[5]_i_2_n_0\
);
\conv_irows_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_irows[0]_i_1_n_0\,
Q => conv_irows(0)
);
\conv_irows_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_irows[1]_i_1_n_0\,
Q => conv_irows(1)
);
\conv_irows_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_irows[2]_i_1_n_0\,
Q => conv_irows(2)
);
\conv_irows_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_irows[3]_i_1_n_0\,
Q => conv_irows(3)
);
\conv_irows_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_irows[4]_i_1_n_0\,
Q => conv_irows(4)
);
\conv_irows_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_irows[5]_i_1_n_0\,
Q => conv_irows(5)
);
\conv_state[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E330E333E330E330"
)
port map (
I0 => \conv_ichnls[0]_i_2_n_0\,
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => ap_start_rr,
I5 => ap_start_r,
O => \conv_state[0]_i_1_n_0\
);
\conv_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"EFE0"
)
port map (
I0 => \conv_state[1]_i_2_n_0\,
I1 => \conv_state[1]_i_3_n_0\,
I2 => \conv_state[2]_i_2_n_0\,
I3 => conv_state(1),
O => \conv_state[1]_i_1_n_0\
);
\conv_state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000330055F000"
)
port map (
I0 => conv_irows(5),
I1 => conv_wfltrs(4),
I2 => \conv_wcols[0]_i_2_n_0\,
I3 => conv_state(2),
I4 => conv_state(1),
I5 => conv_state(0),
O => \conv_state[1]_i_2_n_0\
);
\conv_state[1]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"33553300F0000000"
)
port map (
I0 => \conv_ichnls[0]_i_3_n_0\,
I1 => \conv_ichnls[0]_i_2_n_0\,
I2 => conv_icols(5),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => conv_state(2),
O => \conv_state[1]_i_3_n_0\
);
\conv_state[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEFFFFFF08080000"
)
port map (
I0 => conv_state(1),
I1 => conv_state(0),
I2 => conv_icols(5),
I3 => \conv_wcols[0]_i_2_n_0\,
I4 => \conv_state[2]_i_2_n_0\,
I5 => conv_state(2),
O => \conv_state[2]_i_1_n_0\
);
\conv_state[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"7E7F7E7E"
)
port map (
I0 => conv_state(0),
I1 => conv_state(1),
I2 => conv_state(2),
I3 => ap_start_rr,
I4 => ap_start_r,
O => \conv_state[2]_i_2_n_0\
);
\conv_state_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_state[0]_i_1_n_0\,
Q => conv_state(0)
);
\conv_state_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_state[1]_i_1_n_0\,
Q => conv_state(1)
);
\conv_state_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_state[2]_i_1_n_0\,
Q => conv_state(2)
);
conv_waddr_inferred_i_1: unisim.vcomponents.CARRY4
port map (
CI => conv_waddr_inferred_i_2_n_0,
CO(3 downto 0) => NLW_conv_waddr_inferred_i_1_CO_UNCONNECTED(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => NLW_conv_waddr_inferred_i_1_O_UNCONNECTED(3 downto 1),
O(0) => conv_waddr(8),
S(3 downto 1) => B"000",
S(0) => conv_waddr_inferred_i_4_n_0
);
conv_waddr_inferred_i_10: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_ichnls(2),
I1 => conv_waddr_inferred_i_15_n_5,
O => conv_waddr_inferred_i_10_n_0
);
conv_waddr_inferred_i_11: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_ichnls(1),
I1 => conv_waddr_inferred_i_15_n_6,
O => conv_waddr_inferred_i_11_n_0
);
conv_waddr_inferred_i_12: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_ichnls(0),
I1 => conv_waddr_inferred_i_15_n_7,
O => conv_waddr_inferred_i_12_n_0
);
conv_waddr_inferred_i_13: unisim.vcomponents.CARRY4
port map (
CI => conv_waddr_inferred_i_14_n_0,
CO(3 downto 0) => NLW_conv_waddr_inferred_i_13_CO_UNCONNECTED(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => NLW_conv_waddr_inferred_i_13_O_UNCONNECTED(3 downto 1),
O(0) => conv_waddr_inferred_i_13_n_7,
S(3 downto 1) => B"000",
S(0) => \conv_wrows_reg[0]_0\(0)
);
conv_waddr_inferred_i_14: unisim.vcomponents.CARRY4
port map (
CI => conv_waddr_inferred_i_15_n_0,
CO(3) => conv_waddr_inferred_i_14_n_0,
CO(2) => conv_waddr_inferred_i_14_n_1,
CO(1) => conv_waddr_inferred_i_14_n_2,
CO(0) => conv_waddr_inferred_i_14_n_3,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => C(4),
O(3) => conv_waddr_inferred_i_14_n_4,
O(2) => conv_waddr_inferred_i_14_n_5,
O(1) => conv_waddr_inferred_i_14_n_6,
O(0) => conv_waddr_inferred_i_14_n_7,
S(3 downto 1) => S(2 downto 0),
S(0) => conv_waddr_inferred_i_21_n_0
);
conv_waddr_inferred_i_15: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => conv_waddr_inferred_i_15_n_0,
CO(2) => conv_waddr_inferred_i_15_n_1,
CO(1) => conv_waddr_inferred_i_15_n_2,
CO(0) => conv_waddr_inferred_i_15_n_3,
CYINIT => '0',
DI(3 downto 1) => C(3 downto 1),
DI(0) => conv_wcols(0),
O(3) => conv_waddr_inferred_i_15_n_4,
O(2) => conv_waddr_inferred_i_15_n_5,
O(1) => conv_waddr_inferred_i_15_n_6,
O(0) => conv_waddr_inferred_i_15_n_7,
S(3) => conv_waddr_inferred_i_23_n_0,
S(2) => conv_waddr_inferred_i_24_n_0,
S(1) => conv_waddr_inferred_i_25_n_0,
S(0) => conv_waddr_inferred_i_26_n_0
);
conv_waddr_inferred_i_17: unisim.vcomponents.CARRY4
port map (
CI => conv_waddr_inferred_i_22_n_0,
CO(3) => conv_waddr_inferred_i_17_n_0,
CO(2) => conv_waddr_inferred_i_17_n_1,
CO(1) => conv_waddr_inferred_i_17_n_2,
CO(0) => conv_waddr_inferred_i_17_n_3,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => in01(6 downto 4),
O(3 downto 1) => \weight_bram_rd_adddr_reg[8]_0\(2 downto 0),
O(0) => C(4),
S(3) => conv_waddr_inferred_i_28_n_0,
S(2) => conv_waddr_inferred_i_29_n_0,
S(1) => conv_waddr_inferred_i_30_n_0,
S(0) => conv_waddr_inferred_i_31_n_0
);
conv_waddr_inferred_i_2: unisim.vcomponents.CARRY4
port map (
CI => conv_waddr_inferred_i_3_n_0,
CO(3) => conv_waddr_inferred_i_2_n_0,
CO(2) => conv_waddr_inferred_i_2_n_1,
CO(1) => conv_waddr_inferred_i_2_n_2,
CO(0) => conv_waddr_inferred_i_2_n_3,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => conv_waddr(7 downto 4),
S(3) => conv_waddr_inferred_i_5_n_0,
S(2) => conv_waddr_inferred_i_6_n_0,
S(1) => conv_waddr_inferred_i_7_n_0,
S(0) => conv_waddr_inferred_i_8_n_0
);
conv_waddr_inferred_i_21: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => conv_wcols(2),
I1 => conv_wcols(1),
I2 => C(4),
O => conv_waddr_inferred_i_21_n_0
);
conv_waddr_inferred_i_22: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => conv_waddr_inferred_i_22_n_0,
CO(2) => conv_waddr_inferred_i_22_n_1,
CO(1) => conv_waddr_inferred_i_22_n_2,
CO(0) => conv_waddr_inferred_i_22_n_3,
CYINIT => '0',
DI(3 downto 1) => in01(3 downto 1),
DI(0) => conv_wrows(0),
O(3 downto 0) => C(3 downto 0),
S(3) => conv_waddr_inferred_i_32_n_0,
S(2) => conv_waddr_inferred_i_33_n_0,
S(1) => conv_waddr_inferred_i_34_n_0,
S(0) => conv_waddr_inferred_i_35_n_0
);
conv_waddr_inferred_i_23: unisim.vcomponents.LUT4
generic map(
INIT => X"A758"
)
port map (
I0 => conv_wcols(1),
I1 => conv_wcols(0),
I2 => conv_wcols(2),
I3 => C(3),
O => conv_waddr_inferred_i_23_n_0
);
conv_waddr_inferred_i_24: unisim.vcomponents.LUT4
generic map(
INIT => X"639C"
)
port map (
I0 => conv_wcols(0),
I1 => conv_wcols(2),
I2 => conv_wcols(1),
I3 => C(2),
O => conv_waddr_inferred_i_24_n_0
);
conv_waddr_inferred_i_25: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => conv_wcols(1),
I1 => conv_wcols(0),
I2 => C(1),
O => conv_waddr_inferred_i_25_n_0
);
conv_waddr_inferred_i_26: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_wcols(0),
I1 => C(0),
O => conv_waddr_inferred_i_26_n_0
);
conv_waddr_inferred_i_27: unisim.vcomponents.CARRY4
port map (
CI => conv_waddr_inferred_i_17_n_0,
CO(3 downto 0) => NLW_conv_waddr_inferred_i_27_CO_UNCONNECTED(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => NLW_conv_waddr_inferred_i_27_O_UNCONNECTED(3 downto 1),
O(0) => \weight_bram_rd_adddr_reg[8]_0\(3),
S(3 downto 1) => B"000",
S(0) => conv_waddr_inferred_i_36_n_0
);
conv_waddr_inferred_i_28: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in01(7),
O => conv_waddr_inferred_i_28_n_0
);
conv_waddr_inferred_i_29: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in01(6),
O => conv_waddr_inferred_i_29_n_0
);
conv_waddr_inferred_i_3: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => conv_waddr_inferred_i_3_n_0,
CO(2) => conv_waddr_inferred_i_3_n_1,
CO(1) => conv_waddr_inferred_i_3_n_2,
CO(0) => conv_waddr_inferred_i_3_n_3,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => conv_ichnls(2 downto 0),
O(3 downto 0) => conv_waddr(3 downto 0),
S(3) => conv_waddr_inferred_i_9_n_0,
S(2) => conv_waddr_inferred_i_10_n_0,
S(1) => conv_waddr_inferred_i_11_n_0,
S(0) => conv_waddr_inferred_i_12_n_0
);
conv_waddr_inferred_i_30: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_wrows(2),
I1 => in01(5),
O => conv_waddr_inferred_i_30_n_0
);
conv_waddr_inferred_i_31: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_wrows(1),
I1 => in01(4),
O => conv_waddr_inferred_i_31_n_0
);
conv_waddr_inferred_i_32: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_wrows(0),
I1 => in01(3),
O => conv_waddr_inferred_i_32_n_0
);
conv_waddr_inferred_i_33: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_wrows(2),
I1 => in01(2),
O => conv_waddr_inferred_i_33_n_0
);
conv_waddr_inferred_i_34: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_wrows(1),
I1 => in01(1),
O => conv_waddr_inferred_i_34_n_0
);
conv_waddr_inferred_i_35: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_wrows(0),
I1 => in01(0),
O => conv_waddr_inferred_i_35_n_0
);
conv_waddr_inferred_i_36: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in01(8),
O => conv_waddr_inferred_i_36_n_0
);
conv_waddr_inferred_i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_waddr_inferred_i_13_n_7,
O => conv_waddr_inferred_i_4_n_0
);
conv_waddr_inferred_i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_waddr_inferred_i_14_n_4,
O => conv_waddr_inferred_i_5_n_0
);
conv_waddr_inferred_i_6: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_waddr_inferred_i_14_n_5,
O => conv_waddr_inferred_i_6_n_0
);
conv_waddr_inferred_i_7: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_waddr_inferred_i_14_n_6,
O => conv_waddr_inferred_i_7_n_0
);
conv_waddr_inferred_i_8: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_waddr_inferred_i_14_n_7,
O => conv_waddr_inferred_i_8_n_0
);
conv_waddr_inferred_i_9: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_waddr_inferred_i_15_n_4,
O => conv_waddr_inferred_i_9_n_0
);
\conv_wcols[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CC3CCC88CCCCCC00"
)
port map (
I0 => \conv_wcols[0]_i_2_n_0\,
I1 => conv_wcols(0),
I2 => \conv_ichnls[0]_i_2_n_0\,
I3 => conv_state(0),
I4 => conv_state(1),
I5 => conv_state(2),
O => \conv_wcols[0]_i_1_n_0\
);
\conv_wcols[0]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => conv_wrows(2),
I1 => conv_wrows(1),
I2 => conv_wrows(0),
O => \conv_wcols[0]_i_2_n_0\
);
\conv_wcols[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"BFC0AA00"
)
port map (
I0 => \conv_wcols[2]_i_2_n_0\,
I1 => conv_wcols(0),
I2 => \conv_ichnls[0]_i_2_n_0\,
I3 => conv_wcols(1),
I4 => \conv_ichnls[2]_i_2_n_0\,
O => \conv_wcols[1]_i_1_n_0\
);
\conv_wcols[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BFFFC000AAAA0000"
)
port map (
I0 => \conv_wcols[2]_i_2_n_0\,
I1 => conv_wcols(0),
I2 => conv_wcols(1),
I3 => \conv_ichnls[0]_i_2_n_0\,
I4 => conv_wcols(2),
I5 => \conv_ichnls[2]_i_2_n_0\,
O => \conv_wcols[2]_i_1_n_0\
);
\conv_wcols[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF66666222"
)
port map (
I0 => conv_state(1),
I1 => conv_state(2),
I2 => conv_wrows(0),
I3 => conv_wrows(1),
I4 => conv_wrows(2),
I5 => conv_state(0),
O => \conv_wcols[2]_i_2_n_0\
);
\conv_wcols_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wcols[0]_i_1_n_0\,
Q => conv_wcols(0)
);
\conv_wcols_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wcols[1]_i_1_n_0\,
Q => conv_wcols(1)
);
\conv_wcols_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wcols[2]_i_1_n_0\,
Q => conv_wcols(2)
);
\conv_wfltrs[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F0F0F048"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(1),
I2 => conv_wfltrs(0),
I3 => conv_state(0),
I4 => conv_state(2),
O => \conv_wfltrs[0]_i_1_n_0\
);
\conv_wfltrs[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF00FF00FF007080"
)
port map (
I0 => conv_irows(5),
I1 => conv_wfltrs(0),
I2 => conv_state(1),
I3 => conv_wfltrs(1),
I4 => conv_state(0),
I5 => conv_state(2),
O => \conv_wfltrs[1]_i_1_n_0\
);
\conv_wfltrs[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF00FF00FF007080"
)
port map (
I0 => conv_wfltrs(1),
I1 => \conv_wfltrs[4]_i_2_n_0\,
I2 => conv_state(1),
I3 => conv_wfltrs(2),
I4 => conv_state(0),
I5 => conv_state(2),
O => \conv_wfltrs[2]_i_1_n_0\
);
\conv_wfltrs[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF00FF00FF007080"
)
port map (
I0 => \conv_wfltrs[4]_i_3_n_0\,
I1 => \conv_wfltrs[4]_i_2_n_0\,
I2 => conv_state(1),
I3 => conv_wfltrs(3),
I4 => conv_state(0),
I5 => conv_state(2),
O => \conv_wfltrs[3]_i_1_n_0\
);
\conv_wfltrs[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF80007F008000"
)
port map (
I0 => \conv_wfltrs[4]_i_2_n_0\,
I1 => \conv_wfltrs[4]_i_3_n_0\,
I2 => conv_wfltrs(3),
I3 => \conv_wfltrs[4]_i_4_n_0\,
I4 => conv_wfltrs(4),
I5 => \conv_wfltrs[4]_i_5_n_0\,
O => \conv_wfltrs[4]_i_1_n_0\
);
\conv_wfltrs[4]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => conv_wfltrs(0),
I1 => conv_irows(5),
O => \conv_wfltrs[4]_i_2_n_0\
);
\conv_wfltrs[4]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => conv_wfltrs(1),
I1 => conv_wfltrs(2),
O => \conv_wfltrs[4]_i_3_n_0\
);
\conv_wfltrs[4]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => conv_state(0),
I1 => conv_state(1),
I2 => conv_state(2),
O => \conv_wfltrs[4]_i_4_n_0\
);
\conv_wfltrs[4]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => conv_state(0),
I1 => conv_state(2),
O => \conv_wfltrs[4]_i_5_n_0\
);
\conv_wfltrs_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wfltrs[0]_i_1_n_0\,
Q => conv_wfltrs(0)
);
\conv_wfltrs_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wfltrs[1]_i_1_n_0\,
Q => conv_wfltrs(1)
);
\conv_wfltrs_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wfltrs[2]_i_1_n_0\,
Q => conv_wfltrs(2)
);
\conv_wfltrs_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wfltrs[3]_i_1_n_0\,
Q => conv_wfltrs(3)
);
\conv_wfltrs_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wfltrs[4]_i_1_n_0\,
Q => conv_wfltrs(4)
);
\conv_wrows[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"C6C6CCCCCC0CC0C0"
)
port map (
I0 => \conv_ichnls[0]_i_3_n_0\,
I1 => conv_wrows(0),
I2 => conv_state(1),
I3 => conv_icols(5),
I4 => conv_state(0),
I5 => conv_state(2),
O => \conv_wrows[0]_i_1_n_0\
);
\conv_wrows[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FF807080"
)
port map (
I0 => conv_wrows(0),
I1 => \conv_ichnls[0]_i_3_n_0\,
I2 => \conv_wrows[2]_i_2_n_0\,
I3 => conv_wrows(1),
I4 => \conv_wrows[2]_i_3_n_0\,
O => \conv_wrows[1]_i_1_n_0\
);
\conv_wrows[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF80007F008000"
)
port map (
I0 => conv_wrows(0),
I1 => conv_wrows(1),
I2 => \conv_ichnls[0]_i_3_n_0\,
I3 => \conv_wrows[2]_i_2_n_0\,
I4 => conv_wrows(2),
I5 => \conv_wrows[2]_i_3_n_0\,
O => \conv_wrows[2]_i_1_n_0\
);
\conv_wrows[2]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => conv_state(2),
I1 => conv_state(0),
I2 => conv_state(1),
O => \conv_wrows[2]_i_2_n_0\
);
\conv_wrows[2]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"AFDA"
)
port map (
I0 => conv_state(1),
I1 => conv_icols(5),
I2 => conv_state(0),
I3 => conv_state(2),
O => \conv_wrows[2]_i_3_n_0\
);
\conv_wrows_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wrows[0]_i_1_n_0\,
Q => conv_wrows(0)
);
\conv_wrows_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wrows[1]_i_1_n_0\,
Q => conv_wrows(1)
);
\conv_wrows_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \conv_wrows[2]_i_1_n_0\,
Q => conv_wrows(2)
);
conv_zero_pad_en_inferred_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => col_addr_underflow,
I1 => col_addr_overflow,
I2 => row_addr_overflow,
I3 => row_addr_underflow,
O => conv_zero_pad_en
);
\current_col[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_col(0),
I1 => \conv_ichnls[2]_i_3_n_0\,
I2 => calc_col_addr(0),
I3 => \current_col[5]_i_2_n_0\,
O => \current_col[0]_i_1_n_0\
);
\current_col[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_col(1),
I1 => \conv_ichnls[2]_i_3_n_0\,
I2 => calc_col_addr(1),
I3 => \current_col[5]_i_2_n_0\,
O => \current_col[1]_i_1_n_0\
);
\current_col[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_col(2),
I1 => \conv_ichnls[2]_i_3_n_0\,
I2 => calc_col_addr(2),
I3 => \current_col[5]_i_2_n_0\,
O => \current_col[2]_i_1_n_0\
);
\current_col[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_col(3),
I1 => \conv_ichnls[2]_i_3_n_0\,
I2 => calc_col_addr(3),
I3 => \current_col[5]_i_2_n_0\,
O => \current_col[3]_i_1_n_0\
);
\current_col[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_col(4),
I1 => \conv_ichnls[2]_i_3_n_0\,
I2 => calc_col_addr(4),
I3 => \current_col[5]_i_2_n_0\,
O => \current_col[4]_i_1_n_0\
);
\current_col[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_col(5),
I1 => \conv_ichnls[2]_i_3_n_0\,
I2 => calc_col_addr(5),
I3 => \current_col[5]_i_2_n_0\,
O => \current_col[5]_i_1_n_0\
);
\current_col[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000040"
)
port map (
I0 => \conv_ichnls[0]_i_3_n_0\,
I1 => conv_state(2),
I2 => conv_state(0),
I3 => conv_state(1),
I4 => col_addr_underflow,
I5 => col_addr_overflow,
O => \current_col[5]_i_2_n_0\
);
\current_col_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_col[0]_i_1_n_0\,
Q => current_col(0)
);
\current_col_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_col[1]_i_1_n_0\,
Q => current_col(1)
);
\current_col_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_col[2]_i_1_n_0\,
Q => current_col(2)
);
\current_col_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_col[3]_i_1_n_0\,
Q => current_col(3)
);
\current_col_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_col[4]_i_1_n_0\,
Q => current_col(4)
);
\current_col_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_col[5]_i_1_n_0\,
Q => current_col(5)
);
\current_row[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_row(0),
I1 => \current_row[5]_i_2_n_0\,
I2 => calc_row_addr(0),
I3 => \current_row[5]_i_3_n_0\,
O => \current_row[0]_i_1_n_0\
);
\current_row[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_row(1),
I1 => \current_row[5]_i_2_n_0\,
I2 => calc_row_addr(1),
I3 => \current_row[5]_i_3_n_0\,
O => \current_row[1]_i_1_n_0\
);
\current_row[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_row(2),
I1 => \current_row[5]_i_2_n_0\,
I2 => calc_row_addr(2),
I3 => \current_row[5]_i_3_n_0\,
O => \current_row[2]_i_1_n_0\
);
\current_row[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_row(3),
I1 => \current_row[5]_i_2_n_0\,
I2 => calc_row_addr(3),
I3 => \current_row[5]_i_3_n_0\,
O => \current_row[3]_i_1_n_0\
);
\current_row[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_row(4),
I1 => \current_row[5]_i_2_n_0\,
I2 => calc_row_addr(4),
I3 => \current_row[5]_i_3_n_0\,
O => \current_row[4]_i_1_n_0\
);
\current_row[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => current_row(5),
I1 => \current_row[5]_i_2_n_0\,
I2 => calc_row_addr(5),
I3 => \current_row[5]_i_3_n_0\,
O => \current_row[5]_i_1_n_0\
);
\current_row[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFA888"
)
port map (
I0 => conv_state(2),
I1 => conv_wrows(2),
I2 => conv_wrows(1),
I3 => conv_wrows(0),
I4 => conv_state(1),
I5 => conv_state(0),
O => \current_row[5]_i_2_n_0\
);
\current_row[5]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000101010"
)
port map (
I0 => row_addr_underflow,
I1 => row_addr_overflow,
I2 => \conv_icols[5]_i_4_n_0\,
I3 => conv_wrows(0),
I4 => conv_wrows(1),
I5 => conv_wrows(2),
O => \current_row[5]_i_3_n_0\
);
\current_row_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_row[0]_i_1_n_0\,
Q => current_row(0)
);
\current_row_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_row[1]_i_1_n_0\,
Q => current_row(1)
);
\current_row_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_row[2]_i_1_n_0\,
Q => current_row(2)
);
\current_row_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_row[3]_i_1_n_0\,
Q => current_row(3)
);
\current_row_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_row[4]_i_1_n_0\,
Q => current_row(4)
);
\current_row_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \current_row[5]_i_1_n_0\,
Q => current_row(5)
);
\i__carry__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => current_col(5),
O => \i__carry__0_i_1_n_0\
);
\i__carry__0_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => current_col(4),
O => \i__carry__0_i_2_n_0\
);
\i__carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => current_col(3),
I1 => current_col(5),
O => \i__carry__0_i_3_n_0\
);
\i__carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => current_col(2),
I1 => current_col(4),
O => \i__carry__0_i_4_n_0\
);
\i__carry_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => current_col(1),
I1 => current_col(3),
O => \i__carry_i_1_n_0\
);
\i__carry_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => current_col(0),
I1 => current_col(2),
O => \i__carry_i_2_n_0\
);
\i__carry_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => current_col(1),
O => \i__carry_i_3_n_0\
);
\i__carry_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => current_col(0),
O => \i__carry_i_4_n_0\
);
\in00_inferred__0/i_\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => sum_row_addr(4),
I1 => sum_row_addr(3),
I2 => sum_row_addr(5),
I3 => sum_row_addr(0),
I4 => sum_row_addr(1),
I5 => sum_row_addr(2),
O => row_addr_underflow
);
\in00_inferred__2/i_\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => sum_col_addr(4),
I1 => sum_col_addr(3),
I2 => sum_col_addr(5),
I3 => sum_col_addr(0),
I4 => sum_col_addr(1),
I5 => sum_col_addr(2),
O => col_addr_underflow
);
\in00_inferred__4/i_\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => sum_row_addr(0),
O => calc_row_addr(0)
);
\in00_inferred__5/i_\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => sum_col_addr(0),
O => calc_col_addr(0)
);
in01_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => in01_carry_n_0,
CO(2) => in01_carry_n_1,
CO(1) => in01_carry_n_2,
CO(0) => in01_carry_n_3,
CYINIT => '0',
DI(3) => in01_carry_i_1_n_6,
DI(2) => in01_carry_i_1_n_7,
DI(1 downto 0) => B"01",
O(3 downto 0) => in01(3 downto 0),
S(3) => in01_carry_i_2_n_0,
S(2) => in01_carry_i_3_n_0,
S(1) => in01_carry_i_4_n_0,
S(0) => in01_carry_i_5_n_0
);
\in01_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => in01_carry_n_0,
CO(3) => \in01_carry__0_n_0\,
CO(2) => \in01_carry__0_n_1\,
CO(1) => \in01_carry__0_n_2\,
CO(0) => \in01_carry__0_n_3\,
CYINIT => '0',
DI(3) => \in01_carry__0_i_1_n_6\,
DI(2) => \in01_carry__0_i_1_n_7\,
DI(1) => in01_carry_i_1_n_4,
DI(0) => in01_carry_i_1_n_5,
O(3 downto 0) => in01(7 downto 4),
S(3) => \in01_carry__0_i_2_n_0\,
S(2) => \in01_carry__0_i_3_n_0\,
S(1) => \in01_carry__0_i_4_n_0\,
S(0) => \in01_carry__0_i_5_n_0\
);
\in01_carry__0_i_1\: unisim.vcomponents.CARRY4
port map (
CI => in01_carry_i_1_n_0,
CO(3) => \in01_carry__0_i_1_n_0\,
CO(2) => \in01_carry__0_i_1_n_1\,
CO(1) => \in01_carry__0_i_1_n_2\,
CO(0) => \in01_carry__0_i_1_n_3\,
CYINIT => '0',
DI(3) => \in01_carry__0_i_6_n_0\,
DI(2) => \in01_carry__0_i_7_n_0\,
DI(1) => \in01_carry__0_i_8_n_0\,
DI(0) => \in01_carry__0_i_9_n_0\,
O(3) => \in01_carry__0_i_1_n_4\,
O(2) => \in01_carry__0_i_1_n_5\,
O(1) => \in01_carry__0_i_1_n_6\,
O(0) => \in01_carry__0_i_1_n_7\,
S(3) => \in01_carry__0_i_10_n_0\,
S(2) => \in01_carry__0_i_11_n_0\,
S(1) => \in01_carry__0_i_12_n_0\,
S(0) => \in01_carry__0_i_13_n_0\
);
\in01_carry__0_i_10\: unisim.vcomponents.LUT5
generic map(
INIT => X"F1F58F8F"
)
port map (
I0 => conv_wfltrs(2),
I1 => conv_wfltrs(1),
I2 => conv_wfltrs(4),
I3 => conv_wfltrs(0),
I4 => conv_wfltrs(3),
O => \in01_carry__0_i_10_n_0\
);
\in01_carry__0_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"F0A3F303"
)
port map (
I0 => conv_wfltrs(0),
I1 => conv_wfltrs(4),
I2 => conv_wfltrs(3),
I3 => conv_wfltrs(2),
I4 => conv_wfltrs(1),
O => \in01_carry__0_i_11_n_0\
);
\in01_carry__0_i_12\: unisim.vcomponents.LUT5
generic map(
INIT => X"5FF0C105"
)
port map (
I0 => conv_wfltrs(3),
I1 => conv_wfltrs(0),
I2 => conv_wfltrs(4),
I3 => conv_wfltrs(1),
I4 => conv_wfltrs(2),
O => \in01_carry__0_i_12_n_0\
);
\in01_carry__0_i_13\: unisim.vcomponents.LUT5
generic map(
INIT => X"9C3C39C9"
)
port map (
I0 => conv_wfltrs(2),
I1 => conv_wfltrs(4),
I2 => conv_wfltrs(1),
I3 => conv_wfltrs(0),
I4 => conv_wfltrs(3),
O => \in01_carry__0_i_13_n_0\
);
\in01_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \in01_carry__0_i_1_n_6\,
I1 => \in01_carry__0_i_1_n_4\,
O => \in01_carry__0_i_2_n_0\
);
\in01_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \in01_carry__0_i_1_n_7\,
I1 => \in01_carry__0_i_1_n_5\,
O => \in01_carry__0_i_3_n_0\
);
\in01_carry__0_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => in01_carry_i_1_n_4,
I1 => \in01_carry__0_i_1_n_6\,
O => \in01_carry__0_i_4_n_0\
);
\in01_carry__0_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => in01_carry_i_1_n_5,
I1 => \in01_carry__0_i_1_n_7\,
O => \in01_carry__0_i_5_n_0\
);
\in01_carry__0_i_6\: unisim.vcomponents.LUT5
generic map(
INIT => X"0A5A5850"
)
port map (
I0 => conv_wfltrs(3),
I1 => conv_wfltrs(0),
I2 => conv_wfltrs(4),
I3 => conv_wfltrs(1),
I4 => conv_wfltrs(2),
O => \in01_carry__0_i_6_n_0\
);
\in01_carry__0_i_7\: unisim.vcomponents.LUT5
generic map(
INIT => X"C9996C6C"
)
port map (
I0 => conv_wfltrs(2),
I1 => conv_wfltrs(4),
I2 => conv_wfltrs(1),
I3 => conv_wfltrs(0),
I4 => conv_wfltrs(3),
O => \in01_carry__0_i_7_n_0\
);
\in01_carry__0_i_8\: unisim.vcomponents.LUT4
generic map(
INIT => X"996C"
)
port map (
I0 => conv_wfltrs(1),
I1 => conv_wfltrs(3),
I2 => conv_wfltrs(0),
I3 => conv_wfltrs(2),
O => \in01_carry__0_i_8_n_0\
);
\in01_carry__0_i_9\: unisim.vcomponents.LUT5
generic map(
INIT => X"50AF2AD5"
)
port map (
I0 => conv_wfltrs(3),
I1 => conv_wfltrs(0),
I2 => conv_wfltrs(1),
I3 => conv_wfltrs(4),
I4 => conv_wfltrs(2),
O => \in01_carry__0_i_9_n_0\
);
\in01_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \in01_carry__0_n_0\,
CO(3 downto 0) => \NLW_in01_carry__1_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_in01_carry__1_O_UNCONNECTED\(3 downto 1),
O(0) => in01(8),
S(3 downto 1) => B"000",
S(0) => \in01_carry__1_i_1_n_0\
);
\in01_carry__1_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \in01_carry__0_i_1_n_5\,
I1 => \in01_carry__1_i_2_n_7\,
O => \in01_carry__1_i_1_n_0\
);
\in01_carry__1_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \in01_carry__0_i_1_n_0\,
CO(3 downto 0) => \NLW_in01_carry__1_i_2_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_in01_carry__1_i_2_O_UNCONNECTED\(3 downto 1),
O(0) => \in01_carry__1_i_2_n_7\,
S(3 downto 1) => B"000",
S(0) => \in01_carry__1_i_3_n_0\
);
\in01_carry__1_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"07FF"
)
port map (
I0 => conv_wfltrs(1),
I1 => conv_wfltrs(2),
I2 => conv_wfltrs(3),
I3 => conv_wfltrs(4),
O => \in01_carry__1_i_3_n_0\
);
in01_carry_i_1: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => in01_carry_i_1_n_0,
CO(2) => in01_carry_i_1_n_1,
CO(1) => in01_carry_i_1_n_2,
CO(0) => in01_carry_i_1_n_3,
CYINIT => '0',
DI(3) => in01_carry_i_6_n_0,
DI(2) => conv_wfltrs(0),
DI(1 downto 0) => B"01",
O(3) => in01_carry_i_1_n_4,
O(2) => in01_carry_i_1_n_5,
O(1) => in01_carry_i_1_n_6,
O(0) => in01_carry_i_1_n_7,
S(3) => in01_carry_i_7_n_0,
S(2) => in01_carry_i_8_n_0,
S(1) => in01_carry_i_9_n_0,
S(0) => in01_carry_i_10_n_0
);
in01_carry_i_10: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => conv_wfltrs(0),
O => in01_carry_i_10_n_0
);
in01_carry_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => in01_carry_i_1_n_6,
I1 => in01_carry_i_1_n_4,
O => in01_carry_i_2_n_0
);
in01_carry_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => in01_carry_i_1_n_7,
I1 => in01_carry_i_1_n_5,
O => in01_carry_i_3_n_0
);
in01_carry_i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => in01_carry_i_1_n_6,
O => in01_carry_i_4_n_0
);
in01_carry_i_5: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => in01_carry_i_1_n_7,
O => in01_carry_i_5_n_0
);
in01_carry_i_6: unisim.vcomponents.LUT4
generic map(
INIT => X"4BA5"
)
port map (
I0 => conv_wfltrs(2),
I1 => conv_wfltrs(0),
I2 => conv_wfltrs(3),
I3 => conv_wfltrs(1),
O => in01_carry_i_6_n_0
);
in01_carry_i_7: unisim.vcomponents.LUT4
generic map(
INIT => X"3CC9"
)
port map (
I0 => conv_wfltrs(1),
I1 => conv_wfltrs(3),
I2 => conv_wfltrs(0),
I3 => conv_wfltrs(2),
O => in01_carry_i_7_n_0
);
in01_carry_i_8: unisim.vcomponents.LUT3
generic map(
INIT => X"C9"
)
port map (
I0 => conv_wfltrs(0),
I1 => conv_wfltrs(2),
I2 => conv_wfltrs(1),
O => in01_carry_i_8_n_0
);
in01_carry_i_9: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => conv_wfltrs(0),
I1 => conv_wfltrs(1),
O => in01_carry_i_9_n_0
);
in02_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => in02_carry_n_0,
CO(2) => in02_carry_n_1,
CO(1) => in02_carry_n_2,
CO(0) => in02_carry_n_3,
CYINIT => '0',
DI(3 downto 2) => current_row(1 downto 0),
DI(1 downto 0) => B"01",
O(3 downto 0) => in01_1(3 downto 0),
S(3) => in02_carry_i_1_n_0,
S(2) => in02_carry_i_2_n_0,
S(1) => in02_carry_i_3_n_0,
S(0) => in02_carry_i_4_n_0
);
\in02_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => in02_carry_n_0,
CO(3 downto 2) => \NLW_in02_carry__0_CO_UNCONNECTED\(3 downto 2),
CO(1) => \in02_carry__0_n_2\,
CO(0) => \in02_carry__0_n_3\,
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1 downto 0) => current_row(3 downto 2),
O(3) => \NLW_in02_carry__0_O_UNCONNECTED\(3),
O(2 downto 0) => in01_1(6 downto 4),
S(3) => '0',
S(2) => \in02_carry__0_i_1_n_0\,
S(1) => \in02_carry__0_i_2_n_0\,
S(0) => \in02_carry__0_i_3_n_0\
);
\in02_carry__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => current_row(4),
O => \in02_carry__0_i_1_n_0\
);
\in02_carry__0_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => current_row(3),
I1 => current_row(5),
O => \in02_carry__0_i_2_n_0\
);
\in02_carry__0_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => current_row(2),
I1 => current_row(4),
O => \in02_carry__0_i_3_n_0\
);
in02_carry_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => current_row(1),
I1 => current_row(3),
O => in02_carry_i_1_n_0
);
in02_carry_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => current_row(0),
I1 => current_row(2),
O => in02_carry_i_2_n_0
);
in02_carry_i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => current_row(1),
O => in02_carry_i_3_n_0
);
in02_carry_i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => current_row(0),
O => in02_carry_i_4_n_0
);
\in02_inferred__0/i__carry\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \in02_inferred__0/i__carry_n_0\,
CO(2) => \in02_inferred__0/i__carry_n_1\,
CO(1) => \in02_inferred__0/i__carry_n_2\,
CO(0) => \in02_inferred__0/i__carry_n_3\,
CYINIT => '0',
DI(3 downto 2) => current_col(1 downto 0),
DI(1 downto 0) => B"01",
O(3 downto 0) => in02(3 downto 0),
S(3) => \i__carry_i_1_n_0\,
S(2) => \i__carry_i_2_n_0\,
S(1) => \i__carry_i_3_n_0\,
S(0) => \i__carry_i_4_n_0\
);
\in02_inferred__0/i__carry__0\: unisim.vcomponents.CARRY4
port map (
CI => \in02_inferred__0/i__carry_n_0\,
CO(3) => \NLW_in02_inferred__0/i__carry__0_CO_UNCONNECTED\(3),
CO(2) => \in02_inferred__0/i__carry__0_n_1\,
CO(1) => \in02_inferred__0/i__carry__0_n_2\,
CO(0) => \in02_inferred__0/i__carry__0_n_3\,
CYINIT => '0',
DI(3) => '0',
DI(2 downto 0) => current_col(4 downto 2),
O(3 downto 0) => in02(7 downto 4),
S(3) => \i__carry__0_i_1_n_0\,
S(2) => \i__carry__0_i_2_n_0\,
S(1) => \i__carry__0_i_3_n_0\,
S(0) => \i__carry__0_i_4_n_0\
);
\input_bram_rd_adddr[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(0),
I1 => conv_state(2),
O => p_1_in(0)
);
\input_bram_rd_adddr[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(10),
I1 => conv_state(2),
O => p_1_in(10)
);
\input_bram_rd_adddr[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"010101010101FF01"
)
port map (
I0 => conv_state(2),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => \input_bram_rd_adddr[11]_i_3_n_0\,
I4 => conv_ichnls(2),
I5 => conv_zero_pad_en,
O => \input_bram_rd_adddr[11]_i_1_n_0\
);
\input_bram_rd_adddr[11]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(11),
I1 => conv_state(2),
O => p_1_in(11)
);
\input_bram_rd_adddr[11]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00080808"
)
port map (
I0 => conv_state(2),
I1 => conv_state(1),
I2 => conv_state(0),
I3 => conv_ichnls(1),
I4 => conv_ichnls(0),
O => \input_bram_rd_adddr[11]_i_3_n_0\
);
\input_bram_rd_adddr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(1),
I1 => conv_state(2),
O => p_1_in(1)
);
\input_bram_rd_adddr[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(2),
I1 => conv_state(2),
O => p_1_in(2)
);
\input_bram_rd_adddr[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(3),
I1 => conv_state(2),
O => p_1_in(3)
);
\input_bram_rd_adddr[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(4),
I1 => conv_state(2),
O => p_1_in(4)
);
\input_bram_rd_adddr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(5),
I1 => conv_state(2),
O => p_1_in(5)
);
\input_bram_rd_adddr[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(6),
I1 => conv_state(2),
O => p_1_in(6)
);
\input_bram_rd_adddr[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(7),
I1 => conv_state(2),
O => p_1_in(7)
);
\input_bram_rd_adddr[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(8),
I1 => conv_state(2),
O => p_1_in(8)
);
\input_bram_rd_adddr[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_iaddr(9),
I1 => conv_state(2),
O => p_1_in(9)
);
\input_bram_rd_adddr_reg[0]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(0),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(0)
);
\input_bram_rd_adddr_reg[10]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(10),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(10)
);
\input_bram_rd_adddr_reg[11]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(11),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(11)
);
\input_bram_rd_adddr_reg[1]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(1),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(1)
);
\input_bram_rd_adddr_reg[2]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(2),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(2)
);
\input_bram_rd_adddr_reg[3]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(3),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(3)
);
\input_bram_rd_adddr_reg[4]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(4),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(4)
);
\input_bram_rd_adddr_reg[5]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(5),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(5)
);
\input_bram_rd_adddr_reg[6]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(6),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(6)
);
\input_bram_rd_adddr_reg[7]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(7),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(7)
);
\input_bram_rd_adddr_reg[8]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(8),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(8)
);
\input_bram_rd_adddr_reg[9]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => p_1_in(9),
PRE => \^ar\(0),
Q => input_bram_rd_adddr(9)
);
input_bram_rd_en_reg: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => '1',
Q => input_bram_rd_en
);
input_read_req_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000007000000"
)
port map (
I0 => conv_ichnls(0),
I1 => conv_ichnls(1),
I2 => conv_state(0),
I3 => conv_state(1),
I4 => conv_state(2),
I5 => conv_ichnls(2),
O => input_read_req_i_1_n_0
);
\input_read_req_r_reg[0]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => input_read_req,
Q => input_read_req_r(0),
R => '0'
);
\input_read_req_r_reg[1]\: unisim.vcomponents.FDRE
port map (
C => clk,
CE => '1',
D => input_read_req_r(0),
Q => input_read_req_r(1),
R => '0'
);
input_read_req_reg: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => input_read_req_i_1_n_0,
Q => input_read_req
);
\mac_o_last[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(0),
I1 => mac_o_last(0),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[0]_i_1_n_0\
);
\mac_o_last[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(10),
I1 => mac_o_last(10),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[10]_i_1_n_0\
);
\mac_o_last[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(11),
I1 => mac_o_last(11),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[11]_i_1_n_0\
);
\mac_o_last[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(12),
I1 => mac_o_last(12),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[12]_i_1_n_0\
);
\mac_o_last[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(13),
I1 => mac_o_last(13),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[13]_i_1_n_0\
);
\mac_o_last[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(14),
I1 => mac_o_last(14),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[14]_i_1_n_0\
);
\mac_o_last[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(15),
I1 => mac_o_last(15),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[15]_i_1_n_0\
);
\mac_o_last[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(1),
I1 => mac_o_last(1),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[1]_i_1_n_0\
);
\mac_o_last[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(2),
I1 => mac_o_last(2),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[2]_i_1_n_0\
);
\mac_o_last[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(3),
I1 => mac_o_last(3),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[3]_i_1_n_0\
);
\mac_o_last[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(4),
I1 => mac_o_last(4),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[4]_i_1_n_0\
);
\mac_o_last[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(5),
I1 => mac_o_last(5),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[5]_i_1_n_0\
);
\mac_o_last[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(6),
I1 => mac_o_last(6),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[6]_i_1_n_0\
);
\mac_o_last[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(7),
I1 => mac_o_last(7),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[7]_i_1_n_0\
);
\mac_o_last[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(8),
I1 => mac_o_last(8),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[8]_i_1_n_0\
);
\mac_o_last[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAA0AAAACCC0CCCC"
)
port map (
I0 => mac_o(9),
I1 => mac_o_last(9),
I2 => conv_state(2),
I3 => conv_state(1),
I4 => conv_state(0),
I5 => multadd_op_valid,
O => \mac_o_last[9]_i_1_n_0\
);
\mac_o_last_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[0]_i_1_n_0\,
Q => mac_o_last(0)
);
\mac_o_last_reg[10]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[10]_i_1_n_0\,
Q => mac_o_last(10)
);
\mac_o_last_reg[11]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[11]_i_1_n_0\,
Q => mac_o_last(11)
);
\mac_o_last_reg[12]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[12]_i_1_n_0\,
Q => mac_o_last(12)
);
\mac_o_last_reg[13]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[13]_i_1_n_0\,
Q => mac_o_last(13)
);
\mac_o_last_reg[14]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[14]_i_1_n_0\,
Q => mac_o_last(14)
);
\mac_o_last_reg[15]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[15]_i_1_n_0\,
Q => mac_o_last(15)
);
\mac_o_last_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[1]_i_1_n_0\,
Q => mac_o_last(1)
);
\mac_o_last_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[2]_i_1_n_0\,
Q => mac_o_last(2)
);
\mac_o_last_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[3]_i_1_n_0\,
Q => mac_o_last(3)
);
\mac_o_last_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[4]_i_1_n_0\,
Q => mac_o_last(4)
);
\mac_o_last_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[5]_i_1_n_0\,
Q => mac_o_last(5)
);
\mac_o_last_reg[6]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[6]_i_1_n_0\,
Q => mac_o_last(6)
);
\mac_o_last_reg[7]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[7]_i_1_n_0\,
Q => mac_o_last(7)
);
\mac_o_last_reg[8]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[8]_i_1_n_0\,
Q => mac_o_last(8)
);
\mac_o_last_reg[9]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last[9]_i_1_n_0\,
Q => mac_o_last(9)
);
\mac_o_last_relu[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(0),
I1 => mac_o_last(15),
O => \mac_o_last_relu[0]_i_1_n_0\
);
\mac_o_last_relu[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(10),
I1 => mac_o_last(15),
O => \mac_o_last_relu[10]_i_1_n_0\
);
\mac_o_last_relu[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(11),
I1 => mac_o_last(15),
O => \mac_o_last_relu[11]_i_1_n_0\
);
\mac_o_last_relu[12]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(12),
I1 => mac_o_last(15),
O => \mac_o_last_relu[12]_i_1_n_0\
);
\mac_o_last_relu[13]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(13),
I1 => mac_o_last(15),
O => \mac_o_last_relu[13]_i_1_n_0\
);
\mac_o_last_relu[14]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(14),
I1 => mac_o_last(15),
O => \mac_o_last_relu[14]_i_1_n_0\
);
\mac_o_last_relu[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(1),
I1 => mac_o_last(15),
O => \mac_o_last_relu[1]_i_1_n_0\
);
\mac_o_last_relu[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(2),
I1 => mac_o_last(15),
O => \mac_o_last_relu[2]_i_1_n_0\
);
\mac_o_last_relu[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(3),
I1 => mac_o_last(15),
O => \mac_o_last_relu[3]_i_1_n_0\
);
\mac_o_last_relu[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(4),
I1 => mac_o_last(15),
O => \mac_o_last_relu[4]_i_1_n_0\
);
\mac_o_last_relu[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(5),
I1 => mac_o_last(15),
O => \mac_o_last_relu[5]_i_1_n_0\
);
\mac_o_last_relu[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(6),
I1 => mac_o_last(15),
O => \mac_o_last_relu[6]_i_1_n_0\
);
\mac_o_last_relu[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(7),
I1 => mac_o_last(15),
O => \mac_o_last_relu[7]_i_1_n_0\
);
\mac_o_last_relu[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(8),
I1 => mac_o_last(15),
O => \mac_o_last_relu[8]_i_1_n_0\
);
\mac_o_last_relu[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => mac_o_last(9),
I1 => mac_o_last(15),
O => \mac_o_last_relu[9]_i_1_n_0\
);
\mac_o_last_relu_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[0]_i_1_n_0\,
Q => mac_o_last_relu(0)
);
\mac_o_last_relu_reg[10]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[10]_i_1_n_0\,
Q => mac_o_last_relu(10)
);
\mac_o_last_relu_reg[11]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[11]_i_1_n_0\,
Q => mac_o_last_relu(11)
);
\mac_o_last_relu_reg[12]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[12]_i_1_n_0\,
Q => mac_o_last_relu(12)
);
\mac_o_last_relu_reg[13]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[13]_i_1_n_0\,
Q => mac_o_last_relu(13)
);
\mac_o_last_relu_reg[14]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[14]_i_1_n_0\,
Q => mac_o_last_relu(14)
);
\mac_o_last_relu_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[1]_i_1_n_0\,
Q => mac_o_last_relu(1)
);
\mac_o_last_relu_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[2]_i_1_n_0\,
Q => mac_o_last_relu(2)
);
\mac_o_last_relu_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[3]_i_1_n_0\,
Q => mac_o_last_relu(3)
);
\mac_o_last_relu_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[4]_i_1_n_0\,
Q => mac_o_last_relu(4)
);
\mac_o_last_relu_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[5]_i_1_n_0\,
Q => mac_o_last_relu(5)
);
\mac_o_last_relu_reg[6]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[6]_i_1_n_0\,
Q => mac_o_last_relu(6)
);
\mac_o_last_relu_reg[7]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[7]_i_1_n_0\,
Q => mac_o_last_relu(7)
);
\mac_o_last_relu_reg[8]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[8]_i_1_n_0\,
Q => mac_o_last_relu(8)
);
\mac_o_last_relu_reg[9]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mac_o_last_relu[9]_i_1_n_0\,
Q => mac_o_last_relu(9)
);
macinst0: entity work.vcnnbd_conv1l_top_0_0_fp_mult_add_16bit
port map (
aclk => clk,
m_axis_result_tdata(15 downto 0) => mac_o(15 downto 0),
m_axis_result_tuser(1 downto 0) => NLW_macinst0_m_axis_result_tuser_UNCONNECTED(1 downto 0),
m_axis_result_tvalid => multadd_op_valid,
s_axis_a_tdata(15 downto 0) => mult_a(15 downto 0),
s_axis_a_tready => macinst0_n_0,
s_axis_a_tvalid => multadd_en,
s_axis_b_tdata(15 downto 0) => mult_b(15 downto 0),
s_axis_b_tready => macinst0_n_1,
s_axis_b_tvalid => multadd_en,
s_axis_c_tdata(15 downto 0) => add_c(15 downto 0),
s_axis_c_tready => macinst0_n_2,
s_axis_c_tvalid => multadd_en
);
macinst0_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(15),
I1 => multadd_op_valid,
I2 => mac_o_last(15),
O => add_c(15)
);
macinst0_i_10: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(6),
I1 => multadd_op_valid,
I2 => mac_o_last(6),
O => add_c(6)
);
macinst0_i_11: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(5),
I1 => multadd_op_valid,
I2 => mac_o_last(5),
O => add_c(5)
);
macinst0_i_12: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(4),
I1 => multadd_op_valid,
I2 => mac_o_last(4),
O => add_c(4)
);
macinst0_i_13: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(3),
I1 => multadd_op_valid,
I2 => mac_o_last(3),
O => add_c(3)
);
macinst0_i_14: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(2),
I1 => multadd_op_valid,
I2 => mac_o_last(2),
O => add_c(2)
);
macinst0_i_15: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(1),
I1 => multadd_op_valid,
I2 => mac_o_last(1),
O => add_c(1)
);
macinst0_i_16: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(0),
I1 => multadd_op_valid,
I2 => mac_o_last(0),
O => add_c(0)
);
macinst0_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(14),
I1 => multadd_op_valid,
I2 => mac_o_last(14),
O => add_c(14)
);
macinst0_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(13),
I1 => multadd_op_valid,
I2 => mac_o_last(13),
O => add_c(13)
);
macinst0_i_4: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(12),
I1 => multadd_op_valid,
I2 => mac_o_last(12),
O => add_c(12)
);
macinst0_i_5: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(11),
I1 => multadd_op_valid,
I2 => mac_o_last(11),
O => add_c(11)
);
macinst0_i_6: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(10),
I1 => multadd_op_valid,
I2 => mac_o_last(10),
O => add_c(10)
);
macinst0_i_7: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(9),
I1 => multadd_op_valid,
I2 => mac_o_last(9),
O => add_c(9)
);
macinst0_i_8: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(8),
I1 => multadd_op_valid,
I2 => mac_o_last(8),
O => add_c(8)
);
macinst0_i_9: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => mac_o(7),
I1 => multadd_op_valid,
I2 => mac_o_last(7),
O => add_c(7)
);
\mult_a[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(0),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[0]_i_1_n_0\
);
\mult_a[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(10),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[10]_i_1_n_0\
);
\mult_a[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(11),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[11]_i_1_n_0\
);
\mult_a[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(12),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[12]_i_1_n_0\
);
\mult_a[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(13),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[13]_i_1_n_0\
);
\mult_a[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(14),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[14]_i_1_n_0\
);
\mult_a[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(15),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[15]_i_1_n_0\
);
\mult_a[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(1),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[1]_i_1_n_0\
);
\mult_a[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(2),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[2]_i_1_n_0\
);
\mult_a[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(3),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[3]_i_1_n_0\
);
\mult_a[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(4),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[4]_i_1_n_0\
);
\mult_a[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(5),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[5]_i_1_n_0\
);
\mult_a[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(6),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[6]_i_1_n_0\
);
\mult_a[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(7),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[7]_i_1_n_0\
);
\mult_a[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(8),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[8]_i_1_n_0\
);
\mult_a[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => input_bram_rd_din(9),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_a[9]_i_1_n_0\
);
\mult_a_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[0]_i_1_n_0\,
Q => mult_a(0)
);
\mult_a_reg[10]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[10]_i_1_n_0\,
Q => mult_a(10)
);
\mult_a_reg[11]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[11]_i_1_n_0\,
Q => mult_a(11)
);
\mult_a_reg[12]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[12]_i_1_n_0\,
Q => mult_a(12)
);
\mult_a_reg[13]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[13]_i_1_n_0\,
Q => mult_a(13)
);
\mult_a_reg[14]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[14]_i_1_n_0\,
Q => mult_a(14)
);
\mult_a_reg[15]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[15]_i_1_n_0\,
Q => mult_a(15)
);
\mult_a_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[1]_i_1_n_0\,
Q => mult_a(1)
);
\mult_a_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[2]_i_1_n_0\,
Q => mult_a(2)
);
\mult_a_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[3]_i_1_n_0\,
Q => mult_a(3)
);
\mult_a_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[4]_i_1_n_0\,
Q => mult_a(4)
);
\mult_a_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[5]_i_1_n_0\,
Q => mult_a(5)
);
\mult_a_reg[6]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[6]_i_1_n_0\,
Q => mult_a(6)
);
\mult_a_reg[7]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[7]_i_1_n_0\,
Q => mult_a(7)
);
\mult_a_reg[8]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[8]_i_1_n_0\,
Q => mult_a(8)
);
\mult_a_reg[9]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_a[9]_i_1_n_0\,
Q => mult_a(9)
);
\mult_b[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(0),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[0]_i_1_n_0\
);
\mult_b[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(10),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[10]_i_1_n_0\
);
\mult_b[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(11),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[11]_i_1_n_0\
);
\mult_b[12]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(12),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[12]_i_1_n_0\
);
\mult_b[13]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(13),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[13]_i_1_n_0\
);
\mult_b[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(14),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[14]_i_1_n_0\
);
\mult_b[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(15),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[15]_i_1_n_0\
);
\mult_b[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(1),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[1]_i_1_n_0\
);
\mult_b[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(2),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[2]_i_1_n_0\
);
\mult_b[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(3),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[3]_i_1_n_0\
);
\mult_b[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(4),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[4]_i_1_n_0\
);
\mult_b[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(5),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[5]_i_1_n_0\
);
\mult_b[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(6),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[6]_i_1_n_0\
);
\mult_b[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(7),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[7]_i_1_n_0\
);
\mult_b[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(8),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[8]_i_1_n_0\
);
\mult_b[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => weight_bram_rd_din(9),
I1 => input_read_req_r(1),
I2 => macinst0_n_2,
I3 => macinst0_n_0,
I4 => macinst0_n_1,
I5 => conv_ip_zeros_r(1),
O => \mult_b[9]_i_1_n_0\
);
\mult_b_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[0]_i_1_n_0\,
Q => mult_b(0)
);
\mult_b_reg[10]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[10]_i_1_n_0\,
Q => mult_b(10)
);
\mult_b_reg[11]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[11]_i_1_n_0\,
Q => mult_b(11)
);
\mult_b_reg[12]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[12]_i_1_n_0\,
Q => mult_b(12)
);
\mult_b_reg[13]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[13]_i_1_n_0\,
Q => mult_b(13)
);
\mult_b_reg[14]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[14]_i_1_n_0\,
Q => mult_b(14)
);
\mult_b_reg[15]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[15]_i_1_n_0\,
Q => mult_b(15)
);
\mult_b_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[1]_i_1_n_0\,
Q => mult_b(1)
);
\mult_b_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[2]_i_1_n_0\,
Q => mult_b(2)
);
\mult_b_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[3]_i_1_n_0\,
Q => mult_b(3)
);
\mult_b_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[4]_i_1_n_0\,
Q => mult_b(4)
);
\mult_b_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[5]_i_1_n_0\,
Q => mult_b(5)
);
\mult_b_reg[6]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[6]_i_1_n_0\,
Q => mult_b(6)
);
\mult_b_reg[7]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[7]_i_1_n_0\,
Q => mult_b(7)
);
\mult_b_reg[8]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[8]_i_1_n_0\,
Q => mult_b(8)
);
\mult_b_reg[9]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \mult_b[9]_i_1_n_0\,
Q => mult_b(9)
);
multadd_en_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"C0008000"
)
port map (
I0 => conv_ip_zeros_r(1),
I1 => macinst0_n_2,
I2 => macinst0_n_0,
I3 => macinst0_n_1,
I4 => input_read_req_r(1),
O => multadd_en_i_1_n_0
);
multadd_en_reg: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => multadd_en_i_1_n_0,
Q => multadd_en
);
n_output_bram_wr_addr0_carry: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => n_output_bram_wr_addr0_carry_n_0,
CO(2) => n_output_bram_wr_addr0_carry_n_1,
CO(1) => n_output_bram_wr_addr0_carry_n_2,
CO(0) => n_output_bram_wr_addr0_carry_n_3,
CYINIT => \^output_bram_wr_addr\(0),
DI(3 downto 0) => B"0000",
O(3 downto 0) => n_output_bram_wr_addr0(4 downto 1),
S(3) => n_output_bram_wr_addr0_carry_i_1_n_0,
S(2) => n_output_bram_wr_addr0_carry_i_2_n_0,
S(1) => n_output_bram_wr_addr0_carry_i_3_n_0,
S(0) => n_output_bram_wr_addr0_carry_i_4_n_0
);
\n_output_bram_wr_addr0_carry__0\: unisim.vcomponents.CARRY4
port map (
CI => n_output_bram_wr_addr0_carry_n_0,
CO(3) => \n_output_bram_wr_addr0_carry__0_n_0\,
CO(2) => \n_output_bram_wr_addr0_carry__0_n_1\,
CO(1) => \n_output_bram_wr_addr0_carry__0_n_2\,
CO(0) => \n_output_bram_wr_addr0_carry__0_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => n_output_bram_wr_addr0(8 downto 5),
S(3) => \n_output_bram_wr_addr0_carry__0_i_1_n_0\,
S(2) => \n_output_bram_wr_addr0_carry__0_i_2_n_0\,
S(1) => \n_output_bram_wr_addr0_carry__0_i_3_n_0\,
S(0) => \n_output_bram_wr_addr0_carry__0_i_4_n_0\
);
\n_output_bram_wr_addr0_carry__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(8),
O => \n_output_bram_wr_addr0_carry__0_i_1_n_0\
);
\n_output_bram_wr_addr0_carry__0_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(7),
O => \n_output_bram_wr_addr0_carry__0_i_2_n_0\
);
\n_output_bram_wr_addr0_carry__0_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(6),
O => \n_output_bram_wr_addr0_carry__0_i_3_n_0\
);
\n_output_bram_wr_addr0_carry__0_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(5),
O => \n_output_bram_wr_addr0_carry__0_i_4_n_0\
);
\n_output_bram_wr_addr0_carry__1\: unisim.vcomponents.CARRY4
port map (
CI => \n_output_bram_wr_addr0_carry__0_n_0\,
CO(3) => \n_output_bram_wr_addr0_carry__1_n_0\,
CO(2) => \n_output_bram_wr_addr0_carry__1_n_1\,
CO(1) => \n_output_bram_wr_addr0_carry__1_n_2\,
CO(0) => \n_output_bram_wr_addr0_carry__1_n_3\,
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 0) => n_output_bram_wr_addr0(12 downto 9),
S(3) => \n_output_bram_wr_addr0_carry__1_i_1_n_0\,
S(2) => \n_output_bram_wr_addr0_carry__1_i_2_n_0\,
S(1) => \n_output_bram_wr_addr0_carry__1_i_3_n_0\,
S(0) => \n_output_bram_wr_addr0_carry__1_i_4_n_0\
);
\n_output_bram_wr_addr0_carry__1_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(12),
O => \n_output_bram_wr_addr0_carry__1_i_1_n_0\
);
\n_output_bram_wr_addr0_carry__1_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(11),
O => \n_output_bram_wr_addr0_carry__1_i_2_n_0\
);
\n_output_bram_wr_addr0_carry__1_i_3\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(10),
O => \n_output_bram_wr_addr0_carry__1_i_3_n_0\
);
\n_output_bram_wr_addr0_carry__1_i_4\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(9),
O => \n_output_bram_wr_addr0_carry__1_i_4_n_0\
);
\n_output_bram_wr_addr0_carry__2\: unisim.vcomponents.CARRY4
port map (
CI => \n_output_bram_wr_addr0_carry__1_n_0\,
CO(3 downto 0) => \NLW_n_output_bram_wr_addr0_carry__2_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_n_output_bram_wr_addr0_carry__2_O_UNCONNECTED\(3 downto 1),
O(0) => n_output_bram_wr_addr0(13),
S(3 downto 1) => B"000",
S(0) => \n_output_bram_wr_addr0_carry__2_i_1_n_0\
);
\n_output_bram_wr_addr0_carry__2_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(13),
O => \n_output_bram_wr_addr0_carry__2_i_1_n_0\
);
n_output_bram_wr_addr0_carry_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(4),
O => n_output_bram_wr_addr0_carry_i_1_n_0
);
n_output_bram_wr_addr0_carry_i_2: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(3),
O => n_output_bram_wr_addr0_carry_i_2_n_0
);
n_output_bram_wr_addr0_carry_i_3: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(2),
O => n_output_bram_wr_addr0_carry_i_3_n_0
);
n_output_bram_wr_addr0_carry_i_4: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => \^output_bram_wr_addr\(1),
O => n_output_bram_wr_addr0_carry_i_4_n_0
);
\output_bram_wr_addr[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => conv_state(1),
I1 => \^output_bram_wr_addr\(0),
O => \output_bram_wr_addr[0]_i_1_n_0\
);
\output_bram_wr_addr[10]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(10),
I1 => conv_state(1),
O => \output_bram_wr_addr[10]_i_1_n_0\
);
\output_bram_wr_addr[11]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(11),
I1 => conv_state(1),
O => \output_bram_wr_addr[11]_i_1_n_0\
);
\output_bram_wr_addr[12]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(12),
I1 => conv_state(1),
O => \output_bram_wr_addr[12]_i_1_n_0\
);
\output_bram_wr_addr[13]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0301"
)
port map (
I0 => conv_state(1),
I1 => conv_state(0),
I2 => conv_state(2),
I3 => conv_irows(5),
O => \output_bram_wr_addr[13]_i_1_n_0\
);
\output_bram_wr_addr[13]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(13),
I1 => conv_state(1),
O => \output_bram_wr_addr[13]_i_2_n_0\
);
\output_bram_wr_addr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(1),
I1 => conv_state(1),
O => \output_bram_wr_addr[1]_i_1_n_0\
);
\output_bram_wr_addr[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(2),
I1 => conv_state(1),
O => \output_bram_wr_addr[2]_i_1_n_0\
);
\output_bram_wr_addr[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(3),
I1 => conv_state(1),
O => \output_bram_wr_addr[3]_i_1_n_0\
);
\output_bram_wr_addr[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(4),
I1 => conv_state(1),
O => \output_bram_wr_addr[4]_i_1_n_0\
);
\output_bram_wr_addr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(5),
I1 => conv_state(1),
O => \output_bram_wr_addr[5]_i_1_n_0\
);
\output_bram_wr_addr[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(6),
I1 => conv_state(1),
O => \output_bram_wr_addr[6]_i_1_n_0\
);
\output_bram_wr_addr[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(7),
I1 => conv_state(1),
O => \output_bram_wr_addr[7]_i_1_n_0\
);
\output_bram_wr_addr[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(8),
I1 => conv_state(1),
O => \output_bram_wr_addr[8]_i_1_n_0\
);
\output_bram_wr_addr[9]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => n_output_bram_wr_addr0(9),
I1 => conv_state(1),
O => \output_bram_wr_addr[9]_i_1_n_0\
);
\output_bram_wr_addr_reg[0]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[0]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(0)
);
\output_bram_wr_addr_reg[10]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[10]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(10)
);
\output_bram_wr_addr_reg[11]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[11]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(11)
);
\output_bram_wr_addr_reg[12]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[12]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(12)
);
\output_bram_wr_addr_reg[13]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[13]_i_2_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(13)
);
\output_bram_wr_addr_reg[1]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[1]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(1)
);
\output_bram_wr_addr_reg[2]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[2]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(2)
);
\output_bram_wr_addr_reg[3]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[3]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(3)
);
\output_bram_wr_addr_reg[4]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[4]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(4)
);
\output_bram_wr_addr_reg[5]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[5]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(5)
);
\output_bram_wr_addr_reg[6]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[6]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(6)
);
\output_bram_wr_addr_reg[7]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[7]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(7)
);
\output_bram_wr_addr_reg[8]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[8]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(8)
);
\output_bram_wr_addr_reg[9]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \output_bram_wr_addr[13]_i_1_n_0\,
D => \output_bram_wr_addr[9]_i_1_n_0\,
PRE => \^ar\(0),
Q => \^output_bram_wr_addr\(9)
);
\output_bram_wr_dout[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(0),
O => \output_bram_wr_dout[0]_i_1_n_0\
);
\output_bram_wr_dout[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(10),
O => \output_bram_wr_dout[10]_i_1_n_0\
);
\output_bram_wr_dout[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(11),
O => \output_bram_wr_dout[11]_i_1_n_0\
);
\output_bram_wr_dout[12]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(12),
O => \output_bram_wr_dout[12]_i_1_n_0\
);
\output_bram_wr_dout[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(13),
O => \output_bram_wr_dout[13]_i_1_n_0\
);
\output_bram_wr_dout[14]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(14),
O => \output_bram_wr_dout[14]_i_1_n_0\
);
\output_bram_wr_dout[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(1),
O => \output_bram_wr_dout[1]_i_1_n_0\
);
\output_bram_wr_dout[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(2),
O => \output_bram_wr_dout[2]_i_1_n_0\
);
\output_bram_wr_dout[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(3),
O => \output_bram_wr_dout[3]_i_1_n_0\
);
\output_bram_wr_dout[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(4),
O => \output_bram_wr_dout[4]_i_1_n_0\
);
\output_bram_wr_dout[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(5),
O => \output_bram_wr_dout[5]_i_1_n_0\
);
\output_bram_wr_dout[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(6),
O => \output_bram_wr_dout[6]_i_1_n_0\
);
\output_bram_wr_dout[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(7),
O => \output_bram_wr_dout[7]_i_1_n_0\
);
\output_bram_wr_dout[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(8),
O => \output_bram_wr_dout[8]_i_1_n_0\
);
\output_bram_wr_dout[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => conv_irows(5),
I1 => conv_state(0),
I2 => conv_state(1),
I3 => conv_state(2),
I4 => mac_o_last_relu(9),
O => \output_bram_wr_dout[9]_i_1_n_0\
);
\output_bram_wr_dout_reg[0]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[0]_i_1_n_0\,
Q => output_bram_wr_dout(0)
);
\output_bram_wr_dout_reg[10]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[10]_i_1_n_0\,
Q => output_bram_wr_dout(10)
);
\output_bram_wr_dout_reg[11]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[11]_i_1_n_0\,
Q => output_bram_wr_dout(11)
);
\output_bram_wr_dout_reg[12]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[12]_i_1_n_0\,
Q => output_bram_wr_dout(12)
);
\output_bram_wr_dout_reg[13]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[13]_i_1_n_0\,
Q => output_bram_wr_dout(13)
);
\output_bram_wr_dout_reg[14]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[14]_i_1_n_0\,
Q => output_bram_wr_dout(14)
);
\output_bram_wr_dout_reg[1]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[1]_i_1_n_0\,
Q => output_bram_wr_dout(1)
);
\output_bram_wr_dout_reg[2]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[2]_i_1_n_0\,
Q => output_bram_wr_dout(2)
);
\output_bram_wr_dout_reg[3]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[3]_i_1_n_0\,
Q => output_bram_wr_dout(3)
);
\output_bram_wr_dout_reg[4]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[4]_i_1_n_0\,
Q => output_bram_wr_dout(4)
);
\output_bram_wr_dout_reg[5]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[5]_i_1_n_0\,
Q => output_bram_wr_dout(5)
);
\output_bram_wr_dout_reg[6]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[6]_i_1_n_0\,
Q => output_bram_wr_dout(6)
);
\output_bram_wr_dout_reg[7]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[7]_i_1_n_0\,
Q => output_bram_wr_dout(7)
);
\output_bram_wr_dout_reg[8]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[8]_i_1_n_0\,
Q => output_bram_wr_dout(8)
);
\output_bram_wr_dout_reg[9]\: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => \output_bram_wr_dout[9]_i_1_n_0\,
Q => output_bram_wr_dout(9)
);
output_bram_wr_rst_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rstn,
O => \^ar\(0)
);
output_bram_wr_wen_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0400"
)
port map (
I0 => conv_state(2),
I1 => conv_state(1),
I2 => conv_state(0),
I3 => conv_irows(5),
O => output_bram_wr_wen_i_1_n_0
);
output_bram_wr_wen_reg: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => output_bram_wr_wen_i_1_n_0,
Q => output_bram_wr_wen
);
sum_col_addr_inferred_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => sum_col_addr_inferred_i_7_n_0,
I1 => conv_icols(3),
I2 => conv_icols(4),
I3 => conv_icols(5),
O => sum_col_addr(5)
);
sum_col_addr_inferred_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => conv_icols(3),
I1 => sum_col_addr_inferred_i_7_n_0,
I2 => conv_icols(4),
O => sum_col_addr(4)
);
sum_col_addr_inferred_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => sum_col_addr_inferred_i_7_n_0,
I1 => conv_icols(3),
O => sum_col_addr(3)
);
sum_col_addr_inferred_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"EA80157F157FEA80"
)
port map (
I0 => conv_wcols(1),
I1 => conv_wcols(0),
I2 => conv_icols(0),
I3 => conv_icols(1),
I4 => conv_wcols(2),
I5 => conv_icols(2),
O => sum_col_addr(2)
);
sum_col_addr_inferred_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => conv_icols(0),
I1 => conv_wcols(0),
I2 => conv_wcols(1),
I3 => conv_icols(1),
O => sum_col_addr(1)
);
sum_col_addr_inferred_i_6: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_icols(0),
I1 => conv_wcols(0),
O => sum_col_addr(0)
);
sum_col_addr_inferred_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEA80EA800000"
)
port map (
I0 => conv_icols(1),
I1 => conv_icols(0),
I2 => conv_wcols(0),
I3 => conv_wcols(1),
I4 => conv_icols(2),
I5 => conv_wcols(2),
O => sum_col_addr_inferred_i_7_n_0
);
sum_row_addr_inferred_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => sum_row_addr_inferred_i_7_n_0,
I1 => conv_irows(3),
I2 => conv_irows(4),
I3 => conv_irows(5),
O => sum_row_addr(5)
);
sum_row_addr_inferred_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => conv_irows(3),
I1 => sum_row_addr_inferred_i_7_n_0,
I2 => conv_irows(4),
O => sum_row_addr(4)
);
sum_row_addr_inferred_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => sum_row_addr_inferred_i_7_n_0,
I1 => conv_irows(3),
O => sum_row_addr(3)
);
sum_row_addr_inferred_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"EA80157F157FEA80"
)
port map (
I0 => conv_wrows(1),
I1 => conv_wrows(0),
I2 => conv_irows(0),
I3 => conv_irows(1),
I4 => conv_wrows(2),
I5 => conv_irows(2),
O => sum_row_addr(2)
);
sum_row_addr_inferred_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"8778"
)
port map (
I0 => conv_irows(0),
I1 => conv_wrows(0),
I2 => conv_wrows(1),
I3 => conv_irows(1),
O => sum_row_addr(1)
);
sum_row_addr_inferred_i_6: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => conv_irows(0),
I1 => conv_wrows(0),
O => sum_row_addr(0)
);
sum_row_addr_inferred_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFEA80EA800000"
)
port map (
I0 => conv_irows(1),
I1 => conv_irows(0),
I2 => conv_wrows(0),
I3 => conv_wrows(1),
I4 => conv_irows(2),
I5 => conv_wrows(2),
O => sum_row_addr_inferred_i_7_n_0
);
\weight_bram_rd_adddr[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(0),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[0]_i_1_n_0\
);
\weight_bram_rd_adddr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(1),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[1]_i_1_n_0\
);
\weight_bram_rd_adddr[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(2),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[2]_i_1_n_0\
);
\weight_bram_rd_adddr[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(3),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[3]_i_1_n_0\
);
\weight_bram_rd_adddr[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(4),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[4]_i_1_n_0\
);
\weight_bram_rd_adddr[5]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(5),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[5]_i_1_n_0\
);
\weight_bram_rd_adddr[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(6),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[6]_i_1_n_0\
);
\weight_bram_rd_adddr[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(7),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[7]_i_1_n_0\
);
\weight_bram_rd_adddr[8]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => conv_waddr(8),
I1 => conv_state(2),
O => \weight_bram_rd_adddr[8]_i_1_n_0\
);
\weight_bram_rd_adddr_reg[0]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[0]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(0)
);
\weight_bram_rd_adddr_reg[1]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[1]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(1)
);
\weight_bram_rd_adddr_reg[2]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[2]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(2)
);
\weight_bram_rd_adddr_reg[3]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[3]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(3)
);
\weight_bram_rd_adddr_reg[4]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[4]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(4)
);
\weight_bram_rd_adddr_reg[5]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[5]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(5)
);
\weight_bram_rd_adddr_reg[6]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[6]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(6)
);
\weight_bram_rd_adddr_reg[7]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[7]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(7)
);
\weight_bram_rd_adddr_reg[8]\: unisim.vcomponents.FDPE
port map (
C => clk,
CE => \input_bram_rd_adddr[11]_i_1_n_0\,
D => \weight_bram_rd_adddr[8]_i_1_n_0\,
PRE => \^ar\(0),
Q => weight_bram_rd_adddr(8)
);
weight_bram_rd_en_reg: unisim.vcomponents.FDCE
port map (
C => clk,
CE => '1',
CLR => \^ar\(0),
D => '1',
Q => weight_bram_rd_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity vcnnbd_conv1l_top_0_0 is
port (
clk : in STD_LOGIC;
rstn : in STD_LOGIC;
ap_start : in STD_LOGIC;
ap_ready : out STD_LOGIC;
ap_done : out STD_LOGIC;
input_bram_rd_clk : out STD_LOGIC;
input_bram_rd_rst : out STD_LOGIC;
input_bram_rd_en : out STD_LOGIC;
input_bram_rd_wen : out STD_LOGIC;
input_bram_rd_adddr : out STD_LOGIC_VECTOR ( 11 downto 0 );
input_bram_rd_dout : out STD_LOGIC_VECTOR ( 15 downto 0 );
input_bram_rd_din : in STD_LOGIC_VECTOR ( 15 downto 0 );
weight_bram_rd_clk : out STD_LOGIC;
weight_bram_rd_rst : out STD_LOGIC;
weight_bram_rd_en : out STD_LOGIC;
weight_bram_rd_wen : out STD_LOGIC;
weight_bram_rd_adddr : out STD_LOGIC_VECTOR ( 8 downto 0 );
weight_bram_rd_dout : out STD_LOGIC_VECTOR ( 15 downto 0 );
weight_bram_rd_din : in STD_LOGIC_VECTOR ( 15 downto 0 );
output_bram_wr_clk : out STD_LOGIC;
output_bram_wr_rst : out STD_LOGIC;
output_bram_wr_en : out STD_LOGIC;
output_bram_wr_wen : out STD_LOGIC;
output_bram_wr_addr : out STD_LOGIC_VECTOR ( 13 downto 0 );
output_bram_wr_dout : out STD_LOGIC_VECTOR ( 15 downto 0 );
output_bram_wr_in : in STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of vcnnbd_conv1l_top_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of vcnnbd_conv1l_top_0_0 : entity is "vcnnbd_conv1l_top_0_0,conv1l_top,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of vcnnbd_conv1l_top_0_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of vcnnbd_conv1l_top_0_0 : entity is "conv1l_top,Vivado 2017.2";
end vcnnbd_conv1l_top_0_0;
architecture STRUCTURE of vcnnbd_conv1l_top_0_0 is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
signal C : STD_LOGIC_VECTOR ( 8 downto 5 );
signal \^clk\ : STD_LOGIC;
signal conv_waddr_inferred_i_16_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_18_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_19_n_0 : STD_LOGIC;
signal conv_waddr_inferred_i_20_n_0 : STD_LOGIC;
signal \^input_bram_rd_rst\ : STD_LOGIC;
signal \^output_bram_wr_dout\ : STD_LOGIC_VECTOR ( 14 downto 0 );
begin
\^clk\ <= clk;
input_bram_rd_clk <= \^clk\;
input_bram_rd_dout(15) <= \<const0>\;
input_bram_rd_dout(14) <= \<const0>\;
input_bram_rd_dout(13) <= \<const0>\;
input_bram_rd_dout(12) <= \<const0>\;
input_bram_rd_dout(11) <= \<const0>\;
input_bram_rd_dout(10) <= \<const0>\;
input_bram_rd_dout(9) <= \<const0>\;
input_bram_rd_dout(8) <= \<const0>\;
input_bram_rd_dout(7) <= \<const0>\;
input_bram_rd_dout(6) <= \<const0>\;
input_bram_rd_dout(5) <= \<const0>\;
input_bram_rd_dout(4) <= \<const0>\;
input_bram_rd_dout(3) <= \<const0>\;
input_bram_rd_dout(2) <= \<const0>\;
input_bram_rd_dout(1) <= \<const0>\;
input_bram_rd_dout(0) <= \<const0>\;
input_bram_rd_rst <= \^input_bram_rd_rst\;
input_bram_rd_wen <= \<const0>\;
output_bram_wr_clk <= \^clk\;
output_bram_wr_dout(15) <= \<const0>\;
output_bram_wr_dout(14 downto 0) <= \^output_bram_wr_dout\(14 downto 0);
output_bram_wr_en <= \<const1>\;
output_bram_wr_rst <= \^input_bram_rd_rst\;
weight_bram_rd_clk <= \^clk\;
weight_bram_rd_dout(15) <= \<const0>\;
weight_bram_rd_dout(14) <= \<const0>\;
weight_bram_rd_dout(13) <= \<const0>\;
weight_bram_rd_dout(12) <= \<const0>\;
weight_bram_rd_dout(11) <= \<const0>\;
weight_bram_rd_dout(10) <= \<const0>\;
weight_bram_rd_dout(9) <= \<const0>\;
weight_bram_rd_dout(8) <= \<const0>\;
weight_bram_rd_dout(7) <= \<const0>\;
weight_bram_rd_dout(6) <= \<const0>\;
weight_bram_rd_dout(5) <= \<const0>\;
weight_bram_rd_dout(4) <= \<const0>\;
weight_bram_rd_dout(3) <= \<const0>\;
weight_bram_rd_dout(2) <= \<const0>\;
weight_bram_rd_dout(1) <= \<const0>\;
weight_bram_rd_dout(0) <= \<const0>\;
weight_bram_rd_rst <= \^input_bram_rd_rst\;
weight_bram_rd_wen <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
conv_waddr_inferred_i_16: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => C(8),
O => conv_waddr_inferred_i_16_n_0
);
conv_waddr_inferred_i_18: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => C(7),
O => conv_waddr_inferred_i_18_n_0
);
conv_waddr_inferred_i_19: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => C(6),
O => conv_waddr_inferred_i_19_n_0
);
conv_waddr_inferred_i_20: unisim.vcomponents.LUT1
generic map(
INIT => X"2"
)
port map (
I0 => C(5),
O => conv_waddr_inferred_i_20_n_0
);
inst: entity work.vcnnbd_conv1l_top_0_0_conv1l_top
port map (
AR(0) => \^input_bram_rd_rst\,
S(2) => conv_waddr_inferred_i_18_n_0,
S(1) => conv_waddr_inferred_i_19_n_0,
S(0) => conv_waddr_inferred_i_20_n_0,
ap_done => ap_done,
ap_ready => ap_ready,
ap_start => ap_start,
clk => \^clk\,
\conv_wrows_reg[0]_0\(0) => conv_waddr_inferred_i_16_n_0,
input_bram_rd_adddr(11 downto 0) => input_bram_rd_adddr(11 downto 0),
input_bram_rd_din(15 downto 0) => input_bram_rd_din(15 downto 0),
input_bram_rd_en => input_bram_rd_en,
output_bram_wr_addr(13 downto 0) => output_bram_wr_addr(13 downto 0),
output_bram_wr_dout(14 downto 0) => \^output_bram_wr_dout\(14 downto 0),
output_bram_wr_wen => output_bram_wr_wen,
rstn => rstn,
weight_bram_rd_adddr(8 downto 0) => weight_bram_rd_adddr(8 downto 0),
\weight_bram_rd_adddr_reg[8]_0\(3 downto 0) => C(8 downto 5),
weight_bram_rd_din(15 downto 0) => weight_bram_rd_din(15 downto 0),
weight_bram_rd_en => weight_bram_rd_en
);
end STRUCTURE;
|
----------------------------------------------------------------------------------
-- filter.vhd
--
-- Copyright (C) 2006 <NAME>
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along
-- with this program; if not, write to the Free Software Foundation, Inc.,
-- 51 Franklin St, Fifth Floor, Boston, MA 02110, USA
--
----------------------------------------------------------------------------------
--
-- Details: http://www.sump.org/projects/analyzer/
--
-- Fast 32 channel digital noise filter using a single LUT function for each
-- individual channel. It will filter out all pulses that only appear for half
-- a clock cycle. This way a pulse has to be at least 5-10ns long to be accepted
-- as valid. This is sufficient for sample rates up to 100MHz.
--
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity filter is
port(
la_input : in std_logic_vector (31 downto 0);
la_input180 : in std_logic_vector (31 downto 0);
clock : in std_logic;
output : out std_logic_vector (31 downto 0)
);
end filter;
architecture behavioral of filter is
signal la_input360, la_input180Delay, result : std_logic_vector (31 downto 0);
begin
process(clock)
begin
if rising_edge(clock) then
-- determine next result
for i in 31 downto 0 loop
result(i) <= (result(i) or la_input360(i) or la_input(i)) and la_input180Delay(i);
end loop;
-- shift in la_input data
la_input360 <= la_input;
la_input180Delay <= la_input180;
end if;
end process;
output <= result;
end behavioral;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity speciescoppyTable1 is
port (clk : in std_logic;
we : in std_logic;
en : in std_logic;
--ssr : in std_logic;
a:in std_logic_vector(31 downto 0);--a1,a2,a3,a4,a5,a6,a7,aa,a1a,a2a,ab,a1b,a2b,ac,a1c,a2c : in std_logic_vector(31 downto 0);
di : in std_logic_vector(31 downto 0);
do : out std_logic_vector(31 downto 0));
--do1,do2,do3,do4,do5,do6,do7,do8,do1a,do2a,do3a,do1b,do2b,do3b,do1c,do2c,do3c,do1d,do2d,do3d: out std_logic_vector(31 downto 0));
end speciescoppyTable1;
architecture Behavioral of speciescoppyTable1 is
type ram_type is array(0 to BRAM_size) of std_logic_vector(31 downto 0);
signal RAM:ram_type:= (
|
<reponame>mfkiwl/riscv_vhdl-64bit-fault-tolerant
--!
--! Copyright 2020 <NAME>, <EMAIL>
--!
--! Licensed under the Apache License, Version 2.0 (the "License");
--! you may not use this file except in compliance with the License.
--! You may obtain a copy of the License at
--!
--! http://www.apache.org/licenses/LICENSE-2.0
--!
--! Unless required by applicable law or agreed to in writing, software
--! distributed under the License is distributed on an "AS IS" BASIS,
--! WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
--! See the License for the specific language governing permissions and
--! limitations under the License.
--!
library ieee;
use ieee.std_logic_1164.all;
library commonlib;
use commonlib.types_common.all;
--! AMBA system bus specific library.
library ambalib;
--! AXI4 configuration constants.
use ambalib.types_amba4.all;
--! RIVER CPU specific library.
library riverlib;
--! RIVER CPU configuration constants.
use riverlib.river_cfg.all;
--! River top level with AMBA interface module declaration
use riverlib.types_river.all;
entity river_l2serdes is
generic (
async_reset : boolean
);
port (
i_nrst : in std_logic;
i_clk : in std_logic;
i_l2o : in axi4_l2_out_type;
o_l2i : out axi4_l2_in_type;
i_msti : in axi4_master_in_type;
o_msto : out axi4_master_out_type
);
end;
architecture arch_river_l2serdes of river_l2serdes is
-- TODO as generic parameters
constant linew : integer := L1CACHE_LINE_BITS;
constant busw : integer := CFG_SYSBUS_DATA_BITS;
constant lineb : integer := linew / 8;
constant busb : integer := busw / 8;
constant SERDES_BURST_LEN : integer := lineb / busb;
type state_type is (Idle, Read, Write);
type RegistersType is record
state : state_type;
req_len : std_logic_vector(7 downto 0);
b_wait : std_logic;
cacheline : std_logic_vector(linew-1 downto 0);
wstrb : std_logic_vector(lineb-1 downto 0);
rmux : std_logic_vector(SERDES_BURST_LEN-1 downto 0);
end record;
constant R_RESET : RegistersType := (
idle, X"00", '0', (others => '0'), (others => '0'), (others => '0')
);
signal r, rin : RegistersType;
function size2len(size: std_logic_vector)
return std_logic_vector is
variable len: std_logic_vector(7 downto 0);
begin
case size(2 downto 0) is
when "100" => len := X"01";
when "101" => len := X"03";
when "110" => len := X"07";
when "111" => len := X"0F";
when others => len := X"00";
end case;
return len;
end function size2len;
begin
comb : process(i_nrst, i_l2o, i_msti, r)
variable v : RegistersType;
variable v_req_mem_ready : std_logic;
variable vb_line_o : std_logic_vector(linew-1 downto 0);
variable v_r_valid : std_logic;
variable v_w_valid : std_logic;
variable v_w_last : std_logic;
variable v_w_ready : std_logic;
variable vb_len : std_logic_vector(7 downto 0);
variable vb_aw_id : std_logic_vector(CFG_SYSBUS_ID_BITS-1 downto 0);
variable vb_ar_id : std_logic_vector(CFG_SYSBUS_ID_BITS-1 downto 0);
begin
v := r;
v_req_mem_ready := '0';
v_r_valid := '0';
v_w_valid := '0';
v_w_last := '0';
v_w_ready := '0';
vb_len := (others => '0');
vb_aw_id := (others => '0');
vb_ar_id := (others => '0');
vb_aw_id(CFG_CPU_ID_BITS-1 downto 0) := i_l2o.aw_id;
vb_ar_id(CFG_CPU_ID_BITS-1 downto 0) := i_l2o.ar_id;
vb_line_o := r.cacheline;
for i in 0 to SERDES_BURST_LEN-1 loop
if r.rmux(i) = '1' then
vb_line_o((i+1)*busw-1 downto i*busw) := i_msti.r_data;
end if;
end loop;
if i_l2o.b_ready = '1' then
v.b_wait := '0';
end if;
case r.state is
when Idle =>
v_req_mem_ready := '1';
when Read =>
if i_msti.r_valid = '1' then
v.cacheline := vb_line_o;
v.rmux := r.rmux(SERDES_BURST_LEN-2 downto 0) & '0';
if r.req_len = X"00" then
v_r_valid := '1';
v_req_mem_ready := '1';
else
v.req_len := r.req_len - 1;
end if;
end if;
when Write =>
v_w_valid := '1';
if r.req_len = X"00" then
v_w_last := '1';
end if;
if i_msti.w_ready = '1' then
v.cacheline(linew-1 downto linew-busw) := (others => '0');
v.cacheline(linew-busw-1 downto 0) := r.cacheline(linew-1 downto busw);
v.wstrb(lineb-1 downto lineb-busb) := (others => '0');
v.wstrb(lineb-busb-1 downto 0) := r.wstrb(lineb-1 downto busb);
if r.req_len = X"00" then
v_w_ready := '1';
v.b_wait := '1';
v_req_mem_ready := '1';
else
v.req_len := r.req_len - 1;
end if;
end if;
when others =>
end case;
if v_req_mem_ready = '1' then
if (i_l2o.ar_valid and i_msti.ar_ready) = '1' then
v.state := Read;
v.rmux := conv_std_logic_vector(1, SERDES_BURST_LEN);
vb_len := size2len(i_l2o.ar_bits.size);
elsif (i_l2o.aw_valid and i_msti.aw_ready) = '1' then
v.cacheline := i_l2o.w_data; -- Undocumented River (Axi-lite) feature
v.wstrb := i_l2o.w_strb;
v.state := Write;
vb_len := size2len(i_l2o.aw_bits.size);
else
v.state := Idle;
end if;
v.req_len := vb_len;
end if;
if not async_reset and i_nrst = '0' then
v := R_RESET;
end if;
o_msto.aw_valid <= i_l2o.aw_valid;
o_msto.aw_bits.addr <= i_l2o.aw_bits.addr;
o_msto.aw_bits.len <= vb_len; -- burst len = len[7:0] + 1
o_msto.aw_bits.size <= "011"; -- 0=1B; 1=2B; 2=4B; 3=8B; ...
o_msto.aw_bits.burst <= "01"; -- 00=FIXED; 01=INCR; 10=WRAP; 11=reserved
o_msto.aw_bits.lock <= i_l2o.aw_bits.lock;
o_msto.aw_bits.cache <= i_l2o.aw_bits.cache;
o_msto.aw_bits.prot <= i_l2o.aw_bits.prot;
o_msto.aw_bits.qos <= i_l2o.aw_bits.qos;
o_msto.aw_bits.region <= i_l2o.aw_bits.region;
o_msto.aw_id <= vb_aw_id;
o_msto.aw_user <= i_l2o.aw_user;
o_msto.w_valid <= v_w_valid;
o_msto.w_last <= v_w_last;
o_msto.w_data <= r.cacheline(busw-1 downto 0);
o_msto.w_strb <= r.wstrb(busb-1 downto 0);
o_msto.w_user <= i_l2o.w_user;
o_msto.b_ready <= i_l2o.b_ready;
o_msto.ar_valid <= i_l2o.ar_valid;
o_msto.ar_bits.addr <= i_l2o.ar_bits.addr;
o_msto.ar_bits.len <= vb_len; -- burst len = len[7:0] + 1
o_msto.ar_bits.size <= "011"; -- 0=1B; 1=2B; 2=4B; 3=8B; ...
o_msto.ar_bits.burst <= "01"; -- 00=FIXED; 01=INCR; 10=WRAP; 11=reserved
o_msto.ar_bits.lock <= i_l2o.ar_bits.lock;
o_msto.ar_bits.cache <= i_l2o.ar_bits.cache;
o_msto.ar_bits.prot <= i_l2o.ar_bits.prot;
o_msto.ar_bits.qos <= i_l2o.ar_bits.qos;
o_msto.ar_bits.region <= i_l2o.ar_bits.region;
o_msto.ar_id <= vb_ar_id;
o_msto.ar_user <= i_l2o.ar_user;
o_msto.r_ready <= i_l2o.r_ready;
o_l2i.aw_ready <= i_msti.aw_ready;
o_l2i.w_ready <= v_w_ready;
o_l2i.b_valid <= i_msti.b_valid and r.b_wait;
o_l2i.b_resp <= i_msti.b_resp;
o_l2i.b_id <= i_msti.b_id(CFG_CPU_ID_BITS-1 downto 0);
o_l2i.b_user <= i_msti.b_user;
o_l2i.ar_ready <= i_msti.ar_ready;
o_l2i.r_valid <= v_r_valid;
o_l2i.r_resp <= i_msti.r_resp;
o_l2i.r_data <= vb_line_o;
o_l2i.r_last <= v_r_valid;
o_l2i.r_id <= i_msti.r_id(CFG_CPU_ID_BITS-1 downto 0);
o_l2i.r_user <= i_msti.r_user;
rin <= v;
end process;
-- registers:
regs : process(i_clk, i_nrst)
begin
if async_reset and i_nrst = '0' then
r <= R_RESET;
elsif rising_edge(i_clk) then
r <= rin;
end if;
end process;
end;
|
<reponame>slow-J/TCD
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 03/12/2018 11:19:54 AM
-- Design Name:
-- Module Name: logic_circuit_2 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity logic_circuit_2 is
Port (
B : in STD_LOGIC_VECTOR(15 downto 0);
S_in : in STD_LOGIC_VECTOR(1 downto 0);
Y_out : out STD_LOGIC_VECTOR(15 downto 0)
);
end logic_circuit_2;
architecture Behavioral of logic_circuit_2 is
--mux 2-1 component
Component mux_2_1
Port(
I0, S0, S1 : in STD_LOGIC;
Z : out STD_LOGIC
);
End Component;
begin
mux00: mux_2_1 PORT MAP(
I0 => B(0),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(0)
);
mux01: mux_2_1 PORT MAP(
I0 => B(1),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(1)
);
mux02: mux_2_1 PORT MAP(
I0 => B(2),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(2)
);
mux03: mux_2_1 PORT MAP(
I0 => B(3),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(3)
);
mux04: mux_2_1 PORT MAP(
I0 => B(4),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(4)
);
mux05: mux_2_1 PORT MAP(
I0 => B(5),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(5)
);
mux06: mux_2_1 PORT MAP(
I0 => B(6),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(6)
);
mux07: mux_2_1 PORT MAP(
I0 => B(7),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(7)
);
mux08: mux_2_1 PORT MAP(
I0 => B(8),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(8)
);
mux09: mux_2_1 PORT MAP(
I0 => B(9),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(9)
);
mux10: mux_2_1 PORT MAP(
I0 => B(10),
S0 => S_in(0),
S1 => S_in(1),
Z => Y_out(10)
);
end Behavioral;
|
<filename>hardware/src_tb/LWC_TB.vhd
--===============================================================================================--
--! @file LWC_TB.vhd
--! @brief NIST Lightweight Cryptography Testbench
--! @project GMU LWC Package
--! @author Ekawat (ice) Homsirikamol
--! @author <NAME>
--! @copyright Copyright (c) 2015, 2020, 2021, 2022 Cryptographic Engineering Research Group
--! ECE Department, George Mason University Fairfax, VA, U.S.A.
--! All rights Reserved.
--! @version 1.2.0
--! @license This project is released under the GNU Public License.
--! The license and distribution terms for this file may be
--! found in the file LICENSE in this distribution or at
--! http://www.gnu.org/licenses/gpl-3.0.txt
--! @note This is publicly available encryption source code that falls
--! under the License Exception TSU (Technology and software-
--! unrestricted)
--===============================================================================================--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
use work.NIST_LWAPI_pkg.all;
use work.LWC_pkg.all;
entity LWC_TB IS
generic(
G_MAX_FAILURES : integer := 0; --! Maximum number of failures before stopping the simulation
G_TEST_MODE : integer := 0; --! 0: normal, 1: stall both sdi/pdi_valid and do_ready, 2: stall sdi/pdi_valid, 3: stall do_ready, 4: Timing (cycle) measurement
G_TEST_IPSTALL : integer := 3; --! Number of cycles to stall pdi_valid
G_TEST_ISSTALL : integer := 3; --! Number of cycles to stall sdi_valid
G_TEST_OSTALL : integer := 3; --! Number of cycles to stall do_ready
G_PERIOD_PS : integer := 10_000; --! Simulation clock period in picoseconds
G_FNAME_PDI : string := "../KAT/v1/pdi.txt"; --! Path to the input file containing cryptotvgen PDI testvector data
G_FNAME_SDI : string := "../KAT/v1/sdi.txt"; --! Path to the input file containing cryptotvgen SDI testvector data
G_FNAME_RDI : string := "../KAT/v1/rdi.txt"; --! Path to the input file containing random data
G_FNAME_DO : string := "../KAT/v1/do.txt"; --! Path to the input file containing cryptotvgen DO testvector data
G_FNAME_LOG : string := "log.txt"; --! Path to the generated log file
G_FNAME_TIMING : string := "timing.txt"; --! Path to the generated timing measurements (when G_TEST_MODE=4)
G_FNAME_FAILED_TVS : string := "failed_testvectors.txt"; --! Path to the generated log of failed testvector words
G_FNAME_RESULT : string := "result.txt"; --! Path to the generated result file containing 0 or 1 -- REDUNDANT / NOT USED
G_PRERESET_WAIT_NS : integer := 0; --! Time (in nanosecods) to wait before reseting UUT. Xilinx GSR takes 100ns, required for post-synth simulation
G_INPUT_DELAY_NS : integer := 0 --! Input delay
);
end LWC_TB;
architecture TB of LWC_TB is
--================================================== Constants ==================================================--
constant W_S : positive := W * PDI_SHARES;
constant SW_S : positive := SW * SDI_SHARES;
constant input_delay : TIME := G_INPUT_DELAY_NS * ns;
constant clk_period : TIME := G_PERIOD_PS * ps;
constant TB_HEAD : string(1 to 6) := "# TB :";
-- can be placed in the middle of a file
constant EOF_HEAD : string(1 to 6) := "###EOF";
constant INS_HEAD : string(1 to 6) := "INS = ";
constant HDR_HEAD : string(1 to 6) := "HDR = ";
constant DAT_HEAD : string(1 to 6) := "DAT = ";
constant STT_HEAD : string(1 to 6) := "STT = ";
constant TESTMODE_TIMING : integer := 4;
--=================================================== Signals ===================================================--
--! stop clock generation
signal stop_clock : boolean := False;
--! initial reset of UUT is complete
signal reset_done : boolean := False;
--=================================================== Wirings ===================================================--
signal clk : std_logic := '0';
signal rst : std_logic := '0';
--! PDI
signal pdi_data : std_logic_vector(W_S - 1 downto 0) := (others => '0');
signal pdi_data_delayed : std_logic_vector(W_S - 1 downto 0) := (others => '0');
signal pdi_valid : std_logic := '0';
signal pdi_valid_delayed : std_logic := '0';
signal pdi_ready : std_logic;
--! SDI
signal sdi_data : std_logic_vector(SW_S - 1 downto 0) := (others => '0');
signal sdi_data_delayed : std_logic_vector(SW_S - 1 downto 0) := (others => '0');
signal sdi_valid : std_logic := '0';
signal sdi_valid_delayed : std_logic := '0';
signal sdi_ready : std_logic;
--! DO
signal do_data : std_logic_vector(W_S - 1 downto 0);
signal do_valid : std_logic;
signal do_last : std_logic;
signal do_ready : std_logic := '0';
signal do_ready_delayed : std_logic := '0';
-- Used only for protected implementations:
-- RDI
signal rdi_data : std_logic_vector(RW - 1 downto 0) := (others => '0');
signal rdi_data_delayed : std_logic_vector(RW - 1 downto 0) := (others => '0');
signal rdi_valid : std_logic := '0';
signal rdi_valid_delayed : std_logic := '0';
signal rdi_ready : std_logic;
-- unshared version of DO
signal do_sum : std_logic_vector(W - 1 downto 0);
-- Counters
signal pdi_operation_count : integer := 0;
signal cycle_counter : natural := 0;
signal num_rand_vectors : natural := 0;
--
signal start_cycle : natural;
signal timing_started : boolean := False;
signal timing_stopped : boolean := False;
--================================================== I/O files ==================================================--
-- cryptotvgen KAT files
file pdi_file : TEXT open READ_MODE is G_FNAME_PDI; -- always required
file sdi_file : TEXT;
file do_file : TEXT open READ_MODE is G_FNAME_DO; -- always required
file rdi_file : TEXT;
-- output files
file log_file : TEXT open write_mode is G_FNAME_LOG;
file timing_file : TEXT;
file result_file : TEXT open write_mode is G_FNAME_RESULT;
file failures_file : TEXT open write_mode is G_FNAME_FAILED_TVS;
--================================================== functions ==================================================--
-- compare received word against expected word
-- returns true if they match or if the unmatched bit was a don't-care
function word_pass(actual, expected : std_logic_vector) return boolean is
begin
for i in expected'range loop
if actual(i) /= expected(i) and expected(i) /= 'X' and expected(i) /= '-' then
return False;
end if;
end loop;
return True;
end function;
-- sum up all shares. Returns do_data if num_shares=1)
function xor_shares(do_data : std_logic_vector; num_shares : positive) return std_logic_vector is
constant share_width : natural := do_data'length / num_shares;
variable ret : std_logic_vector(share_width - 1 downto 0) := do_data(share_width - 1 downto 0);
begin
for i in 1 to num_shares - 1 loop
ret := ret xor do_data((i + 1) * share_width - 1 downto i * share_width);
end loop;
return ret;
end function;
-- TODO re-implement random stalls
impure function get_stalls(max_stalls : integer) return integer is
begin
return max_stalls;
end function;
begin
--===========================================================================================--
-- generate clock
clockProProc : process
begin
if not stop_clock then
clk <= '1';
wait for clk_period / 2;
clk <= '0';
wait for clk_period / 2;
else
wait;
end if;
end process;
-- generate reset
resetProc : process
begin
report LF & " -- Testvectors: " & G_FNAME_PDI & " " & G_FNAME_SDI & " " & G_FNAME_DO & LF &
" -- Clock Period: " & integer'image(G_PERIOD_PS) & " ps" & LF &
" -- Test Mode: " & integer'image(G_TEST_MODE) & LF &
" -- Max Failures: " & integer'image(G_MAX_FAILURES) & LF & CR severity note;
wait for G_PRERESET_WAIT_NS * ns;
if ASYNC_RSTN then
rst <= '0';
wait for 2 * clk_period;
rst <= '1';
else
rst <= '1';
wait for 2 * clk_period + input_delay;
rst <= '0';
end if;
wait until rising_edge(clk);
wait for clk_period; -- optional
reset_done <= True;
wait;
end process;
cycleCountProc : process(clk)
begin
if reset_done and rising_edge(clk) then
cycle_counter <= cycle_counter + 1;
end if;
end process;
--===========================================================================================--
-- LWC is instantiated as a component for mixed languages simulation
uut : LWC_SCA
port map(
clk => clk,
rst => rst,
pdi_data => pdi_data_delayed,
pdi_valid => pdi_valid_delayed,
pdi_ready => pdi_ready,
sdi_data => sdi_data_delayed,
sdi_valid => sdi_valid_delayed,
sdi_ready => sdi_ready,
do_data => do_data,
do_last => do_last,
do_valid => do_valid,
do_ready => do_ready_delayed,
rdi_data => rdi_data_delayed,
rdi_valid => rdi_valid_delayed,
rdi_ready => rdi_ready
);
--===========================================================================================--
pdi_data_delayed <= transport pdi_data after input_delay;
pdi_valid_delayed <= transport pdi_valid after input_delay;
sdi_data_delayed <= transport sdi_data after input_delay;
sdi_valid_delayed <= transport sdi_valid after input_delay;
do_ready_delayed <= transport do_ready after input_delay;
do_sum <= xor_shares(do_data, PDI_SHARES);
GEN_RDI : if RW > 0 generate
begin
rdi_data_delayed <= transport rdi_data after input_delay;
rdi_valid_delayed <= transport rdi_valid after input_delay;
rdi_proc : process
variable rdi_line : line;
variable rdi_vec : std_logic_vector(RW - 1 downto 0);
variable read_ok : boolean;
begin
report LF & "RW=" & integer'image(RW);
wait until reset_done and rising_edge(clk);
if G_FNAME_RDI'length < 1 then
rdi_data <= (others => '1');
rdi_valid <= '1';
else
file_open(rdi_file, G_FNAME_RDI, READ_MODE);
loop
loop
if endfile(rdi_file) then
assert num_rand_vectors > 0 report "RDI file is empty!" severity failure;
-- report "Reached end of " & G_FNAME_RDI & ", reading from the begining.";
-- re-read from the biginging
file_close(rdi_file);
file_open(rdi_file, G_FNAME_RDI, READ_MODE);
end if;
readline(rdi_file, rdi_line);
if rdi_line'length > 0 then
exit;
end if;
end loop;
if rdi_line'length * 4 < RW then
report "Error: RDI line is shorter than RW " severity failure;
exit; -- exit the loop
end if;
lwc_hread(rdi_line, rdi_vec, read_ok);
if not read_ok then
report "Error while reading " & G_FNAME_RDI severity failure;
exit; -- exit the loop
end if;
rdi_data <= rdi_vec;
rdi_valid <= '1';
wait until rising_edge(clk) and rdi_ready = '1' and rdi_valid_delayed = '1';
num_rand_vectors <= num_rand_vectors + 1;
rdi_valid <= '0';
end loop;
file_close(rdi_file);
end if;
wait; -- until simulation ends
end process;
end generate;
--===========================================================================================--
--====================================== PDI Stimulus =======================================--
tb_read_pdi : process
variable line_data : LINE;
variable word_block : std_logic_vector(W_S - 1 downto 0) := (others => '0');
variable read_ok : boolean;
variable line_head : string(1 to 6);
variable stall_cycles : integer;
variable actkey_ins : boolean;
variable hash_ins : boolean;
-- instruction other than actkey or hash was already sent
variable op_sent : boolean := False;
begin
-- wait for the clock edge after reset is complete
wait until reset_done;
wait until rising_edge(clk);
--
while not endfile(pdi_file) loop
readline(pdi_file, line_data);
read(line_data, line_head, read_ok); --! read line header
if read_ok and (line_head = INS_HEAD) then
pdi_operation_count <= pdi_operation_count + 1;
end if;
if read_ok and (line_head = INS_HEAD or line_head = HDR_HEAD or line_head = DAT_HEAD) then
loop
lwc_hread(line_data, word_block, read_ok);
if not read_ok then
exit;
end if;
actkey_ins := (line_head = INS_HEAD) and (word_block(W - 1 downto W - 4) = INST_ACTKEY);
hash_ins := (line_head = INS_HEAD) and (word_block(W - 1 downto W - 4) = INST_HASH);
-- stalls
if G_TEST_MODE = 1 or G_TEST_MODE = 2 then
stall_cycles := get_stalls(G_TEST_IPSTALL);
if stall_cycles > 0 then
pdi_valid <= '0';
wait for stall_cycles * clk_period;
wait until rising_edge(clk); -- TODO verify number of generated stall cycles
end if;
elsif G_TEST_MODE = TESTMODE_TIMING and line_head = INS_HEAD and (actkey_ins or hash_ins or op_sent) and timing_started then
if not timing_stopped then
pdi_valid <= '0';
wait until rising_edge(clk) and timing_stopped; -- wait for tb_verify_do process to complete timed operation
end if;
timing_started <= False; -- Ack receiving timing_stopped = '1' to tb_verify_do process
end if;
pdi_valid <= '1';
pdi_data <= word_block;
wait until rising_edge(clk) and pdi_ready = '1';
-- NOTE: should never stall here
if G_TEST_MODE = TESTMODE_TIMING and line_head = INS_HEAD then
op_sent := not actkey_ins and not hash_ins;
if not timing_started then
start_cycle <= cycle_counter;
timing_started <= True;
wait for 0 ns; -- yield to update timing_started signal as there could be no wait before next read
end if;
end if;
end loop;
end if;
end loop;
--
pdi_valid <= '0';
if timing_started and not timing_stopped then
wait until timing_stopped;
timing_started <= False;
end if;
wait; -- until simulation ends
end process;
--===========================================================================================--
--====================================== SDI Stimulus =======================================--
tb_read_sdi : process
variable line_data : LINE;
variable word_block : std_logic_vector(SW_S - 1 downto 0);
variable read_ok : boolean;
variable line_head : string(1 to 6);
variable stall_cycles : integer;
begin
wait until reset_done;
wait until rising_edge(clk);
if G_FNAME_SDI'length > 0 then -- set G_FNAME_SDI = "" if sdi is not used (i.e., hash)
file_open(sdi_file, G_FNAME_SDI, READ_MODE);
while not endfile(sdi_file) loop
readline(sdi_file, line_data);
read(line_data, line_head, read_ok);
if read_ok and (line_head = INS_HEAD or line_head = HDR_HEAD or line_head = DAT_HEAD) then
loop
lwc_hread(line_data, word_block, read_ok);
if not read_ok then
exit;
end if;
if G_TEST_MODE = 1 or G_TEST_MODE = 2 then
stall_cycles := get_stalls(G_TEST_ISSTALL);
if stall_cycles > 0 then
sdi_valid <= '0';
wait for stall_cycles * clk_period;
end if;
elsif G_TEST_MODE = TESTMODE_TIMING and not timing_started then
sdi_valid <= '0';
wait until timing_started;
end if;
sdi_valid <= '1';
sdi_data <= word_block;
wait until rising_edge(clk) and sdi_ready = '1';
end loop;
end if;
end loop;
end if;
sdi_valid <= '0';
wait; -- until simulation ends
end process;
--===========================================================================================--
--=================================== DO Verification =======================================--
tb_verify_do : process
variable line_no : integer := 0;
variable line_data : LINE;
variable logMsg : LINE;
variable failMsg : LINE;
variable tb_block : std_logic_vector(20 - 1 downto 0);
variable word_block : std_logic_vector(W - 1 downto 0);
variable read_ok : boolean;
variable preamble : string(1 to 6);
variable word_count : integer := 1;
variable force_exit : boolean := False;
variable failed : boolean := False;
variable msgid : integer;
variable keyid : integer;
variable opcode : std_logic_vector(3 downto 0);
variable num_fails : integer := 0;
variable testcase : integer := 0;
variable stall_cycles : integer;
variable cycles : integer;
variable end_cycle : natural;
variable end_time : TIME;
begin
wait until reset_done;
wait until rising_edge(clk);
if G_TEST_MODE = TESTMODE_TIMING then
file_open(timing_file, G_FNAME_TIMING, WRITE_MODE);
end if;
while not endfile(do_file) and not force_exit loop
loop
if endfile(do_file) then
report "Reached the end of " & G_FNAME_DO;
read_ok := False;
exit;
end if;
readline(do_file, line_data);
line_no := line_no + 1;
if line_data'length > 0 then
read(line_data, preamble, read_ok);
if read_ok then
exit;
end if;
end if;
end loop;
if not read_ok then
exit;
end if;
if preamble = EOF_HEAD then
report "Reached EOF marker in " & G_FNAME_DO severity warning;
force_exit := True;
exit;
elsif preamble = STT_HEAD or preamble = HDR_HEAD or preamble = DAT_HEAD then --valid do.txt lines are: header, data, and status
loop -- processing single line
lwc_hread(line_data, word_block, read_ok); -- read the rest of the line to word_block
if not read_ok then
exit;
end if;
-- stalls
if G_TEST_MODE = 1 or G_TEST_MODE = 3 then
stall_cycles := get_stalls(G_TEST_OSTALL);
if stall_cycles > 0 then
do_ready <= '0';
wait for stall_cycles * clk_period;
-- wait until rising_edge(clk);
end if;
elsif G_TEST_MODE = TESTMODE_TIMING and not timing_started then
-- stall until timing has started from PDI
do_ready <= '0';
timing_stopped <= False;
wait until timing_started;
end if;
do_ready <= '1';
wait until rising_edge(clk) and do_valid = '1';
assert preamble /= STT_HEAD or do_last = '1' report "Status word received, but do_last was not '1'" severity error;
if not word_pass(do_sum, word_block) then
failed := True;
write(logMsg, string'("[Log] Msg ID #") & integer'image(msgid) & string'(" fails at line #") & integer'image(line_no) & string'(" word #") & integer'image(word_count));
writeline(log_file, logMsg);
write(logMsg, string'("[Log] Expected: ") & lwc_to_hstring(word_block) & string'(" Received: ") & lwc_to_hstring(do_sum));
writeline(log_file, logMsg);
report " --- MsgID #" & integer'image(testcase)
& " Data line #" & integer'image(line_no)
& " Word #" & integer'image(word_count)
& " at " & TIME'image(now) & " FAILS ---"
severity error;
report "Expected: " & lwc_to_hstring(word_block)
& " Actual: " & lwc_to_hstring(do_sum) severity error;
write(result_file, string'("fail"));
num_fails := num_fails + 1;
write(failMsg, string'("Failure #") & integer'image(num_fails) & " MsgID: " & integer'image(testcase)); -- & " Operation: ");
write(failMsg, string'(" Line: ") & integer'image(line_no) & " Word: " & integer'image(word_count));
write(failMsg, " Expected: " & lwc_to_hstring(word_block) & " Received: " & lwc_to_hstring(do_data));
if PDI_SHARES > 1 then
write(failMsg, " Received sum: " & lwc_to_hstring(do_sum));
end if;
writeline(failures_file, failMsg);
if num_fails >= G_MAX_FAILURES then
force_exit := True;
exit;
end if;
else
write(logMsg, string'("[Log] Expected: ") & lwc_to_hstring(word_block) & string'(" Received: ") & lwc_to_hstring(do_data) & string'(" Matched!"));
writeline(log_file, logMsg);
end if;
word_count := word_count + 1;
if preamble = STT_HEAD then -- last line of this testcase
if G_TEST_MODE = TESTMODE_TIMING then
assert timing_started;
cycles := cycle_counter - start_cycle;
timing_stopped <= True;
do_ready <= '0'; -- needed as we wait for de-assertion of timing_started
wait until not timing_started;
write(logMsg, integer'image(msgid) & ", " & integer'image(cycles));
writeline(timing_file, logMsg);
report "[Timing] MsgId: " & integer'image(msgid) & ", cycles: " & integer'image(cycles) severity note;
end if;
end if;
end loop; -- end of this line
elsif preamble = TB_HEAD then
testcase := testcase + 1;
lwc_hread(line_data, tb_block, read_ok);
if not read_ok then
exit;
end if;
opcode := tb_block(19 downto 16);
msgid := to_integer(to_01(unsigned(tb_block(7 downto 0))));
write(logMsg, "Testcase #" & integer'image(testcase) & " MsgID:" & integer'image(testcase) & " Op:");
if (opcode = INST_HASH) then
write(logMsg, string'("HASH"));
else
if opcode = INST_ENC then
write(logMsg, string'("ENC"));
elsif opcode = INST_DEC then
write(logMsg, string'("DEC"));
else
write(logMsg, string'("UNKNOWN opcode=") & lwc_to_hstring(opcode));
end if;
keyid := to_integer(to_01(unsigned(tb_block(15 downto 8))));
write(logMsg, string'(" KeyID:") & integer'image(keyid));
end if;
report logMsg.all severity note;
writeline(log_file, logMsg);
end if;
end loop;
--
end_cycle := cycle_counter;
end_time := now;
do_ready <= '0';
wait until rising_edge(clk);
if RW > 0 then
report "Number of consumed random words: " & integer'image(num_rand_vectors) severity note;
end if;
--
if failed then
write(logMsg, string'("[FAIL] "));
else
write(logMsg, string'("[PASS] "));
end if;
file_close(do_file);
write(logMsg, string'("Simulation completed in ") & integer'image(end_cycle) & " cycles.");
-- write(logMsg, string'(" Simulation time: ") & time'image(end_time));
writeline(log_file, logMsg);
--
if G_TEST_MODE = TESTMODE_TIMING then
file_close(timing_file);
end if;
file_close(log_file);
--
if failed then
write(result_file, "1");
report LF & LF & logMsg.all & LF severity failure;
else
write(result_file, "0");
report LF & LF & logMsg.all & LF severity note;
end if;
file_close(result_file);
--
stop_clock <= True;
-- Do not use a 'failure' to end the simulation.
-- Simulators usually exit when there are no event scheduled.
wait;
end process;
end architecture;
|
<reponame>EddieDhakal/Altera-DE2-Lab-solutions<filename>lab1/part1/part1.vhd
library ieee;
use ieee.std_logic_1164.all;
entity part1 is
port(
sw : in std_logic_vector(17 downto 0);
ledr : out std_logic_vector(17 downto 0));
end part1;
architecture arc of part1 is
begin
ledr <= sw;
end arc;
|
<reponame>gustavohfc/RISC-V-Cryptographic-Coprocessor<gh_stars>1-10
library vunit_lib;
context vunit_lib.vunit_context;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.coprocessor_constants.all;
-- 24 bits message ("abc")
entity unit_sha1_test_2_tb IS
generic(
runner_cfg : string
);
end unit_sha1_test_2_tb;
architecture unit_sha1_test_2_tb_arch OF unit_sha1_test_2_tb IS
signal clk : std_logic := '0';
signal start_new_hash : std_logic := '0';
signal write_data_in : std_logic := '0';
signal data_in : unsigned(31 downto 0) := (others => '0');
signal data_in_word_position : unsigned(3 downto 0) := (others => '0');
signal calculate_next_block : std_logic := '0';
signal is_last_block : std_logic := '0';
signal last_block_size : unsigned(9 downto 0) := (others => '0');
signal is_waiting_next_block : std_logic := '0';
signal is_busy : std_logic := '0';
signal is_complete : std_logic := '0';
signal error : sha1_error_type;
signal H0_out : unsigned(31 downto 0) := (others => '0');
signal H1_out : unsigned(31 downto 0) := (others => '0');
signal H2_out : unsigned(31 downto 0) := (others => '0');
signal H3_out : unsigned(31 downto 0) := (others => '0');
signal H4_out : unsigned(31 downto 0) := (others => '0');
begin
sha1 : entity work.sha1
port map(
clk => clk,
start_new_hash => start_new_hash,
write_data_in => write_data_in,
data_in => data_in,
data_in_word_position => data_in_word_position,
calculate_next_block => calculate_next_block,
is_last_block => is_last_block,
last_block_size => last_block_size,
is_waiting_next_block => is_waiting_next_block,
is_busy => is_busy,
is_complete => is_complete,
error => error,
H0_out => H0_out,
H1_out => H1_out,
H2_out => H2_out,
H3_out => H3_out,
H4_out => H4_out
);
clk <= not clk after 10 ps;
main : process
alias A is <<signal sha1.A : unsigned(31 downto 0)>>;
alias B is <<signal sha1.B : unsigned(31 downto 0)>>;
alias C is <<signal sha1.C : unsigned(31 downto 0)>>;
alias D is <<signal sha1.D : unsigned(31 downto 0)>>;
alias E is <<signal sha1.E : unsigned(31 downto 0)>>;
begin
test_runner_setup(runner, runner_cfg);
-- Start new hash
start_new_hash <= '1';
wait until rising_edge(clk);
start_new_hash <= '0';
-- Write the 24 bits message ("abc")
write_data_in <= '1';
data_in <= x"61626300";
data_in_word_position <= x"0";
wait until rising_edge(clk);
write_data_in <= '0';
-- Start calculation
calculate_next_block <= '1';
is_last_block <= '1';
last_block_size <= to_unsigned(24, 10);
wait until rising_edge(clk);
calculate_next_block <= '0';
is_last_block <= '0';
wait until rising_edge(clk);
wait until rising_edge(clk); -- Wait padding step
wait until rising_edge(clk); -- Wait the pre calculation step
-------------------------------------------- Round 1 --------------------------------------------
-- Step 0
wait until rising_edge(clk);
check(A = x"0116fc33");
check(B = x"67452301");
check(C = x"7bf36ae2");
check(D = x"98badcfe");
check(E = x"10325476");
-- Step 1
wait until rising_edge(clk);
check(A = x"8990536d");
check(B = x"0116fc33");
check(C = x"59d148c0");
check(D = x"7bf36ae2");
check(E = x"98badcfe");
-- Step 2
wait until rising_edge(clk);
check(A = x"a1390f08");
check(B = x"8990536d");
check(C = x"c045bf0c");
check(D = x"59d148c0");
check(E = x"7bf36ae2");
-- Step 3
wait until rising_edge(clk);
check(A = x"cdd8e11b");
check(B = x"a1390f08");
check(C = x"626414db");
check(D = x"c045bf0c");
check(E = x"59d148c0");
-- Step 4
wait until rising_edge(clk);
check(A = x"cfd499de");
check(B = x"cdd8e11b");
check(C = x"284e43c2");
check(D = x"626414db");
check(E = x"c045bf0c");
-- Step 5
wait until rising_edge(clk);
check(A = x"3fc7ca40");
check(B = x"cfd499de");
check(C = x"f3763846");
check(D = x"284e43c2");
check(E = x"626414db");
-- Step 6
wait until rising_edge(clk);
check(A = x"993e30c1");
check(B = x"3fc7ca40");
check(C = x"b3f52677");
check(D = x"f3763846");
check(E = x"284e43c2");
-- Step 7
wait until rising_edge(clk);
check(A = x"9e8c07d4");
check(B = x"993e30c1");
check(C = x"0ff1f290");
check(D = x"b3f52677");
check(E = x"f3763846");
-- Step 8
wait until rising_edge(clk);
check(A = x"4b6ae328");
check(B = x"9e8c07d4");
check(C = x"664f8c30");
check(D = x"0ff1f290");
check(E = x"b3f52677");
-- Step 9
wait until rising_edge(clk);
check(A = x"8351f929");
check(B = x"4b6ae328");
check(C = x"27a301f5");
check(D = x"664f8c30");
check(E = x"0ff1f290");
-- Step 10
wait until rising_edge(clk);
check(A = x"fbda9e89");
check(B = x"8351f929");
check(C = x"12dab8ca");
check(D = x"27a301f5");
check(E = x"664f8c30");
-- Step 11
wait until rising_edge(clk);
check(A = x"63188fe4");
check(B = x"fbda9e89");
check(C = x"60d47e4a");
check(D = x"12dab8ca");
check(E = x"27a301f5");
-- Step 12
wait until rising_edge(clk);
check(A = x"4607b664");
check(B = x"63188fe4");
check(C = x"7ef6a7a2");
check(D = x"60d47e4a");
check(E = x"12dab8ca");
-- Step 13
wait until rising_edge(clk);
check(A = x"9128f695");
check(B = x"4607b664");
check(C = x"18c623f9");
check(D = x"7ef6a7a2");
check(E = x"60d47e4a");
-- Step 14
wait until rising_edge(clk);
check(A = x"196bee77");
check(B = x"9128f695");
check(C = x"1181ed99");
check(D = x"18c623f9");
check(E = x"7ef6a7a2");
-- Step 15
wait until rising_edge(clk);
check(A = x"20bdd62f");
check(B = x"196bee77");
check(C = x"644a3da5");
check(D = x"1181ed99");
check(E = x"18c623f9");
-- Step 16
wait until rising_edge(clk);
check(A = x"4e925823");
check(B = x"20bdd62f");
check(C = x"c65afb9d");
check(D = x"644a3da5");
check(E = x"1181ed99");
-- Step 17
wait until rising_edge(clk);
check(A = x"82aa6728");
check(B = x"4e925823");
check(C = x"c82f758b");
check(D = x"c65afb9d");
check(E = x"644a3da5");
-- Step 18
wait until rising_edge(clk);
check(A = x"dc64901d");
check(B = x"82aa6728");
check(C = x"d3a49608");
check(D = x"c82f758b");
check(E = x"c65afb9d");
-- Step 19
wait until rising_edge(clk);
check(A = x"fd9e1d7d");
check(B = x"dc64901d");
check(C = x"20aa99ca");
check(D = x"d3a49608");
check(E = x"c82f758b");
-- Step 20
wait until rising_edge(clk);
check(A = x"1a37b0ca");
check(B = x"fd9e1d7d");
check(C = x"77192407");
check(D = x"20aa99ca");
check(E = x"d3a49608");
-- Step 21
wait until rising_edge(clk);
check(A = x"33a23bfc");
check(B = x"1a37b0ca");
check(C = x"7f67875f");
check(D = x"77192407");
check(E = x"20aa99ca");
-- Step 22
wait until rising_edge(clk);
check(A = x"21283486");
check(B = x"33a23bfc");
check(C = x"868dec32");
check(D = x"7f67875f");
check(E = x"77192407");
-- Step 23
wait until rising_edge(clk);
check(A = x"d541f12d");
check(B = x"21283486");
check(C = x"0ce88eff");
check(D = x"868dec32");
check(E = x"7f67875f");
-- Step 24
wait until rising_edge(clk);
check(A = x"c7567dc6");
check(B = x"d541f12d");
check(C = x"884a0d21");
check(D = x"0ce88eff");
check(E = x"868dec32");
-- Step 25
wait until rising_edge(clk);
check(A = x"48413ba4");
check(B = x"c7567dc6");
check(C = x"75507c4b");
check(D = x"884a0d21");
check(E = x"0ce88eff");
-- Step 26
wait until rising_edge(clk);
check(A = x"be35fbd5");
check(B = x"48413ba4");
check(C = x"b1d59f71");
check(D = x"75507c4b");
check(E = x"884a0d21");
-- Step 27
wait until rising_edge(clk);
check(A = x"4aa84d97");
check(B = x"be35fbd5");
check(C = x"12104ee9");
check(D = x"b1d59f71");
check(E = x"75507c4b");
-- Step 28
wait until rising_edge(clk);
check(A = x"8370b52e");
check(B = x"4aa84d97");
check(C = x"6f8d7ef5");
check(D = x"12104ee9");
check(E = x"b1d59f71");
-- Step 29
wait until rising_edge(clk);
check(A = x"c5fbaf5d");
check(B = x"8370b52e");
check(C = x"d2aa1365");
check(D = x"6f8d7ef5");
check(E = x"12104ee9");
-- Step 30
wait until rising_edge(clk);
check(A = x"1267b407");
check(B = x"c5fbaf5d");
check(C = x"a0dc2d4b");
check(D = x"d2aa1365");
check(E = x"6f8d7ef5");
-- Step 31
wait until rising_edge(clk);
check(A = x"3b845d33");
check(B = x"1267b407");
check(C = x"717eebd7");
check(D = x"a0dc2d4b");
check(E = x"d2aa1365");
-- Step 32
wait until rising_edge(clk);
check(A = x"046faa0a");
check(B = x"3b845d33");
check(C = x"c499ed01");
check(D = x"717eebd7");
check(E = x"a0dc2d4b");
-- Step 33
wait until rising_edge(clk);
check(A = x"2c0ebc11");
check(B = x"046faa0a");
check(C = x"cee1174c");
check(D = x"c499ed01");
check(E = x"717eebd7");
-- Step 34
wait until rising_edge(clk);
check(A = x"21796ad4");
check(B = x"2c0ebc11");
check(C = x"811bea82");
check(D = x"cee1174c");
check(E = x"c499ed01");
-- Step 35
wait until rising_edge(clk);
check(A = x"dcbbb0cb");
check(B = x"21796ad4");
check(C = x"4b03af04");
check(D = x"811bea82");
check(E = x"cee1174c");
-- Step 36
wait until rising_edge(clk);
check(A = x"0f511fd8");
check(B = x"dcbbb0cb");
check(C = x"085e5ab5");
check(D = x"4b03af04");
check(E = x"811bea82");
-- Step 37
wait until rising_edge(clk);
check(A = x"dc63973f");
check(B = x"0f511fd8");
check(C = x"f72eec32");
check(D = x"085e5ab5");
check(E = x"4b03af04");
-- Step 38
wait until rising_edge(clk);
check(A = x"4c986405");
check(B = x"dc63973f");
check(C = x"03d447f6");
check(D = x"f72eec32");
check(E = x"085e5ab5");
-- Step 39
wait until rising_edge(clk);
check(A = x"32de1cba");
check(B = x"4c986405");
check(C = x"f718e5cf");
check(D = x"03d447f6");
check(E = x"f72eec32");
-- Step 40
wait until rising_edge(clk);
check(A = x"fc87dedf");
check(B = x"32de1cba");
check(C = x"53261901");
check(D = x"f718e5cf");
check(E = x"03d447f6");
-- Step 41
wait until rising_edge(clk);
check(A = x"970a0d5c");
check(B = x"fc87dedf");
check(C = x"8cb7872e");
check(D = x"53261901");
check(E = x"f718e5cf");
-- Step 42
wait until rising_edge(clk);
check(A = x"7f193dc5");
check(B = x"970a0d5c");
check(C = x"ff21f7b7");
check(D = x"8cb7872e");
check(E = x"53261901");
-- Step 43
wait until rising_edge(clk);
check(A = x"ee1b1aaf");
check(B = x"7f193dc5");
check(C = x"25c28357");
check(D = x"ff21f7b7");
check(E = x"8cb7872e");
-- Step 44
wait until rising_edge(clk);
check(A = x"40f28e09");
check(B = x"ee1b1aaf");
check(C = x"5fc64f71");
check(D = x"25c28357");
check(E = x"ff21f7b7");
-- Step 45
wait until rising_edge(clk);
check(A = x"1c51e1f2");
check(B = x"40f28e09");
check(C = x"fb86c6ab");
check(D = x"5fc64f71");
check(E = x"25c28357");
-- Step 46
wait until rising_edge(clk);
check(A = x"a01b846c");
check(B = x"1c51e1f2");
check(C = x"503ca382");
check(D = x"fb86c6ab");
check(E = x"5fc64f71");
-- Step 47
wait until rising_edge(clk);
check(A = x"bead02ca");
check(B = x"a01b846c");
check(C = x"8714787c");
check(D = x"503ca382");
check(E = x"fb86c6ab");
-- Step 48
wait until rising_edge(clk);
check(A = x"baf39337");
check(B = x"bead02ca");
check(C = x"2806e11b");
check(D = x"8714787c");
check(E = x"503ca382");
-- Step 49
wait until rising_edge(clk);
check(A = x"120731c5");
check(B = x"baf39337");
check(C = x"afab40b2");
check(D = x"2806e11b");
check(E = x"8714787c");
-- Step 50
wait until rising_edge(clk);
check(A = x"641db2ce");
check(B = x"120731c5");
check(C = x"eebce4cd");
check(D = x"afab40b2");
check(E = x"2806e11b");
-- Step 51
wait until rising_edge(clk);
check(A = x"3847ad66");
check(B = x"641db2ce");
check(C = x"4481cc71");
check(D = x"eebce4cd");
check(E = x"afab40b2");
-- Step 52
wait until rising_edge(clk);
check(A = x"e490436d");
check(B = x"3847ad66");
check(C = x"99076cb3");
check(D = x"4481cc71");
check(E = x"eebce4cd");
-- Step 53
wait until rising_edge(clk);
check(A = x"27e9f1d8");
check(B = x"e490436d");
check(C = x"8e11eb59");
check(D = x"99076cb3");
check(E = x"4481cc71");
-- Step 54
wait until rising_edge(clk);
check(A = x"7b71f76d");
check(B = x"27e9f1d8");
check(C = x"792410db");
check(D = x"8e11eb59");
check(E = x"99076cb3");
-- Step 55
wait until rising_edge(clk);
check(A = x"5e6456af");
check(B = x"7b71f76d");
check(C = x"09fa7c76");
check(D = x"792410db");
check(E = x"8e11eb59");
-- Step 56
wait until rising_edge(clk);
check(A = x"c846093f");
check(B = x"5e6456af");
check(C = x"5edc7ddb");
check(D = x"09fa7c76");
check(E = x"792410db");
-- Step 57
wait until rising_edge(clk);
check(A = x"d262ff50");
check(B = x"c846093f");
check(C = x"d79915ab");
check(D = x"5edc7ddb");
check(E = x"09fa7c76");
-- Step 58
wait until rising_edge(clk);
check(A = x"09d785fd");
check(B = x"d262ff50");
check(C = x"f211824f");
check(D = x"d79915ab");
check(E = x"5edc7ddb");
-- Step 59
wait until rising_edge(clk);
check(A = x"3f52de5a");
check(B = x"09d785fd");
check(C = x"3498bfd4");
check(D = x"f211824f");
check(E = x"d79915ab");
-- Step 60
wait until rising_edge(clk);
check(A = x"d756c147");
check(B = x"3f52de5a");
check(C = x"4275e17f");
check(D = x"3498bfd4");
check(E = x"f211824f");
-- Step 61
wait until rising_edge(clk);
check(A = x"548c9cb2");
check(B = x"d756c147");
check(C = x"8fd4b796");
check(D = x"4275e17f");
check(E = x"3498bfd4");
-- Step 62
wait until rising_edge(clk);
check(A = x"b66c020b");
check(B = x"548c9cb2");
check(C = x"f5d5b051");
check(D = x"8fd4b796");
check(E = x"4275e17f");
-- Step 63
wait until rising_edge(clk);
check(A = x"6b61c9e1");
check(B = x"b66c020b");
check(C = x"9523272c");
check(D = x"f5d5b051");
check(E = x"8fd4b796");
-- Step 64
wait until rising_edge(clk);
check(A = x"19dfa7ac");
check(B = x"6b61c9e1");
check(C = x"ed9b0082");
check(D = x"9523272c");
check(E = x"f5d5b051");
-- Step 65
wait until rising_edge(clk);
check(A = x"101655f9");
check(B = x"19dfa7ac");
check(C = x"5ad87278");
check(D = x"ed9b0082");
check(E = x"9523272c");
-- Step 66
wait until rising_edge(clk);
check(A = x"0c3df2b4");
check(B = x"101655f9");
check(C = x"0677e9eb");
check(D = x"5ad87278");
check(E = x"ed9b0082");
-- Step 67
wait until rising_edge(clk);
check(A = x"78dd4d2b");
check(B = x"0c3df2b4");
check(C = x"4405957e");
check(D = x"0677e9eb");
check(E = x"5ad87278");
-- Step 68
wait until rising_edge(clk);
check(A = x"497093c0");
check(B = x"78dd4d2b");
check(C = x"030f7cad");
check(D = x"4405957e");
check(E = x"0677e9eb");
-- Step 69
wait until rising_edge(clk);
check(A = x"3f2588c2");
check(B = x"497093c0");
check(C = x"de37534a");
check(D = x"030f7cad");
check(E = x"4405957e");
-- Step 70
wait until rising_edge(clk);
check(A = x"c199f8c7");
check(B = x"3f2588c2");
check(C = x"125c24f0");
check(D = x"de37534a");
check(E = x"030f7cad");
-- Step 71
wait until rising_edge(clk);
check(A = x"39859de7");
check(B = x"c199f8c7");
check(C = x"8fc96230");
check(D = x"125c24f0");
check(E = x"de37534a");
-- Step 72
wait until rising_edge(clk);
check(A = x"edb42de4");
check(B = x"39859de7");
check(C = x"f0667e31");
check(D = x"8fc96230");
check(E = x"125c24f0");
-- Step 73
wait until rising_edge(clk);
check(A = x"11793f6f");
check(B = x"edb42de4");
check(C = x"ce616779");
check(D = x"f0667e31");
check(E = x"8fc96230");
-- Step 74
wait until rising_edge(clk);
check(A = x"5ee76897");
check(B = x"11793f6f");
check(C = x"3b6d0b79");
check(D = x"ce616779");
check(E = x"f0667e31");
-- Step 75
wait until rising_edge(clk);
check(A = x"63f7dab7");
check(B = x"5ee76897");
check(C = x"c45e4fdb");
check(D = x"3b6d0b79");
check(E = x"ce616779");
-- Step 76
wait until rising_edge(clk);
check(A = x"a079b7d9");
check(B = x"63f7dab7");
check(C = x"d7b9da25");
check(D = x"c45e4fdb");
check(E = x"3b6d0b79");
-- Step 77
wait until rising_edge(clk);
check(A = x"860d21cc");
check(B = x"a079b7d9");
check(C = x"d8fdf6ad");
check(D = x"d7b9da25");
check(E = x"c45e4fdb");
-- Step 78
wait until rising_edge(clk);
check(A = x"5738d5e1");
check(B = x"860d21cc");
check(C = x"681e6df6");
check(D = x"d8fdf6ad");
check(E = x"d7b9da25");
-- Step 79
wait until rising_edge(clk);
check(A = x"42541b35");
check(B = x"5738d5e1");
check(C = x"21834873");
check(D = x"681e6df6");
check(E = x"d8fdf6ad");
-- Check final result
wait until is_complete = '1';
check(H0_out = x"a9993e36");
check(H1_out = x"4706816a");
check(H2_out = x"ba3e2571");
check(H3_out = x"7850c26c");
check(H4_out = x"9cd0d89d");
test_runner_cleanup(runner);
end process;
end unit_sha1_test_2_tb_arch;
|
-- ========================================
-- [] File Name : types.vhdl
--
-- [] Creation Date : January 2018
--
-- [] Author 1 : <NAME> (<EMAIL>)
--
-- [] Author 2 : <NAME>(<EMAIL>)
-- ========================================
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
package Common is
generic(BUS_WIDTH: INTEGER := 16);
generic(ELEMENT_WIDTH: INTEGER := 32);
type SORT_ELEMENT is STD_LOGIC_VECTOR(ELEMENT_WIDTH - 1 downto 0);
type BMS_BUS is array(BUS_WIDTH - 1 downto 0) of SORT_ELEMENT;
end Common;
|
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 3
-- The following code must appear in the VHDL architecture header.
library ieee;
use ieee.std_logic_1164.all;
package blk_ram_pkg is
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
COMPONENT blk_ram
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(10 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT;
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
end package blk_ram_pkg;
-- eof
|
<reponame>schubi93/paranut
--------------------------------------------------------------------------------
-- This file is part of the ParaNut project.
--
-- Copyright (C) 2013-2019 <NAME> <<EMAIL>>
-- <NAME>, <<EMAIL>>
-- Hochschule Augsburg, University of Applied Sciences
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation and/or
-- other materials provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR
-- ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON
-- ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- Description:
-- Component and type declarations for the mifu module
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library paranut;
use paranut.paranut_config.all;
use paranut.types.all;
use paranut.memu_lib.all;
use paranut.histogram.all;
package ifu is
type ifu_in_type is record
nexti : std_logic;
jump : std_logic;
-- (next, jump) = (1, 1) lets the (current + 2)'th instruction be the jump target.
-- Logically, 'next' is performed before 'jump'. Hence, jump instructions may either sequentially first
-- assert 'next' and then 'jump' or both signals in the same cycle. The former way is required for JAL instructions
-- to get the right return address, which is PC+8 (or NPC+4).
jump_adr : TWord;
flush : std_logic;
-- Histogram...
--hist_enable : std_logic;
end record;
type ifu_in_vector is array (natural range <>) of ifu_in_type;
type ifu_out_type is record
ir : TWord; -- registered outputs
pc : TWord;
npc : TWord;
ir_valid : std_logic;
npc_valid : std_logic;
-- Histogram...
--buf_fill_hist : TWord_Vec(0 to CFG_IFU_IBUF_SIZE+1);
--hist_ctrl : hist_ctrl_type;
end record;
type ifu_out_vector is array (natural range <>) of ifu_out_type;
component mifu_wrapper
port (
clk : in std_logic;
reset : in std_logic;
-- to EXU...
ifui : in ifu_in_type;
ifuo : out ifu_out_type;
-- to MEMU (read port)...
rpi : out readport_in_type;
rpo : in readport_out_type;
-- from CePU...
icache_enable : in std_logic
);
end component;
end package;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2018.3
-- Copyright (C) 1986-2018 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity reduce_2 is
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
x_V_address0 : OUT STD_LOGIC_VECTOR (3 downto 0);
x_V_ce0 : OUT STD_LOGIC;
x_V_q0 : IN STD_LOGIC_VECTOR (12 downto 0);
ap_return : OUT STD_LOGIC_VECTOR (17 downto 0) );
end;
architecture behav of reduce_2 is
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001";
constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (7 downto 0) := "00000010";
constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (7 downto 0) := "00000100";
constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (7 downto 0) := "00001000";
constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (7 downto 0) := "00010000";
constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (7 downto 0) := "00100000";
constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (7 downto 0) := "01000000";
constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (7 downto 0) := "10000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv4_0 : STD_LOGIC_VECTOR (3 downto 0) := "0000";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv3_1 : STD_LOGIC_VECTOR (2 downto 0) := "001";
constant ap_const_lv3_2 : STD_LOGIC_VECTOR (2 downto 0) := "010";
constant ap_const_lv3_3 : STD_LOGIC_VECTOR (2 downto 0) := "011";
constant ap_const_lv3_4 : STD_LOGIC_VECTOR (2 downto 0) := "100";
constant ap_const_lv3_5 : STD_LOGIC_VECTOR (2 downto 0) := "101";
constant ap_const_lv3_6 : STD_LOGIC_VECTOR (2 downto 0) := "110";
constant ap_const_lv3_7 : STD_LOGIC_VECTOR (2 downto 0) := "111";
constant ap_const_lv4_8 : STD_LOGIC_VECTOR (3 downto 0) := "1000";
constant ap_const_lv4_1 : STD_LOGIC_VECTOR (3 downto 0) := "0001";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
constant ap_const_boolean_1 : BOOLEAN := true;
signal ap_CS_fsm : STD_LOGIC_VECTOR (7 downto 0) := "00000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal i_4_fu_161_p2 : STD_LOGIC_VECTOR (3 downto 0);
signal i_4_reg_361 : STD_LOGIC_VECTOR (3 downto 0);
signal ap_CS_fsm_state2 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none";
signal exitcond3_fu_155_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_18_fu_172_p1 : STD_LOGIC_VECTOR (2 downto 0);
signal tmp_18_reg_371 : STD_LOGIC_VECTOR (2 downto 0);
signal i_3_fu_226_p2 : STD_LOGIC_VECTOR (1 downto 0);
signal i_3_reg_378 : STD_LOGIC_VECTOR (1 downto 0);
signal ap_CS_fsm_state5 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none";
signal exitcond_fu_220_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_19_fu_245_p1 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_19_reg_388 : STD_LOGIC_VECTOR (0 downto 0);
signal right_1_V_1_fu_285_p3 : STD_LOGIC_VECTOR (17 downto 0);
signal ap_CS_fsm_state6 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state6 : signal is "none";
signal right_1_V_2_fu_292_p3 : STD_LOGIC_VECTOR (17 downto 0);
signal grp_reduce_fu_143_ap_return : STD_LOGIC_VECTOR (17 downto 0);
signal p_Val2_8_reg_444 : STD_LOGIC_VECTOR (17 downto 0);
signal ap_CS_fsm_state7 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none";
signal grp_reduce_fu_143_ap_ready : STD_LOGIC;
signal grp_reduce_fu_143_ap_done : STD_LOGIC;
signal grp_reduce_fu_143_ap_start : STD_LOGIC;
signal grp_reduce_fu_143_ap_idle : STD_LOGIC;
signal i_reg_97 : STD_LOGIC_VECTOR (3 downto 0);
signal ap_CS_fsm_state4 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state4 : signal is "none";
signal p_Val2_7_reg_108 : STD_LOGIC_VECTOR (17 downto 0);
signal p_Val2_s_reg_120 : STD_LOGIC_VECTOR (17 downto 0);
signal i2_reg_132 : STD_LOGIC_VECTOR (1 downto 0);
signal grp_reduce_fu_143_ap_start_reg : STD_LOGIC := '0';
signal tmp_fu_167_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_s_fu_240_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal left_7_V_fu_44 : STD_LOGIC_VECTOR (17 downto 0);
signal left_0_V_fu_176_p1 : STD_LOGIC_VECTOR (17 downto 0);
signal ap_CS_fsm_state3 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state3 : signal is "none";
signal left_7_V_1_fu_48 : STD_LOGIC_VECTOR (17 downto 0);
signal left_7_V_2_fu_52 : STD_LOGIC_VECTOR (17 downto 0);
signal left_7_V_3_fu_56 : STD_LOGIC_VECTOR (17 downto 0);
signal left_7_V_4_fu_60 : STD_LOGIC_VECTOR (17 downto 0);
signal left_7_V_5_fu_64 : STD_LOGIC_VECTOR (17 downto 0);
signal left_7_V_6_fu_68 : STD_LOGIC_VECTOR (17 downto 0);
signal left_7_V_7_fu_72 : STD_LOGIC_VECTOR (17 downto 0);
signal tmp_1_fu_232_p3 : STD_LOGIC_VECTOR (3 downto 0);
signal right_0_V_fu_281_p1 : STD_LOGIC_VECTOR (17 downto 0);
signal ap_CS_fsm_state8 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none";
signal tmp1_fu_299_p2 : STD_LOGIC_VECTOR (17 downto 0);
signal ap_NS_fsm : STD_LOGIC_VECTOR (7 downto 0);
component reduce IS
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
x_0_V_read : IN STD_LOGIC_VECTOR (17 downto 0);
x_1_V_read : IN STD_LOGIC_VECTOR (17 downto 0);
x_2_V_read : IN STD_LOGIC_VECTOR (17 downto 0);
x_3_V_read : IN STD_LOGIC_VECTOR (17 downto 0);
x_4_V_read : IN STD_LOGIC_VECTOR (17 downto 0);
x_5_V_read : IN STD_LOGIC_VECTOR (17 downto 0);
x_6_V_read : IN STD_LOGIC_VECTOR (17 downto 0);
x_7_V_read : IN STD_LOGIC_VECTOR (17 downto 0);
ap_return : OUT STD_LOGIC_VECTOR (17 downto 0) );
end component;
begin
grp_reduce_fu_143 : component reduce
port map (
ap_clk => ap_clk,
ap_rst => ap_rst,
ap_start => grp_reduce_fu_143_ap_start,
ap_done => grp_reduce_fu_143_ap_done,
ap_idle => grp_reduce_fu_143_ap_idle,
ap_ready => grp_reduce_fu_143_ap_ready,
x_0_V_read => left_7_V_fu_44,
x_1_V_read => left_7_V_1_fu_48,
x_2_V_read => left_7_V_2_fu_52,
x_3_V_read => left_7_V_3_fu_56,
x_4_V_read => left_7_V_4_fu_60,
x_5_V_read => left_7_V_5_fu_64,
x_6_V_read => left_7_V_6_fu_68,
x_7_V_read => left_7_V_7_fu_72,
ap_return => grp_reduce_fu_143_ap_return);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
grp_reduce_fu_143_ap_start_reg_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
grp_reduce_fu_143_ap_start_reg <= ap_const_logic_0;
else
if (((exitcond_fu_220_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state5))) then
grp_reduce_fu_143_ap_start_reg <= ap_const_logic_1;
elsif ((grp_reduce_fu_143_ap_ready = ap_const_logic_1)) then
grp_reduce_fu_143_ap_start_reg <= ap_const_logic_0;
end if;
end if;
end if;
end process;
i2_reg_132_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((exitcond3_fu_155_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then
i2_reg_132 <= ap_const_lv2_0;
elsif ((ap_const_logic_1 = ap_CS_fsm_state6)) then
i2_reg_132 <= i_3_reg_378;
end if;
end if;
end process;
i_reg_97_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state4)) then
i_reg_97 <= i_4_reg_361;
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
i_reg_97 <= ap_const_lv4_0;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state5)) then
i_3_reg_378 <= i_3_fu_226_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state2)) then
i_4_reg_361 <= i_4_fu_161_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_18_reg_371 = ap_const_lv3_1) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
left_7_V_1_fu_48(12 downto 0) <= left_0_V_fu_176_p1(12 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_18_reg_371 = ap_const_lv3_2) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
left_7_V_2_fu_52(12 downto 0) <= left_0_V_fu_176_p1(12 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_18_reg_371 = ap_const_lv3_3) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
left_7_V_3_fu_56(12 downto 0) <= left_0_V_fu_176_p1(12 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_18_reg_371 = ap_const_lv3_4) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
left_7_V_4_fu_60(12 downto 0) <= left_0_V_fu_176_p1(12 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_18_reg_371 = ap_const_lv3_5) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
left_7_V_5_fu_64(12 downto 0) <= left_0_V_fu_176_p1(12 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_18_reg_371 = ap_const_lv3_6) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
left_7_V_6_fu_68(12 downto 0) <= left_0_V_fu_176_p1(12 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_18_reg_371 = ap_const_lv3_7) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
left_7_V_7_fu_72(12 downto 0) <= left_0_V_fu_176_p1(12 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((tmp_18_reg_371 = ap_const_lv3_0) and (ap_const_logic_1 = ap_CS_fsm_state3))) then
left_7_V_fu_44(12 downto 0) <= left_0_V_fu_176_p1(12 downto 0);
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_CS_fsm_state6)) then
p_Val2_7_reg_108 <= right_1_V_1_fu_285_p3;
p_Val2_s_reg_120 <= right_1_V_2_fu_292_p3;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state7) and (grp_reduce_fu_143_ap_done = ap_const_logic_1))) then
p_Val2_8_reg_444 <= grp_reduce_fu_143_ap_return;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((exitcond3_fu_155_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state2))) then
tmp_18_reg_371 <= tmp_18_fu_172_p1;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((exitcond_fu_220_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_CS_fsm_state5))) then
tmp_19_reg_388 <= tmp_19_fu_245_p1;
end if;
end if;
end process;
left_7_V_fu_44(17 downto 13) <= "00000";
left_7_V_1_fu_48(17 downto 13) <= "00000";
left_7_V_2_fu_52(17 downto 13) <= "00000";
left_7_V_3_fu_56(17 downto 13) <= "00000";
left_7_V_4_fu_60(17 downto 13) <= "00000";
left_7_V_5_fu_64(17 downto 13) <= "00000";
left_7_V_6_fu_68(17 downto 13) <= "00000";
left_7_V_7_fu_72(17 downto 13) <= "00000";
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, exitcond3_fu_155_p2, ap_CS_fsm_state5, exitcond_fu_220_p2, ap_CS_fsm_state7, grp_reduce_fu_143_ap_done)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_state2;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_state2 =>
if (((exitcond3_fu_155_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state2))) then
ap_NS_fsm <= ap_ST_fsm_state5;
else
ap_NS_fsm <= ap_ST_fsm_state3;
end if;
when ap_ST_fsm_state3 =>
ap_NS_fsm <= ap_ST_fsm_state4;
when ap_ST_fsm_state4 =>
ap_NS_fsm <= ap_ST_fsm_state2;
when ap_ST_fsm_state5 =>
if (((exitcond_fu_220_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_state5))) then
ap_NS_fsm <= ap_ST_fsm_state7;
else
ap_NS_fsm <= ap_ST_fsm_state6;
end if;
when ap_ST_fsm_state6 =>
ap_NS_fsm <= ap_ST_fsm_state5;
when ap_ST_fsm_state7 =>
if (((ap_const_logic_1 = ap_CS_fsm_state7) and (grp_reduce_fu_143_ap_done = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_state8;
else
ap_NS_fsm <= ap_ST_fsm_state7;
end if;
when ap_ST_fsm_state8 =>
ap_NS_fsm <= ap_ST_fsm_state1;
when others =>
ap_NS_fsm <= "XXXXXXXX";
end case;
end process;
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_CS_fsm_state2 <= ap_CS_fsm(1);
ap_CS_fsm_state3 <= ap_CS_fsm(2);
ap_CS_fsm_state4 <= ap_CS_fsm(3);
ap_CS_fsm_state5 <= ap_CS_fsm(4);
ap_CS_fsm_state6 <= ap_CS_fsm(5);
ap_CS_fsm_state7 <= ap_CS_fsm(6);
ap_CS_fsm_state8 <= ap_CS_fsm(7);
ap_done_assign_proc : process(ap_start, ap_CS_fsm_state1, ap_CS_fsm_state8)
begin
if (((ap_const_logic_1 = ap_CS_fsm_state8) or ((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1)))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_start = ap_const_logic_0) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_ready_assign_proc : process(ap_CS_fsm_state8)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state8)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= std_logic_vector(unsigned(tmp1_fu_299_p2) + unsigned(p_Val2_7_reg_108));
exitcond3_fu_155_p2 <= "1" when (i_reg_97 = ap_const_lv4_8) else "0";
exitcond_fu_220_p2 <= "1" when (i2_reg_132 = ap_const_lv2_2) else "0";
grp_reduce_fu_143_ap_start <= grp_reduce_fu_143_ap_start_reg;
i_3_fu_226_p2 <= std_logic_vector(unsigned(i2_reg_132) + unsigned(ap_const_lv2_1));
i_4_fu_161_p2 <= std_logic_vector(unsigned(i_reg_97) + unsigned(ap_const_lv4_1));
left_0_V_fu_176_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(x_V_q0),18));
right_0_V_fu_281_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(x_V_q0),18));
right_1_V_1_fu_285_p3 <=
right_0_V_fu_281_p1 when (tmp_19_reg_388(0) = '1') else
p_Val2_7_reg_108;
right_1_V_2_fu_292_p3 <=
p_Val2_s_reg_120 when (tmp_19_reg_388(0) = '1') else
right_0_V_fu_281_p1;
tmp1_fu_299_p2 <= std_logic_vector(unsigned(p_Val2_s_reg_120) + unsigned(p_Val2_8_reg_444));
tmp_18_fu_172_p1 <= i_reg_97(3 - 1 downto 0);
tmp_19_fu_245_p1 <= i2_reg_132(1 - 1 downto 0);
tmp_1_fu_232_p3 <= (ap_const_lv2_2 & i2_reg_132);
tmp_fu_167_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_reg_97),64));
tmp_s_fu_240_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(tmp_1_fu_232_p3),64));
x_V_address0_assign_proc : process(ap_CS_fsm_state2, ap_CS_fsm_state5, tmp_fu_167_p1, tmp_s_fu_240_p1)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state5)) then
x_V_address0 <= tmp_s_fu_240_p1(4 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_CS_fsm_state2)) then
x_V_address0 <= tmp_fu_167_p1(4 - 1 downto 0);
else
x_V_address0 <= "XXXX";
end if;
end process;
x_V_ce0_assign_proc : process(ap_CS_fsm_state2, ap_CS_fsm_state5)
begin
if (((ap_const_logic_1 = ap_CS_fsm_state5) or (ap_const_logic_1 = ap_CS_fsm_state2))) then
x_V_ce0 <= ap_const_logic_1;
else
x_V_ce0 <= ap_const_logic_0;
end if;
end process;
end behav;
|
<reponame>kazooiebombchu/audio-synthesizer
-------------------------------------------------------------------------------
-- File : piano.vhd
-- Created : 23.2.2018
-- Standard : VHDL'87
-------------------------------------------------------------------------------
-- Description:
-- Simple piano block which produces n_keys_g output.
-- Can be used to play melody instead of key presses.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity piano is
generic (
clk_freq_g : positive := 18_432_000; -- 18.432 MHz
tone_change_freq_g : real := 0.5; -- 2 seconds/tone.
n_keys_g : positive := 4
);
port (
clk : in std_logic;
rst_n : in std_logic;
enable_in : in std_logic;
keys_out : out std_logic_vector(n_keys_g - 1 downto 0)
);
end piano;
architecture rtl of piano is
constant logic_array_size : integer := 2**n_keys_g;
type logic_array is array (integer range <>)
of std_logic_vector(n_keys_g - 1 downto 0);
-- Emulated key presses.
constant keys_c : logic_array(0 to logic_array_size - 1) := (
"0000",
"0001",
"0010",
"0011",
"0100",
"0101",
"0110",
"0111",
"1000",
"1001",
"1010",
"1011",
"1100",
"1101",
"1110",
"1111"
);
-- Counter maximum for keeping the tone.
constant counter_max_c : integer :=
integer(real(clk_freq_g) / tone_change_freq_g);
-- Counter registers.
signal keys_index_r : integer range 0 to logic_array_size - 1;
signal counter_r : integer range 0 to counter_max_c;
begin
-- Process to increase counter and then when it is reached. Assign new tone
-- index.
counter : process(clk, rst_n)
begin
if rst_n = '0' then
keys_index_r <= 0;
counter_r <= 0;
elsif clk'event and clk = '1' then
if enable_in = '0' then
keys_index_r <= 0;
counter_r <= 0;
elsif counter_r /= counter_max_c then
counter_r <= counter_r + 1;
else
counter_r <= 0;
if keys_index_r /= logic_array_size - 1 then
keys_index_r <= keys_index_r + 1;
else
keys_index_r <= 0;
end if;
end if;
end if;
end process counter;
-- Combinational process to assign new tone to the output.
keys : process(keys_index_r)
begin
keys_out <= keys_c(keys_index_r);
end process keys;
end rtl;
|
<reponame>LenaicElsig/sem_labs
library Common;
use Common.CommonLib.all;
ARCHITECTURE studentVersion OF envelopeRetreiver IS
BEGIN
morseEnvelope <= '0';
END ARCHITECTURE studentVersion;
|
<reponame>rqou/yavhdl<gh_stars>10-100
entity test is
package a is new b generic map(c => baz foo'bar);
end;
|
<filename>examples/goFB_only/vhdl/pc2_conveyor/vhdl/Conveyor_SIFB.vhd
-- This file has been automatically generated by go-iec61499-vhdl and should not be edited by hand
-- Converter written by <NAME> and available at github.com/kiwih/go-iec61499-vhdl
-- This file represents the Basic Function Block for Conveyor_SIFB
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Conveyor_SIFB is
port(
--for clock and reset signal
clk : in std_logic;
reset : in std_logic;
enable : in std_logic;
sync : in std_logic;
--input events
conveyor_run_changed_eI : in std_logic := '0';
--output events
conveyor_moving_changed_eO : out std_logic;
conveyor_jammed_changed_eO : out std_logic;
--input variables
conveyor_run_I : in std_logic := '0'; --type was BOOL
--output variables
conveyor_moving_O : out std_logic; --type was BOOL
conveyor_jammed_O : out std_logic; --type was BOOL
--special emitted internal vars for I/O
rx_conveyor_moving : in std_logic; --type was BOOL
rx_conveyor_jammed : in std_logic; --type was BOOL
tx_conveyor_run : out std_logic; --type was BOOL
--for done signal
done : out std_logic
);
end entity;
architecture rtl of Conveyor_SIFB is
-- Build an enumerated type for the state machine
type state_type is (STATE_Start);
-- Register to hold the current state
signal state : state_type := STATE_Start;
-- signals to store variable sampled on enable
signal conveyor_run : std_logic := '0'; --register for input
-- signals to rename outputs
signal conveyor_moving : std_logic := '0';
signal conveyor_jammed : std_logic := '0';
--signals to rename output events
signal conveyor_moving_changed_eO_ecc_out : std_logic := '0'; --used when event driven from ECC (normal FB behaviour)
signal conveyor_moving_changed_eO_alg_out : std_logic := '0'; --used when event driven from algorithm (normal SIFB behaviour)
signal conveyor_jammed_changed_eO_ecc_out : std_logic := '0'; --used when event driven from ECC (normal FB behaviour)
signal conveyor_jammed_changed_eO_alg_out : std_logic := '0'; --used when event driven from algorithm (normal SIFB behaviour)
-- signals for enabling algorithms
signal conveyor_sifb_alg_alg_en : std_logic := '0';
signal conveyor_sifb_alg_alg_done : std_logic := '1';
-- signal for algorithm completion
signal AlgorithmsStart : std_logic := '0';
signal AlgorithmsDone : std_logic;
--internal variables
signal rx_conveyor_moving_prev : std_logic; --type was BOOL
signal rx_conveyor_jammed_prev : std_logic; --type was BOOL
begin
-- Registers for data variables (only updated on relevant events)
process (clk)
begin
if rising_edge(clk) then
if sync = '1' then
if conveyor_run_changed_eI = '1' then
conveyor_run <= conveyor_run_I;
end if;
end if;
end if;
end process;
--output var renaming, no output registers as inputs are stored where they are processed
conveyor_moving_O <= conveyor_moving;
conveyor_jammed_O <= conveyor_jammed;
-- Logic to advance to the next state
process (clk, reset)
begin
if reset = '1' then
state <= STATE_Start;
AlgorithmsStart <= '1';
elsif (rising_edge(clk)) then
if AlgorithmsStart = '1' then --algorithms should be triggered only once via this pulse signal
AlgorithmsStart <= '0';
elsif enable = '1' then
--default values
state <= state;
AlgorithmsStart <= '0';
--next state logic
case state is
when STATE_Start =>
if true then
state <= STATE_Start;
AlgorithmsStart <= '1';
end if;
end case;
end if;
end if;
end process;
-- Event outputs and internal algorithm triggers depend solely on the current state
process (state)
begin
--default values
--events
conveyor_moving_changed_eO_ecc_out <= '0';
conveyor_jammed_changed_eO_ecc_out <= '0';
--algorithms
conveyor_sifb_alg_alg_en <= '0';
case state is
when STATE_Start =>
conveyor_sifb_alg_alg_en <= '1';
end case;
end process;
-- Algorithms process
process(clk)
begin
if rising_edge(clk) then
if AlgorithmsStart = '1' then
if conveyor_sifb_alg_alg_en = '1' then -- Algorithm conveyor_sifb_alg
conveyor_sifb_alg_alg_done <= '0';
--logic for resetting algorithm-driven output events
conveyor_moving_changed_eO_alg_out <= '0';
conveyor_jammed_changed_eO_alg_out <= '0';
end if;
end if;
if conveyor_sifb_alg_alg_done = '0' then -- Algorithm conveyor_sifb_alg
--begin algorithm raw text
--update previous values
rx_conveyor_moving_prev <= rx_conveyor_moving;
rx_conveyor_jammed_prev <= rx_conveyor_jammed;
--these are what we use to drive events
--conveyor_moving_changed_eO_alg_out;
--conveyor_jammed_changed_eO_alg_out;
if rx_conveyor_moving_prev /= rx_conveyor_moving then
conveyor_moving_changed_eO_alg_out <= '1';
conveyor_moving <= rx_conveyor_moving;
end if;
if rx_conveyor_jammed_prev /= rx_conveyor_jammed then
conveyor_jammed_changed_eO_alg_out <= '1';
conveyor_jammed <= rx_conveyor_jammed;
end if;
--emit outputs (input is already registered to only update on tick boundary with correct input event)
tx_conveyor_run <= conveyor_run;
conveyor_sifb_alg_alg_done <= '1';
--end algorithm raw text
end if;
end if;
end process;
--Done signal
AlgorithmsDone <= (not AlgorithmsStart) and (not enable) and conveyor_sifb_alg_alg_done;
Done <= AlgorithmsDone;
--logic for renamed output events
conveyor_moving_changed_eO <= conveyor_moving_changed_eO_ecc_out or conveyor_moving_changed_eO_alg_out;
conveyor_jammed_changed_eO <= conveyor_jammed_changed_eO_ecc_out or conveyor_jammed_changed_eO_alg_out;
end rtl;
|
<gh_stars>0
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.std_logic_arith.all ;
-- declaring entity
entity inverter is
port (
A: in std_logic_vector(3 downto 0); -- vector input
S: out std_logic_vector(3 downto 0) -- vector output
);
end inverter;
-- declaring architecture
architecture Behavior of inverter is --bitwise inverter
begin
S(0) <= not (A(0)); -- inversing A(0)
S(1) <= not (A(1)); -- inversing A(1)
S(2) <= not (A(2)); -- inversing A(2)
S(3) <= not (A(3)); -- inversing A(3)
end Behavior ;
|
<filename>SIMON/2-SIMON64-128_red1,2,3/RTL/Red_RoundFunction3.vhd
----------------------------------------------------------------------------------
-- COMPANY: Ruhr University Bochum, Embedded Security
-- AUTHOR: https://eprint.iacr.org/2018/203
----------------------------------------------------------------------------------
-- Copyright (c) 2019, <NAME>, <NAME>
-- All rights reserved.
-- BSD-3-Clause License
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions are met:
-- * Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
-- * Neither the name of the copyright holder, their organization nor the
-- names of its contributors may be used to endorse or promote products
-- derived from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTERS BE LIABLE FOR ANY
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.functions.all;
entity Red_RoundFunction3 is
Generic (
BitNumber : NATURAL;
LFTable : STD_LOGIC_VECTOR(63 downto 0));
port(
data_in : in std_logic_vector(11 downto 0);
data_out : out std_logic);
end entity Red_RoundFunction3;
architecture behavioral of Red_RoundFunction3 is
constant LFTable0 : STD_LOGIC_VECTOR (15 DOWNTO 0) :=
LFTable(60) & LFTable(56) & LFTable(52) & LFTable(48) & LFTable(44) & LFTable(40) & LFTable(36) & LFTable(32) &
LFTable(28) & LFTable(24) & LFTable(20) & LFTable(16) & LFTable(12) & LFTable(8) & LFTable(4) & LFTable(0);
constant LFTable1 : STD_LOGIC_VECTOR (15 DOWNTO 0) :=
LFTable(61) & LFTable(57) & LFTable(53) & LFTable(49) & LFTable(45) & LFTable(41) & LFTable(37) & LFTable(33) &
LFTable(29) & LFTable(25) & LFTable(21) & LFTable(17) & LFTable(13) & LFTable(9) & LFTable(5) & LFTable(1);
constant LFTable2 : STD_LOGIC_VECTOR (15 DOWNTO 0) :=
LFTable(62) & LFTable(58) & LFTable(54) & LFTable(50) & LFTable(46) & LFTable(42) & LFTable(38) & LFTable(34) &
LFTable(30) & LFTable(26) & LFTable(22) & LFTable(18) & LFTable(14) & LFTable(10) & LFTable(6) & LFTable(2);
constant LFTable3 : STD_LOGIC_VECTOR (15 DOWNTO 0) :=
LFTable(63) & LFTable(59) & LFTable(55) & LFTable(51) & LFTable(47) & LFTable(43) & LFTable(39) & LFTable(35) &
LFTable(31) & LFTable(27) & LFTable(23) & LFTable(19) & LFTable(15) & LFTable(11) & LFTable(7) & LFTable(3);
----
signal input0 : STD_LOGIC_VECTOR(3 downto 0);
signal input1 : STD_LOGIC_VECTOR(3 downto 0);
signal input2 : STD_LOGIC_VECTOR(3 downto 0);
signal output : STD_LOGIC_VECTOR(3 downto 0);
begin
input0 <= data_in(3 downto 0);
input1 <= data_in(10 downto 7);
input2 <= data_in(9 downto 6);
------
output <= (input0 AND input1) XOR input2;
------
GEN0: IF BitNumber=0 GENERATE
LF_Process0: Process (output)
begin
data_out <= LFTable0(15-to_integer(unsigned(output)));
end process;
END GENERATE;
GEN1: IF BitNumber=1 GENERATE
LF_Process1: Process (output)
begin
data_out <= LFTable1(15-to_integer(unsigned(output)));
end process;
END GENERATE;
GEN2: IF BitNumber=2 GENERATE
LF_Process2: Process (output)
begin
data_out <= LFTable2(15-to_integer(unsigned(output)));
end process;
END GENERATE;
GEN3: IF BitNumber=3 GENERATE
LF_Process3: Process (output)
begin
data_out <= LFTable3(15-to_integer(unsigned(output)));
end process;
END GENERATE;
end architecture behavioral;
|
<reponame>Kur1su0/Computer-Arch-and-Design
--
-- VHDL Test Bench CAD_lib.lab8_mem_stage_struc_tb.lab8_mem_stage_struc_tester
--
-- Created:
-- by - W.UNKNOWN (DESKTOP-86TQKQ1)
-- at - 02:22:24 04/ 4/2021
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2018.2 (Build 19)
--
LIBRARY CAD_lib;
USE CAD_lib.RV32I.ALL;
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
USE work.RV32I.ALL;
USE std.textio.all;
ENTITY lab8_mem_stage_struc_tb IS
GENERIC (
width : NATURAL RANGE 1 TO 64 := 32
);
END lab8_mem_stage_struc_tb;
LIBRARY CAD_lib;
USE CAD_lib.ALL;
ARCHITECTURE rtl OF lab8_mem_stage_struc_tb IS
-- Architecture declarations
FILE test_vectors : text OPEN read_mode IS "lab8_mem_stg_vec.txt";
-- Internal signal declarations
SIGNAL Data : std_ulogic_vector(31 DOWNTO 0);
SIGNAL Din : std_ulogic_vector(31 DOWNTO 0);
SIGNAL Address : std_ulogic_vector(31 DOWNTO 0);
SIGNAL Rd_in : std_ulogic_vector(4 DOWNTO 0);
SIGNAL FunC_in : RV32I_Op;
SIGNAL mDelay : std_ulogic;
SIGNAL clk : std_ulogic;
SIGNAL r,r_valid : std_ulogic;
SIGNAL w,w_valid : std_ulogic;
SIGNAL stall,stall_valid : std_ulogic;
SIGNAL Dout,Dout_valid : std_ulogic_vector(31 DOWNTO 0);
SIGNAL Data_out_wback,Data_out_wback_valid : std_ulogic_vector(31 DOWNTO 0);
SIGNAL Address_out_arb,Address_out_arb_valid : std_ulogic_vector(31 DOWNTO 0);
SIGNAL Rd_out,Rd_out_valid : std_ulogic_vector(4 DOWNTO 0);
SIGNAL FunC_out,FunC_out_valid : RV32I_Op;
SIGNAL vecno : NATURAL := 0;
-- Component declarations
COMPONENT lab8_mem_stage_struc
GENERIC (
width : NATURAL RANGE 1 TO 64 := 32
);
PORT (
Data : IN std_ulogic_vector(31 DOWNTO 0);
Din : IN std_ulogic_vector(31 DOWNTO 0);
Address : IN std_ulogic_vector(31 DOWNTO 0);
Rd_in : IN std_ulogic_vector(4 DOWNTO 0);
FunC_in : IN RV32I_Op;
mDelay : IN std_ulogic;
clk : IN std_ulogic;
r : OUT std_ulogic;
w : OUT std_ulogic;
stall : OUT std_ulogic;
Dout : OUT std_ulogic_vector(31 DOWNTO 0);
Data_out_wback : OUT std_ulogic_vector(31 DOWNTO 0);
Address_out_arb : OUT std_ulogic_vector(31 DOWNTO 0);
Rd_out : OUT std_ulogic_vector(4 DOWNTO 0);
FunC_out : OUT RV32I_Op
);
END COMPONENT;
-- embedded configurations
-- pragma synthesis_off
FOR U_0 : lab8_mem_stage_struc USE ENTITY CAD_lib.lab8_mem_stage_struc;
-- pragma synthesis_on
BEGIN
U_0 : lab8_mem_stage_struc
GENERIC MAP (
width => width
)
PORT MAP (
Data => Data,
Din => Din,
Address => Address,
Rd_in => Rd_in,
FunC_in => FunC_in,
mDelay => mDelay,
clk => clk,
r => r,
w => w,
stall => stall,
Dout => Dout,
Data_out_wback => Data_out_wback,
Address_out_arb => Address_out_arb,
Rd_out => Rd_out,
FunC_out => FunC_out
);
stimu : process
VARIABLE L : LINE;
VARIABLE v_Data,v_Din,v_Address : std_ulogic_vector(31 DOWNTO 0);
VARIABLE v_Rd_in,v_Rd_out_valid : std_ulogic_vector(4 DOWNTO 0);
VARIABLE v_FunC_in,v_FunC_out_valid : Func_Name;
VARIABLE v_mDelay,v_r_valid,v_w_valid,v_stall_valid :std_ulogic;
VARIABLE v_Dout_valid,v_Data_out_wback_valid,v_Address_out_arb_valid : std_ulogic_vector(31 DOWNTO 0);
VARIABLE space:string(1 DOWNTO 1);
begin
readline(test_vectors,L);
WHILE NOT endfile(test_vectors) LOOP
readline(test_vectors,L);
hread(L,v_Data); Data<=v_Data;
hread(L,v_Din); Din<=v_Din;
hread(L,v_Address); Address<=v_Address;
read(L,v_Rd_in); Rd_in<=v_Rd_in;
read(L,space);
read(L,v_FunC_in); FunC_in<=Ftype(v_FunC_in);
read(L,v_mDelay); mDelay<=v_mDelay;
clk<='0'; wait for 100ns;
read(L,v_r_valid); r_valid<=v_r_valid;
read(L,v_w_valid); w_valid<=v_w_valid;
read(L,v_stall_valid); stall_valid<=v_stall_valid;
hread(L,v_Dout_valid); Dout_valid<=v_Dout_valid;
hread(L,v_Data_out_wback_valid); Data_out_wback_valid<=v_Data_out_wback_valid;
hread(L,v_Address_out_arb_valid); Address_out_arb_valid<=v_Address_out_arb_valid;
read(L,v_Rd_out_valid); Rd_out_valid<=v_Rd_out_valid;
read(L,space);
read(L,v_Func_out_valid); Func_out_valid<=Ftype(v_Func_out_valid);
clk<='1'; wait for 100ns;
End Loop;
report "END of TB";
wait;
end process;
check: process(clk)
begin
if falling_edge(clk) then
vecno <= vecno + 1;
ASSERT r=r_valid
REPORT "ERROR: r " & to_string(vecno)
SEVERITY WARNING;
ASSERT w=w_valid
REPORT "ERROR: w " & to_string(vecno)
SEVERITY WARNING;
ASSERT stall=stall_valid
REPORT "ERROR: stall " & to_string(vecno)
SEVERITY WARNING;
ASSERT Dout=Dout_valid
REPORT "ERROR: Dout " & to_string(vecno)
SEVERITY WARNING;
ASSERT Data_out_wback=Data_out_wback_valid
REPORT "ERROR: Data_out_wback " & to_string(vecno)
SEVERITY WARNING;
ASSERT Address_out_arb=Address_out_arb_valid
REPORT "ERROR: Address_out_arb " & to_string(vecno)
SEVERITY WARNING;
ASSERT Rd_out=Rd_out_valid
REPORT "ERROR: Rd_out " & to_string(vecno)
SEVERITY WARNING;
ASSERT Func_out=Func_out_valid
REPORT "ERROR: Func_out " & to_string(vecno)
SEVERITY WARNING;
end if;
end process;
-- process
-- begin
-- Data <=x"00000003";
-- Din <=x"80000001";
-- Address <=x"00000001" ;
-- Rd_in <="00010";
-- FunC_in <=LB;
-- mDelay <='1';
-- clk <='0'; wait for 100ns;
-- clk <='1'; wait for 100ns;
-- Din <=x"8000008f";
-- Address <=x"0000000f" ;
-- mDelay <='0';
-- clk <='0'; wait for 100ns;
-- clk <='1'; wait for 100ns;
-- clk <='0'; wait for 100ns;
-- clk <='1'; wait for 100ns;
-- clk <='0'; wait for 100ns;
-- clk <='1'; wait for 100ns;
-- wait;
-- end process;
END rtl;
|
<gh_stars>0
--
-----------------------------------------------------------------
-- COMPANY : Ruhr University Bochum
-- AUTHOR : <NAME> (<EMAIL>) <NAME> (<EMAIL>)
-- DOCUMENT: https://doi.org/10.46586/tches.v2021.i1.305-342
-- -----------------------------------------------------------------
--
-- Copyright (c) 2020, <NAME>, <NAME>
--
-- All rights reserved.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTERS BE LIABLE FOR ANY
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
--
-- Please see LICENSE and README for license and further instructions.
--
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY Midori64_RoundBased IS
PORT ( input1: IN STD_LOGIC_VECTOR (63 DOWNTO 0);
input2: IN STD_LOGIC_VECTOR (63 DOWNTO 0);
wk : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
k_0 : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
k_1 : IN STD_LOGIC_VECTOR (63 DOWNTO 0);
output1 : OUT STD_LOGIC_VECTOR (63 DOWNTO 0);
output2 : OUT STD_LOGIC_VECTOR (63 DOWNTO 0);
round : IN STD_LOGIC_VECTOR (3 DOWNTO 0);
enc_dec : IN STD_LOGIC;
roundStart_Select : IN STD_LOGIC;
clk : IN STD_LOGIC);
END Midori64_RoundBased;
ARCHITECTURE behavioral OF Midori64_RoundBased IS
SIGNAL add_Result_Start1,
add_Result_Start2: STD_LOGIC_VECTOR (63 DOWNTO 0);
SIGNAL rounds_Output1,
rounds_Output2 : STD_LOGIC_VECTOR (63 DOWNTO 0);
BEGIN
-- PORT MAPPING
add_Result_Start1 <= input1 XOR wk;
add_Result_Start2 <= input2;
rounds : Entity work.Midori64_Round
PORT MAP ( input1 => add_Result_Start1,
input2 => add_Result_Start2,
k_0 => k_0,
k_1 => k_1,
result1 => rounds_Output1,
result2 => rounds_Output2,
-- CONTROLLER SIGNALS
enc_dec => enc_dec,
clk => clk,
round_number => round,
roundStart_Select => roundStart_Select);
output1 <= rounds_Output1;
output2 <= rounds_Output2 XOR wk;
END behavioral;
|
<filename>Semester 3/Computer Organization (VHDL) [EN]/9999_Theory/Lab7/mealy.vhd<gh_stars>1-10
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY mealy IS
PORT(
clk, areset, inp : IN STD_LOGIC;
outpt : OUT STD_LOGIC
);
END mealy;
ARCHITECTURE fsm OF mealy IS
TYPE state_type IS (S0, S1, S2);
SIGNAL state : state_type;
BEGIN
PROCESS(clk, areset)
BEGIN
IF(areset = '1') THEN state <= S0;
ELSIF(RISING_EDGE(clk)) THEN
CASE state IS
WHEN S0 =>
IF(inp = '1') THEN state <= S1;
ELSE state <= S2; END IF;
WHEN S1 =>
IF(inp = '1') THEN state <= S1;
ELSE state <= S2; END IF;
WHEN S2 =>
IF(inp = '1') THEN state <= S0;
ELSE state <= S1; END IF;
END CASE;
END IF;
END PROCESS;
PROCESS(state, inp)
BEGIN
CASE state IS
WHEN S0 =>
outpt <= '1';
WHEN S1 =>
IF(inp = '1') THEN outpt <= '0';
ELSE outpt <= '1'; END IF;
WHEN S2 =>
IF(inp = '1') THEN outpt <= '1';
ELSE outpt <= '0'; END IF;
END CASE;
END PROCESS;
END fsm;
|
-- Copyright 2018 Delft University of Technology
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library work;
use work.Utils.all;
use work.Arrow.all;
entity BufferReaderCmdGenBusReq is
generic (
---------------------------------------------------------------------------
-- Bus metrics and configuration
---------------------------------------------------------------------------
-- Bus address width.
BUS_ADDR_WIDTH : natural;
-- Bus burst length width.
BUS_LEN_WIDTH : natural;
-- Bus data width.
BUS_DATA_WIDTH : natural;
-- Number of beats in a burst step.
BUS_BURST_STEP_LEN : natural;
-- Maximum number of beats in a burst.
BUS_BURST_MAX_LEN : natural;
---------------------------------------------------------------------------
-- Arrow metrics and configuration
---------------------------------------------------------------------------
-- Index field width.
INDEX_WIDTH : natural;
---------------------------------------------------------------------------
-- Buffer metrics and configuration
---------------------------------------------------------------------------
-- Buffer element width in bits.
ELEMENT_WIDTH : natural;
-- Whether this is a normal buffer or an index buffer.
IS_INDEX_BUFFER : boolean;
-- Wether or not this component should check if the first and last index
-- are not equal
CHECK_INDEX : boolean
);
port (
---------------------------------------------------------------------------
-- Clock domains
---------------------------------------------------------------------------
-- Rising-edge sensitive clock and active-high synchronous reset.
clk : in std_logic;
reset : in std_logic;
---------------------------------------------------------------------------
-- Command stream input
---------------------------------------------------------------------------
-- Command stream input. firstIdx and lastIdx represent a range of elements
-- to be fetched from memory. firstIdx is inclusive, lastIdx is exclusive
-- for normal buffers and inclusive for index buffers, in all cases
-- resulting in lastIdx - firstIdx elements. baseAddr is the pointer to the
-- first element in the buffer. implicit may be set for null bitmap readers
-- if null count is zero; if it is set, no bus requests will be made, and
-- the unit will behave as if it receives all-one bus responses.
cmdIn_valid : in std_logic;
cmdIn_ready : out std_logic;
cmdIn_firstIdx : in std_logic_vector(INDEX_WIDTH-1 downto 0);
cmdIn_lastIdx : in std_logic_vector(INDEX_WIDTH-1 downto 0);
cmdIn_baseAddr : in std_logic_vector(BUS_ADDR_WIDTH-1 downto 0);
cmdIn_implicit : in std_logic;
---------------------------------------------------------------------------
-- Output streams
---------------------------------------------------------------------------
-- Bus read request (bus clock domain). addr represents the start address
-- for the transfer, len is the amount of requested words requested in the
-- burst. The maximum for len is set by BUS_BURST_STEP_LEN. Bursts never cross
-- BUS_BURST_STEP_LEN-sized alignment boundaries.
busReq_valid : out std_logic;
busReq_ready : in std_logic;
busReq_addr : out std_logic_vector(BUS_ADDR_WIDTH-1 downto 0);
busReq_len : out std_logic_vector(BUS_LEN_WIDTH-1 downto 0)
);
end BufferReaderCmdGenBusReq;
architecture rtl of BufferReaderCmdGenBusReq is
type state_type is (IDLE, INDEX, PRE_STEP, MAX, POST_STEP);
type input_record is record
ready : std_logic;
end record;
constant input_reset : input_record := (ready => '0');
type master_record is record
addr : unsigned(BUS_ADDR_WIDTH-1 downto 0);
len : unsigned(BUS_LEN_WIDTH-1 downto 0);
valid : std_logic;
end record;
type index_record is record
first : unsigned(INDEX_WIDTH-1 downto 0);
last : unsigned(INDEX_WIDTH-1 downto 0);
current : unsigned(INDEX_WIDTH-1 downto 0);
end record;
type regs_record is record
state : state_type;
input : input_record;
index : index_record;
master : master_record;
base_address : unsigned(BUS_ADDR_WIDTH-1 downto 0);
end record;
signal r : regs_record;
signal d : regs_record;
-- Helper functions and constants
-- The pre-alignment state will end when we've reached either the global
-- maximum for the bus_burst_boundary or a maximum burst boundary.
-- However, this operates on the byte level.
constant BYTE_ALIGN : natural := work.Utils.min(BUS_BURST_BOUNDARY, BUS_BURST_MAX_LEN * BUS_DATA_WIDTH / 8);
constant ELEMS_PER_STEP : natural := BUS_DATA_WIDTH * BUS_BURST_STEP_LEN / ELEMENT_WIDTH;
constant ELEMS_PER_MAX : natural := BUS_DATA_WIDTH * BUS_BURST_MAX_LEN / ELEMENT_WIDTH;
-- Index shift required to calculate the byte offset of an element,
-- It depends on the number of bits of the element type as follows:
-- Elem bits| log2(bits) | shift left amount
-- 1 | 0 | -3
-- 2 | 1 | -2
-- 4 | 2 | -1
-- 8 | 3 | 0
-- 16 | 4 | 1
-- 32 | 5 | 2
-- 64 | 6 | 3
-- 128 | 7 | 4
-- ... | ... | ...
-- Thus, we must shift left with -3 + log2(ELEMENT_WIDTH)
-- Index to Byte Address Left Shift Amount
constant ITOBA_LSHIFT : integer := -3 + log2ceil(ELEMENT_WIDTH);
constant STEP_LEN : unsigned(BUS_LEN_WIDTH-1 downto 0) := u(BUS_BURST_STEP_LEN, BUS_LEN_WIDTH);
constant MAX_LEN : unsigned(BUS_LEN_WIDTH-1 downto 0) := u(BUS_BURST_MAX_LEN, BUS_LEN_WIDTH);
constant BYTES_PER_STEP : natural := BUS_DATA_WIDTH * BUS_BURST_STEP_LEN / 8;
constant BYTES_PER_MAX : natural := BUS_DATA_WIDTH * BUS_BURST_MAX_LEN / 8;
signal first_index : unsigned(INDEX_WIDTH-1 downto 0);
signal last_index : unsigned(INDEX_WIDTH-1 downto 0);
signal byte_address : unsigned(BUS_ADDR_WIDTH-1 downto 0);
begin
-----------------------------------------------------------------------------
-- Burst step / index / address calculation
-----------------------------------------------------------------------------
-- Floor align the first index to the no. elements per step.
first_index <= align_beq(r.index.first, log2floor(ELEMS_PER_STEP));
-- Ceil align the last index to the no. elements per step.
last_index <= align_aeq(r.index.last, log2floor(ELEMS_PER_STEP));
-- Get the byte address of this index
byte_address <= r.base_address + shift_left_with_neg(r.index.current, ITOBA_LSHIFT);
-----------------------------------------------------------------------------
-- State machine sequential part
-----------------------------------------------------------------------------
sm_seq: process (clk) is
begin
if rising_edge(clk) then
r <= d;
if reset = '1' then
r.state <= IDLE;
r.master.valid <= '0';
r.input.ready <= '0';
end if;
end if;
end process;
-----------------------------------------------------------------------------
-- State machine combinatorial part
-----------------------------------------------------------------------------
sm_comb : process (
r,
cmdIn_valid, cmdIn_firstIdx, cmdIn_lastIdx, cmdIn_baseAddr, cmdIn_implicit,
busReq_ready,
byte_address, first_index, last_index
) is
variable v : regs_record;
begin
v := r;
-- Default values:
v.input.ready := '0';
v.master.addr := byte_address;
v.master.len := STEP_LEN;
v.master.valid := '0';
case v.state is
-------------------------------------------------------------------------
when IDLE =>
-------------------------------------------------------------------------
-- We are ready to receive some new input
v.input.ready := '1';
if cmdIn_valid = '1' then
-- Accept command & clock in data, if the first and last index are not the same
if cmdIn_firstIdx /= cmdIn_lastIdx or not CHECK_INDEX then
v.index.first := unsigned(cmdIn_firstIdx);
v.index.last := unsigned(cmdIn_lastIdx);
v.base_address := unsigned(cmdIn_baseAddr);
-- Determine what is to be loaded first
if (IS_INDEX_BUFFER) then
v.index.current := align_beq(unsigned(cmdIn_lastIdx), log2floor(ELEMS_PER_STEP));
else
v.index.current := align_beq(unsigned(cmdIn_firstIdx), log2floor(ELEMS_PER_STEP));
end if;
end if;
end if;
-- Getting out of idle requires no backpressure
-- Ignore commands with the "implicit" flag set; in this case we don't
-- want to generate any bus requests
if cmdIn_valid = '1' then
if cmdIn_implicit = '0' then
if cmdIn_firstIdx /= cmdIn_lastIdx or not CHECK_INDEX then
if IS_INDEX_BUFFER then
v.state := INDEX;
else
v.state := PRE_STEP;
end if;
end if;
end if;
end if;
-------------------------------------------------------------------------
when INDEX =>
-------------------------------------------------------------------------
-- State to fetch the last index, this is used for variable length lists,
-- where the user core needs to know the length of the whole variable
-- length List<Type> element that it will receive
v.master.addr := byte_address;
-- Assuming an index element fits in a burst step, the burst length is
-- always one step for the index state
v.master.len := STEP_LEN;
v.master.valid := '1';
-- Back-pressure
if busReq_ready = '1' then
-- Increase last index by 1 for index buffers
v.index.last := v.index.last + 1;
v.index.current := first_index;
v.state := PRE_STEP;
end if;
-------------------------------------------------------------------------
when PRE_STEP =>
-------------------------------------------------------------------------
-- State to step to first max burst aligned index or last index
v.master.addr := byte_address;
v.master.len := STEP_LEN;
-- Make bus request valid
v.master.valid := '1';
-- Invalidate if we've reached the alignment boundary
if is_aligned(byte_address, log2floor(BYTE_ALIGN)) then
v.master.valid := '0';
v.state := MAX;
end if;
-- Invalidate if we've reached the last index
if (v.index.current = last_index) then
v.master.valid := '0';
v.state := IDLE;
end if;
-- Back-pressure
if busReq_ready = '1' and v.master.valid = '1' then
v.index.current := v.index.current + ELEMS_PER_STEP;
end if;
-------------------------------------------------------------------------
when MAX =>
-------------------------------------------------------------------------
-- State to burst maximum lengths
v.master.addr := byte_address;
v.master.len := MAX_LEN;
-- Make bus request valid
v.master.valid := '1';
-- Invalidate if this burst would go over the last index
if v.index.current + ELEMS_PER_MAX >= last_index then
v.master.valid := '0';
v.state := POST_STEP;
end if;
-- Invalidate if we've reached the last index
if (v.index.current = last_index) then
v.master.valid := '0';
v.state := IDLE;
end if;
-- Back-pressure
if busReq_ready = '1' and v.master.valid = '1' then
v.index.current := v.index.current + ELEMS_PER_MAX;
end if;
-------------------------------------------------------------------------
when POST_STEP =>
-------------------------------------------------------------------------
-- State to step to last index
v.master.addr := byte_address;
v.master.len := STEP_LEN;
-- Make bus request valid
v.master.valid := '1';
-- Invalidate if we've reached the last index
if (v.index.current = last_index) then
v.master.valid := '0';
v.state := IDLE;
end if;
-- Back-pressure
if busReq_ready = '1' and v.master.valid = '1' then
v.index.current := v.index.current + ELEMS_PER_STEP;
end if;
end case;
d <= v;
end process;
cmdIn_ready <= d.input.ready;
busReq_addr <= slv(d.master.addr);
busReq_len <= slv(d.master.len);
busReq_valid <= d.master.valid;
end rtl;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
package my_package is
type Matrix is array(0 to 7) of std_logic_vector(6 downto 0);
end package;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.my_package.all;
entity shifter is
port( CLK : in std_logic;
EN: in std_logic;
SEGMENT_DISPLAY_MAT: in Matrix;
SEGMENT_ENABLE: out std_logic_vector(7 downto 0);
SEGMENT_OUTPUT : out std_logic_vector(6 downto 0));
end shifter;
architecture beh of shifter is
begin
process(CLK)
variable SEG_EN: std_logic_vector(7 downto 0):="00000001";
variable I: integer:= 0;
begin
if(CLK = '1' and CLK'EVENT) then
SEG_EN := SEG_EN(6 downto 0)& SEG_EN(7);
case SEG_EN is
when "00000001" => I:=0;
when "00000010" => I:=1;
when "00000100" => I:=2;
when "00001000" => I:=3;
when "00010000" => I:=4;
when "00100000" => I:=5;
when "01000000" => I:=6;
when "10000000" => I:=7;
when others=> NULL;
end case;
end if;
SEGMENT_OUTPUT<= SEGMENT_DISPLAY_MAT(I);
SEGMENT_ENABLE<= SEG_EN;
end process;
end beh;
|
<reponame>bjacobs1/vunit
-- This Source Code Form is subject to the terms of the Mozilla Public
-- License, v. 2.0. If a copy of the MPL was not distributed with this file,
-- You can obtain one at http://mozilla.org/MPL/2.0/.
--
-- Copyright (c) 2014-2018, <NAME> <EMAIL>
package body integer_vector_ptr_pkg is
type integer_vector is array (natural range <>) of integer;
type integer_vector_access_t is access integer_vector;
type integer_vector_access_vector_t is array (natural range <>) of integer_vector_access_t;
type integer_vector_access_vector_access_t is access integer_vector_access_vector_t;
type integer_vector_ptr_storage_t is protected
impure function new_integer_vector_ptr(length : natural := 0; value : integer := 0) return integer_vector_ptr_t;
procedure deallocate(ptr : integer_vector_ptr_t);
impure function length(ptr : integer_vector_ptr_t) return integer;
procedure set(ptr : integer_vector_ptr_t; index : integer; value : integer);
impure function get(ptr : integer_vector_ptr_t; index : integer) return integer;
procedure reallocate(ptr : integer_vector_ptr_t; length : natural; value : integer := 0);
procedure resize(ptr : integer_vector_ptr_t; length : natural; drop : natural := 0; value : integer := 0);
end protected;
type integer_vector_ptr_storage_t is protected body
variable current_index : integer := 0;
variable ptrs : integer_vector_access_vector_access_t := null;
impure function new_integer_vector_ptr(length : natural := 0; value : integer := 0) return integer_vector_ptr_t is
variable old_ptrs : integer_vector_access_vector_access_t;
variable retval : integer_vector_ptr_t := (index => current_index);
begin
if ptrs = null then
ptrs := new integer_vector_access_vector_t'(0 => null);
elsif ptrs'length <= current_index then
-- Reallocate ptr pointers to larger ptr
-- Use more size to trade size for speed
old_ptrs := ptrs;
ptrs := new integer_vector_access_vector_t'(0 to ptrs'length + 2**16 => null);
for i in old_ptrs'range loop
ptrs(i) := old_ptrs(i);
end loop;
deallocate(old_ptrs);
end if;
ptrs(current_index) := new integer_vector'(0 to length-1 => value);
current_index := current_index + 1;
return retval;
end function;
procedure deallocate(ptr : integer_vector_ptr_t) is
begin
deallocate(ptrs(ptr.index));
ptrs(ptr.index) := null;
end procedure;
impure function length(ptr : integer_vector_ptr_t) return integer is
begin
return ptrs(ptr.index)'length;
end function;
procedure set(ptr : integer_vector_ptr_t; index : integer; value : integer) is
begin
ptrs(ptr.index)(index) := value;
end procedure;
impure function get(ptr : integer_vector_ptr_t; index : integer) return integer is
begin
return ptrs(ptr.index)(index);
end function;
procedure reallocate(ptr : integer_vector_ptr_t; length : natural; value : integer := 0) is
variable old_ptr, new_ptr : integer_vector_access_t;
begin
deallocate(ptrs(ptr.index));
ptrs(ptr.index) := new integer_vector'(0 to length - 1 => value);
end procedure;
procedure resize(ptr : integer_vector_ptr_t; length : natural; drop : natural := 0; value : integer := 0) is
variable old_ptr, new_ptr : integer_vector_access_t;
variable min_length : natural := length;
begin
new_ptr := new integer_vector'(0 to length - 1 => value);
old_ptr := ptrs(ptr.index);
if min_length > old_ptr'length - drop then
min_length := old_ptr'length - drop;
end if;
for i in 0 to min_length-1 loop
new_ptr(i) := old_ptr(drop + i);
end loop;
ptrs(ptr.index) := new_ptr;
deallocate(old_ptr);
end procedure;
end protected body;
shared variable integer_vector_ptr_storage : integer_vector_ptr_storage_t;
function to_integer(value : integer_vector_ptr_t) return integer is
begin
return value.index;
end function;
impure function to_integer_vector_ptr(value : integer) return integer_vector_ptr_t is
begin
-- @TODO maybe assert that the index is valid
return (index => value);
end function;
impure function new_integer_vector_ptr(length : natural := 0; value : integer := 0) return integer_vector_ptr_t is
begin
return integer_vector_ptr_storage.new_integer_vector_ptr(length, value);
end function;
procedure deallocate(ptr : integer_vector_ptr_t) is
begin
integer_vector_ptr_storage.deallocate(ptr);
end procedure;
impure function length(ptr : integer_vector_ptr_t) return integer is
begin
return integer_vector_ptr_storage.length(ptr);
end function;
procedure set(ptr : integer_vector_ptr_t; index : integer; value : integer) is
begin
integer_vector_ptr_storage.set(ptr, index, value);
end procedure;
impure function get(ptr : integer_vector_ptr_t; index : integer) return integer is
begin
return integer_vector_ptr_storage.get(ptr, index);
end function;
procedure reallocate(ptr : integer_vector_ptr_t; length : natural; value : integer := 0) is
begin
integer_vector_ptr_storage.reallocate(ptr, length, value);
end procedure;
procedure resize(ptr : integer_vector_ptr_t; length : natural; drop : natural := 0; value : integer := 0) is
begin
integer_vector_ptr_storage.resize(ptr, length, drop, value);
end procedure;
function encode(data : integer_vector_ptr_t) return string is
begin
return encode(data.index);
end;
function decode(code : string) return integer_vector_ptr_t is
variable ret_val : integer_vector_ptr_t;
variable index : positive := code'left;
begin
decode(code, index, ret_val);
return ret_val;
end;
procedure decode (
constant code : string;
variable index : inout positive;
variable result : out integer_vector_ptr_t) is
begin
decode(code, index, result.index);
end;
end package body;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity alu is
port(
opcode: in std_logic_vector(2 downto 0);
operand1: in std_logic_vector(3 downto 0);
operand2: in std_logic_vector(3 downto 0); -- bitove operace - adresa bitu operand2(1 downto 0)
result: buffer std_logic_vector(3 downto 0);
status: out std_logic_vector(2 downto 0)); -- CF - status(2), ZF - status(1), SKIP - status(0)
end alu;
architecture behavioral of alu is
signal cf_auxiliary: std_logic_vector(4 downto 0);
begin
result <=
operand1(3 downto 1) & '1' when opcode = "010" and operand2(1 downto 0) = "00" else
operand1(3 downto 2) & '1' & operand1(0) when opcode = "010" and operand2(1 downto 0) = "01" else
operand1(3) & '1' & operand1(1 downto 0) when opcode = "010" and operand2(1 downto 0) = "10" else
'1' & operand1(2 downto 0) when opcode = "010" and operand2(1 downto 0) = "11" else
operand1(3 downto 1) & '0' when opcode = "011" and operand2(1 downto 0) = "00" else
operand1(3 downto 2) & '0' & operand1(0) when opcode = "011" and operand2(1 downto 0) = "01" else
operand1(3) & '0' & operand1(1 downto 0) when opcode = "011" and operand2(1 downto 0) = "10" else
'0' & operand1(2 downto 0) when opcode = "011" and operand2(1 downto 0) = "11" else
cf_auxiliary(3 downto 0) when opcode = "100" else
cf_auxiliary(3 downto 0) when opcode = "101" else
operand1 xor operand2 when opcode = "110"
else operand1 nand operand2;
cf_auxiliary <=
('0' & operand1) + ('0' & operand2) when opcode = "100"
else ('0' & operand1) - ('0' & operand2);
status(2) <= cf_auxiliary(4);
status(1) <= not (result(0) or result(1) or result(2) or result(3));
status(0) <=
operand1(conv_integer(operand2(1 downto 0))) when opcode = "000" else
not operand1(conv_integer(operand2(1 downto 0))) when opcode = "001" else '0';
end behavioral;
|
<gh_stars>0
library ieee;
use ieee.electrical_systems.all;
use ieee.math_real.all;
entity opamp is
generic(
vdd : voltage := 15.0;
vss : voltage := -15.0;
gain : real := real'high);
port(
terminal in_p, in_n: electrical;
terminal output: electrical);
end entity;
architecture default of opamp is
quantity vin across in_p to in_n;
quantity vout across iout through output;
begin
if vin'above(vdd/gain) use
vout == vdd;
elsif not vin'above(vss/gain) use
vout == vss;
else
vout == vin*gain;
end use;
break on vin'above(vdd/gain), vin'above(vss/gain);
end architecture;
|
<reponame>bopopescu/lz-test-stand
-------------------------------------------------------------------------------
-- File : FastAdcPhy.vhd
-- Company : SLAC National Accelerator Laboratory
-- Created : 2017-02-04
-- Last update: 2017-10-13
-------------------------------------------------------------------------------
-- Description: LZ FastAdcPhy Top Level
-------------------------------------------------------------------------------
-- This file is part of 'LZ Test Stand Firmware'.
-- It is subject to the license terms in the LICENSE.txt file found in the
-- top-level directory of this distribution and at:
-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
-- No part of 'LZ Test Stand Firmware', including this file,
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.StdRtlPkg.all;
use work.AxiLitePkg.all;
use work.AxiStreamPkg.all;
use work.jesd204bpkg.all;
use work.AppPkg.all;
library unisim;
use unisim.vcomponents.all;
entity FastAdcPhy is
generic (
TPD_G : time := 1 ns;
AXI_BASE_ADDR_G : slv(31 downto 0) := (others => '0'));
port (
-- JESD ADC Ports
jesdClkP : in sl;
jesdClkN : in sl;
jesdSysRefP : in sl;
jesdSysRefN : in sl;
jesdRxP : in slv(15 downto 0);
jesdRxN : in slv(15 downto 0);
jesdTxP : out slv(15 downto 0);
jesdTxN : out slv(15 downto 0);
jesdSync : out slv(3 downto 0);
-- Fast ADC SPI Ports
fadcSclk : out sl;
fadcSdin : out sl;
fadcSdout : in sl;
fadcSen : out slv(3 downto 0);
fadcReset : out slv(3 downto 0);
fadcPdn : out slv(3 downto 0);
-- LMK Ports
lmkRefClk : in sl;
lmkRefClkP : out sl;
lmkRefClkN : out sl;
lmkCsL : out sl;
lmkSck : out sl;
lmkSdio : inout sl;
lmkRst : out sl;
lmkSync : out sl;
-- JESD ADC Interface
adcClk : in sl;
adcRst : in sl;
adcValid : out slv(7 downto 0);
adcData : out Slv64Array(7 downto 0);
swTrigger : in sl;
swArmTrig : in sl;
-- AXI-Lite Interface (axilClk domain)
axilClk : in sl;
axilRst : in sl;
axilReadMaster : in AxiLiteReadMasterType;
axilReadSlave : out AxiLiteReadSlaveType;
axilWriteMaster : in AxiLiteWriteMasterType;
axilWriteSlave : out AxiLiteWriteSlaveType);
end FastAdcPhy;
architecture rtl of FastAdcPhy is
constant NUM_AXI_MASTERS_C : natural := 8;
constant JESD_INDEX_C : natural := 0;
constant LMK_INDEX_C : natural := 1;
constant SPI0_INDEX_C : natural := 2;
constant SPI1_INDEX_C : natural := 3;
constant SPI2_INDEX_C : natural := 4;
constant SPI3_INDEX_C : natural := 5;
constant GTH_INDEX_C : natural := 6;
constant GTH_BASE_ADDR_C : slv(31 downto 0) := (AXI_BASE_ADDR_G+x"0060_0000");
constant DBG_INDEX_C : natural := 7;
constant DBG_BASE_ADDR_C : slv(31 downto 0) := (AXI_BASE_ADDR_G+x"0070_0000");
constant AXI_CONFIG_C : AxiLiteCrossbarMasterConfigArray(NUM_AXI_MASTERS_C-1 downto 0) := genAxiLiteConfig(NUM_AXI_MASTERS_C, AXI_BASE_ADDR_G, 24, 20);
signal axilWriteMasters : AxiLiteWriteMasterArray(NUM_AXI_MASTERS_C-1 downto 0);
signal axilWriteSlaves : AxiLiteWriteSlaveArray(NUM_AXI_MASTERS_C-1 downto 0);
signal axilReadMasters : AxiLiteReadMasterArray(NUM_AXI_MASTERS_C-1 downto 0);
signal axilReadSlaves : AxiLiteReadSlaveArray(NUM_AXI_MASTERS_C-1 downto 0);
constant GTH_CONFIG_C : AxiLiteCrossbarMasterConfigArray(JESD_LANE_C-1 downto 0) := genAxiLiteConfig(JESD_LANE_C, GTH_BASE_ADDR_C, 20, 16);
signal gthWriteMasters : AxiLiteWriteMasterArray(JESD_LANE_C-1 downto 0);
signal gthWriteSlaves : AxiLiteWriteSlaveArray(JESD_LANE_C-1 downto 0);
signal gthReadMasters : AxiLiteReadMasterArray(JESD_LANE_C-1 downto 0);
signal gthReadSlaves : AxiLiteReadSlaveArray(JESD_LANE_C-1 downto 0);
constant DBG_CONFIG_C : AxiLiteCrossbarMasterConfigArray(JESD_LANE_C-1 downto 0) := genAxiLiteConfig(JESD_LANE_C, DBG_BASE_ADDR_C, 20, 16);
signal dbgWriteMasters : AxiLiteWriteMasterArray(JESD_LANE_C-1 downto 0);
signal dbgWriteSlaves : AxiLiteWriteSlaveArray(JESD_LANE_C-1 downto 0);
signal dbgReadMasters : AxiLiteReadMasterArray(JESD_LANE_C-1 downto 0);
signal dbgReadSlaves : AxiLiteReadSlaveArray(JESD_LANE_C-1 downto 0);
signal drpClk : slv(JESD_LANE_C-1 downto 0) := (others => '0');
signal drpRdy : slv(JESD_LANE_C-1 downto 0) := (others => '0');
signal drpEn : slv(JESD_LANE_C-1 downto 0) := (others => '0');
signal drpWe : slv(JESD_LANE_C-1 downto 0) := (others => '0');
signal drpAddr : slv(JESD_LANE_C*9-1 downto 0) := (others => '0');
signal drpDi : slv(JESD_LANE_C*16-1 downto 0) := (others => '0');
signal drpDo : slv(JESD_LANE_C*16-1 downto 0) := (others => '0');
signal rawAdcValids : slv(JESD_LANE_C-1 downto 0) := (others => '0');
signal rawAdcValues : sampleDataArray(JESD_LANE_C-1 downto 0) := (others => (others => '0'));
signal refClk : sl;
signal jesdSysRef : sl;
signal jesdRxSync : sl;
signal rxSyncReg : slv(3 downto 0);
signal adcRstL : sl;
signal lmkDataIn : sl;
signal lmkDataOut : sl;
signal spiCsL : slv(3 downto 0);
signal spiSck : slv(3 downto 0);
signal spiMosi : slv(3 downto 0);
signal spiBusy : sl;
signal spiBusyVec : slv(3 downto 0);
signal bufferEnable : sl := '0';
begin
adcRstL <= not(adcRst);
----------------------------------------------------------
-- Combine the JESD lanes together for LMFS = 4244
-- Note: Refer to Table 11 in datasheet
----------------------------------------------------------
-- CH[2*i+0] = DA1/DB1 (A2[15:8] A2[7:0] A3[15:8] A3[7:0])
-- CH[2*i+1] = DA2/DB2 (A0[15:8] A0[7:0] A1[15:8] A1[7:0])
----------------------------------------------------------
process(adcClk)
variable i : natural;
begin
if rising_edge(adcClk) then
for i in 7 downto 0 loop
adcValid(i) <= rawAdcValids(2*i+0) and rawAdcValids(2*i+1) after TPD_G;
adcData(i) <= rawAdcValues(2*i+0) & rawAdcValues(2*i+1) after TPD_G;
end loop;
if (swArmTrig = '1') then
bufferEnable <= '1' after TPD_G;
elsif (swTrigger = '1') then
bufferEnable <= '0' after TPD_G;
end if;
end if;
end process;
-----------
-- Clocking
-----------
U_lmkRefClk : entity work.ClkOutBufDiff
generic map (
TPD_G => TPD_G,
XIL_DEVICE_G => "ULTRASCALE")
port map (
clkIn => lmkRefClk,
clkOutP => lmkRefClkP,
clkOutN => lmkRefClkN);
U_IBUFDS_GTE3 : IBUFDS_GTE3
generic map (
REFCLK_EN_TX_PATH => '0',
REFCLK_HROW_CK_SEL => "00", -- 2'b00: ODIV2 = O
REFCLK_ICNTL_RX => "00")
port map (
I => jesdClkP,
IB => jesdClkN,
CEB => '0',
ODIV2 => open,
O => refClk);
IBUFDS_SysRef : IBUFDS
port map (
I => jesdSysRefP,
IB => jesdSysRefN,
O => jesdSysRef);
GEN_SYNC : for i in 3 downto 0 generate
U_ODDR : ODDRE1
port map (
C => adcClk,
Q => rxSyncReg(i),
D1 => jesdRxSync,
D2 => jesdRxSync,
SR => '0');
U_OBUF : OBUF
port map (
I => rxSyncReg(i),
O => jesdSync(i));
end generate GEN_SYNC;
---------------------
-- AXI-Lite Crossbar
---------------------
U_XBAR : entity work.AxiLiteCrossbar
generic map (
TPD_G => TPD_G,
NUM_SLAVE_SLOTS_G => 1,
NUM_MASTER_SLOTS_G => NUM_AXI_MASTERS_C,
MASTERS_CONFIG_G => AXI_CONFIG_C)
port map (
axiClk => axilClk,
axiClkRst => axilRst,
sAxiWriteMasters(0) => axilWriteMaster,
sAxiWriteSlaves(0) => axilWriteSlave,
sAxiReadMasters(0) => axilReadMaster,
sAxiReadSlaves(0) => axilReadSlave,
mAxiWriteMasters => axilWriteMasters,
mAxiWriteSlaves => axilWriteSlaves,
mAxiReadMasters => axilReadMasters,
mAxiReadSlaves => axilReadSlaves);
-------------
-- JESD block
-------------
U_Jesd : entity work.FastAdcJesd204b
generic map (
TPD_G => TPD_G)
port map (
-- DRP Interface
drpClk => drpClk,
drpRdy => drpRdy,
drpEn => drpEn,
drpWe => drpWe,
drpAddr => drpAddr,
drpDi => drpDi,
drpDo => drpDo,
-- AXI interface
axilClk => axilClk,
axilRst => axilRst,
axilReadMaster => axilReadMasters(JESD_INDEX_C),
axilReadSlave => axilReadSlaves(JESD_INDEX_C),
axilWriteMaster => axilWriteMasters(JESD_INDEX_C),
axilWriteSlave => axilWriteSlaves(JESD_INDEX_C),
-- Sample data output (Use if external data acquisition core is attached)
dataValidVec_o => rawAdcValids,
sampleDataArr_o => rawAdcValues,
-------
-- JESD
-------
-- Clocks
stableClk => axilClk,
refClk => refClk,
devClk_i => adcClk,
devClk2_i => adcClk,
devRst_i => adcRst,
devClkActive_i => adcRstL,
-- GTH Ports
gtTxP => jesdTxP,
gtTxN => jesdTxN,
gtRxP => jesdRxP,
gtRxN => jesdRxN,
-- SYSREF for subclass 1 fixed latency
sysRef_i => jesdSysRef,
-- Synchronization output combined from all receivers to be connected to ADC chips
nSync_o => jesdRxSync);
-----------------
-- LMK SPI Module
-----------------
SPI_LMK : entity work.AxiSpiMaster
generic map (
TPD_G => TPD_G,
ADDRESS_SIZE_G => 15,
DATA_SIZE_G => 8,
CLK_PERIOD_G => (1.0/156.25E+6),
SPI_SCLK_PERIOD_G => 10.0E-6)
port map (
axiClk => axilClk,
axiRst => axilRst,
axiReadMaster => axilReadMasters(LMK_INDEX_C),
axiReadSlave => axilReadSlaves(LMK_INDEX_C),
axiWriteMaster => axilWriteMasters(LMK_INDEX_C),
axiWriteSlave => axilWriteSlaves(LMK_INDEX_C),
coreSclk => lmkSck,
coreSDin => lmkDataIn,
coreSDout => lmkDataOut,
coreCsb => lmkCsL);
IOBUF_Lmk : IOBUF
port map (
I => '0',
O => lmkDataIn,
IO => lmkSdio,
T => lmkDataOut);
lmkSync <= '0';
lmkRst <= axilRst;
----------------------
-- Fast ADC SPI Module
----------------------
GEN_ADC_SPI : for i in 3 downto 0 generate
U_SPI : entity work.ads54j60
generic map (
TPD_G => TPD_G,
CLK_PERIOD_G => (1.0/156.25E+6),
SPI_SCLK_PERIOD_G => 10.0E-6)
port map (
-- Clock and Reset
axiClk => axilClk,
axiRst => axilRst,
-- AXI-Lite Interface
axiReadMaster => axilReadMasters(SPI0_INDEX_C+i),
axiReadSlave => axilReadSlaves(SPI0_INDEX_C+i),
axiWriteMaster => axilWriteMasters(SPI0_INDEX_C+i),
axiWriteSlave => axilWriteSlaves(SPI0_INDEX_C+i),
-- SPI Interface
coreBusyIn => spiBusy,
coreBusyOut => spiBusyVec(i),
coreRst => fadcReset(i),
coreSclk => spiSck(i),
coreSDin => fadcSdout,
coreSDout => spiMosi(i),
coreCsb => spiCsL(i));
end generate GEN_ADC_SPI;
spiBusy <= uOr(spiBusyVec);
fadcPdn <= (others => '0');
fadcSen <= spiCsL;
process(spiCsL, spiMosi, spiSck)
begin
if spiCsL(0) = '0' then
fadcSclk <= spiSck(0);
fadcSdin <= spiMosi(0);
elsif spiCsL(1) = '0' then
fadcSclk <= spiSck(1);
fadcSdin <= spiMosi(1);
elsif spiCsL(2) = '0' then
fadcSclk <= spiSck(2);
fadcSdin <= spiMosi(2);
elsif spiCsL(3) = '0' then
fadcSclk <= spiSck(3);
fadcSdin <= spiMosi(3);
else
fadcSclk <= '0';
fadcSdin <= '0';
end if;
end process;
-----------------------
-- GTH's DRP Interfaces
-----------------------
U_GT_XBAR : entity work.AxiLiteCrossbar
generic map (
TPD_G => TPD_G,
NUM_SLAVE_SLOTS_G => 1,
NUM_MASTER_SLOTS_G => JESD_LANE_C,
MASTERS_CONFIG_G => GTH_CONFIG_C)
port map (
axiClk => axilClk,
axiClkRst => axilRst,
sAxiWriteMasters(0) => axilWriteMasters(GTH_INDEX_C),
sAxiWriteSlaves(0) => axilWriteSlaves(GTH_INDEX_C),
sAxiReadMasters(0) => axilReadMasters(GTH_INDEX_C),
sAxiReadSlaves(0) => axilReadSlaves(GTH_INDEX_C),
mAxiWriteMasters => gthWriteMasters,
mAxiWriteSlaves => gthWriteSlaves,
mAxiReadMasters => gthReadMasters,
mAxiReadSlaves => gthReadSlaves);
drpClk <= (others => axilClk);
GEN_GTH_DRP : for i in (JESD_LANE_C-1) downto 0 generate
U_AxiLiteToDrp : entity work.AxiLiteToDrp
generic map (
TPD_G => TPD_G,
COMMON_CLK_G => true,
EN_ARBITRATION_G => false,
TIMEOUT_G => 4096,
ADDR_WIDTH_G => 9,
DATA_WIDTH_G => 16)
port map (
-- AXI-Lite Port
axilClk => axilClk,
axilRst => axilRst,
axilReadMaster => gthReadMasters(i),
axilReadSlave => gthReadSlaves(i),
axilWriteMaster => gthWriteMasters(i),
axilWriteSlave => gthWriteSlaves(i),
-- DRP Interface
drpClk => axilClk,
drpRst => axilRst,
drpRdy => drpRdy(i),
drpEn => drpEn(i),
drpWe => drpWe(i),
drpAddr => drpAddr((i*9)+8 downto (i*9)),
drpDi => drpDi((i*16)+15 downto (i*16)),
drpDo => drpDo((i*16)+15 downto (i*16)));
end generate GEN_GTH_DRP;
--------------------
-- Debug ADC Modules
--------------------
U_DBG_XBAR : entity work.AxiLiteCrossbar
generic map (
TPD_G => TPD_G,
NUM_SLAVE_SLOTS_G => 1,
NUM_MASTER_SLOTS_G => JESD_LANE_C,
MASTERS_CONFIG_G => DBG_CONFIG_C)
port map (
axiClk => axilClk,
axiClkRst => axilRst,
sAxiWriteMasters(0) => axilWriteMasters(DBG_INDEX_C),
sAxiWriteSlaves(0) => axilWriteSlaves(DBG_INDEX_C),
sAxiReadMasters(0) => axilReadMasters(DBG_INDEX_C),
sAxiReadSlaves(0) => axilReadSlaves(DBG_INDEX_C),
mAxiWriteMasters => dbgWriteMasters,
mAxiWriteSlaves => dbgWriteSlaves,
mAxiReadMasters => dbgReadMasters,
mAxiReadSlaves => dbgReadSlaves);
GEN_ADC_DEBUG :
for i in (JESD_LANE_C-1) downto 0 generate
RING_BUFFER : entity work.AxiLiteRingBuffer
generic map (
TPD_G => TPD_G,
BRAM_EN_G => true,
REG_EN_G => true,
DATA_WIDTH_G => 32,
RAM_ADDR_WIDTH_G => 10)
port map (
-- Data to store in ring buffer
dataClk => adcClk,
dataRst => adcRst,
dataValid => rawAdcValids(i),
dataValue => rawAdcValues(i),
bufferEnable => bufferEnable,
bufferClear => swArmTrig,
-- AXI-Lite interface for readout
axilClk => axilClk,
axilRst => axilRst,
axilReadMaster => dbgReadMasters(i),
axilReadSlave => dbgReadSlaves(i),
axilWriteMaster => dbgWriteMasters(i),
axilWriteSlave => dbgWriteSlaves(i));
end generate GEN_ADC_DEBUG;
end rtl;
|
<reponame>essess/legendary-octo-barnacle
---
-- Copyright (c) 2020 <NAME>. All rights reserved.
-- Developed by: <NAME> <<EMAIL>>
-- Refer to license terms in LICENSE; In the absence of such a file,
-- contact me at the above email address and I can provide you with one.
---
library ieee;
use ieee.std_logic_1164.all,
ieee.numeric_std.all;
-- work.phy_pkg.all;
library osvvm;
context osvvm.osvvmcontext;
entity sym_to_chip_tb is
generic( tclk : time := 10 ns;
TPD : time := 0 ns );
end entity;
architecture dfault of sym_to_chip_tb is
signal clk, srst, sink_ready, source_ready, give, take, valid_in, valid_out : std_logic;
signal symbol : std_logic_vector(0 to 3);
signal chip_chunk : std_logic_vector(0 to 7);
signal dbgsig : std_logic := '0';
signal tstcnt : integer := 0;
begin
CreateReset( Reset => srst,
ResetActive => '1',
Clk => clk,
Period => 1*tclk,
tpd => tclk/2 );
CreateClock( Clk => clk,
Period => tclk );
dut : entity work.sym_to_chip
generic map( TPD => TPD )
port map( clk_in => clk,
srst_in => srst,
sink_valid_in => valid_in,
sink_ready_in => sink_ready,
sink_take_out => take,
symbol_in => symbol,
source_valid_out => valid_out,
source_ready_in => source_ready,
source_give_out => give,
chip_chunk_out => chip_chunk );
test : process
begin
WaitForLevel( srst, '0' );
wait until falling_edge( clk );
--<< drive
-- initial conditions
symbol <= b"0000";
valid_in <= '0';
sink_ready <= '0';
source_ready <= '0';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"11011001"; --< 0 to 7
assert valid_out = valid_in;
assert give = '0';
assert take = '0';
tstcnt <= tstcnt +1;
--<< drive
-- advance by one chunk
symbol <= b"0000";
valid_in <= '1';
sink_ready <= '1';
source_ready <= '1';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"11000011"; --< 8 to 15
assert valid_out = valid_in;
assert give = '1';
assert take = '0';
tstcnt <= tstcnt +1;
--<< drive
-- drive valid_in inactive and verify hold
symbol <= b"0000";
valid_in <= '0';
sink_ready <= '1';
source_ready <= '1';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"11000011"; --< 8 to 15 (held, but invalid)
assert valid_out = valid_in;
assert give = '0';
assert take = '0';
tstcnt <= tstcnt +1;
--<< drive
-- drive sink_ready inactive and verify hold
symbol <= b"0000";
valid_in <= '1';
sink_ready <= '1';
source_ready <= '0';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"11000011"; --< 8 to 15 (held and valid)
assert valid_out = valid_in;
assert give = '1';
assert take = '0';
tstcnt <= tstcnt +1;
--<< drive
-- advance by one chunk
symbol <= b"0000";
valid_in <= '1';
sink_ready <= '1';
source_ready <= '1';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"01010010"; --< 16 to 23
assert valid_out = valid_in;
assert give = '1';
assert take = '0';
tstcnt <= tstcnt +1;
--<< drive
-- advance to last chunk
symbol <= b"0000";
valid_in <= '1';
sink_ready <= '1';
source_ready <= '1';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"00101110"; --< 24 to 31
assert valid_out = valid_in;
assert give = '1';
assert take = '1';
tstcnt <= tstcnt +1;
-- repeat hold tests above
--<< drive
-- drive valid_in inactive and verify hold
symbol <= b"0000";
valid_in <= '0';
sink_ready <= '1';
source_ready <= '1';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"00101110"; --< 24 to 31 (held, but invalid)
assert valid_out = valid_in;
assert give = '0';
assert take = '0';
tstcnt <= tstcnt +1;
--<< drive
-- drive sink_ready inactive and verify hold
symbol <= b"0000";
valid_in <= '1';
sink_ready <= '1';
source_ready <= '0';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"00101110"; --< 24 to 31 (held and valid)
assert valid_out = valid_in;
assert give = '1';
assert take = '0';
tstcnt <= tstcnt +1;
--<< drive
-- one more, but the source isn't ready
symbol <= b"0000";
valid_in <= '1';
sink_ready <= '0';
source_ready <= '1';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"00101110"; --< 24 to 31 (held and valid)
assert valid_out = valid_in;
assert give = '0';
assert take = '1';
tstcnt <= tstcnt +1;
--<< drive
-- advance to first chunk of next symbol
symbol <= b"0001";
valid_in <= '1';
sink_ready <= '1';
source_ready <= '1';
wait until rising_edge( clk );
-->> verify
wait until falling_edge( clk );
assert chip_chunk = b"10001100"; --< 0 to 7
assert valid_out = valid_in;
assert give = '1';
assert take = '0';
tstcnt <= tstcnt +1;
-- verify rest of chips if desired ...
wait for 1*tclk;
report "DONE"; std.env.stop;
end process;
end architecture;
|
<gh_stars>1-10
-- Import libraries
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Defining the entity ports
entity ULA is
Port ( A : in STD_LOGIC_VECTOR (3 downto 0);
B : in STD_LOGIC_VECTOR (3 downto 0);
Operation : in STD_LOGIC_VECTOR (3 downto 0);
Z : out STD_LOGIC_VECTOR (3 downto 0));
end ULA;
-- Começo da arquitetura
architecture Behavioral of ULA is
-- Componente AND de 4 bits
component AND_4BIT
port (
x: in STD_LOGIC_VECTOR (3 downto 0);
y: in STD_LOGIC_VECTOR (3 downto 0);
z: out STD_LOGIC_VECTOR (3 downto 0)
);
end component;
-- Componente comparador de 4 bits
component COMPARADOR_4BITS
port (
A : in STD_LOGIC_VECTOR (3 downto 0);
B : in STD_LOGIC_VECTOR (3 downto 0);
Gout : out STD_LOGIC;
Eout : out STD_LOGIC;
Sout : out STD_LOGIC
);
end component;
-- Componente complementador de 4 bits
component COMPLEMENTADOR_4BITS
port (
I : in STD_LOGIC_VECTOR (3 downto 0);
K : in STD_LOGIC;
Z : out STD_LOGIC_VECTOR (3 downto 0)
);
end component;
-- Componente somador de 4 bits
component FULL_ADDER_4BITS
port (
A : in STD_LOGIC_VECTOR (3 downto 0);
B : in STD_LOGIC_VECTOR (3 downto 0);
Cin : in STD_LOGIC;
S : out STD_LOGIC_VECTOR (3 downto 0);
Cout : out STD_LOGIC
);
end component;
-- Componente multiplicador de 4 bits
component MULTIPLICADOR_4BITS
port (
A : in STD_LOGIC_VECTOR (3 downto 0);
B : in STD_LOGIC_VECTOR (3 downto 0);
Z : out STD_LOGIC_VECTOR (3 downto 0)
);
end component;
-- Componente OR de 4 bits
component OR_4BITS
port (
A : in STD_LOGIC_VECTOR (3 downto 0);
B : in STD_LOGIC_VECTOR (3 downto 0);
Z : out STD_LOGIC_VECTOR (3 downto 0)
);
end component;
-- Componente XOR de 4 bits
component XOR_4BITS
port (
A : in STD_LOGIC_VECTOR(3 downto 0);
B : in STD_LOGIC_VECTOR (3 downto 0);
Z : out STD_LOGIC_VECTOR(3 downto 0)
);
end component;
-- Signals
signal Not_A, Compl_A, A_plus_B, A_times_B, Not_B, A_minus_B, A_and_B, A_xor_B, A_or_B, A_compare_B : STD_LOGIC_VECTOR (3 downto 0);
-- Comportamento da ULA
begin
-- Declarando os componentes
U1: COMPLEMENTADOR_4BITS port map (A, '1', Not_A); -- Para operação 1
U2: FULL_ADDER_4BITS port map (Not_A, "0000", '1', Compl_A); -- Para operação 1
U3: FULL_ADDER_4BITS port map (A, B, '0', A_plus_B); -- Para operação 2
U4: MULTIPLICADOR_4BITS port map (A, B, A_times_B); -- Para operação 3
U5: COMPLEMENTADOR_4BITS port map (B, '1', Not_B); -- Para operação 4
U6: FULL_ADDER_4BITS port map (A, Not_B, '1', A_minus_B); -- Para operação 4
U7: AND_4BIT port map (A, B, A_and_B); -- Para operação 5
U8: XOR_4BITS port map (A, B, A_xor_B); -- Para operação 6
U9: OR_4BITS port map (A, B, A_or_B); -- Para operação 7
U10: COMPARADOR_4BITS port map (A, B, A_compare_B(2), A_compare_B(1), A_compare_B(0)); -- Para operação 8
A_compare_B(3) <= '0'; -- Para operação 8
-- Dando as saídas baseadas na escolha da operação
process (Operation, Compl_A, A_plus_B, A_times_B, A_minus_B, A_and_B, A_xor_B, A_or_B, A_compare_B)
begin
case Operation is
when "0000" =>
Z <= Compl_A;
when "1000" =>
Z <= Compl_A;
when "0001" =>
Z <= A_plus_B;
when "1001" =>
Z <= A_plus_B;
when "0010" =>
Z <= A_times_B;
when "1010" =>
Z <= A_times_B;
when "0011" =>
Z <= A_minus_B;
when "1011" =>
Z <= A_minus_B;
when "0100" =>
Z <= A_and_B;
when "1100" =>
Z <= A_and_B;
when "0101" =>
Z <= A_xor_B;
when "1101" =>
Z <= A_xor_B;
when "0110" =>
Z <= A_or_B;
when "1110" =>
Z <= A_or_B;
when "0111" =>
Z <= A_compare_B;
when "1111" =>
Z <= A_compare_B;
when others =>
Z <= "0000";
end case;
end process;
end Behavioral;
|
--
-- Copyright 2019 International Business Machines
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- The patent license granted to you in Section 3 of the License, as applied
-- to the "Work," hereby includes implementations of the Work in physical form.
--
-- Unless required by applicable law or agreed to in writing, the reference design
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
--
-- The background Specification upon which this is based is managed by and available from
-- the OpenCAPI Consortium. More information can be found at https://opencapi.org.
--
library ieee, support, ibm, work;
use ieee.std_logic_1164.all;
use ibm.std_ulogic_unsigned.all;
use ibm.std_ulogic_function_support.all;
use ibm.std_ulogic_support.all;
use work.axi_pkg.all;
entity ocx_dlx_tlx_stage is
port (
---------------------------------------------------------------------------
-- Clocking
---------------------------------------------------------------------------
opt_gckn : in std_ulogic;
tlx_dlx_flit : IN std_ulogic_vector(511 downto 0);
tlx_dlx_flit_valid : IN std_ulogic;
---------------------------------------------------------------------------
-- RX Interface
---------------------------------------------------------------------------
i_dlx_tlx_flit_valid : in std_ulogic;
i_dlx_tlx_flit : in std_ulogic_vector(511 downto 0);
i_dlx_tlx_flit_crc_err : in std_ulogic;
i_dlx_tlx_link_up : in std_ulogic;
-- Interface to TLx
dlx_tlx_flit_valid : out std_ulogic;
dlx_tlx_flit : out std_ulogic_vector(511 downto 0);
dlx_tlx_flit_crc_err : out std_ulogic;
dlx_tlx_link_up : out std_ulogic
);
end ocx_dlx_tlx_stage;
architecture ocx_dlx_tlx_stage of ocx_dlx_tlx_stage is
signal tlx_dlx_flit_q : std_ulogic_vector(511 downto 0);
signal tlx_dlx_flit_valid_q : std_ulogic;
signal dlx_tlx_flit_valid_q : std_ulogic;
signal dlx_tlx_flit_q : std_ulogic_vector(511 downto 0);
signal dlx_tlx_flit_crc_err_q : std_ulogic;
signal dlx_tlx_link_up_q : std_ulogic;
signal dlx_tlx_flit_valid_qq : std_ulogic;
signal dlx_tlx_flit_qq : std_ulogic_vector(511 downto 0);
signal dlx_tlx_flit_crc_err_qq : std_ulogic;
signal dlx_tlx_link_up_qq : std_ulogic;
attribute keep : string;
attribute mark_debug : string;
attribute mark_debug of tlx_dlx_flit_q : signal is "true";
attribute mark_debug of tlx_dlx_flit_valid_q : signal is "true";
attribute keep of tlx_dlx_flit_q : signal is "true";
attribute keep of tlx_dlx_flit_valid_q : signal is "true";
begin
dlx_tlx_flit_valid <= dlx_tlx_flit_valid_qq;
dlx_tlx_flit <= dlx_tlx_flit_qq;
dlx_tlx_flit_crc_err <= dlx_tlx_flit_crc_err_qq;
dlx_tlx_link_up <= dlx_tlx_link_up_qq;
process (opt_gckn)
begin
if opt_gckn'event and opt_gckn = '1' then
dlx_tlx_flit_valid_q <= i_dlx_tlx_flit_valid;
dlx_tlx_flit_q <= i_dlx_tlx_flit;
dlx_tlx_flit_crc_err_q <= i_dlx_tlx_flit_crc_err;
dlx_tlx_link_up_q <= i_dlx_tlx_link_up;
dlx_tlx_flit_valid_qq <= dlx_tlx_flit_valid_q;
dlx_tlx_flit_qq <= dlx_tlx_flit_q;
dlx_tlx_flit_crc_err_qq <= dlx_tlx_flit_crc_err_q;
dlx_tlx_link_up_qq <= dlx_tlx_link_up_q;
tlx_dlx_flit_q <= tlx_dlx_flit;
tlx_dlx_flit_valid_q <= tlx_dlx_flit_valid;
end if;
end process;
end ocx_dlx_tlx_stage;
|
<reponame>dat4087/System-Designers-Guide-to-VHDL-AMS
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
--
-- This model is a component of the Mentor Graphics VHDL-AMS educational open
-- source model library, and is covered by this license agreement. This model,
-- including any updates, modifications, revisions, copies, and documentation
-- are copyrighted works of Mentor Graphics. USE OF THIS MODEL INDICATES YOUR
-- COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH
-- IN THIS LICENSE AGREEMENT. Mentor Graphics grants you a non-exclusive
-- license to use, reproduce, modify and distribute this model, provided that:
-- (a) no fee or other consideration is charged for any distribution except
-- compilations distributed in accordance with Section (d) of this license
-- agreement; (b) the comment text embedded in this model is included verbatim
-- in each copy of this model made or distributed by you, whether or not such
-- version is modified; (c) any modified version must include a conspicuous
-- notice that this model has been modified and the date of modification; and
-- (d) any compilations sold by you that include this model must include a
-- conspicuous notice that this model is available from Mentor Graphics in its
-- original form at no charge.
--
-- THIS MODEL IS LICENSED TO YOU "AS IS" AND WITH NO WARRANTIES, EXPRESS OR
-- IMPLIED. MENTOR GRAPHICS SPECIFICALLY DISCLAIMS ALL IMPLIED WARRANTIES OF
-- MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. MENTOR GRAPHICS SHALL
-- HAVE NO RESPONSIBILITY FOR ANY DAMAGES WHATSOEVER.
-------------------------------------------------------------------------------
-- File : DC_Motor.vhd
-- Author : Mentor Graphics
-- Created : 2001/06/16
-- Last update: 2002/05/21
-------------------------------------------------------------------------------
-- Description: Basic DC Motor
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2001/06/16 1.0 Mentor Graphics Created
-------------------------------------------------------------------------------
-- Use proposed IEEE natures and packages
library IEEE_proposed;
use IEEE_proposed.mechanical_systems.all;
use IEEE_proposed.electrical_systems.all;
entity DC_Motor is
generic (
r_wind : resistance; -- Motor winding resistance [Ohm]
kt : real; -- Torque coefficient [N*m/Amp]
l : inductance; -- Winding inductance [Henrys]
d : real; -- Damping coefficient [N*m/(rad/sec)]
j : mmoment_i); -- Moment of inertia [kg*meter**2]
port (terminal p1, p2 : electrical;
terminal shaft_rotv : rotational_v);
end entity DC_Motor;
-------------------------------------------------------------------------------
-- Basic Architecture
-- Motor equations: V = Kt*W + I*Rwind + L*dI/dt
-- T = -Kt*I + D*W + J*dW/dt
-------------------------------------------------------------------------------
architecture basic of DC_Motor is
quantity v across i through p1 to p2;
quantity w across torq through shaft_rotv to rotational_v_ref;
begin
torq == -1.0*kt*i + d*w + j*w'dot;
v == kt*w + i*r_wind + l*i'dot;
end architecture basic;
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
-------------------------------------------------------------------------------
-- Copyright Mentor Graphics Corporation 2001
-- Confidential Information Provided Under License Agreement for Internal Use Only
-- Constant Voltage Source (Includes Frequency Domain settings)
LIBRARY IEEE;
USE IEEE.MATH_REAL.ALL;
-- Use proposed IEEE natures and packages
LIBRARY IEEE_proposed;
USE IEEE_proposed.ELECTRICAL_SYSTEMS.ALL;
ENTITY v_constant IS
-- Initialize parameters
GENERIC (
level : VOLTAGE; -- Constant voltage value (V)
ac_mag : VOLTAGE := 1.0; -- AC magnitude (V)
ac_phase : real := 0.0); -- AC phase (degrees)
-- Define ports as electrical terminals
PORT (
TERMINAL pos, neg : ELECTRICAL);
END ENTITY v_constant;
-- Ideal Architecture (I = constant)
ARCHITECTURE ideal OF v_constant IS
-- Declare Branch Quantities
QUANTITY v ACROSS i THROUGH pos TO neg;
-- Declare quantity in frequency domain for AC analysis
QUANTITY ac_spec : real SPECTRUM ac_mag, math_2_pi*ac_phase/360.0;
BEGIN
IF DOMAIN = QUIESCENT_DOMAIN or DOMAIN = TIME_DOMAIN USE
v == level;
ELSE
v == ac_spec; -- used for Frequency (AC) analysis
END USE;
END ARCHITECTURE ideal;
--
-- C:\Rehan\Cs5\design_definition\hdl\vhdl\switch_dig_log.vhd
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.math_real.all;
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
entity switch_dig_log is
generic
(
trans_time : real := 1.0e-9;
r_closed : resistance := 1.0e-3;
r_open : resistance := 1.0e6
);
port
(
terminal p1 : electrical ;
sw_state : in std_logic ;
terminal p2 : electrical
);
begin
end switch_dig_log ;
-----------------------------------------------------------------------------------------
architecture linear of switch_dig_log is
signal r_sig : resistance := r_open; -- create internal signal for CreateState process
quantity v across i through p1 to p2;
quantity r : resistance;
begin
-- purpose: Detect Switch state and assign resistance value to r_sig
-- type : combinational
-- inputs : sw_state
-- outputs: r_sig
DetectState: process (sw_state)
begin -- process DetectState
if (sw_state'event and sw_state = '0') then
r_sig <= r_open;
elsif (sw_state'event and sw_state = '1') then
r_sig <= r_closed;
end if;
end process DetectState;
-- Characteristic equations
r == r_sig'ramp(trans_time, trans_time);
v == r*i;
end architecture linear;
-------------------------------------------------------------------------------------------
architecture log of switch_dig_log is
constant log10_r_open : real := log10(r_open);
constant log10_r_closed : real := log10(r_closed);
signal log10_r_sig : resistance := log10_r_open; -- create internal signal for CreateState process
quantity v across i through p1 to p2;
quantity r : resistance;
quantity log10_r : real;
begin
-- purpose: Detect Switch state and assign resistance value to r_sig
-- type : combinational
-- inputs : sw_state
-- outputs: r_sig
DetectState: process (sw_state)
begin -- process DetectState
if (sw_state'event and sw_state = '0') then
log10_r_sig <= log10_r_open;
elsif (sw_state'event and sw_state = '1') then
log10_r_sig <= log10_r_closed;
end if;
end process DetectState;
-- Characteristic equations
log10_r == log10_r_sig'ramp(trans_time, trans_time);
r == 10**log10_r;
v == r*i;
end architecture log;
--
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
--
-- This model is a component of the Mentor Graphics VHDL-AMS educational open
-- source model library, and is covered by this license agreement. This model,
-- including any updates, modifications, revisions, copies, and documentation
-- are copyrighted works of Mentor Graphics. USE OF THIS MODEL INDICATES YOUR
-- COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH
-- IN THIS LICENSE AGREEMENT. Mentor Graphics grants you a non-exclusive
-- license to use, reproduce, modify and distribute this model, provided that:
-- (a) no fee or other consideration is charged for any distribution except
-- compilations distributed in accordance with Section (d) of this license
-- agreement; (b) the comment text embedded in this model is included verbatim
-- in each copy of this model made or distributed by you, whether or not such
-- version is modified; (c) any modified version must include a conspicuous
-- notice that this model has been modified and the date of modification; and
-- (d) any compilations sold by you that include this model must include a
-- conspicuous notice that this model is available from Mentor Graphics in its
-- original form at no charge.
--
-- THIS MODEL IS LICENSED TO YOU "AS IS" AND WITH NO WARRANTIES, EXPRESS OR
-- IMPLIED. MENTOR GRAPHICS SPECIFICALLY DISCLAIMS ALL IMPLIED WARRANTIES OF
-- MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. MENTOR GRAPHICS SHALL
-- HAVE NO RESPONSIBILITY FOR ANY DAMAGES WHATSOEVER.
-------------------------------------------------------------------------------
-- File : opamp.vhd
-- Author : <NAME>
-- Created : 2001/06/16
-- Last update: 2001/06/16
-------------------------------------------------------------------------------
-- Description: 3-pin OpAmp model with behavioral architecture
-- Uses Q'LTF function to define open-loop response
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2001/06/16 1.0 Mentor Graphics Created
-------------------------------------------------------------------------------
library IEEE;
use IEEE.math_real.all;
-- Use proposed IEEE natures and packages
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
entity opamp is
-- Initialize parameters
generic (rin : resistance := 1.0e6; -- Input resistance [Ohms]
rout : resistance := 100.0; -- Output resistance (Ohms]
avol : real := 100.0e3; -- Open loop gain
f_0dB : real := 1.0e6 -- Unity Gain Frequency [Hz]
);
-- Define ports as electrical terminals
port (
terminal in_pos, in_neg, output : electrical);
end entity opamp;
-------------------------------------------------------------------------------
-- Basic Architecture
-- Characteristics modeled:
-- 1. Open loop gain
-- 2. Frequency characteristics (single pole response)
-- 3. Input and output resistance
-- Uses Q'Ltf function to create open loop gain and roll off
-------------------------------------------------------------------------------
architecture basic of opamp is
-- Declare constants
constant f_3db : real := f_0db / avol; -- -3dB frequency
constant w_3dB : real := math_2_pi*f_3dB; -- -3dB freq in radians
-- Numerator and denominator for Q'LTF function
constant num : real_vector := (0 => avol);
constant den : real_vector := (1.0, 1.0/w_3dB);
-- Declare input and output quantities
quantity v_in across i_in through in_pos to in_neg;
quantity v_out across i_out through output;
begin -- ARCHITECTURE basic
i_in == v_in / rin; -- input current
v_out == v_in'ltf(num, den) + i_out*rout; -- output voltage
end architecture basic;
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
-------------------------------------------------------------------------------
-- Copyright Mentor Graphics Corporation 2001
-- Confidential Information Provided Under License Agreement for Internal Use Only
-- Electrical Resistor Model
-- Use proposed IEEE natures and packages
LIBRARY IEEE_proposed;
USE IEEE_proposed.ELECTRICAL_SYSTEMS.ALL;
ENTITY resistor IS
-- Initialize parameters
GENERIC (
res : RESISTANCE); -- resistance (no initial value)
-- Define ports as electrical terminals
PORT (
TERMINAL p1, p2 : ELECTRICAL);
END ENTITY resistor;
-- Ideal Architecture (V = I*R)
ARCHITECTURE ideal OF resistor IS
-- Declare Branch Quantities
QUANTITY v ACROSS i THROUGH p1 TO p2;
BEGIN
-- Characteristic equations
v == i*res;
END ARCHITECTURE ideal;
--
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
--
-- This model is a component of the Mentor Graphics VHDL-AMS educational open
-- source model library, and is covered by this license agreement. This model,
-- including any updates, modifications, revisions, copies, and documentation
-- are copyrighted works of Mentor Graphics. USE OF THIS MODEL INDICATES YOUR
-- COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH
-- IN THIS LICENSE AGREEMENT. Mentor Graphics grants you a non-exclusive
-- license to use, reproduce, modify and distribute this model, provided that:
-- (a) no fee or other consideration is charged for any distribution except
-- compilations distributed in accordance with Section (d) of this license
-- agreement; (b) the comment text embedded in this model is included verbatim
-- in each copy of this model made or distributed by you, whether or not such
-- version is modified; (c) any modified version must include a conspicuous
-- notice that this model has been modified and the date of modification; and
-- (d) any compilations sold by you that include this model must include a
-- conspicuous notice that this model is available from Mentor Graphics in its
-- original form at no charge.
--
-- THIS MODEL IS LICENSED TO YOU "AS IS" AND WITH NO WARRANTIES, EXPRESS OR
-- IMPLIED. MENTOR GRAPHICS SPECIFICALLY DISCLAIMS ALL IMPLIED WARRANTIES OF
-- MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. MENTOR GRAPHICS SHALL
-- HAVE NO RESPONSIBILITY FOR ANY DAMAGES WHATSOEVER.
-------------------------------------------------------------------------------
-- File : comparator_d.vhd
-- Author : Mentor Graphics
-- Created : 2001/08/03
-- Last update: 2001/08/03
-------------------------------------------------------------------------------
-- Description: Voltage comparator with digital output
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2001/08/03 1.0 Mentor Graphics Created
-------------------------------------------------------------------------------
-- Use IEEE natures and packages
library IEEE;
use ieee.std_logic_1164.all;
-- Use proposed IEEE natures and packages
library IEEE_proposed;
use IEEE_proposed.ELECTRICAL_SYSTEMS.all;
use IEEE_proposed.ENERGY_SYSTEMS.all;
entity comparator_d is
port (
terminal in_pos : electrical;
terminal in_neg : electrical;
signal output : out std_logic := '1' -- Digital output
);
end comparator_d;
-------------------------------------------------------------------------------
-- Behavioral architecture
-------------------------------------------------------------------------------
architecture behavioral of comparator_d is
quantity Vin across in_pos;
quantity Vref across in_neg;
begin -- behavioral
-- purpose: Detect threshold crossing and assign event on output
-- type : combinational
-- inputs : vin'above(thres)
-- outputs: pulse_signal
process (Vin'above(Vref)) is
begin -- PROCESS
if Vin'above(Vref) then
output <= '1' after 1us;
else
output <= '0' after 1us;
end if;
end process;
end behavioral;
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
--
-- This model is a component of the Mentor Graphics VHDL-AMS educational open
-- source model library, and is covered by this license agreement. This model,
-- including any updates, modifications, revisions, copies, and documentation
-- are copyrighted works of Mentor Graphics. USE OF THIS MODEL INDICATES YOUR
-- COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH
-- IN THIS LICENSE AGREEMENT. Mentor Graphics grants you a non-exclusive
-- license to use, reproduce, modify and distribute this model, provided that:
-- (a) no fee or other consideration is charged for any distribution except
-- compilations distributed in accordance with Section (d) of this license
-- agreement; (b) the comment text embedded in this model is included verbatim
-- in each copy of this model made or distributed by you, whether or not such
-- version is modified; (c) any modified version must include a conspicuous
-- notice that this model has been modified and the date of modification; and
-- (d) any compilations sold by you that include this model must include a
-- conspicuous notice that this model is available from Mentor Graphics in its
-- original form at no charge.
--
-- THIS MODEL IS LICENSED TO YOU "AS IS" AND WITH NO WARRANTIES, EXPRESS OR
-- IMPLIED. MENTOR GRAPHICS SPECIFICALLY DISCLAIMS ALL IMPLIED WARRANTIES OF
-- MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. MENTOR GRAPHICS SHALL
-- HAVE NO RESPONSIBILITY FOR ANY DAMAGES WHATSOEVER.
-------------------------------------------------------------------------------
-- File : v_pulse.vhd
-- Author : <NAME>
-- Created : 2001/06/16
-- Last update: 2001/07/09
-------------------------------------------------------------------------------
-- Description: Voltage Pulse Source
-- Includes Frequency Domain settings
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2001/06/16 1.0 Mentor Graphics Created
-- 2001/07/09 1.1 Mentor Graphics Changed input parameters to type
-- time. Uses time2real function.
-- Pulsewidth no longer includes
-- rise and fall times.
-------------------------------------------------------------------------------
library IEEE;
use IEEE.MATH_REAL.all;
-- Use proposed IEEE natures and packages
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
entity v_pulse is
generic (
initial : voltage := 0.0; -- initial value [Volts]
pulse : voltage; -- pulsed value [Volts]
ti2p : time := 1ns; -- initial to pulse [Sec]
tp2i : time := 1ns; -- pulse to initial [Sec]
delay : time := 0ms; -- delay time [Sec]
width : time; -- duration of pulse [Sec]
period : time; -- period [Sec]
ac_mag : voltage := 1.0; -- AC magnitude [Volts]
ac_phase : real := 0.0); -- AC phase [Degrees]
port (
terminal pos, neg : electrical);
end entity v_pulse;
-------------------------------------------------------------------------------
-- Ideal Architecture
-------------------------------------------------------------------------------
architecture ideal of v_pulse is
-- Declare Through and Across Branch Quantities
quantity v across i through pos to neg;
-- Declare quantity in frequency domain for AC analysis
quantity ac_spec : real spectrum ac_mag, math_2_pi*ac_phase/360.0;
-- Signal used in CreateEvent process below
signal pulse_signal : voltage := initial;
-- Convert ti2p and tp2i generics to type REAL (needed for 'RAMP attribute)
-- Note: these lines gave an error during simulation. Had to use a
-- function call instead.
-- constant ri2p : real := time'pos(ti2p) * 1.0e-15;
-- constant rp2i : real := time'pos(tp2i) * 1.0e-15;
-- Function to convert numbers of type TIME to type REAL
function time2real(tt : time) return real is
begin
return time'pos(tt) * 1.0e-15;
end time2real;
-- Convert ti2p and tp2i generics to type REAL (needed for 'RAMP attribute)
constant ri2p : real := time2real(ti2p);
constant rp2i : real := time2real(tp2i);
begin
if domain = quiescent_domain or domain = time_domain use
v == pulse_signal'ramp(ri2p, rp2i); -- create rise and fall transitions
else
v == ac_spec; -- used for Frequency (AC) analysis
end use;
-- purpose: Create events to define pulse shape
-- type : combinational
-- inputs :
-- outputs: pulse_signal
CreateEvent : process
begin
wait for delay;
loop
pulse_signal <= pulse;
wait for (width + ti2p);
pulse_signal <= initial;
wait for (period - width - ti2p);
end loop;
end process CreateEvent;
end architecture ideal;
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
use IEEE_proposed.mechanical_systems.all;
entity pwm_mac is
port(
terminal inp : electrical;
terminal inm : electrical;
dig_out : out std_logic
);
end pwm_mac;
architecture pwm_mac of pwm_mac is
-- Component declarations
-- Signal declarations
terminal cmp_in : electrical;
terminal plse_in : electrical;
terminal XSIG010002 : electrical;
terminal XSIG010003 : electrical;
begin
-- Signal assignments
-- Component instances
U1 : entity work.opamp(basic)
port map(
in_neg => XSIG010002,
in_pos => inm,
output => cmp_in
);
R1 : entity work.resistor(ideal)
generic map(
res => 10.0e3
)
port map(
p1 => XSIG010002,
p2 => cmp_in
);
v2 : entity work.v_constant(ideal)
generic map(
level => 0.0
)
port map(
pos => XSIG010003,
neg => ELECTRICAL_REF
);
R2 : entity work.resistor(ideal)
generic map(
res => 10.0e3
)
port map(
p1 => plse_in,
p2 => XSIG010002
);
R3 : entity work.resistor(ideal)
generic map(
res => 10.0e3
)
port map(
p1 => inp,
p2 => XSIG010002
);
XCMP4 : entity work.comparator_d(behavioral)
port map(
output => dig_out,
in_pos => XSIG010003,
in_neg => cmp_in
);
v9 : entity work.v_pulse(ideal)
generic map(
initial => -4.7,
pulse => 4.7,
ti2p => 200 us,
tp2i => 200 us,
delay => 1 us,
width => 1 us,
period => 405 us
)
port map(
pos => plse_in,
neg => ELECTRICAL_REF
);
end pwm_mac;
--
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
--
-- This model is a component of the Mentor Graphics VHDL-AMS educational open
-- source model library, and is covered by this license agreement. This model,
-- including any updates, modifications, revisions, copies, and documentation
-- are copyrighted works of Mentor Graphics. USE OF THIS MODEL INDICATES YOUR
-- COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH
-- IN THIS LICENSE AGREEMENT. Mentor Graphics grants you a non-exclusive
-- license to use, reproduce, modify and distribute this model, provided that:
-- (a) no fee or other consideration is charged for any distribution except
-- compilations distributed in accordance with Section (d) of this license
-- agreement; (b) the comment text embedded in this model is included verbatim
-- in each copy of this model made or distributed by you, whether or not such
-- version is modified; (c) any modified version must include a conspicuous
-- notice that this model has been modified and the date of modification; and
-- (d) any compilations sold by you that include this model must include a
-- conspicuous notice that this model is available from Mentor Graphics in its
-- original form at no charge.
--
-- THIS MODEL IS LICENSED TO YOU "AS IS" AND WITH NO WARRANTIES, EXPRESS OR
-- IMPLIED. MENTOR GRAPHICS SPECIFICALLY DISCLAIMS ALL IMPLIED WARRANTIES OF
-- MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. MENTOR GRAPHICS SHALL
-- HAVE NO RESPONSIBILITY FOR ANY DAMAGES WHATSOEVER.
-------------------------------------------------------------------------------
-- File : prop_pwl.vhd
-- Author : Mentor Graphics
-- Created : 2001/06/16
-- Last update: 2001/06/16
-------------------------------------------------------------------------------
-- Description: Propeller Load (Rotational_V domain)
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2001/06/16 1.0 Mentor Graphics Created
-------------------------------------------------------------------------------
library ieee;
use ieee.math_real.all;
package pwl_functions is
function pwl_dim1_extrap (x : in real; xdata, ydata : in real_vector )
return real;
function interpolate (x,y2,y1,x2,x1 : in real)
return real;
function extrapolate (x,y2,y1,x2,x1 : in real)
return real;
end package pwl_functions;
package body pwl_functions is
function interpolate (x,y2,y1,x2,x1 : in real)
return real is
variable m, yvalue : real;
begin
assert (x1 /= x2)
report "interpolate: x1 cannot be equal to x2"
severity error;
assert (x >= x1) and (x <= x2)
report "interpolate: x must be between x1 and x2, inclusively "
severity error;
m := (y2 - y1)/(x2 - x1);
yvalue := y1 + m*(x - x1);
return yvalue;
end function interpolate;
function extrapolate (x,y2,y1,x2,x1 : in real)
return real is
variable m, yvalue : real;
begin
assert (x1 /= x2)
report "extrapolate: x1 cannot be equal to x2"
severity error;
assert (x <= x1) or (x >= x2)
report "extrapolate: x is within x1, x2 bounds; interpolation will be performed"
severity warning;
m := (y2 - y1)/(x2 - x1);
yvalue := y1 + m*(x - x1);
return yvalue;
end function extrapolate;
-- Created a new pwl_dim1_extrap function that returns extrapolated yvalue for "out-of-range" x value.
function pwl_dim1_extrap (x : in real; xdata, ydata : in real_vector )
return real is
variable xvalue, yvalue, m : real;
variable start, fin, mid: integer;
begin
if x <= xdata(0) then
yvalue := extrapolate(x,ydata(1),ydata(0),xdata(1),xdata(0));
return yvalue;
end if;
if x >= xdata(xdata'right) then
yvalue := extrapolate(x,ydata(ydata'right),ydata(ydata'right-1),xdata(xdata'right),xdata(xdata'right-1));
return yvalue;
end if;
start:=0;
fin:=xdata'right;
-- I assume that the valid elements are from xdata(0) to xdata(fin), inclusive.
-- so fin==n-1 in C terms (where n is the size of the array).
while start <=fin loop
mid:=(start+fin)/2;
if xdata(mid) < x
then start:=mid+1;
else fin:=mid-1;
end if;
end loop;
if xdata(mid) > x
then mid:=mid-1;
end if;
yvalue := interpolate(x,ydata(mid+1),ydata(mid),xdata(mid+1),xdata(mid));
return yvalue;
end function pwl_dim1_extrap;
end package body pwl_functions;
library IEEE_proposed; use IEEE_proposed.mechanical_systems.all;
library ieee; use ieee.math_real.all;
use work.pwl_functions.all;
entity prop_pwl is
generic (
ydata : real_vector; -- torque data
xdata : real_vector -- velocity data
);
port (terminal shaft1 : rotational_v);
end entity prop_pwl;
architecture ideal of prop_pwl is
quantity w across torq through shaft1 to rotational_v_ref;
begin
torq == pwl_dim1_extrap(w, xdata, ydata);
end architecture ideal;
--
-------------------------------------------------------------------------------
-- Copyright (c) 2001 Mentor Graphics Corporation
--
-- This model is a component of the Mentor Graphics VHDL-AMS educational open
-- source model library, and is covered by this license agreement. This model,
-- including any updates, modifications, revisions, copies, and documentation
-- are copyrighted works of Mentor Graphics. USE OF THIS MODEL INDICATES YOUR
-- COMPLETE AND UNCONDITIONAL ACCEPTANCE OF THE TERMS AND CONDITIONS SET FORTH
-- IN THIS LICENSE AGREEMENT. Mentor Graphics grants you a non-exclusive
-- license to use, reproduce, modify and distribute this model, provided that:
-- (a) no fee or other consideration is charged for any distribution except
-- compilations distributed in accordance with Section (d) of this license
-- agreement; (b) the comment text embedded in this model is included verbatim
-- in each copy of this model made or distributed by you, whether or not such
-- version is modified; (c) any modified version must include a conspicuous
-- notice that this model has been modified and the date of modification; and
-- (d) any compilations sold by you that include this model must include a
-- conspicuous notice that this model is available from Mentor Graphics in its
-- original form at no charge.
--
-- THIS MODEL IS LICENSED TO YOU "AS IS" AND WITH NO WARRANTIES, EXPRESS OR
-- IMPLIED. MENTOR GRAPHICS SPECIFICALLY DISCLAIMS ALL IMPLIED WARRANTIES OF
-- MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE. MENTOR GRAPHICS SHALL
-- HAVE NO RESPONSIBILITY FOR ANY DAMAGES WHATSOEVER.
-------------------------------------------------------------------------------
-- File : diode_pwl.vhd
-- Author : <NAME>
-- Created : 2001/06/16
-- Last update: 2001/06/16
-------------------------------------------------------------------------------
-- Description: Diode model with ideal architecture
-- Currently no Generics due to bug in DV
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2001/06/16 1.0 Mentor Graphics Created
-------------------------------------------------------------------------------
library IEEE;
use IEEE.math_real.all;
-- Use proposed IEEE natures and packages
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
-- energy_systems package needed for Boltzmann constant (K = Joules/Kelvin)
use IEEE_proposed.energy_systems.all;
ENTITY diode_pwl IS
GENERIC (
ron : real; -- equivalent series resistance
roff : real); -- leakage resistance
PORT (
TERMINAL p, -- positive pin
m : electrical); -- minus pin
END ENTITY diode_pwl;
ARCHITECTURE simple OF diode_pwl IS
QUANTITY v across i through p TO m;
BEGIN -- simple ARCHITECTURE
if v'Above(0.0) use
i == v/ron;
elsif not v'Above(0.0) use
i == v/roff;
else
i == 0.0;
end use;
break on v'Above(0.0);
END ARCHITECTURE simple;
-- Copyright Mentor Graphics Corporation 2001
-- Confidential Information Provided Under License Agreement for Internal Use Only
-- Electrical sinusoidal voltage source (v_sine.vhd)
LIBRARY IEEE;
USE IEEE.MATH_REAL.ALL;
-- Use proposed IEEE natures and packages
LIBRARY IEEE_proposed;
USE IEEE_proposed.ELECTRICAL_SYSTEMS.ALL;
ENTITY v_sine IS
-- Initialize parameters
GENERIC (
freq : real; -- frequency, [Hertz]
amplitude : real; -- amplitude, [Volt]
phase : real := 0.0; -- initial phase, [Degree]
offset : real := 0.0; -- DC value, [Volt]
df : real := 0.0; -- damping factor, [1/second]
ac_mag : real := 1.0; -- AC magnitude, [Volt]
ac_phase : real := 0.0); -- AC phase, [Degree]
-- Define ports as electrical terminals
PORT (
TERMINAL pos, neg : ELECTRICAL);
END ENTITY v_sine;
-- Ideal Architecture
ARCHITECTURE ideal OF v_sine IS
-- Declare Branch Quantities
QUANTITY v ACROSS i THROUGH pos TO neg;
-- Declare Quantity for Phase in radians (calculated below)
QUANTITY phase_rad : real;
-- Declare Quantity in frequency domain for AC analysis
QUANTITY ac_spec : real SPECTRUM ac_mag, math_2_pi*ac_phase/360.0;
BEGIN
-- Convert phase to radians
phase_rad == math_2_pi *(freq * NOW + phase / 360.0);
IF DOMAIN = QUIESCENT_DOMAIN OR DOMAIN = TIME_DOMAIN USE
v == offset + amplitude * sin(phase_rad) * EXP(-NOW * df);
ELSE
v == ac_spec; -- used for Frequency (AC) analysis
END USE;
END ARCHITECTURE ideal;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library IEEE_proposed;
use IEEE_proposed.electrical_systems.all;
use IEEE_proposed.mechanical_systems.all;
entity tb_CS5_Prop is
end tb_CS5_Prop;
architecture TB_CS5_Prop of tb_CS5_Prop is
-- Component declarations
-- Signal declarations
terminal prop : rotational_v;
terminal prop_amp_in : electrical;
terminal prop_mtr_in : electrical;
terminal prop_pwr : electrical;
signal pwm_out : std_logic;
begin
-- Signal assignments
-- Component instances
motor2 : entity work.DC_Motor(basic)
generic map(
kt => 30.1e-3,
l => 40.0e-6,
d => 5.63e-12,
j => 315.0e-6,
r_wind => 0.16
)
port map(
p1 => prop_mtr_in,
p2 => ELECTRICAL_REF,
shaft_rotv => prop
);
v4 : entity work.v_constant(ideal)
generic map(
level => 42.0
)
port map(
pos => prop_pwr,
neg => ELECTRICAL_REF
);
sw2 : entity work.switch_dig_log
port map(
sw_state => pwm_out,
p2 => prop_mtr_in,
p1 => prop_pwr
);
pwm1 : entity work.pwm_mac
port map(
inp => prop_amp_in,
dig_out => pwm_out,
inm => ELECTRICAL_REF
);
XCMP37 : entity work.prop_pwl(ideal)
generic map(
ydata => (0.233, 0.2865, 0.347, 0.4138, 0.485, 0.563, 0.645, 0.735, 0.830, 0.93, 1.08),
xdata => (471.2, 523.6, 576.0, 628.3, 680.7, 733.0, 785.4, 837.7, 890.0, 942.5, 994.8)
)
port map(
shaft1 => prop
);
D4 : entity work.diode_pwl(simple)
generic map(
ron => 0.001,
roff => 100.0e3
)
port map(
p => ELECTRICAL_REF,
m => prop_mtr_in
);
v8 : entity work.v_sine(ideal)
generic map(
freq => 1.0,
amplitude => 2.3,
phase => 0.0,
offset => 2.3
)
port map(
pos => prop_amp_in,
neg => ELECTRICAL_REF
);
end TB_CS5_Prop;
--
|
<filename>cnn/utils/conv_image.vhd
LIBRARY IEEE;
LIBRARY work;
USE IEEE.fixed_float_types.ALL;
USE IEEE.fixed_pkg.ALL;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE IEEE.std_logic_unsigned.ALL;
ENTITY convolut_image IS
GENERIC (FILTER_SIZE : INTEGER := 3;IMG_SIZE : INTEGER := 5);
PORT(
IMG : IN STD_LOGIC_VECTOR(IMG_SIZE*IMG_SIZE*16-1 DOWNTO 0);
FILTER1 : IN STD_LOGIC_VECTOR(FILTER_SIZE*FILTER_SIZE*16-1 DOWNTO 0);
convoluted_img : OUT STD_LOGIC_VECTOR((IMG_SIZE-FILTER_SIZE+1)*(IMG_SIZE-FILTER_SIZE+1)*16-1 DOWNTO 0);
end_conv :OUT STD_LOGIC;
clk,strat_signal,rst:IN STD_LOGIC
);
END ENTITY;
ARCHITECTURE conv_image_arch OF convolut_image IS
COMPONENT conv_wimdow_1 IS
GENERIC (FILTER_SIZE : INTEGER);
PORT(
WINDOW : IN STD_LOGIC_VECTOR(FILTER_SIZE*FILTER_SIZE*16-1 DOWNTO 0);
FILTER : IN STD_LOGIC_VECTOR(FILTER_SIZE*FILTER_SIZE*16-1 DOWNTO 0);
PIXEL_OUT : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
end_conv :OUT STD_LOGIC;
clk,strat_signal,rst:IN STD_LOGIC
);
END COMPONENT;
COMPONENT extract_window IS
GENERIC(FILTER_SIZE : INTEGER ;IMG_SIZE : INTEGER);
PORT(
IMG : IN STD_LOGIC_VECTOR(IMG_SIZE*IMG_SIZE*16-1 DOWNTO 0);
IMG_SIZE_in:IN INTEGER;
FILTER_SIZE_in:IN INTEGER;
rst:IN STD_LOGIC;
OFFSET:IN INTEGER;
LAYER : OUT STD_LOGIC_VECTOR(FILTER_SIZE*FILTER_SIZE*16-1 DOWNTO 0)
);
END COMPONENT;
TYPE conv_type IS ARRAY(0 TO (IMG_SIZE-FILTER_SIZE+1)*(IMG_SIZE-FILTER_SIZE+1)-1)OF STD_LOGIC_VECTOR(FILTER_SIZE*FILTER_SIZE*16-1 DOWNTO 0);
SIGNAL WINDOW : conv_type;
SIGNAL y: STD_LOGIC_VECTOR((IMG_SIZE-FILTER_SIZE+1)*(IMG_SIZE-FILTER_SIZE+1)*16-1 DOWNTO 0);
TYPE OFFSSET_type IS ARRAY(0 TO (IMG_SIZE-FILTER_SIZE+1)*(IMG_SIZE-FILTER_SIZE+1)-1) OF unsigned(9 DOWNTO 0);
SIGNAL OFFSSET : OFFSSET_type ;
BEGIN
OFFSSET(0)<=(OTHERS =>'0');
loop0: FOR i IN 1 TO (IMG_SIZE-FILTER_SIZE+1)*(IMG_SIZE-FILTER_SIZE+1)-1 GENERATE
OFFSSET(i) <= OFFSSET(i-1)+to_unsigned(FILTER_SIZE,10) when ( (to_integer(OFFSSET(i-1))+FILTER_SIZE )mod IMG_SIZE)=0 ELSE
OFFSSET(i-1)+"0000000001" ;
END GENERATE;
loop1: FOR i IN 0 TO (IMG_SIZE-FILTER_SIZE+1)*(IMG_SIZE-FILTER_SIZE+1)-1 GENERATE
fx0:extract_window GENERIC MAP (FILTER_SIZE,IMG_SIZE)PORT MAP(IMG,IMG_SIZE,FILTER_SIZE,rst,to_integer(OFFSSET(i)),WINDOW(i));
fx1:conv_wimdow_1 GENERIC MAP (FILTER_SIZE) PORT MAP(WINDOW(i),
FILTER1,convoluted_img(i*16+15 DOWNTO i*16),end_conv,clk,strat_signal,rst);
END GENERATE;
END conv_image_arch;
|
<filename>src/Memory.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.Common.all;
entity Memory is
port (
-- Interface --
clk: in std_logic;
rst: in std_logic;
en: in std_logic;
rw: in RwType;
length: in LenType;
addr: in Int32;
data_in: in Int32;
data_out: out Int32;
completed: out std_logic;
int_com: out std_logic;
-- Import --
ram1_en: out std_logic;
ram1_oe: out std_logic;
ram1_rw: out std_logic;
ram1_data: inout Int16;
ram1_addr: out Int18;
ram2_en: out std_logic;
ram2_oe: out std_logic;
ram2_rw: out std_logic;
ram2_data: inout Int16;
ram2_addr: out Int18;
com_ready: in std_logic;
com_rdn: out std_logic;
com_wrn: out std_logic;
com_tbre: in std_logic;
com_tsre: in std_logic;
flash_byte: out std_logic;
flash_vpen: out std_logic;
flash_ce: out std_logic;
flash_oe: out std_logic;
flash_we: out std_logic;
flash_rp: out std_logic;
flash_data: inout Int16;
flash_addr: out Int23;
-- Debug --
seg7_r_num: out Int4
);
end Memory;
architecture Behavioral of Memory is
component Rom
port (
addr: in Int10;
data: out Int32
);
end component;
type StateType is (
INITIAL,
STOPPED,
RAM_READ,
RAM_WRITE,
RAM_WRITE_BYTE_1,
RAM_WRITE_BYTE_2,
COM_READ,
COM_WRITE,
COM_WRITE_1,
FLASH_READ,
FLASH_READ_1,
FLASH_WRITE
);
procedure print_state(
signal state: in StateType;
signal seg7_r_num: out Int4) is
begin
case state is
when INITIAL =>
seg7_r_num <= std_logic_vector(to_signed(0, 4));
when STOPPED =>
seg7_r_num <= std_logic_vector(to_signed(1, 4));
when RAM_READ =>
seg7_r_num <= std_logic_vector(to_signed(2, 4));
when RAM_WRITE =>
seg7_r_num <= std_logic_vector(to_signed(3, 4));
when RAM_WRITE_BYTE_1 =>
seg7_r_num <= std_logic_vector(to_signed(4, 4));
when RAM_WRITE_BYTE_2 =>
seg7_r_num <= std_logic_vector(to_signed(5, 4));
when COM_READ =>
seg7_r_num <= std_logic_vector(to_signed(6, 4));
when COM_WRITE =>
seg7_r_num <= std_logic_vector(to_signed(7, 4));
when FLASH_READ =>
seg7_r_num <= std_logic_vector(to_signed(8, 4));
when FLASH_WRITE =>
seg7_r_num <= std_logic_vector(to_signed(9, 4));
when others =>
seg7_r_num <= std_logic_vector(to_signed(15, 4));
end case;
end;
procedure rom_read(
signal data_out: out Int32;
signal rom_data: in Int32;
signal state: inout StateType;
signal completed: out std_logic) is
begin
case state is
when INITIAL =>
data_out <= rom_data;
completed <= '1';
state <= STOPPED;
when others =>
end case;
end;
procedure ram_read(
signal length: in LenType;
signal addr: in Int32;
signal data_out: out Int32;
signal ram1_en: out std_logic;
signal ram1_oe: out std_logic;
signal ram1_rw: out std_logic;
signal ram1_data: inout Int16;
signal ram2_en: out std_logic;
signal ram2_oe: out std_logic;
signal ram2_rw: out std_logic;
signal ram2_data: inout Int16;
signal state: inout StateType;
signal completed: out std_logic) is
begin
case state is
when INITIAL =>
ram1_en <= '0';
ram2_en <= '0';
ram1_oe <= '0';
ram2_oe <= '0';
ram1_rw <= '1';
ram2_rw <= '1';
ram1_data <= Int16_Z;
ram2_data <= Int16_Z;
state <= RAM_READ;
when RAM_READ =>
if length = Lword then
data_out(15 downto 0) <= ram1_data;
data_out(31 downto 16) <= ram2_data;
elsif length = Lhalf then
data_out(31 downto 16) <= Int16_Zero;
if addr(1) = '0' then
data_out(15 downto 0) <= ram1_data;
else
data_out(15 downto 0) <= ram2_data;
end if;
elsif length = Lbyte then
data_out(31 downto 8) <= Int16_Zero & Int8_Zero;
if addr(1) = '0' then
if addr(0) = '0' then
data_out(7 downto 0) <= ram1_data(7 downto 0);
else
data_out(7 downto 0) <= ram1_data(15 downto 8);
end if;
else
if addr(0) = '0' then
data_out(7 downto 0) <= ram2_data(7 downto 0);
else
data_out(7 downto 0) <= ram2_data(15 downto 8);
end if;
end if;
end if;
completed <= '1';
state <= STOPPED;
when others =>
end case;
end;
procedure ram_write(
signal length: in LenType;
signal addr: in Int32;
signal data_in: in Int32;
signal ram1_en: out std_logic;
signal ram1_oe: out std_logic;
signal ram1_rw: out std_logic;
signal ram1_data: inout Int16;
signal ram2_en: out std_logic;
signal ram2_oe: out std_logic;
signal ram2_rw: out std_logic;
signal ram2_data: inout Int16;
signal data_byte_temp: inout Int16;
signal state: inout StateType;
signal completed: out std_logic) is
begin
case state is
when INITIAL =>
ram1_en <= '0';
ram2_en <= '0';
-- Write ram
if length = Lword then
ram1_oe <= '1';
ram2_oe <= '1';
ram1_rw <= '0';
ram2_rw <= '0';
ram1_data <= data_in(15 downto 0);
ram2_data <= data_in(31 downto 16);
state <= RAM_WRITE;
elsif length = Lhalf then
if addr(1) = '0' then
ram1_oe <= '1';
ram1_rw <= '0';
ram1_data <= data_in(15 downto 0);
else
ram2_oe <= '1';
ram2_rw <= '0';
ram2_data <= data_in(15 downto 0);
end if;
state <= RAM_WRITE;
elsif length = Lbyte then
ram1_oe <= '0';
ram2_oe <= '0';
ram1_rw <= '1';
ram2_rw <= '1';
ram1_data <= Int16_Z;
ram2_data <= Int16_Z;
state <= RAM_WRITE_BYTE_1;
end if;
when RAM_WRITE =>
ram1_rw <= '1';
ram2_rw <= '1';
completed <= '1';
state <= STOPPED;
when RAM_WRITE_BYTE_1 =>
if addr(1) = '0' then
data_byte_temp <= ram1_data;
else
data_byte_temp <= ram2_data;
end if;
state <= RAM_WRITE_BYTE_2;
when RAM_WRITE_BYTE_2 =>
if addr(1) = '0' then
ram1_oe <= '1';
ram1_rw <= '0';
if addr(0) = '0' then
ram1_data(7 downto 0) <= data_in(7 downto 0);
ram1_data(15 downto 8) <= data_byte_temp(15 downto 8);
else
ram1_data(7 downto 0) <= data_byte_temp(7 downto 0);
ram1_data(15 downto 8) <= data_in(7 downto 0);
end if;
else
ram2_oe <= '1';
ram2_rw <= '0';
if addr(0) = '0' then
ram2_data(7 downto 0) <= data_in(7 downto 0);
ram2_data(15 downto 8) <= data_byte_temp(15 downto 8);
else
ram2_data(7 downto 0) <= data_byte_temp(7 downto 0);
ram2_data(15 downto 8) <= data_in(7 downto 0);
end if;
end if;
state <= RAM_WRITE;
when others =>
end case;
end;
procedure com_status(
signal com_ready: in std_logic;
signal com_tbre: in std_logic;
signal com_tsre: in std_logic;
signal data_out: out Int32;
signal state: inout StateType;
signal completed: out std_logic) is
begin
case state is
when INITIAL =>
data_out(0) <= com_tbre and com_tsre;
data_out(1) <= com_ready;
data_out(31 downto 2) <= Int30_Zero;
completed <= '1';
state <= STOPPED;
when others =>
end case;
end;
procedure com_read(
signal com_ready: in std_logic;
signal com_rdn: out std_logic;
signal ram1_en: out std_logic;
signal ram1_oe: out std_logic;
signal com_data: inout Int8;
signal data_out: out Int32;
signal state: inout StateType;
signal completed: out std_logic) is
begin
case state is
when INITIAL =>
ram1_en <= '1';
ram1_oe <= '1';
com_rdn <= '0';
com_data <= Int8_Z;
state <= COM_READ;
when COM_READ =>
com_rdn <= '1';
data_out(7 downto 0) <= com_data;
data_out(31 downto 8) <= Int24_Zero;
completed <= '1';
state <= STOPPED;
when others =>
end case;
end;
procedure com_write(
signal com_tbre: in std_logic;
signal com_tsre: in std_logic;
signal data_in: in Int32;
signal com_wrn: out std_logic;
signal ram1_en: out std_logic;
signal ram1_oe: out std_logic;
signal com_data: inout Int8;
signal state: inout StateType;
signal completed: out std_logic) is
begin
case state is
when INITIAL =>
ram1_en <= '1';
ram1_oe <= '1';
com_data <= data_in(7 downto 0);
state <= COM_WRITE;
when COM_WRITE =>
com_wrn <= '0';
state <= COM_WRITE_1;
when COM_WRITE_1 =>
com_wrn <= '1';
completed <= '1';
state <= STOPPED;
when others =>
end case;
end;
procedure flash_read(
signal addr: in Int32;
signal data_out: out Int32;
signal flash_oe: out std_logic;
signal flash_we: out std_logic;
signal flash_data: inout Int16;
signal state: inout StateType;
signal completed: out std_logic) is
begin
case state is
when INITIAL =>
flash_oe <= '0';
flash_we <= '1';
flash_data <= Int16_Z;
state <= FLASH_READ;
when FLASH_READ =>
state <= FLASH_READ_1;
when FLASH_READ_1 =>
data_out(15 downto 0) <= flash_data;
data_out(31 downto 16) <= Int16_Zero;
completed <= '1';
state <= STOPPED;
when others =>
end case;
end;
procedure flash_write(
signal addr: in Int32;
signal data_in: in Int32;
signal flash_oe: out std_logic;
signal flash_we: out std_logic;
signal flash_data: inout Int16;
signal state: inout StateType;
signal completed: out std_logic) is
begin
case state is
when INITIAL =>
flash_oe <= '1';
flash_we <= '0';
flash_data <= data_in(15 downto 0);
state <= FLASH_WRITE;
when FLASH_WRITE =>
flash_we <= '1';
completed <= '1';
state <= STOPPED;
when others =>
end case;
end;
signal state: StateType;
signal data_byte_temp: Int16;
signal rom_addr: Int10;
signal rom_data: Int32;
begin
flash_byte <= '1';
flash_vpen <= '1';
flash_ce <= '0';
flash_rp <= '1';
flash_addr <= addr(23 downto 1);
rom_addr <= addr(11 downto 2);
ram1_addr <= addr(19 downto 2);
ram2_addr <= addr(19 downto 2);
int_com <= com_ready;
rom_instance: Rom port map (
addr => rom_addr,
data => rom_data
);
process(clk, rst)
begin
if rst = '0' then
-- Reset
ram1_en <= '1';
ram2_en <= '1';
ram1_oe <= '1';
ram2_oe <= '1';
ram1_rw <= '1';
ram2_rw <= '1';
com_rdn <= '1';
com_wrn <= '1';
completed <= '0';
state <= STOPPED;
elsif rising_edge(clk) then
print_state(state, seg7_r_num); -- Debug --
if en = '1' then
state <= INITIAL;
completed <= '0';
else
if addr(31 downto 20) = x"000" then
--- SRAM ---
if rw = R then
ram_read(length, addr, data_out, ram1_en, ram1_oe, ram1_rw, ram1_data,
ram2_en, ram2_oe, ram2_rw, ram2_data, state, completed);
else
ram_write(length, addr, data_in, ram1_en, ram1_oe, ram1_rw, ram1_data,
ram2_en, ram2_oe, ram2_rw, ram2_data, data_byte_temp, state, completed);
end if;
elsif addr(31 downto 12) = x"1FC00" then
-- ROM --
if rw = R then
rom_read(data_out, rom_data, state, completed);
end if;
elsif addr = COM_Data_Addr then
-- COM --
if rw = R then
com_read(com_ready, com_rdn, ram1_en, ram1_oe, ram1_data(7 downto 0), data_out, state, completed);
else
com_write(com_tbre, com_tsre, data_in, com_wrn, ram1_en, ram1_oe, ram1_data(7 downto 0), state, completed);
end if;
elsif addr = COM_Stat_Addr then
-- COM Status --
if rw = R then
com_status(com_ready, com_tbre, com_tsre, data_out, state, completed);
end if;
elsif addr(31 downto 24) = x"1E" then
--- Flash ---
if rw = R then
flash_read(addr, data_out, flash_oe, flash_we, flash_data, state, completed);
else
flash_write(addr, data_in, flash_oe, flash_we, flash_data, state, completed);
end if;
end if;
end if; -- en
end if; -- clk
end process;
end Behavioral;
|
<reponame>kmalhan/floating_cordic_power<filename>Hardware/FloP_Multiplier.vhd
-- <NAME>, <NAME>, <NAME>, IREECE 2015
-- Basic Description:
-- Behavioral floating point multiplier that multiplies arbitrary floating point numbers.
-- Parameters:
-- Generics:
-- expWidth: the width of the exponent field
-- fracWidth: the width of the fractional field
-- Ports:
-- num1, num2: the two numbers being multiplied
-- clk: the clock signal required by nature of this behavioral implementation
-- product: num1 * num2
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
entity FloP_Multiplier is
Generic ( expWidth : integer := 11; fracWidth : integer := 52 );
Port ( num1, num2 : in std_logic_vector(expWidth+fracWidth downto 0);
clk : in std_logic;
product : out std_logic_vector(expWidth+fracWidth downto 0));
end FloP_Multiplier;
architecture Behavioral of FloP_Multiplier is
constant expBias : integer := (2**(expWidth-1)-1);
signal signifProd : std_logic_vector(2*fracWidth+1 downto 0);
signal signifMSB : std_logic_vector(expWidth downto 0);
signal expSum : std_logic_vector(expWidth downto 0);
signal prodSign : std_logic;
begin
-- pos*pos => pos, etc.
prodSign <= num1(expWidth+fracWidth) xor num2(expWidth+fracWidth);
-- ((exp1 - bias) + (exp2 - bias)) + bias = (exp1 + exp2 - bias). signifMSB is for if 1.num1*1.num2 >= 2 => requires shifting.
signifMSB <= (0 => signifProd(2*fracWidth+1), others => '0');
expSum <= std_logic_vector(unsigned(num1(expWidth+fracWidth-1 downto fracWidth)) + unsigned(num2(expWidth+fracWidth-1 downto fracWidth)) - to_unsigned(expBias, expWidth+1) + unsigned(signifMSB));
-- (fracWidth downto 0) * (fracWidth downto 0) size multiplication produces a (2*fracWidth+1 downto 0) size vector
signifProd <= std_logic_vector(unsigned('1' & num1(fracWidth-1 downto 0)) * unsigned('1' & num2(fracWidth-1 downto 0)));
p1 : process(clk) begin
if rising_edge(clk) then
-- If num1 = 0 or num2 = 0...
if num1 = std_logic_vector(to_unsigned(0, expWidth+fracWidth+1)) or num2 = std_logic_vector(to_unsigned(0, expWidth+fracWidth+1)) then
-- Their product is 0
product <= (others => '0');
-- Otherwise...
else
-- If MSB(signifProd) = 1, then
case signifProd(2*fracWidth+1) is
-- There needs to be a bit of shifting because the exponent increased by one
when '1' => product <= (prodSign & expSum(expWidth-1 downto 0) & signifProd(2*fracWidth downto fracWidth+1));
-- Otherwise, no normalization/shifting is required
when others => product <= (prodSign & expSum(expWidth-1 downto 0) & signifProd(2*fracWidth-1 downto fracWidth));
end case;
end if;
end if;
end process;
end Behavioral;
|
-------------------------------------------------------------------------------
-- icap_statemachine.vhd - entity/architecture pair
-------------------------------------------------------------------------------
-- (c) Copyright 2010 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------
-- Filename: icap_statemachine.vhd
-- Version : v7.01a
-- Description: This module genrates the ce, we signals to ICAP
-- based on busy signal,control register & FIFO flags
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
library axi_lite_ipif_v3_0;
library lib_pkg_v1_0;
use lib_pkg_v1_0.lib_pkg.all;
use axi_lite_ipif_v3_0.ipif_pkg.all;
-------------------------------------------------------------------------------
-- -- Generics
-- ICAP_DWIDTH -- Icap Data Width;
-- C_FAMILY -- Family of FPGA
-- -- Inputs
-- Clk -- Clock
-- Rst -- Reset
-- Wrfifo_dataout -- Write fifo data read
-- Icap_dataout -- ICAP data out
-- Wrfifo_empty -- Write fifo empty
-- Wrfifo_full -- Write fifo full
-- Rdfifo_empty -- Read fifo empty
-- Rdfifo_full -- Read fifo full
-- Icap_busy -- ICAP busy
-- Rnc -- Read not configuration
-- Size -- Size of data transfer in words
-- -- Outputs
-- Wrfifo_rden -- Write fifo read enable
-- Rdfifo_wren -- Read fifo write enable
-- Icap_ce -- ICAP chip enable
-- Icap_we -- ICAP write eneble
-- Send_done -- Read done
-- Reset_cr -- Reset the control register
-- Icap_datain -- ICAP data in
-- Rdfifo_datain -- Read fifo data in
-------------------------------------------------------------------------------
entity icap_statemachine is
generic (
ICAP_DWIDTH : integer := 16;
C_MODE : integer := 0;
C_FAMILY : string := "virtex7");
port (
Clk : in std_logic;
Rst : in std_logic;
Wrfifo_dataout : in std_logic_vector(0 to ICAP_DWIDTH-1);
Icap_dataout : in std_logic_vector(0 to ICAP_DWIDTH-1);
Wrfifo_full : in std_logic;
Wrfifo_empty : in std_logic;
Rdfifo_empty : in std_logic;
Rdfifo_full : in std_logic;
Icap_busy : in std_logic;
Rnc : in std_logic_vector(0 to 1);
Abort : in std_logic;
Size : in std_logic_vector(0 to 11);
Status_read : in std_logic;
Size_counter : out std_logic_vector(0 to 11);
Wrfifo_rden : out std_logic;
Rdfifo_wren : out std_logic;
Icap_ce : out std_logic;
Icap_we : out std_logic;
Send_done : out std_logic;
Reset_cr : out std_logic;
Abort_in_progress : out std_logic;
Hang_status : out std_logic;
Icap_status : out std_logic_vector(0 to 31);
Icap_datain : out std_logic_vector(0 to ICAP_DWIDTH-1);
Rdfifo_datain : out std_logic_vector(0 to ICAP_DWIDTH-1)
);
attribute KEEP : string;
attribute KEEP of Icap_ce : signal is "TRUE";
attribute KEEP of Icap_we : signal is "TRUE";
attribute KEEP of Icap_datain : signal is "TRUE";
attribute KEEP of Icap_dataout : signal is "TRUE";
attribute KEEP of Icap_busy : signal is "TRUE";
end entity icap_statemachine;
architecture imp of icap_statemachine is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
attribute mark_debug : string;
-- icap state machine
type SM_TYPE is (ICAP_IDLE,ICAP_WRITE1,ICAP_WRITE2,ICAP_WRITE3,ICAP_WRITE4,
ICAP_WRITE5,ICAP_READ1,ICAP_ABORT0,ICAP_ABORT_HANG,ICAP_ABORT1,ICAP_ABORT2,
ICAP_ABORT3,ICAP_ABORT4,DONE);
signal icap_nstate_ns, icap_nstate_cs : SM_TYPE;
signal icap_ce_ns,icap_ce_cs,icap_ce_cs1 : std_logic;
signal icap_we_ns,icap_we_cs,icap_we_cs1 : std_logic;
signal rdfifo_wren_ns,rdfifo_wren_cs : std_logic;
signal wrfifo_rden_ns : std_logic;
signal Send_done_ns,Send_done_cs : std_logic;
signal size_ns,size_cs : std_logic_vector(0 to 11);
signal reset_cr_cs,reset_cr_ns: std_logic;
signal abort_ns,abort_cs,abort_cs2 : std_logic;
signal icap_status_i : std_logic_vector(0 to 31);
signal abort_i_ns,abort_i_cs,abort_i_cs2: std_logic;
signal icap_dataout_i : std_logic_vector(0 to ICAP_DWIDTH-1);
signal Wrfifo_empty_r,Wrfifo_empty_r1 : std_logic;
signal tmp_datain_ns,tmp_datain_cs : std_logic_vector(0 to ICAP_DWIDTH-1);
signal icap_datain_ns,icap_datain_cs, int1, int2 : std_logic_vector(0 to ICAP_DWIDTH-1);
signal stm_skip : std_logic;
signal count : std_logic_vector (2 downto 0);
signal count_enable_ns, count_enable_cs : std_logic;
signal count_reset_ns, count_reset_cs : std_logic;
signal hang_status_ns, hang_status_cs : std_logic := '0';
attribute mark_debug of icap_ce_cs : signal is "true";
attribute mark_debug of icap_we_cs: signal is "true";
attribute mark_debug of icap_datain_cs : signal is "true";
attribute mark_debug of icap_dataout_i : signal is "true";
begin
GEN_SKIP : if (C_MODE = 1) generate
begin
stm_skip <= '1';
end generate GEN_SKIP;
GEN_NOSKIP : if (C_MODE = 0) generate
begin
stm_skip <= '0';
end generate GEN_NOSKIP;
-------------------------------------------------------------------------------
-- ICAP FSM
-------------------------------------------------------------------------------
ICAP_FSM_NS : process (icap_nstate_cs,Rnc,Abort,Rdfifo_full,
Size,size_cs,Wrfifo_empty,Wrfifo_empty_r,Wrfifo_empty_r1,
Send_done_cs,Status_read,
icap_ce_cs,icap_we_cs,Icap_busy,stm_skip,
count_enable_cs, count_reset_cs, hang_status_cs, count)
begin
-- default
rdfifo_wren_ns <= '0';
wrfifo_rden_ns <= '0';
Send_done_ns <= Send_done_cs;
reset_cr_ns <= '0';
icap_ce_ns <= icap_ce_cs;
icap_we_ns <= icap_we_cs;
icap_nstate_ns <= icap_nstate_cs;
size_ns <= size_cs;
abort_ns <= '0';
abort_i_ns <= '0';
count_enable_ns <= count_enable_cs;
count_reset_ns <= count_reset_cs;
hang_status_ns <= hang_status_cs;
-- tmp_datain_ns <= tmp_datain_cs;
-- icap_datain_ns <= icap_datain_cs;
case icap_nstate_cs is
when ICAP_IDLE =>
if Status_read = '1' then
abort_ns <= '0';
count_enable_ns <= '0';
end if;
if Abort = '1' then
reset_cr_ns <= '0';
icap_ce_ns <= '0';
abort_i_ns <= '1';
icap_nstate_ns <= ICAP_ABORT0;
elsif Rnc = "01" then
if Wrfifo_empty = '0'then
icap_nstate_ns <= ICAP_WRITE1;
wrfifo_rden_ns <= '1';
Send_done_ns <= '0';
reset_cr_ns <= '0';
else
icap_nstate_ns <= ICAP_IDLE;
end if;
elsif Rnc = "10" then
if Rdfifo_full = '0'then
icap_nstate_ns <= ICAP_READ1;
Send_done_ns <= '0';
reset_cr_ns <= '0';
size_ns <= Size;
else
icap_nstate_ns <= ICAP_IDLE;
end if;
else
Send_done_ns <= '1';
reset_cr_ns <= '0';
icap_nstate_ns <= ICAP_IDLE;
end if;
count_reset_ns <= '1';
count_enable_ns <= '0';
when ICAP_WRITE1 =>
-- tmp_datain_ns <= Wrfifo_dataout;
icap_we_ns <= '0';
if Wrfifo_empty = '1' then
icap_nstate_ns <= ICAP_WRITE3;
wrfifo_rden_ns <= '0';
else
icap_nstate_ns <= ICAP_WRITE5;
wrfifo_rden_ns <= '1';
end if;
when ICAP_WRITE5 =>
icap_ce_ns <= '0';
icap_we_ns <= '0';
-- tmp_datain_ns <= Wrfifo_dataout;
-- icap_datain_ns <= tmp_datain_cs;
icap_nstate_ns <= ICAP_WRITE2;
if Wrfifo_empty = '1' then
wrfifo_rden_ns <= '0';
else
wrfifo_rden_ns <= '1';
end if;
when ICAP_WRITE2 =>
if Status_read = '1' then
abort_ns <= '0';
end if;
if Wrfifo_empty_r1 = '0' then
icap_ce_ns <= '0';
icap_we_ns <= '0';
-- tmp_datain_ns <= Wrfifo_dataout;
-- icap_datain_ns <= tmp_datain_cs;
if Abort = '1' and icap_ce_cs = '0'then
icap_nstate_ns <= ICAP_ABORT1;
icap_we_ns <= '1';
abort_i_ns <= '1';
-- elsif (Icap_busy = '0' and Wrfifo_empty_r = '0') then
elsif (Wrfifo_empty_r = '0') then
icap_nstate_ns <= ICAP_WRITE2;
wrfifo_rden_ns <= '1';
else
icap_nstate_ns <= ICAP_WRITE2;
wrfifo_rden_ns <= '0';
end if;
else
icap_nstate_ns <= DONE;
Send_done_ns <= '1';
reset_cr_ns <= '1';
wrfifo_rden_ns <= '0';
-- tmp_datain_ns <= (others => '0');
-- icap_datain_ns <= (others => '0');
icap_ce_ns <= '1';
icap_we_ns <= '0';
end if;
when ICAP_WRITE3 =>
icap_ce_ns <= '0';
icap_we_ns <= '0';
-- icap_datain_ns <= tmp_datain_cs;
if (stm_skip = '1') then -- Skipping to maintain single write on ICAP
icap_nstate_ns <= DONE; -- This is not required in actual h/w, and is more of simulation fix
elsif (Icap_busy = '0') then
icap_nstate_ns <= ICAP_WRITE4;
else
icap_nstate_ns <= ICAP_WRITE3;
end if;
when ICAP_WRITE4 =>
icap_nstate_ns <= DONE;
Send_done_ns <= '1';
reset_cr_ns <= '1';
-- tmp_datain_ns <= (others => '0');
-- icap_datain_ns <= (others => '0');
icap_ce_ns <= '1';
icap_we_ns <= '0';
when ICAP_READ1 =>
if Status_read = '1' then
abort_ns <= '0';
end if;
if Rdfifo_full = '0' then
if (size_cs > 0) then
if Abort = '1' and icap_ce_cs = '0'then
icap_ce_ns <= '0';
icap_we_ns <= '1';
icap_we_ns <= '0';
abort_i_ns <= '1';
icap_nstate_ns <= ICAP_ABORT1;
count_enable_ns <= '0';
hang_status_ns <= '0';
elsif Icap_busy = '0' then
if (size_cs = 1) then
icap_nstate_ns <= DONE;
icap_ce_ns <= '1';
else
icap_ce_ns <= '0';
icap_nstate_ns <= ICAP_READ1;
end if;
size_ns <= size_cs - 1;
icap_we_ns <= '1';
rdfifo_wren_ns <= '1';
count_enable_ns <= '0';
hang_status_ns <= '0';
else
icap_ce_ns <= '0';
icap_we_ns <= '1';
if (count = "111") then
hang_status_ns <= '1';
icap_nstate_ns <= ICAP_ABORT_HANG;
else
icap_nstate_ns <= ICAP_READ1;
hang_status_ns <= '0';
end if;
size_ns <= size_cs;
rdfifo_wren_ns <= '0';
count_enable_ns <= '1'; -- This is used to increment timeout counter
count_reset_ns <= '0';
end if;
else
icap_ce_ns <= '1';
icap_we_ns <= '1';
rdfifo_wren_ns <= '0';
Send_done_ns <= '1';
reset_cr_ns <= '1';
count_enable_ns <= '0';
icap_nstate_ns <= DONE;
end if;
else
rdfifo_wren_ns <= '0';
icap_ce_ns <= '0'; -- Not aborting, only gating
icap_we_ns <= '1';
count_enable_ns <= '0';
icap_nstate_ns <= ICAP_READ1;
end if;
when ICAP_ABORT0 =>
abort_i_ns <= '1';
icap_we_ns <= '0';
if Icap_busy = '1' and icap_ce_cs = '0' then
icap_nstate_ns <= ICAP_ABORT2;
else
icap_nstate_ns <= ICAP_ABORT0;
end if;
when ICAP_ABORT_HANG => -- Internally de-locking the ICAP
abort_i_ns <= '0';
abort_ns <= '0';
icap_ce_ns <= '1';
icap_we_ns <= '1';
count_reset_ns <= '1';
count_enable_ns <= '0';
hang_status_ns <= '1';
icap_nstate_ns <= DONE;
when ICAP_ABORT1 =>
abort_i_ns <= '1';
-- if Icap_busy = '1' and icap_ce_cs = '0' then
icap_nstate_ns <= ICAP_ABORT2;
-- else
-- icap_nstate_ns <= ICAP_ABORT1;
-- end if;
when ICAP_ABORT2 =>
abort_i_ns <= '1';
abort_ns <= '1';
icap_nstate_ns <= ICAP_ABORT3;
when ICAP_ABORT3 =>
abort_i_ns <= '1';
abort_ns <= '1';
icap_nstate_ns <= ICAP_ABORT4;
when ICAP_ABORT4 =>
abort_i_ns <= '0'; -- Asserted for 4 clocks
abort_ns <= '1';
icap_nstate_ns <= DONE;
when DONE =>
if Status_read = '1' then
abort_ns <= '0';
end if;
abort_i_ns <= '0'; -- Asserted for 4 clocks
icap_ce_ns <= '1';
icap_we_ns <= '1';
-- tmp_datain_ns <= (others => '0');
-- icap_datain_ns <= (others => '0');
Send_done_ns <= '1';
reset_cr_ns <= '1';
count_reset_ns <= '0';
count_enable_ns <= '0';
if Rnc = "00" and Abort = '0' then
icap_nstate_ns <= ICAP_IDLE;
else
icap_nstate_ns <= DONE;
end if;
-- This part of the code never executes, because all of the
-- combinations are used above. "When others =>" added to
-- allow the synthesis tool to optimize the design well
-- coverage off
when others =>
icap_nstate_ns <= ICAP_IDLE;
-- coverage on
end case;
end process ICAP_FSM_NS;
-------------------------------------------------------------------------------
-- ICAP Timeout reg process
-------------------------------------------------------------------------------
ICAP_TIMEOUT_REG: process (Clk) is
begin
if (Clk'event and Clk = '1') then
if (Rst = '1') then
count <= (others => '0');
elsif (count_reset_cs = '1') then
count <= (others => '0');
elsif (count_enable_cs = '1' and count < "111" ) then
count <= count + '1';
end if;
end if;
end process ICAP_TIMEOUT_REG;
-------------------------------------------------------------------------------
-- ICAP FSM reg process
-------------------------------------------------------------------------------
ICAP_FSM_REG: process (Clk) is
begin
if (Clk'event and Clk = '1') then
if (Rst = '1') then
icap_nstate_cs <= ICAP_IDLE;
Send_done_cs <= '1';
icap_ce_cs <= '1';
icap_we_cs <= '1';
icap_ce_cs1 <= '1';
icap_we_cs1 <= '1';
size_cs <= (others =>'0');
-- tmp_datain_cs <= (others => '0');
icap_datain_cs <= (others =>'0');
count_enable_cs <= '0';
count_reset_cs <= '0';
hang_status_cs <= '0';
int1 <= (others => '0');
int2 <= (others => '0');
else
icap_nstate_cs <= icap_nstate_ns;
Send_done_cs <= Send_done_ns;
icap_ce_cs <= icap_ce_ns;
icap_ce_cs1 <= icap_ce_cs;
icap_we_cs <= icap_we_ns;
icap_we_cs1 <= icap_we_cs;
size_cs <= size_ns;
-- tmp_datain_cs <= tmp_datain_ns;
int1 <= Wrfifo_dataout;
icap_datain_cs <= int1; --icap_datain_ns;
count_enable_cs <= count_enable_ns;
count_reset_cs <= count_reset_ns;
hang_status_cs <= hang_status_ns;
end if;
end if;
end process ICAP_FSM_REG;
Hang_status <= hang_status_cs;
ICAP_SIG_REG: process (Clk) is
begin
if (Clk'event and Clk = '1') then
abort_cs <= abort_ns;
abort_cs2 <= abort_cs;
reset_cr_cs <= reset_cr_ns;
abort_i_cs <= abort_i_ns;
abort_i_cs2 <= abort_i_cs;
Wrfifo_empty_r <= Wrfifo_empty;
Wrfifo_empty_r1 <= Wrfifo_empty_r;
rdfifo_wren_cs <= rdfifo_wren_ns;
end if;
end process ICAP_SIG_REG;
S1: Rdfifo_wren <= rdfifo_wren_cs;
S2: Wrfifo_rden <= wrfifo_rden_ns;
S3: Send_done <= Send_done_cs;
S4: Icap_ce <= icap_ce_cs;
S5: Icap_we <= icap_we_cs;
S6: Reset_cr <= reset_cr_cs;
S7: Size_counter <= size_cs;
S8: Abort_in_progress <= abort_cs2;
S9: Icap_status <= icap_status_i;
-----------------------------------------------------------------------------
-- Need to do bit swapping within each byte but not for Virtex4 in 32-bit mode
-------------------------------------------------------------------------------
SWAP_BITS: process (icap_datain_cs) is
begin -- process Swap_bit_Order
for byte in 0 to (ICAP_DWIDTH/8-1) loop
for bit in 0 to 7 loop
Icap_datain(byte*8 + (7-bit)) <= icap_datain_cs(byte*8 + bit);
-- Rdfifo_datain (byte*8 + (7-bit)) <= icap_dataout_i(byte*8 + bit);
end loop; -- Bit
end loop; -- Byte
end process SWAP_BITS;
SWAP_BITS_IN: process (icap_dataout_i) is
begin -- process Swap_bit_Order
for byte in 0 to (ICAP_DWIDTH/8-1) loop
for bit in 0 to 7 loop
-- Icap_datain(byte*8 + (7-bit)) <= icap_datain_cs(byte*8 + bit);
Rdfifo_datain (byte*8 + (7-bit)) <= icap_dataout_i(byte*8 + bit);
end loop; -- Bit
end loop; -- Byte
end process SWAP_BITS_IN;
-------------------------------------------------------------------------------
-- UPDATE_STATUS_PROCESS
-------------------------------------------------------------------------------
-- This process loads data from Icap_dataout when abort_i_cs enabled
-------------------------------------------------------------------------------
UPDATE_STATUS_PROCESS:process (Clk)
begin
if Clk'event and Clk = '1' then
if (Rst = '1') then
icap_status_i <= (others => '0');
elsif abort_i_cs2 = '1' then
icap_status_i (0 to 7) <= Icap_dataout(ICAP_DWIDTH-8 to ICAP_DWIDTH-1);
icap_status_i (8 to 15) <= icap_status_i (0 to 7);
icap_status_i (16 to 23) <= icap_status_i (8 to 15);
icap_status_i (24 to 31) <= icap_status_i (16 to 23);
else
icap_status_i <= icap_status_i;
end if;
end if;
end process UPDATE_STATUS_PROCESS;
-------------------------------------------------------------------------------
-- This process registers ICAP data out
-------------------------------------------------------------------------------
ICAPDOUT_PROCESS:process (Clk)
begin
if Clk'event and Clk = '1' then
icap_dataout_i <= Icap_dataout;
end if;
end process ICAPDOUT_PROCESS;
end architecture imp;
|
<filename>g05_mastermind_datapath.vhd
-- Descp. mastermind datapath
--
-- entity name: g05_mastermind_datapath
--
-- Version 1.0
-- Author: <NAME>; <EMAIL> & <NAME>; <EMAIL>
-- Date: November 23, 2015
library ieee;
use ieee.std_logic_1164.all;
entity g05_mastermind_datapath is
port (
P_SEL, GR_SEL, SR_SEL : in std_logic;
GR_LD, SR_LD : in std_logic;
TM_IN, TM_EN, TC_RST, TC_EN : in std_logic;
EXT_PATTERN : in std_logic_vector(11 downto 0);
EXT_SCORE : in std_logic_vector(3 downto 0);
MODE : in std_logic;
START_MODE : in std_logic;
CLK : in std_logic;
TM_OUT : out std_logic;
TC_LAST : out std_logic;
SC_CMP : out std_logic;
DIS_P1, DIS_P2, DIS_P3, DIS_P4, DIS_P5, DIS_P6 : out std_logic_vector(3 downto 0)
);
end g05_mastermind_datapath;
architecture behavior of g05_mastermind_datapath is
component g05_mastermind_score is
port (
P1, P2, P3, P4 : in std_logic_vector(2 downto 0);
G1, G2, G3, G4 : in std_logic_vector(2 downto 0);
exact_match_score : out std_logic_vector(2 downto 0);
color_match_score : out std_logic_vector(2 downto 0);
score_code : out std_logic_vector(3 downto 0)
);
end component;
component g05_possibility_table is
port (
TC_EN : in std_logic;
TC_RST : in std_logic;
TM_IN : in std_logic;
TM_EN : in std_logic;
CLK : in std_logic;
TC_LAST : out std_logic;
TM_ADDR : out std_logic_vector(11 downto 0);
TM_OUT : out std_logic
);
end component;
component g05_comp6 is
port (
A : in std_logic_vector(5 downto 0);
B : in std_logic_vector(5 downto 0);
AeqB : out std_logic
);
end component;
component g05_color_decoder is
port (
color : in std_logic_vector(2 downto 0);
color_code : out std_logic_vector(3 downto 0)
);
end component;
component g05_score_decoder is
port (
score_code : in std_logic_vector(3 downto 0);
num_exact_matches, num_color_matches : out std_logic_vector(3 downto 0)
);
end component;
signal P1, P2, P3, P4 : std_logic_vector(2 downto 0);
signal G1, G2, G3, G4 : std_logic_vector(2 downto 0);
signal TM_ADDR : std_logic_vector(11 downto 0);
signal score, score_reg, SR : std_logic_vector(3 downto 0);
signal G1_code, G2_code, G3_code, G4_code, P1_code, P2_code, P3_code, P4_code : std_logic_vector(3 downto 0);
signal num_exact_matches, num_color_matches : std_logic_vector(3 downto 0);
begin
P4 <= EXT_PATTERN(2 downto 0) when P_SEL = '0' else TM_ADDR(2 downto 0);
P3 <= EXT_PATTERN(5 downto 3) when P_SEL = '0' else TM_ADDR(5 downto 3);
P2 <= EXT_PATTERN(8 downto 6) when P_SEL = '0' else TM_ADDR(8 downto 6);
P1 <= EXT_PATTERN(11 downto 9) when P_SEL = '0' else TM_ADDR(11 downto 9);
process(CLK)
begin
if (rising_edge(CLK)) then
if (GR_LD = '1') then
if (GR_SEL = '0') then
G1 <= TM_ADDR(2 downto 0);
G2 <= TM_ADDR(5 downto 3);
G3 <= TM_ADDR(8 downto 6);
G4 <= TM_ADDR(11 downto 9);
else
G1 <= "001";
G2 <= "001";
G3 <= "000";
G4 <= "000";
end if;
end if;
end if;
end process;
G1_decode : g05_color_decoder
port map (color => G1, color_code => G1_code);
G2_decode : g05_color_decoder
port map (color => G2, color_code => G2_code);
G3_decode : g05_color_decoder
port map (color => G3, color_code => G3_code);
G4_decode : g05_color_decoder
port map (color => G4, color_code => G4_code);
P1_decode : g05_color_decoder
port map (color => P1, color_code => P1_code);
P2_decode : g05_color_decoder
port map (color => P2, color_code => P2_code);
P3_decode : g05_color_decoder
port map (color => P3, color_code => P3_code);
P4_decode : g05_color_decoder
port map (color => P4, color_code => P4_code);
process(CLK, START_MODE, MODE)
begin
if (rising_edge(CLK)) then
if START_MODE = '0' then
if MODE = '0' then
DIS_P1 <= G1_code;
DIS_P2 <= G2_code;
DIS_P3 <= G3_code;
DIS_P4 <= G4_code;
DIS_P5 <= num_color_matches;
DIS_P6 <= num_exact_matches;
else
DIS_P1 <= P1_code;
DIS_P2 <= P2_code;
DIS_P3 <= P3_code;
DIS_P4 <= P4_code;
DIS_P5 <= num_color_matches;
DIS_P6 <= num_exact_matches;
end if;
else
DIS_P1 <= "0111"; -- T
DIS_P2 <= "1011"; -- R
DIS_P3 <= "1000"; -- A
DIS_P4 <= "0111"; -- T
DIS_P5 <= "0101"; -- S
DIS_P6 <= "0000"; --
end if;
end if;
end process;
mastermind_score : g05_mastermind_score
port map (P1 => P1, P2 => P2, P3 => P3, P4 => P4,
G1 => G1, G2 => G2, G3 => G3, G4 => G4,
score_code => score);
process(CLK)
begin
if rising_edge(CLK) then
if SR_LD = '1' then
if MODE = '0' then
score_reg <= EXT_SCORE;
else
score_reg <= score;
end if;
end if;
end if;
end process;
decode : g05_score_decoder
port map (score_code => score_reg, num_exact_matches => num_exact_matches, num_color_matches => num_color_matches);
SR <= score when SR_SEL = '0' else "0000";
score_comp : g05_comp6
port map (A(5 downto 4) => "00", A(3 downto 0) => score_reg,
B(5 downto 4) => "00", B(3 downto 0) => SR, AeqB => SC_CMP);
possibility_table : g05_possibility_table
port map (TC_EN => TC_EN, TC_RST => TC_RST, TM_IN => TM_IN,
TM_EN => TM_EN, CLK => CLK, TC_LAST => TC_LAST,
TM_ADDR => TM_ADDR, TM_OUT => TM_OUT);
end behavior;
|
-- file Mcvevp.vhd
-- Aries Cyclone V evaluation platform global constants and types
-- copyright: (C) 2017-2020 MPSI Technologies GmbH
-- author: <NAME> (auto-generation)
-- date created: 21 Oct 2021
-- IP header --- ABOVE
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package Dbecore is
constant fls8: std_logic_vector(7 downto 0) := x"AA";
constant fls16: std_logic_vector(15 downto 0) := x"AAAA";
constant fls32: std_logic_vector(31 downto 0) := x"AAAAAAAA";
constant tru8: std_logic_vector(7 downto 0) := x"55";
constant tru16: std_logic_vector(15 downto 0) := x"5555";
constant tru32: std_logic_vector(31 downto 0) := x"55555555";
constant ixOpbufBuffer: natural := 0;
constant ixOpbufController: natural := 1;
constant ixOpbufCommand: natural := 2;
constant ixOpbufLength: natural := 3;
constant ixOpbufCrc: natural := 5;
end Dbecore;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package Mcvevp is
end Mcvevp;
|
<reponame>lsylvestre/dsml
-- AVALON MM-slave wrapper around the core fact IP
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.misc_fact.all;
entity avs_fact is
port (avs_s0_address : in std_logic_vector(3 downto 0) := (others => '0');
-- 0000 : control/status register (b1=start, b0=rdy)
-- 0001 : n register
-- 0010 : result register
avs_s0_read : in std_logic := '0';
avs_s0_readdata : out std_logic_vector(31 downto 0);
avs_s0_write : in std_logic := '0';
avs_s0_writedata : in std_logic_vector(31 downto 0) := (others => '0');
clock_clk : in std_logic := '0';
reset_reset : in std_logic := '0');
end entity;
architecture rtl of avs_fact is
component fact is
port (signal clk : in std_logic;
signal reset : in std_logic;
signal start : in std_logic;
signal rdy : out std_logic;
signal n: in caml_int;
signal result: out caml_int);
end component;
signal n: caml_int;
signal result: caml_int;
signal start: std_logic;
signal rdy: std_logic;type write_state_t is (Idle, StartAsserted);
signal write_state: write_state_t;
begin
fact_CC : component fact
port map (clk => clock_clk,
reset => reset_reset,
start => start,
rdy => rdy,
n => n,
result => result);
WRITE: process (clock_clk, reset_reset)
begin
if reset_reset = '1' then
write_state <= Idle;
elsif rising_edge(clock_clk) then
case write_state is
when StartAsserted =>
start <= '0';
write_state <= Idle;
when Idle =>
if avs_s0_write = '1' then
case avs_s0_address is
when "0000" => -- writing CSR asserts start for one clock period
start <= '1';
write_state <= StartAsserted;
when "0001" => n <= signed(avs_s0_writedata(30 downto 0));
when others => NULL;
end case;
end if;
end case;
end if;
end process;
READ: process (clock_clk)
begin
if rising_edge(clock_clk) then
if avs_s0_read = '1' then
case avs_s0_address is
when "0000" => avs_s0_readdata <= X"0000000" & "000" & rdy;
-- when reading CSR, bit 0 is rdy
when "0001" => avs_s0_readdata <= "0" & std_logic_vector(n);
when "0010" => avs_s0_readdata <= "0" & std_logic_vector(result);
when others => null;
end case;
end if;
end if;
end process;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity test_calc_bin_to_6x7seg is
end entity test_calc_bin_to_6x7seg;
architecture test of test_calc_bin_to_6x7seg is
component calc_bin_to_6x7seg port(
binaryNumber : in std_logic_vector(19 downto 0); -- 2^20 > 999.999 (max on 6 segments)
HEX0: out std_logic_vector(6 downto 0);
HEX1: out std_logic_vector(6 downto 0);
HEX2: out std_logic_vector(6 downto 0);
HEX3: out std_logic_vector(6 downto 0);
HEX4: out std_logic_vector(6 downto 0);
HEX5: out std_logic_vector(6 downto 0)
-- TODO: add enumeration for display mode
-- type display_6x7seg_mode_type is {FULL, ACTUAL_VOLTAGE, ...};
-- put this in a separate package to make it available to other modules
);
end component calc_bin_to_6x7seg;
signal HEX0: std_logic_vector(6 downto 0);
signal HEX1: std_logic_vector(6 downto 0);
signal HEX2: std_logic_vector(6 downto 0);
signal HEX3: std_logic_vector(6 downto 0);
signal HEX4: std_logic_vector(6 downto 0);
signal HEX5: std_logic_vector(6 downto 0);
signal binaryNumber : std_logic_vector(19 downto 0);
begin
sut : calc_bin_to_6x7seg port map( binaryNumber, HEX5, HEX4, HEX3, HEX2, HEX1, HEX0 );
simulate: process
begin
binaryNumber <= std_logic_vector(to_unsigned(999999, binaryNumber'length));
wait for 100 ns;
assert HEX0=not "1101111" report "HEX0 nok for 999999" severity error;
assert HEX1=not "1101111" report "HEX1 nok for 999999" severity error;
assert HEX2=not "1101111" report "HEX2 nok for 999999" severity error;
assert HEX3=not "1101111" report "HEX3 nok for 999999" severity error;
assert HEX4=not "1101111" report "HEX4 nok for 999999" severity error;
assert HEX5=not "1101111" report "HEX5 nok for 999999" severity error;
binaryNumber <= std_logic_vector(to_unsigned(0, binaryNumber'length));
wait for 100 ns;
assert HEX0=not "0111111" report "HEX0 nok for 0" severity error;
assert HEX1=not "0111111" report "HEX1 nok for 0" severity error;
assert HEX2=not "0111111" report "HEX2 nok for 0" severity error;
assert HEX3=not "0111111" report "HEX3 nok for 0" severity error;
assert HEX4=not "0111111" report "HEX4 nok for 0" severity error;
assert HEX5=not "0111111" report "HEX5 nok for 0" severity error;
end process simulate;
end architecture test;
|
<gh_stars>1-10
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.4 (lin64) Build 2086221 Fri Dec 15 20:54:30 MST 2017
-- Date : Thu Feb 20 21:08:33 2020
-- Host : ssg0 running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /ectf/pl/proj/test/bd/system/ip/system_splitchannel_0_0/system_splitchannel_0_0_sim_netlist.vhdl
-- Design : system_splitchannel_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z007sclg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_splitchannel_0_0 is
port (
i_stereo : in STD_LOGIC_VECTOR ( 31 downto 0 );
o_left : out STD_LOGIC_VECTOR ( 15 downto 0 );
o_right : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_splitchannel_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_splitchannel_0_0 : entity is "system_splitchannel_0_0,splitchannel,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of system_splitchannel_0_0 : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of system_splitchannel_0_0 : entity is "splitchannel,Vivado 2017.4";
end system_splitchannel_0_0;
architecture STRUCTURE of system_splitchannel_0_0 is
signal \^i_stereo\ : STD_LOGIC_VECTOR ( 31 downto 0 );
begin
\^i_stereo\(31 downto 0) <= i_stereo(31 downto 0);
o_left(15 downto 0) <= \^i_stereo\(15 downto 0);
o_right(15 downto 0) <= \^i_stereo\(31 downto 16);
end STRUCTURE;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.RV32I_pkg.all;
entity alu_tb is
end alu_tb;
architecture driver of alu_tb is
component alu
port ( inputA : in std_logic_vector (XLEN-1 downto 0);
inputB : in std_logic_vector (XLEN-1 downto 0);
ALUop : in std_logic_vector (3 downto 0);
result : out std_logic_vector (XLEN-1 downto 0));
end component;
-- inputs
signal tb_inputA : std_logic_vector (XLEN-1 downto 0):= (others => '0');
signal tb_inputB : std_logic_vector (XLEN-1 downto 0):= (others => '0');
signal tb_ALUop : std_logic_vector (3 downto 0):= (others => '0');
-- outputs
signal tb_result : std_logic_vector (XLEN-1 downto 0);
begin
-- Instantiate the Unit Under Test (UUT)
UUT: alu port map ( inputA => tb_inputA,
inputB => tb_inputB,
ALUop => tb_ALUop,
result => tb_result);
tb_ALUop <= ALU_OP_ADD after 10ns,
ALU_OP_SUB after 20ns,
ALU_OP_AND after 40ns,
ALU_OP_OR after 50ns,
ALU_OP_XOR after 60ns,
ALU_OP_SLL after 70ns,
ALU_OP_SRL after 80ns,
ALU_OP_SRA after 90ns,
ALU_OP_SLT after 100ns,
ALU_OP_SLTU after 110ns;
tb_inputA <= "00000000000000001110010010110101" after 10ns,
"11111100000000001111000000000100" after 20ns,
"00000000001110001111000000000100" after 30ns,
"11111111100000001111000000000100" after 40ns;
tb_inputB <= "00000000000000000010110101001010" after 10ns,
"11111111111100001111000000000100" after 20ns,
"00000000000000000000000000000110" after 40ns;
end architecture;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:46:14 12/28/2015
-- Design Name:
-- Module Name: C:/Users/lcastedo/Documents/pruebas/tema5_4/e02_bufferz_tb.vhd
-- Project Name: tema5_4
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: e02_bufferz
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.math_real.all;
use work.e04_mux_pkg.all;
entity e04_mux_tb is
end e04_mux_tb;
architecture behavior of e04_mux_tb is
-- Component Declaration for the Unit Under Test (UUT)
component e04_mux is
generic (
FANIN: positive
);
port (
A: in mux_bus_vector(0 to FANIN - 1);
S: in std_logic_vector(integer(ceil(log2(real(FANIN)))) - 1 downto 0);
Y: out mux_bus
);
end component;
--Inputs
signal a: mux_bus_vector(0 to 3) := (X"33", X"55", X"AA", X"FF");
signal s: std_logic_vector(1 downto 0);
--Output
signal y: mux_bus;
-- Clocks period
constant CLK_PERIOD: time := 10 ns;
constant DELAY : time := 0.1 * CLK_PERIOD;
begin
-- Instantiate the Unit Under Test (UUT)
uut: e04_mux
generic map (
FANIN => 4
)
port map (
A => a,
S => s,
Y => y
);
-- Stimulus process
stim_proc: process
begin
for i in 0 to 3 loop
s <= std_logic_vector(to_signed(i, s'length));
wait for DELAY;
assert y = a(i)
report "[FAILED]: mux malfunction."
severity failure;
wait for CLK_PERIOD - DELAY;
end loop;
assert false
report "[PASSED]: Simulation finished."
severity failure;
end process;
end;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
library std;
use std.textio.all;
library work;
use work.util.all;
entity testbench_dadda_final_approx_fully_parallel is
generic (max_limit_ambe : integer := 5;
max_limit_dadda : integer := 6);
end testbench_dadda_final_approx_fully_parallel;
architecture behav of testbench_dadda_final_approx_fully_parallel is
component mul_ambe_mbe_dadda_four_to_two
generic (limit_ambe : integer := 0;
limit_dadda : integer := 0);
port (a, b : in signed(Nbit -1 downto 0);
product : out signed(2 * Nbit - 1 downto 0));
end component;
signal a, b : signed(9 downto 0) := "0000000000";
signal a_int, b_int, correct_int : integer;
signal correct_bin : signed(19 downto 0);
--type subfile_array is array(max_limit_dadda downto 0) of file;
--type file_array is array(max_limit_ambe downto 0) of subfile_array;
type subproduct_array is
array(max_limit_dadda downto 0) of signed(2 * Nbit - 1 downto 0);
type product_array is
array(max_limit_ambe downto 0) of subproduct_array;
type subproduct_int_array is array(max_limit_dadda downto 0) of integer;
type product_int_array is
array(max_limit_ambe downto 0) of subproduct_int_array;
type substring_array is array(max_limit_dadda downto 0) of string(40 downto 1);
type string_array is array(max_limit_ambe downto 0) of substring_array;
type correct_array is array(max_limit_ambe downto 0) of
std_logic_vector(max_limit_dadda downto 0);
signal product : product_array;
signal product_int, dist : product_int_array;
--signal files : file_array;
signal filenames : string_array;
signal basedir : string(24 downto 1) := "Comparisons_final_approx";
signal correct : correct_array;
begin
if_gen : if max_limit_ambe >= 0 and max_limit_ambe <= 5 and
max_limit_dadda >= 0 and max_limit_dadda <= 6 generate
ambe_gen : for i in 0 to max_limit_ambe generate
dadda_gen : for j in 0 to max_limit_dadda generate
test : mul_ambe_mbe_dadda_four_to_two
generic map (limit_ambe => i, limit_dadda => j)
port map (a => a, b => b, product => product(i)(j));
product_int(i)(j) <= to_integer(product(i)(j));
dist(i)(j) <= correct_int - product_int(i)(j);
filenames(i)(j) <= basedir & "/ambe" & integer'image(i) &
"dadda" & integer'image(j) & ".txt";
end generate;
end generate;
end generate;
a <= to_signed(a_int, 10);
b <= to_signed(b_int, 10);
correct_bin <= to_signed(correct_int, 20);
process
variable line_out : line;
file output : text;
begin
a_int <= 0;
b_int <= 0;
wait for 10 ns;
for i in -2 ** 9 to 2 ** 9 - 1 loop
for j in -2**9 to 2 ** 9 - 1 loop
a_int <= i;
b_int <= j;
wait for 1 ps;
correct_int <= i * j;
if max_limit_ambe >= 0 and max_limit_ambe <= 5 and
max_limit_dadda >= 0 and max_limit_dadda <= 6 then
for k in 0 to max_limit_ambe loop
for l in 0 to max_limit_dadda loop
if (i * j - product_int(k)(l)) = 0 then
correct(k)(l) <= '1';
else
correct(k)(l) <= '0';
end if;
end loop;
end loop;
end if;
wait for 2500 ps;
if max_limit_ambe >= 0 and max_limit_ambe <= 5 and
max_limit_dadda >= 0 and max_limit_dadda <= 6 then
for k in 0 to max_limit_ambe loop
for l in 0 to max_limit_dadda loop
--write(line_out, string'("a bin: "));
--write(line_out, a, right, 10);
--write(line_out, string'(" ; a int: "));
--write(line_out, string'("a int: "));
write(line_out, a_int);
--write(line_out, string'(" ; b bin: "));
--write(line_out, b, right, 10);
--write(line_out, string'(" ; b int: "));
write(line_out, string'(";"));
write(line_out, b_int);
--write(line_out, string'(" ; product bin: "));
--write(line_out, product, right, 20);
--write(line_out, string'(" ; product int: "));
write(line_out, string'(";"));
write(line_out, product_int(k)(l));
--write(line_out, string'(" ; correct bin: "));
--write(line_out, correct_bin, right, 20);
--write(line_out, string'(" ; correct int: "));
write(line_out, string'(";"));
write(line_out, correct_int);
--write(line_out, string'(" ; correct bool: "));
write(line_out, string'(";"));
write(line_out, correct(k)(l));
--write(line_out, string'(" ; distance: "));
write(line_out, string'(";"));
write(line_out, dist(k)(l));
file_open(output, filenames(k)(l), append_mode);
writeline(output, line_out);
file_close(output);
deallocate(line_out);
end loop;
end loop;
end if;
wait for 2499 ps;
end loop;
end loop;
-- a <= "0000000001";
-- b <= "0000000001";
-- wait for 10 ns;
-- a <= "1111111111";
-- b <= "1111111111";
-- wait for 10 ns;
-- a <= "1111111110";
-- b <= "0000000001";
-- wait for 10 ns;
-- a <= "1010010100";
-- b <= "1010111001";
-- wait for 10 ns;
wait;
end process;
end behav;
|
<reponame>xfrolk03/Digital-electronics-1-2021<filename>Labs/project/hall_sensor/hall_sensor.srcs/sources_1/new/hall.vhd
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12.04.2021 18:07:26
-- Design Name:
-- Module Name: hall - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity hall is
port(
clk : in std_logic; -- main clock
hall_sensor : in std_logic; -- hall_sensor input
wheel_circuit : in integer; -- integer input of wheel circuit
mode_BTN : in std_logic; -- button input for setting display mode
reset_BTN : in std_logic; -- button input for resetting distance
number : out integer -- integer output of speed/distance
);
end hall;
architecture Behavioral of hall is
signal s_reset : std_logic; -- internal reset for time stop
signal s_run : std_logic; -- internal variable for running timer for wheel rotation
signal s_mode : std_logic:='0'; -- internal variable for display mode
signal s_runtime : integer; -- variable for wheel rotation time
signal speed : integer:=0; -- variable for calculated speed
signal distance : integer:=0; -- variable for calculated distance
constant speed_calculation : integer:=1000;
begin
-- instance copy of time_enable entity
time_stop : entity work.time_enable
port map(
runtime => s_runtime,
clk => clk,
reset => s_reset,
run => s_run
);
------------------------------------------------------------------------
-- Process speed_calc:
-- every time when hall_sensor is enabled, process calculates speed
--
-- using speed_calculation constant for correct simulation, when used in
-- real time, constants must be changed
------------------------------------------------------------------------
speed_calc : process(clk)
begin
if (s_reset = '1') then
s_reset <= '0';
end if;
if (rising_edge(hall_sensor)) then
speed <= (wheel_circuit * speed_calculation) / (s_runtime + 1);
s_reset <= '1';
end if;
end process;
------------------------------------------------------------------------
-- Process dist_calc:
-- every time when hall_sensor is enabled, process calculates distance
------------------------------------------------------------------------
dist_calc : process(clk, hall_sensor)
begin
if (rising_edge(hall_sensor)) then
distance <= distance + (wheel_circuit / 100); -- wheel circuit int mm must be calculated to m, used 100 for displaying decimal point
end if;
if (rising_edge(reset_BTN)) then
distance <= 0;
end if;
end process;
------------------------------------------------------------------------
-- Process view:
-- changing displayed values (speed / distance)
------------------------------------------------------------------------
view : process(clk)
begin
if (rising_edge(mode_BTN)) then
s_mode <= not(s_mode);
end if;
case s_mode is
when '0' =>
number <= speed;
when '1' =>
-- if distance is greater or equals 1 km, the distance is divided by 1000
if(distance >= 10000) then
number <= distance / 1000;
else number <= distance;
end if;
when others =>
number <= speed;
end case;
end process;
end Behavioral;
|
-- ALU CONTROL
library ieee;
use ieee.std_logic_1164.all;
entity control_alu is
port (ALUOp: in std_logic_vector (3 downto 0); -- first 4 bit of OPCode
funct: in std_logic_vector (5 downto 0); -- field in R-instructions
ALUCtrl: out std_logic_vector (3 downto 0);
ShiftCtrl: out std_logic_vector (1 downto 0);
ResSrc: out std_logic );
end control_alu;
architecture behav of control_alu is
begin
process (ALUOp, funct)
begin
case ALUOp is
when "0001" =>
case funct is
-- shift ll
when "000000" =>
ALUCtrl <= "0000";
ShiftCtrl <= "01";
ResSrc <= '1';
-- shift rl
when "000001" =>
ALUCtrl <= "0000";
ShiftCtrl <= "10";
ResSrc <= '1';
-- sum, sub, and, or, nand, nor, slt
when "000010" | "000011" | "000100" | "000101" | "000110" | "000111" | "001000" =>
ALUCtrl <= funct(3 downto 0);
ShiftCtrl <= "00";
ResSrc <= '0';
when others =>
ALUCtrl <= "0000"; -- NOP
ShiftCtrl <= "00";
ResSrc <= '0';
end case;
-- sum imm, sub imm, and imm, or imm, nand imm, nor imm, slt imm
when "0010" | "0011" | "0100" | "0101" | "0110" | "0111" | "1000" =>
ALUCtrl <= ALUOp;
ShiftCtrl <= "00";
ResSrc <= '0';
-- load, store (ALU sum)
when "1001" | "1010" =>
ALUCtrl <= "0010";
ShiftCtrl <= "00";
ResSrc <= '0';
-- beq, bne (ALU sub)
when "1011" | "1100" =>
ALUCtrl <= "0011";
ShiftCtrl <= "00";
ResSrc <= '0';
when others =>
ALUCtrl <= "0000"; -- NOP
ShiftCtrl <= "00";
ResSrc <= '0';
end case;
end process;
end behav;
|
----------------------------------------------------------------------------------
-- Company: LARC - Escola Politecnica - University of Sao Paulo
-- Engineer: <NAME>
--
-- Create Date: 05/12/2012
-- Design Name: Solving_Key_Equation_5
-- Module Name: Solving_Key_Equation_5
-- Project Name: McEliece QD-Goppa Decoder
-- Target Devices: Any
-- Tool versions: Xilinx ISE 13.3 WebPack
--
-- Description:
--
-- The 2nd step in Goppa Code Decoding.
--
-- This circuit solves the polynomial key equation sigma with the polynomial syndrome.
-- To solve the key equation, this circuit employs a modified binary extended euclidean algorithm.
-- The modification is made to stop the algorithm in 2*final degree steps.
-- The syndrome is the input and expected to be of degree 2*final_degree-1, and after computations
-- polynomial C, will hold sigma with degree less or equal to final_degree.
--
-- This is pipeline circuit version that is slower than solving_key_equation_4.
-- However this version is constant time, therefore is more side channel resistant.
--
-- Parameters
--
-- gf_2_m :
--
-- The size of the field used in this circuit. This parameter depends of the
-- Goppa code used.
--
-- final_degree :
--
-- The final degree size expected for polynomial sigma to have. This parameter depends
-- of the Goppa code used.
--
-- size_final_degree :
--
-- The number of bits necessary to hold the polynomial with degree of final_degree, which
-- has final_degree + 1 coefficients. This is ceil(log2(final_degree+1)).
--
-- Dependencies:
--
-- VHDL-93
--
-- controller_solving_key_equation_5 Rev 1.0
-- register_nbits Rev 1.0
-- register_rst_nbits Rev 1.0
-- counter_rst_nbits Rev 1.0
-- counter_decrement_load_rst_nbits Rev 1.0
-- mult_gf_2_m Rev 1.0
--
-- Revision:
-- Revision 1.0
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity solving_key_equation_5 is
Generic(
-- GOPPA [2048, 1751, 27, 11] --
-- gf_2_m : integer range 1 to 20 := 11;
-- final_degree : integer := 27;
-- size_final_degree : integer := 5
-- GOPPA [2048, 1498, 50, 11] --
-- gf_2_m : integer range 1 to 20 := 11;
-- final_degree : integer := 50;
-- size_final_degree : integer := 6
-- GOPPA [3307, 2515, 66, 12] --
-- gf_2_m : integer range 1 to 20 := 12;
-- final_degree : integer := 66;
-- size_final_degree : integer := 7
-- QD-GOPPA [2528, 2144, 32, 12] --
-- gf_2_m : integer range 1 to 20 := 12;
-- final_degree : integer := 32;
-- size_final_degree : integer := 5
-- QD-GOPPA [2816, 2048, 64, 12] --
-- gf_2_m : integer range 1 to 20 := 12;
-- final_degree : integer := 64;
-- size_final_degree : integer := 6
-- QD-GOPPA [3328, 2560, 64, 12] --
-- gf_2_m : integer range 1 to 20 := 12;
-- final_degree : integer := 64;
-- size_final_degree : integer := 6
-- QD-GOPPA [7296, 5632, 128, 13] --
gf_2_m : integer range 1 to 20 := 13;
final_degree : integer := 128;
size_final_degree : integer := 7
);
Port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
ready_inv : in STD_LOGIC;
value_s : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_r : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_v : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_u : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
value_inv : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal_inv : out STD_LOGIC;
key_equation_found : out STD_LOGIC;
write_enable_s : out STD_LOGIC;
write_enable_r : out STD_LOGIC;
write_enable_v : out STD_LOGIC;
write_enable_u : out STD_LOGIC;
new_value_inv : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_s : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_v : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_r : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
new_value_u : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
address_value_s : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_value_r : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_value_v : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_value_u : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_new_value_s : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_new_value_r : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_new_value_v : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
address_new_value_u : out STD_LOGIC_VECTOR((size_final_degree + 1) downto 0)
);
end solving_key_equation_5;
architecture Behavioral of solving_key_equation_5 is
component controller_solving_key_equation_5
Port(
clk : in STD_LOGIC;
rst : in STD_LOGIC;
limit_number_of_iterations : in STD_LOGIC;
last_polynomial_coefficient : in STD_LOGIC;
is_inv_zero : in STD_LOGIC;
is_r0_zero : in STD_LOGIC;
is_delta_less_than_0 : in STD_LOGIC;
is_rho_zero : in STD_LOGIC;
signal_inv : out STD_LOGIC;
key_equation_found : out STD_LOGIC;
write_enable_s : out STD_LOGIC;
write_enable_r : out STD_LOGIC;
write_enable_v : out STD_LOGIC;
write_enable_u : out STD_LOGIC;
sel_mult_r_inv : out STD_LOGIC;
last_u_value : out STD_LOGIC;
change_s_v : out STD_LOGIC;
change_r_u : out STD_LOGIC;
shift_r_u : out STD_LOGIC;
reg_value_s_rst : out STD_LOGIC;
reg_value_s_ce : out STD_LOGIC;
reg_value_r_rst : out STD_LOGIC;
reg_value_r_ce : out STD_LOGIC;
reg_value_v_rst : out STD_LOGIC;
reg_value_v_ce : out STD_LOGIC;
reg_value_u_rst : out STD_LOGIC;
reg_value_u_ce : out STD_LOGIC;
sel_reg_rho_rst_value : out STD_LOGIC;
reg_rho_rst : out STD_LOGIC;
reg_rho_ce : out STD_LOGIC;
ctr_delta_ce : out STD_LOGIC;
ctr_delta_load : out STD_LOGIC;
ctr_delta_rst : out STD_LOGIC;
reg_new_value_s_rst : out STD_LOGIC;
reg_new_value_s_ce : out STD_LOGIC;
reg_new_value_r_rst : out STD_LOGIC;
reg_new_value_r_ce : out STD_LOGIC;
reg_new_value_v_ce : out STD_LOGIC;
reg_new_value_u_rst : out STD_LOGIC;
reg_new_value_u_ce : out STD_LOGIC;
reg_new_value_u0_ce : out STD_LOGIC;
ctr_load_value_ce : out STD_LOGIC;
ctr_load_value_rst : out STD_LOGIC;
ctr_store_value_ce : out STD_LOGIC;
ctr_store_value_rst : out STD_LOGIC;
ctr_number_of_iterations_ce : out STD_LOGIC;
ctr_number_of_iterations_rst : out STD_LOGIC
);
end component;
component register_nbits
Generic (size : integer);
Port (
d : in STD_LOGIC_VECTOR ((size - 1) downto 0);
clk : in STD_LOGIC;
ce : in STD_LOGIC;
q : out STD_LOGIC_VECTOR ((size - 1) downto 0)
);
end component;
component register_rst_nbits
Generic (size : integer);
Port (
d : in STD_LOGIC_VECTOR ((size - 1) downto 0);
clk : in STD_LOGIC;
ce : in STD_LOGIC;
rst : in STD_LOGIC;
rst_value : in STD_LOGIC_VECTOR ((size - 1) downto 0);
q : out STD_LOGIC_VECTOR ((size - 1) downto 0)
);
end component;
component counter_rst_nbits
Generic (
size : integer;
increment_value : integer
);
Port (
clk : in STD_LOGIC;
ce : in STD_LOGIC;
rst : in STD_LOGIC;
rst_value : in STD_LOGIC_VECTOR ((size - 1) downto 0);
q : out STD_LOGIC_VECTOR ((size - 1) downto 0)
);
end component;
component counter_decrement_load_rst_nbits
Generic (
size : integer;
decrement_value : integer
);
Port (
d : in STD_LOGIC_VECTOR ((size - 1) downto 0);
clk : in STD_LOGIC;
ce : in STD_LOGIC;
load : in STD_LOGIC;
rst : in STD_LOGIC;
rst_value : in STD_LOGIC_VECTOR((size - 1) downto 0);
q : out STD_LOGIC_VECTOR((size - 1) downto 0)
);
end component;
component mult_gf_2_m
Generic (gf_2_m : integer range 1 to 20 := 11);
Port (
a : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
b: in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
o : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0)
);
end component;
signal reg_value_s_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_value_s_rst : STD_LOGIC;
constant reg_value_s_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := (others => '0');
signal reg_value_s_ce : STD_LOGIC;
signal reg_value_s_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_value_r_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_value_r_rst : STD_LOGIC;
constant reg_value_r_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := (others => '0');
signal reg_value_r_ce : STD_LOGIC;
signal reg_value_r_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_value_v_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_value_v_rst : STD_LOGIC;
constant reg_value_v_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := (others => '0');
signal reg_value_v_ce : STD_LOGIC;
signal reg_value_v_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_value_u_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_value_u_rst : STD_LOGIC;
constant reg_value_u_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := (others => '0');
signal reg_value_u_ce : STD_LOGIC;
signal reg_value_u_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal sel_reg_rho_rst_value : STD_LOGIC;
signal reg_rho_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_rho_rst : STD_LOGIC;
constant reg_rho_rst_value_0 : STD_LOGIC_VECTOR((gf_2_m - 2) downto 0) := (others => '0');
signal reg_rho_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_rho_ce : STD_LOGIC;
signal reg_rho_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_inv_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_inv_ce : STD_LOGIC;
signal reg_inv_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal ctr_delta_d : STD_LOGIC_VECTOR((size_final_degree) downto 0);
signal ctr_delta_ce : STD_LOGIC;
signal ctr_delta_load : STD_LOGIC;
signal ctr_delta_rst : STD_LOGIC;
constant ctr_delta_rst_value : STD_LOGIC_VECTOR((size_final_degree) downto 0) := std_logic_vector(to_signed(-1, size_final_degree+1));
signal ctr_delta_q : STD_LOGIC_VECTOR((size_final_degree) downto 0);
signal mult_s_rho_r_inv_a : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal mult_s_rho_r_inv_b : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal mult_s_rho_r_inv_o : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal mult_v_rho_a : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal mult_v_rho_b : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal mult_v_rho_o : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal add_s_rho_r : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal add_v_rho_u : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_s_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_s_rst : STD_LOGIC;
constant reg_new_value_s_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := std_logic_vector(to_unsigned(1, gf_2_m));
signal reg_new_value_s_ce : STD_LOGIC;
signal reg_new_value_s_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_r_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_r_rst : STD_LOGIC;
constant reg_new_value_r_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := std_logic_vector(to_unsigned(0, gf_2_m));
signal reg_new_value_r_ce : STD_LOGIC;
signal reg_new_value_r_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_v_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_v_ce : STD_LOGIC;
signal reg_new_value_v_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_u_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_u_rst : STD_LOGIC;
constant reg_new_value_u_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := std_logic_vector(to_unsigned(1, gf_2_m));
signal reg_new_value_u_ce : STD_LOGIC;
signal reg_new_value_u_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_u0_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal reg_new_value_u0_ce : STD_LOGIC;
signal reg_new_value_u0_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0);
signal ctr_load_value_ce : STD_LOGIC;
signal ctr_load_value_rst : STD_LOGIC;
constant ctr_load_value_rst_value : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(0, size_final_degree+2));
signal ctr_load_value_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
signal reg_delay_store_value_d : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
signal reg_delay_store_value_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
signal shift_r_u : STD_LOGIC;
signal ctr_store_value_ce : STD_LOGIC;
signal ctr_store_value_rst : STD_LOGIC;
constant ctr_store_value_rst_value : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0) := std_logic_vector(to_unsigned(0, size_final_degree+2));
signal ctr_store_value_q : STD_LOGIC_VECTOR((size_final_degree + 1) downto 0);
signal ctr_number_of_iterations_ce : STD_LOGIC;
signal ctr_number_of_iterations_rst : STD_LOGIC;
constant ctr_number_of_iterations_rst_value : STD_LOGIC_VECTOR(size_final_degree downto 0) := std_logic_vector(to_unsigned(0, size_final_degree+1));
signal ctr_number_of_iterations_q : STD_LOGIC_VECTOR(size_final_degree downto 0);
signal sel_mult_r_inv : STD_LOGIC;
signal last_u_value : STD_LOGIC;
signal change_s_v : STD_LOGIC;
signal change_r_u : STD_LOGIC;
signal limit_number_of_iterations : STD_LOGIC;
signal last_polynomial_coefficient : STD_LOGIC;
signal is_rho_zero : STD_LOGIC;
signal is_inv_zero : STD_LOGIC;
signal is_r0_zero : STD_LOGIC;
signal is_delta_less_than_0 : STD_LOGIC;
begin
controller : controller_solving_key_equation_5
Port Map(
clk => clk,
rst => rst,
limit_number_of_iterations => limit_number_of_iterations,
last_polynomial_coefficient => last_polynomial_coefficient,
is_inv_zero => is_inv_zero,
is_r0_zero => is_r0_zero,
is_delta_less_than_0 => is_delta_less_than_0,
is_rho_zero => is_rho_zero,
signal_inv => signal_inv,
key_equation_found => key_equation_found,
write_enable_s => write_enable_s,
write_enable_r => write_enable_r,
write_enable_v => write_enable_v,
write_enable_u => write_enable_u,
sel_mult_r_inv => sel_mult_r_inv,
last_u_value => last_u_value,
change_s_v => change_s_v,
change_r_u => change_r_u,
shift_r_u => shift_r_u,
reg_value_s_rst => reg_value_s_rst,
reg_value_s_ce => reg_value_s_ce,
reg_value_r_rst => reg_value_r_rst,
reg_value_r_ce => reg_value_r_ce,
reg_value_v_rst => reg_value_v_rst,
reg_value_v_ce => reg_value_v_ce,
reg_value_u_rst => reg_value_u_rst,
reg_value_u_ce => reg_value_u_ce,
sel_reg_rho_rst_value => sel_reg_rho_rst_value,
reg_rho_rst => reg_rho_rst,
reg_rho_ce => reg_rho_ce,
ctr_delta_ce => ctr_delta_ce,
ctr_delta_load => ctr_delta_load,
ctr_delta_rst => ctr_delta_rst,
reg_new_value_s_rst => reg_new_value_s_rst,
reg_new_value_s_ce => reg_new_value_s_ce,
reg_new_value_r_rst => reg_new_value_r_rst,
reg_new_value_r_ce => reg_new_value_r_ce,
reg_new_value_v_ce => reg_new_value_v_ce,
reg_new_value_u_rst => reg_new_value_u_rst,
reg_new_value_u_ce => reg_new_value_u_ce,
reg_new_value_u0_ce => reg_new_value_u0_ce,
ctr_load_value_ce => ctr_load_value_ce,
ctr_load_value_rst => ctr_load_value_rst,
ctr_store_value_ce => ctr_store_value_ce,
ctr_store_value_rst => ctr_store_value_rst,
ctr_number_of_iterations_ce => ctr_number_of_iterations_ce,
ctr_number_of_iterations_rst => ctr_number_of_iterations_rst
);
reg_value_s : register_rst_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_value_s_d,
clk => clk,
rst => reg_value_s_rst,
rst_value => reg_value_s_rst_value,
ce => reg_value_s_ce,
q => reg_value_s_q
);
reg_value_r : register_rst_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_value_r_d,
clk => clk,
rst => reg_value_r_rst,
rst_value => reg_value_r_rst_value,
ce => reg_value_r_ce,
q => reg_value_r_q
);
reg_value_v : register_rst_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_value_v_d,
clk => clk,
rst => reg_value_v_rst,
rst_value => reg_value_v_rst_value,
ce => reg_value_v_ce,
q => reg_value_v_q
);
reg_value_u : register_rst_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_value_u_d,
clk => clk,
rst => reg_value_u_rst,
rst_value => reg_value_u_rst_value,
ce => reg_value_u_ce,
q => reg_value_u_q
);
reg_rho : register_rst_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_rho_d,
clk => clk,
rst => reg_rho_rst,
rst_value => reg_rho_rst_value,
ce => reg_rho_ce,
q => reg_rho_q
);
reg_inv : register_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_inv_d,
clk => clk,
ce => reg_inv_ce,
q => reg_inv_q
);
ctr_delta : counter_decrement_load_rst_nbits
Generic Map(
size => size_final_degree+1,
decrement_value => 1
)
Port Map(
d => ctr_delta_d,
clk => clk,
ce => ctr_delta_ce,
load => ctr_delta_load,
rst => ctr_delta_rst,
rst_value => ctr_delta_rst_value,
q => ctr_delta_q
);
mult_s_rho_r_inv: mult_gf_2_m
Generic Map (
gf_2_m => gf_2_m
)
Port Map (
a => mult_s_rho_r_inv_a,
b => mult_s_rho_r_inv_b,
o => mult_s_rho_r_inv_o
);
mult_v_rho: mult_gf_2_m
Generic Map (
gf_2_m => gf_2_m
)
Port Map (
a => mult_v_rho_a,
b => mult_v_rho_b,
o => mult_v_rho_o
);
reg_new_value_s : register_rst_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_new_value_s_d,
clk => clk,
rst => reg_new_value_s_rst,
rst_value => reg_new_value_s_rst_value,
ce => reg_new_value_s_ce,
q => reg_new_value_s_q
);
reg_new_value_r : register_rst_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_new_value_r_d,
clk => clk,
rst => reg_new_value_r_rst,
rst_value => reg_new_value_r_rst_value,
ce => reg_new_value_r_ce,
q => reg_new_value_r_q
);
reg_new_value_v : register_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_new_value_v_d,
clk => clk,
ce => reg_new_value_v_ce,
q => reg_new_value_v_q
);
reg_new_value_u : register_rst_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_new_value_u_d,
clk => clk,
rst => reg_new_value_u_rst,
rst_value => reg_new_value_u_rst_value,
ce => reg_new_value_u_ce,
q => reg_new_value_u_q
);
reg_new_value_u0 : register_nbits
Generic Map(
size => gf_2_m
)
Port Map(
d => reg_new_value_u0_d,
clk => clk,
ce => reg_new_value_u0_ce,
q => reg_new_value_u0_q
);
ctr_number_of_iterations : counter_rst_nbits
Generic Map(
size => size_final_degree+1,
increment_value => 1
)
Port Map(
clk => clk,
ce => ctr_number_of_iterations_ce,
rst => ctr_number_of_iterations_rst,
rst_value => ctr_number_of_iterations_rst_value,
q => ctr_number_of_iterations_q
);
ctr_load_value : counter_rst_nbits
Generic Map(
size => size_final_degree+2,
increment_value => 1
)
Port Map(
clk => clk,
ce => ctr_load_value_ce,
rst => ctr_load_value_rst,
rst_value => ctr_load_value_rst_value,
q => ctr_load_value_q
);
ctr_store_value : counter_rst_nbits
Generic Map(
size => size_final_degree+2,
increment_value => 1
)
Port Map(
clk => clk,
ce => ctr_store_value_ce,
rst => ctr_store_value_rst,
rst_value => ctr_store_value_rst_value,
q => ctr_store_value_q
);
reg_delay_store_value : register_nbits
Generic Map(
size => size_final_degree+2
)
Port Map(
d => reg_delay_store_value_d,
clk => clk,
ce => '1',
q => reg_delay_store_value_q
);
reg_value_s_d <= value_s;
reg_value_r_d <= value_r;
reg_value_v_d <= value_v;
reg_value_u_d <= value_u;
reg_rho_d <= mult_s_rho_r_inv_o;
reg_rho_rst_value <= reg_rho_rst_value_0 & sel_reg_rho_rst_value;
reg_inv_d <= value_inv;
reg_inv_ce <= ready_inv;
ctr_delta_d <= std_logic_vector(to_signed(-1, size_final_degree+1) - signed(ctr_delta_q));
mult_s_rho_r_inv_a <= reg_inv_q when sel_mult_r_inv = '1' else
reg_rho_q;
mult_s_rho_r_inv_b <= reg_value_r_q when sel_mult_r_inv = '1' else
reg_value_s_q;
mult_v_rho_a <= reg_rho_q;
mult_v_rho_b <= reg_value_v_q;
add_s_rho_r <= mult_s_rho_r_inv_o xor reg_value_r_q;
add_v_rho_u <= mult_v_rho_o xor reg_value_u_q;
reg_new_value_s_d <= reg_value_r_q when change_s_v = '1' else
reg_value_s_q;
reg_new_value_r_d <= reg_value_s_q when change_r_u = '1' else
add_s_rho_r;
reg_new_value_v_d <= reg_value_u_q when change_s_v = '1' else
reg_value_v_q;
reg_new_value_u_d <= reg_value_v_q when change_r_u = '1' else
add_v_rho_u;
reg_new_value_u0_d <= add_v_rho_u;
new_value_inv <= reg_new_value_s_q;
new_value_s <= reg_new_value_s_q;
new_value_v <= reg_new_value_v_q;
new_value_r <= reg_new_value_r_q;
new_value_u <= reg_new_value_u0_q when last_u_value = '1' else
reg_new_value_u_q;
address_value_s <= ctr_load_value_q;
address_value_r <= ctr_load_value_q;
address_value_v <= ctr_load_value_q;
address_value_u <= ctr_load_value_q;
reg_delay_store_value_d <= ctr_store_value_q;
address_new_value_s <= ctr_store_value_q;
address_new_value_r <= reg_delay_store_value_q when shift_r_u = '1' else
ctr_store_value_q;
address_new_value_v <= ctr_store_value_q;
address_new_value_u <= reg_delay_store_value_q when shift_r_u = '1' else
ctr_store_value_q;
limit_number_of_iterations <= '1' when (ctr_number_of_iterations_q = std_logic_vector(to_unsigned(2*final_degree - 1, size_final_degree+1))) else '0';
last_polynomial_coefficient <= '1' when (ctr_store_value_q = std_logic_vector(to_unsigned(2*final_degree - 1, size_final_degree+2))) else '0';
is_inv_zero <= '1' when (reg_inv_q = std_logic_vector(to_unsigned(0, gf_2_m))) else '0';
is_rho_zero <= '1' when (reg_rho_q = std_logic_vector(to_unsigned(0, gf_2_m))) else '0';
is_r0_zero <= '1' when (reg_value_r_q = std_logic_vector(to_unsigned(0, gf_2_m))) else '0';
is_delta_less_than_0 <= '1' when (signed(ctr_delta_q) < to_signed(0, size_final_degree+1)) else '0';
end Behavioral;
|
<reponame>umarcor/neorv32<filename>setups/quartus/neorv32_qsys_component/neorv32_qsys.vhd<gh_stars>100-1000
-- #################################################################################################
-- # << NEORV32 - Processor Top Qsys component with AvalonMM Compatible Master Interface >> #
-- # ********************************************************************************************* #
-- # (c) "NIOS-2", "Qsys", "Platform Designer" and "AvalonMM" are trademarks of Intel. #
-- # ********************************************************************************************* #
-- # BSD 3-Clause License #
-- # #
-- # Copyright (c) 2021, <NAME>. All rights reserved. #
-- # #
-- # Redistribution and use in source and binary forms, with or without modification, are #
-- # permitted provided that the following conditions are met: #
-- # #
-- # 1. Redistributions of source code must retain the above copyright notice, this list of #
-- # conditions and the following disclaimer. #
-- # #
-- # 2. Redistributions in binary form must reproduce the above copyright notice, this list of #
-- # conditions and the following disclaimer in the documentation and/or other materials #
-- # provided with the distribution. #
-- # #
-- # 3. Neither the name of the copyright holder nor the names of its contributors may be used to #
-- # endorse or promote products derived from this software without specific prior written #
-- # permission. #
-- # #
-- # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS #
-- # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF #
-- # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE #
-- # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, #
-- # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE #
-- # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED #
-- # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING #
-- # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED #
-- # OF THE POSSIBILITY OF SUCH DAMAGE. #
-- # ********************************************************************************************* #
-- # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) <NAME> #
-- #################################################################################################
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library neorv32;
use neorv32.neorv32_package.all;
entity neorv32_qsys is
generic (
GUI_CLOCK_FREQUENCY : integer := 100000000;
GUI_EMABLE_INTERNAL_IMEM : integer := 1;
GUI_IMEM_SIZE : integer := 16;
GUI_EMABLE_INTERNAL_DMEM : integer := 1;
GUI_DMEM_SIZE : integer := 8;
GUI_ENABLE_BOOTLOADER : integer := 0;
GUI_ENABLE_AVALONMM : integer := 1;
GUI_ENABLE_UART0 : integer := 1;
GUI_ENABLE_UART1 : integer := 0;
GUI_ENABLE_GPIO : integer := 0
);
port (
-- Global control --
clk_i : in std_logic := '0'; -- global clock, rising edge
rstn_i : in std_logic := '0'; -- global reset, low-active, async
-- GPIO --
gpio_o : out std_logic_vector(63 downto 0); -- parallel output
gpio_i : in std_logic_vector(63 downto 0) := (others => '0'); -- parallel output
-- UART0 --
uart0_txd_o : out std_logic; -- UART0 send data
uart0_rxd_i : in std_logic := '0'; -- UART0 receive data
-- UART1 --
uart1_txd_o : out std_logic; -- UART0 send data
uart1_rxd_i : in std_logic := '0'; -- UART0 receive data
-- AvalonMM interface
read : out std_logic;
write : out std_logic;
waitrequest : in std_logic := '0';
byteenable : out std_logic_vector(3 downto 0);
address : out std_logic_vector(31 downto 0);
writedata : out std_logic_vector(31 downto 0);
readdata : in std_logic_vector(31 downto 0) := (others => '0')
);
end entity;
architecture neorv32_qsys_rtl of neorv32_qsys is
signal gpio_i_ulogic : std_ulogic_vector(63 downto 0);
signal gpio_o_ulogic : std_ulogic_vector(63 downto 0);
-- Wishbone bus interface (available if MEM_EXT_EN = true) --
signal wb_tag_o : std_ulogic_vector(02 downto 0); -- request tag
signal wb_adr_o : std_ulogic_vector(31 downto 0); -- address
signal wb_dat_i : std_ulogic_vector(31 downto 0); -- read data
signal wb_dat_o : std_ulogic_vector(31 downto 0); -- write data
signal wb_we_o : std_ulogic; -- read/write
signal wb_sel_o : std_ulogic_vector(03 downto 0); -- byte enable
signal wb_stb_o : std_ulogic; -- strobe
signal wb_cyc_o : std_ulogic; -- valid cycle
signal wb_lock_o : std_ulogic; -- exclusive access request
signal wb_ack_i : std_ulogic; -- transfer acknowledge
signal wb_err_i : std_ulogic; -- transfer error
signal reset : std_logic;
function integer2bool(integer_value : integer := 0) return boolean is
begin
if integer_value = 0 then
return false;
else
return true;
end if;
end function;
begin
-- The Core Of The Problem ----------------------------------------------------------------
-- -------------------------------------------------------------------------------------------
neorv32_top_inst: neorv32_top
generic map (
-- General --
CLOCK_FREQUENCY => GUI_CLOCK_FREQUENCY, -- clock frequency of clk_i in Hz
INT_BOOTLOADER_EN => integer2bool(GUI_ENABLE_BOOTLOADER), -- implement processor-internal bootloader?
HW_THREAD_ID => 0, -- hardware thread id (hartid)
-- On-Chip Debugger (OCD) --
ON_CHIP_DEBUGGER_EN => false, -- implement on-chip debugger
-- RISC-V CPU Extensions --
CPU_EXTENSION_RISCV_A => false, -- implement atomic extension?
CPU_EXTENSION_RISCV_C => true, -- implement compressed extension?
CPU_EXTENSION_RISCV_E => false, -- implement embedded RF extension?
CPU_EXTENSION_RISCV_M => true, -- implement muld/div extension?
CPU_EXTENSION_RISCV_U => true, -- implement user mode extension?
CPU_EXTENSION_RISCV_Zfinx => false, -- implement 32-bit floating-point extension (using INT reg!)
CPU_EXTENSION_RISCV_Zicsr => true, -- implement CSR system?
CPU_EXTENSION_RISCV_Zifencei => false, -- implement instruction stream sync.?
CPU_EXTENSION_RISCV_Zmmul => false, -- implement multiply-only M sub-extension?
-- Extension Options --
FAST_MUL_EN => false, -- use DSPs for M extension's multiplier
FAST_SHIFT_EN => false, -- use barrel shifter for shift operations
CPU_CNT_WIDTH => 64, -- total width of CPU cycle and instret counters (0..64)
CPU_IPB_ENTRIES => 2, -- entries is instruction prefetch buffer, has to be a power of 2
-- Physical Memory Protection (PMP) --
PMP_NUM_REGIONS => 0, -- number of regions (0..64)
PMP_MIN_GRANULARITY => 64*1024, -- minimal region granularity in bytes, has to be a power of 2, min 8 bytes
-- Hardware Performance Monitors (HPM) --
HPM_NUM_CNTS => 4, -- number of implemented HPM counters (0..29)
HPM_CNT_WIDTH => 40, -- total size of HPM counters (0..64)
-- Internal Instruction memory --
MEM_INT_IMEM_EN => integer2bool(GUI_EMABLE_INTERNAL_IMEM), -- implement processor-internal instruction memory
MEM_INT_IMEM_SIZE => GUI_IMEM_SIZE*1024, -- size of processor-internal instruction memory in bytes
-- Internal Data memory --
MEM_INT_DMEM_EN => integer2bool(GUI_EMABLE_INTERNAL_DMEM), -- implement processor-internal data memory
MEM_INT_DMEM_SIZE => GUI_DMEM_SIZE*1024, -- size of processor-internal data memory in bytes
-- Internal Cache memory --
ICACHE_EN => false, -- implement instruction cache
ICACHE_NUM_BLOCKS => 4, -- i-cache: number of blocks (min 1), has to be a power of 2
ICACHE_BLOCK_SIZE => 64, -- i-cache: block size in bytes (min 4), has to be a power of 2
ICACHE_ASSOCIATIVITY => 1, -- i-cache: associativity / number of sets (1=direct_mapped), has to be a power of 2
-- External memory interface --
MEM_EXT_EN => integer2bool(GUI_ENABLE_AVALONMM), -- implement external memory bus interface?
MEM_EXT_TIMEOUT => 0, -- cycles after a pending bus access auto-terminates (0 = disabled)
MEM_EXT_PIPE_MODE => false, -- protocol: false=classic/standard wishbone mode, true=pipelined wishbone mode
MEM_EXT_BIG_ENDIAN => false, -- byte order: true=big-endian, false=little-endian
MEM_EXT_ASYNC_RX => false, -- use register buffer for RX data when false
-- Stream link interface (SLINK) --
SLINK_NUM_TX => 0, -- number of TX links (0..8)
SLINK_NUM_RX => 0, -- number of TX links (0..8)
SLINK_TX_FIFO => 1, -- TX fifo depth, has to be a power of two
SLINK_RX_FIFO => 1, -- RX fifo depth, has to be a power of two
-- External Interrupts Controller (XIRQ) --
XIRQ_NUM_CH => 0, -- number of external IRQ channels (0..32)
XIRQ_TRIGGER_TYPE => (x"FFFFFFFF"), -- trigger type: 0=level, 1=edge
XIRQ_TRIGGER_POLARITY => (x"FFFFFFFF"), -- trigger polarity: 0=low-level/falling-edge, 1=high-level/rising-edge
-- Processor peripherals --
IO_GPIO_EN => integer2bool(GUI_ENABLE_GPIO), -- implement general purpose input/output port unit (GPIO)?
IO_MTIME_EN => true, -- implement machine system timer (MTIME)?
IO_UART0_EN => integer2bool(GUI_ENABLE_UART0), -- implement primary universal asynchronous receiver/transmitter (UART0)?
IO_UART1_EN => integer2bool(GUI_ENABLE_UART1), -- implement secondary universal asynchronous receiver/transmitter (UART1)?
IO_SPI_EN => false, -- implement serial peripheral interface (SPI)?
IO_TWI_EN => false, -- implement two-wire interface (TWI)?
IO_PWM_NUM_CH => 0, -- number of PWM channels to implement (0..60); 0 = disabled
IO_WDT_EN => true, -- implement watch dog timer (WDT)?
IO_TRNG_EN => false, -- implement true random number generator (TRNG)?
IO_CFS_EN => false, -- implement custom functions subsystem (CFS)?
IO_CFS_CONFIG => x"00000000", -- custom CFS configuration generic
IO_CFS_IN_SIZE => 32, -- size of CFS input conduit in bits
IO_CFS_OUT_SIZE => 32, -- size of CFS output conduit in bits
IO_NEOLED_EN => false, -- implement NeoPixel-compatible smart LED interface (NEOLED)?
IO_NEOLED_TX_FIFO => 1 -- NEOLED TX FIFO depth, 1..32k, has to be a power of two
)
port map (
-- Global control --
clk_i => clk_i, -- global clock, rising edge
rstn_i => rstn_i, -- global reset, low-active, async
-- JTAG on-chip debugger interface (available if ON_CHIP_DEBUGGER_EN = true) --
jtag_trst_i => '0', -- low-active TAP reset (optional)
jtag_tck_i => '0', -- serial clock
jtag_tdi_i => '0', -- serial data input
jtag_tdo_o => open, -- serial data output
jtag_tms_i => '0', -- mode select
-- Wishbone bus interface (available if MEM_EXT_EN = true) --
wb_tag_o => wb_tag_o, -- tag
wb_adr_o => wb_adr_o, -- address
wb_dat_i => wb_dat_i, -- read data
wb_dat_o => wb_dat_o, -- write data
wb_we_o => wb_we_o, -- read/write
wb_sel_o => wb_sel_o, -- byte enable
wb_stb_o => wb_stb_o, -- strobe
wb_cyc_o => wb_cyc_o, -- valid cycle
wb_lock_o => wb_lock_o, -- exclusive access request
wb_ack_i => wb_ack_i, -- transfer acknowledge
wb_err_i => wb_err_i, -- transfer error
-- Advanced memory control signals (available if MEM_EXT_EN = true) --
fence_o => open, -- indicates an executed FENCE operation
fencei_o => open, -- indicates an executed FENCEI operation
-- TX stream interfaces (available if SLINK_NUM_TX > 0) --
slink_tx_dat_o => open, -- output data
slink_tx_val_o => open, -- valid output
slink_tx_rdy_i => (others => 'L'), -- ready to send
-- RX stream interfaces (available if SLINK_NUM_RX > 0) --
slink_rx_dat_i => (others => (others => 'U')), -- input data
slink_rx_val_i => (others => 'L'), -- valid input
slink_rx_rdy_o => open, -- ready to receive
-- GPIO (available if IO_GPIO_EN = true) --
gpio_o => gpio_o_ulogic, -- parallel output
gpio_i => gpio_i_ulogic, -- parallel input
-- primary UART0 (available if IO_UART0_EN = true) --
uart0_txd_o => uart0_txd_o, -- UART0 send data
uart0_rxd_i => uart0_rxd_i, -- UART0 receive data
uart0_rts_o => open, -- hw flow control: UART0.RX ready to receive ("RTR"), low-active, optional
uart0_cts_i => '0', -- hw flow control: UART0.TX allowed to transmit, low-active, optional
-- secondary UART1 (available if IO_UART1_EN = true) --
uart1_txd_o => uart1_txd_o, -- UART1 send data
uart1_rxd_i => uart1_rxd_i, -- UART1 receive data
uart1_rts_o => open, -- hw flow control: UART1.RX ready to receive ("RTR"), low-active, optional
uart1_cts_i => '0', -- hw flow control: UART1.TX allowed to transmit, low-active, optional
-- SPI (available if IO_SPI_EN = true) --
spi_sck_o => open, -- SPI serial clock
spi_sdo_o => open, -- controller data out, peripheral data in
spi_sdi_i => '0', -- controller data in, peripheral data out
spi_csn_o => open, -- SPI CS
-- TWI (available if IO_TWI_EN = true) --
twi_sda_io => open, -- twi serial data line
twi_scl_io => open, -- twi serial clock line
-- PWM (available if IO_PWM_NUM_CH > 0) --
pwm_o => open, -- pwm channels
-- Custom Functions Subsystem IO --
cfs_in_i => (others => '0'), -- custom inputs
cfs_out_o => open, -- custom outputs
-- NeoPixel-compatible smart LED interface (available if IO_NEOLED_EN = true) --
neoled_o => open, -- async serial data line
-- System time --
mtime_i => (others => '0'), -- current system time from ext. MTIME (if IO_MTIME_EN = false)
mtime_o => open, -- current system time from int. MTIME (if IO_MTIME_EN = true)
-- External platform interrupts (available if XIRQ_NUM_CH > 0) --
xirq_i => (others => '0'), -- IRQ channels
-- Interrupts --
mtime_irq_i => '0', -- machine timer interrupt, available if IO_MTIME_EN = false
msw_irq_i => '0', -- machine software interrupt
mext_irq_i => '0' -- machine external interrupt
);
-- Convert between std_logic / std_ulogic
gpio_o <= std_logic_vector(gpio_o_ulogic);
gpio_i_ulogic <= std_ulogic_vector(gpio_i);
reset <= not(rstn_i);
-- Wishbone to AvalonMM brdige
read <= '1' when (wb_stb_o = '1' and wb_we_o = '0') else '0';
write <= '1' when (wb_stb_o = '1' and wb_we_o = '1') else '0';
address <= std_logic_vector(wb_adr_o);
writedata <= std_logic_vector(wb_dat_o);
byteenable <= std_logic_vector(wb_sel_o);
wb_dat_i <= std_ulogic_vector(readdata);
wb_ack_i <= not(waitrequest);
wb_err_i <= '0';
end architecture;
|
--
-- File Name: TbUtilPkg.vhd
-- Design Unit Name: TbUtilPkg
-- Revision: STANDARD VERSION
--
-- Maintainer: <NAME> email: <EMAIL>
-- Contributor(s):
-- <NAME> email: <EMAIL>
--
-- Package Defines
--
-- Developed for:
-- SynthWorks Design Inc.
-- VHDL Training Classes
-- 11898 SW 128th Ave. Tigard, Or 97223
-- http://www.SynthWorks.com
--
-- Revision History:
-- Date Version Description
-- 11/1999: 0.1 Initial revision
-- Numerous revisions for VHDL Testbenches and Verification
-- 10/2013 2013.10 Split out Text Utilities
-- 11/2016 2016.11 First Public Release Version
-- Updated naming for consistency.
-- 04/2018 2018.04 Added RequestTransaction, WaitForTransaction, Toggle, WaitForToggle for bit.
-- Added Increment and WaitForToggle for integer.
--
--
-- Copyright (c) 1999 - 2018 by SynthWorks Design Inc. All rights reserved.
--
-- Verbatim copies of this source file may be used and
-- distributed without restriction.
--
-- This source file is free software; you can redistribute it
-- and/or modify it under the terms of the ARTISTIC License
-- as published by The Perl Foundation; either version 2.0 of
-- the License, or (at your option) any later version.
--
-- This source is distributed in the hope that it will be
-- useful, but WITHOUT ANY WARRANTY; without even the implied
-- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR
-- PURPOSE. See the Artistic License for details.
--
-- You should have received a copy of the license with this source.
-- If not download it from,
-- http://www.perlfoundation.org/artistic_license_2_0
--
library ieee ;
use ieee.std_logic_1164.all ;
library osvvm ;
use osvvm.AlertLogPkg.all ;
use osvvm.TranscriptPkg.all ;
package TbUtilPkg is
constant CLK_ACTIVE : std_logic := '1' ;
constant t_sim_resolution : time := std.env.resolution_limit ; -- VHDL-2008
-- constant t_sim_resolution : time := 1 ns ; -- for non VHDL-2008 simulators
------------------------------------------------------------
-- ZeroOneHot, OneHot
-- OneHot: return true if exactly one value is 1
-- ZeroOneHot: return false when more than one value is a 1
------------------------------------------------------------
function OneHot ( constant A : in std_logic_vector ) return boolean ;
function ZeroOneHot ( constant A : in std_logic_vector ) return boolean ;
------------------------------------------------------------
-- RequestTransaction
-- Transaction initiation side of handshaking
-- Pairs with WaitForTransaction or one of its variations
------------------------------------------------------------
procedure RequestTransaction (
signal Rdy : Out std_logic ;
signal Ack : In std_logic
) ;
procedure RequestTransaction (
signal Rdy : Out bit ;
signal Ack : In bit
) ;
------------------------------------------------------------
-- WaitForTransaction
-- Model side of handshaking
-- Pairs with RequestTransaction
------------------------------------------------------------
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal Ack : Out std_logic
) ;
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In bit ;
signal Ack : Out bit
) ;
-- Variation for model that stops waiting when TimeOut is asserted
-- Intended for models that need to switch between instruction streams
-- such as a CPU when interrupt is pending
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal Ack : Out std_logic ;
signal TimeOut : In std_logic ;
constant Polarity : In std_logic := '1'
) ;
-- Set Ack to Model starting value
procedure StartTransaction ( signal Ack : Out std_logic ) ;
-- Set Ack to Model finishing value
procedure FinishTransaction ( signal Ack : Out std_logic ) ;
-- If a transaction is pending, return true
function TransactionPending ( signal Rdy : In std_logic ) return boolean ;
-- Variation for clockless models
procedure WaitForTransaction (
signal Rdy : In std_logic ;
signal Ack : Out std_logic
) ;
------------------------------------------------------------
-- Toggle, WaitForToggle
-- Used for communicating between processes
------------------------------------------------------------
procedure Toggle (
signal Sig : InOut std_logic ;
constant DelayVal : time
) ;
procedure Toggle ( signal Sig : InOut std_logic ) ;
procedure ToggleHS ( signal Sig : InOut std_logic ) ;
function IsToggle ( signal Sig : In std_logic ) return boolean ;
procedure WaitForToggle ( signal Sig : In std_logic ) ;
-- Bit type versions
procedure Toggle ( signal Sig : InOut bit ; constant DelayVal : time ) ;
procedure Toggle ( signal Sig : InOut bit ) ;
procedure ToggleHS ( signal Sig : InOut bit ) ;
function IsToggle ( signal Sig : In bit ) return boolean ;
procedure WaitForToggle ( signal Sig : In bit ) ;
-- Integer type versions
procedure Increment ( signal Sig : InOut integer ; constant RollOverValue : in integer := 0) ;
procedure WaitForToggle ( signal Sig : In integer ) ;
------------------------------------------------------------
-- WaitForBarrier
-- Barrier Synchronization
-- Multiple processes call it, it finishes when all have called it
------------------------------------------------------------
procedure WaitForBarrier ( signal Sig : InOut std_logic ) ;
procedure WaitForBarrier ( signal Sig : InOut std_logic ; signal TimeOut : std_logic ; constant Polarity : in std_logic := '1') ;
procedure WaitForBarrier ( signal Sig : InOut std_logic ; constant TimeOut : time ) ;
-- resolved_barrier : summing resolution used in conjunction with integer based barriers
function resolved_barrier ( s : integer_vector ) return integer ;
subtype integer_barrier is resolved_barrier integer ;
-- Usage of integer barriers requires resolved_barrier. Initialization to 1 recommended, but not required
-- signal barrier1 : resolved_barrier integer := 1 ; -- using the resolution function
-- signal barrier2 : integer_barrier := 1 ; -- using the subtype that already applies the resolution function
procedure WaitForBarrier ( signal Sig : InOut integer ) ;
procedure WaitForBarrier ( signal Sig : InOut integer ; signal TimeOut : std_logic ; constant Polarity : in std_logic := '1') ;
procedure WaitForBarrier ( signal Sig : InOut integer ; constant TimeOut : time ) ;
-- Using separate signals
procedure WaitForBarrier2 ( signal SyncOut : out std_logic ; signal SyncIn : in std_logic ) ;
procedure WaitForBarrier2 ( signal SyncOut : out std_logic ; signal SyncInV : in std_logic_vector ) ;
------------------------------------------------------------
-- WaitForClock
-- Sync to Clock - after a delay, after a number of clocks
------------------------------------------------------------
procedure WaitForClock ( signal Clk : in std_logic ; constant Delay : in time ) ;
procedure WaitForClock ( signal Clk : in std_logic ; constant NumberOfClocks : in integer := 1) ;
procedure WaitForClock ( signal Clk : in std_logic ; signal Enable : in boolean ) ;
procedure WaitForClock ( signal Clk : in std_logic ; signal Enable : in std_logic ; constant Polarity : std_logic := '1' ) ;
------------------------------------------------------------
-- WaitForLevel
-- Find a signal at a level
------------------------------------------------------------
procedure WaitForLevel ( signal A : in boolean ) ;
procedure WaitForLevel ( signal A : in std_logic ; Polarity : std_logic := '1' ) ;
------------------------------------------------------------
-- CreateClock, CreateReset
-- Note these do not exit
------------------------------------------------------------
procedure CreateClock (
signal Clk : inout std_logic ;
constant Period : time ;
constant DutyCycle : real := 0.5
) ;
procedure CheckClockPeriod (
constant AlertLogID : AlertLogIDType ;
signal Clk : in std_logic ;
constant Period : time ;
constant ClkName : string := "Clock" ;
constant HowMany : integer := 5
) ;
procedure CheckClockPeriod (
signal Clk : in std_logic ;
constant Period : time ;
constant ClkName : string := "Clock" ;
constant HowMany : integer := 5
) ;
procedure CreateReset (
signal Reset : out std_logic ;
constant ResetActive : in std_logic ;
signal Clk : in std_logic ;
constant Period : time ;
constant tpd : time
) ;
procedure LogReset (
constant AlertLogID : AlertLogIDType ;
signal Reset : in std_logic ;
constant ResetActive : in std_logic ;
constant ResetName : in string := "Reset" ;
constant LogLevel : in LogType := ALWAYS
) ;
procedure LogReset (
signal Reset : in std_logic ;
constant ResetActive : in std_logic ;
constant ResetName : in string := "Reset" ;
constant LogLevel : in LogType := ALWAYS
) ;
------------------------------------------------------------
-- Deprecated subprogram names
-- Maintaining backward compatibility using aliases
------------------------------------------------------------
-- History of RequestTransaction / WaitForTransaction
alias RequestAction is RequestTransaction [std_logic, std_logic] ;
alias WaitForRequest is WaitForTransaction [std_logic, std_logic, std_logic] ;
-- History of WaitForToggle
alias WaitOnToggle is WaitForToggle [std_logic] ;
-- History of WaitForBarrier
alias WayPointBlock is WaitForBarrier [std_logic] ;
alias SyncTo is WaitForBarrier2[std_logic, std_logic] ;
alias SyncTo is WaitForBarrier2[std_logic, std_logic_vector] ;
-- Backward compatible name
alias SyncToClk is WaitForClock [std_logic, time] ;
------------------------------------------------------------
-- Deprecated
-- subsumed by WaitForTransaction with Ack and TimeOut.
-- TimeOut works exactly like IntReq
------------------------------------------------------------
procedure WaitForTransactionOrIrq (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal IntReq : In std_logic
) ;
------------------------------------------------------------
-- Deprecated
-- WaitForAck, StrobeAck
-- Replaced by WaitForToggle and Toggle
------------------------------------------------------------
procedure WaitForAck ( signal Ack : In std_logic ) ;
procedure StrobeAck ( signal Ack : Out std_logic ) ;
end TbUtilPkg ;
-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
package body TbUtilPkg is
------------------------------------------------------------
-- ZeroOneHot, OneHot
-- OneHot: return true if exactly one value is 1
-- ZeroOneHot: return false when more than one value is a 1
------------------------------------------------------------
function OneHot ( constant A : in std_logic_vector ) return boolean is
variable found_one : boolean := FALSE ;
begin
for i in A'range loop
if A(i) = '1' or A(i) = 'H' then
if found_one then
return FALSE ;
end if ;
found_one := TRUE ;
end if ;
end loop ;
return found_one ; -- found a one
end function OneHot ;
function ZeroOneHot ( constant A : in std_logic_vector ) return boolean is
variable found_one : boolean := FALSE ;
begin
for i in A'range loop
if A(i) = '1' or A(i) = 'H' then
if found_one then
return FALSE ;
end if ;
found_one := TRUE ;
end if ;
end loop ;
return TRUE ; -- all zero or found a one
end function ZeroOneHot ;
------------------------------------------------------------
-- RequestTransaction
-- Transaction initiation side of handshaking
-- Pairs with WaitForTransaction or one of its variations
------------------------------------------------------------
procedure RequestTransaction (
signal Rdy : Out std_logic ;
signal Ack : In std_logic
) is
begin
-- Record contains new transaction
Rdy <= '1' ;
-- Find Ack low = '0'
wait until Ack = '0' ;
-- Prepare for Next Transaction
Rdy <= '0' ;
-- Transaction Done
wait until Ack = '1' ;
end procedure RequestTransaction ;
procedure RequestTransaction (
signal Rdy : Out bit ;
signal Ack : In bit
) is
begin
-- Record contains new transaction
Rdy <= '1' ;
-- Find Ack low = '0'
wait until Ack = '0' ;
-- Prepare for Next Transaction
Rdy <= '0' ;
-- Transaction Done
wait until Ack = '1' ;
end procedure RequestTransaction ;
------------------------------------------------------------
-- WaitForTransaction
-- Model side of handshaking
-- Pairs with RequestTransaction
------------------------------------------------------------
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal Ack : Out std_logic
) is
variable AckTime : time ;
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
AckTime := NOW ;
-- Find Start of Transaction
if Rdy /= '1' then -- #2
wait until Rdy = '1' ;
else
wait for 0 ns ; -- allow Ack to update
end if ;
-- align to clock if needed (not back-to-back transactions)
if NOW /= AckTime then
wait until Clk = CLK_ACTIVE ;
end if ;
-- Model active and owns the record
Ack <= '0' ; -- #3
end procedure WaitForTransaction ;
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In bit ;
signal Ack : Out bit
) is
variable AckTime : time ;
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
AckTime := NOW ;
-- Find Start of Transaction
if Rdy /= '1' then -- #2
wait until Rdy = '1' ;
else
wait for 0 ns ; -- allow Ack to update
end if ;
-- align to clock if needed (not back-to-back transactions)
if NOW /= AckTime then
wait until Clk = CLK_ACTIVE ;
end if ;
-- Model active and owns the record
Ack <= '0' ; -- #3
end procedure WaitForTransaction ;
-- Variation for model that stops waiting when TimeOut is asserted
-- Intended for models that need to switch between instruction streams
-- such as a CPU when interrupt is pending
procedure WaitForTransaction (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal Ack : Out std_logic ;
signal TimeOut : In std_logic ;
constant Polarity : In std_logic := '1'
) is
variable AckTime : time ;
variable FoundRdy : boolean ;
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
AckTime := NOW ;
-- Find Ready or Time out
if (Rdy /= '1' and TimeOut /= Polarity) then
wait until Rdy = '1' or TimeOut = Polarity ;
else
wait for 0 ns ; -- allow Ack to update
end if ;
FoundRdy := Rdy = '1' ;
-- align to clock if Rdy or TimeOut does not happen within delta cycles from Ack
if NOW /= AckTime then
wait until Clk = CLK_ACTIVE ;
end if ;
if FoundRdy then
-- Model active and owns the record
Ack <= '0' ; -- #3
end if ;
end procedure WaitForTransaction ;
-- Set Ack to Model starting value
-- Pairs with WaitForTransactionOrIrq above
procedure StartTransaction ( signal Ack : Out std_logic ) is
begin
Ack <= '0' ;
end procedure StartTransaction ;
-- Set Ack to Model finishing value
-- Pairs with WaitForTransactionOrIrq above
procedure FinishTransaction ( signal Ack : Out std_logic ) is
begin
-- End of Cycle
Ack <= '1' ;
end procedure FinishTransaction ;
-- If a transaction is pending, return true
-- Used to detect presence of transaction stream,
-- such as an interrupt handler
function TransactionPending (
signal Rdy : In std_logic
) return boolean is
begin
return Rdy = '1' ;
end function TransactionPending ;
-- Variation for clockless models
procedure WaitForTransaction (
signal Rdy : In std_logic ;
signal Ack : Out std_logic
) is
variable AckTime : time ;
begin
-- End of Previous Cycle. Signal Done
Ack <= '1' ; -- #6
-- Find Start of Transaction
if Rdy /= '1' then -- #2
wait until Rdy = '1' ;
else
wait for 0 ns ; -- allow Ack to update
end if ;
-- Model active and owns the record
Ack <= '0' ; -- #3
end procedure WaitForTransaction ;
------------------------------------------------------------
-- Toggle, WaitForToggle
-- Used for communicating between processes
------------------------------------------------------------
type stdulogic_indexby_stdulogic is array (std_ulogic) of std_ulogic;
constant toggle_sl_table : stdulogic_indexby_stdulogic := (
'0' => '1',
'L' => '1',
others => '0'
);
procedure Toggle (
signal Sig : InOut std_logic ;
constant DelayVal : time
) is
variable iDelayVal : time ;
begin
if DelayVal > t_sim_resolution then
iDelayVal := DelayVal - t_sim_resolution ;
else
iDelayVal := 0 sec ;
AlertIf(OSVVM_ALERTLOG_ID, DelayVal < 0 sec, "osvvm.TbUtilPkg.Toggle: Delay value < 0 ns") ;
end if ;
Sig <= toggle_sl_table(Sig) after iDelayVal ;
end procedure Toggle ;
procedure Toggle ( signal Sig : InOut std_logic ) is
begin
Sig <= toggle_sl_table(Sig) ;
end procedure Toggle ;
procedure ToggleHS ( signal Sig : InOut std_logic ) is
begin
Sig <= toggle_sl_table(Sig) ;
wait for 0 ns ; -- Sig toggles
wait for 0 ns ; -- new values updated into record
end procedure ToggleHS ;
function IsToggle ( signal Sig : In std_logic ) return boolean is
begin
return Sig'event ;
end function IsToggle ;
procedure WaitForToggle ( signal Sig : In std_logic ) is
begin
wait on Sig ;
end procedure WaitForToggle ;
-- Bit type versions
procedure Toggle ( signal Sig : InOut bit ; constant DelayVal : time ) is
variable iDelayVal : time ;
begin
if DelayVal > t_sim_resolution then
iDelayVal := DelayVal - t_sim_resolution ;
else
iDelayVal := 0 sec ;
AlertIf(OSVVM_ALERTLOG_ID, DelayVal < 0 sec,
"osvvm.TbUtilPkg.Toggle: Delay value < 0 ns", WARNING) ;
end if ;
Sig <= not Sig after iDelayVal ;
end procedure Toggle ;
procedure Toggle ( signal Sig : InOut bit ) is
begin
Sig <= not Sig ;
end procedure Toggle ;
procedure ToggleHS ( signal Sig : InOut bit ) is
begin
Sig <= not Sig ;
wait for 0 ns ; -- Sig toggles
wait for 0 ns ; -- new values updated into record
end procedure ToggleHS ;
function IsToggle ( signal Sig : In bit ) return boolean is
begin
return Sig'event ;
end function IsToggle ;
procedure WaitForToggle ( signal Sig : In bit ) is
begin
wait on Sig ;
end procedure WaitForToggle ;
-- Integer type versions
procedure Increment ( signal Sig : InOut integer ; constant RollOverValue : in integer := 0) is
begin
if Sig = integer'high then
Sig <= RollOverValue ;
else
Sig <= Sig + 1 ;
end if ;
end procedure Increment ;
procedure WaitForToggle ( signal Sig : In integer ) is
begin
wait on Sig ;
end procedure WaitForToggle ;
------------------------------------------------------------
-- WaitForBarrier
-- Barrier Synchronization
-- Multiple processes call it, it finishes when all have called it
------------------------------------------------------------
procedure WaitForBarrier ( signal Sig : InOut std_logic ) is
begin
Sig <= 'H' ;
-- Wait until all processes set Sig to H
-- Level check not necessary since last value /= H yet
wait until Sig = 'H' ;
-- Deactivate and propagate to allow back to back calls
Sig <= '0' ;
wait for 0 ns ;
end procedure WaitForBarrier ;
procedure WaitForBarrier ( signal Sig : InOut std_logic ; signal TimeOut : std_logic ; constant Polarity : in std_logic := '1') is
begin
Sig <= 'H' ;
-- Wait until all processes set Sig to H
-- Level check not necessary since last value /= H yet
wait until Sig = 'H' or TimeOut = Polarity ;
-- Deactivate and propagate to allow back to back calls
Sig <= '0' ;
wait for 0 ns ;
end procedure WaitForBarrier ;
procedure WaitForBarrier ( signal Sig : InOut std_logic ; constant TimeOut : time ) is
begin
Sig <= 'H' ;
-- Wait until all processes set Sig to H
-- Level check not necessary since last value /= H yet
wait until Sig = 'H' for TimeOut ;
-- Deactivate and propagate to allow back to back calls
Sig <= '0' ;
wait for 0 ns ;
end procedure WaitForBarrier ;
------------------------------------------------------------
-- resolved_barrier
-- summing resolution used in conjunction with integer based barriers
function resolved_barrier ( s : integer_vector ) return integer is
variable result : integer := 0 ;
begin
for i in s'RANGE loop
if s(i) /= integer'left then
result := s(i) + result;
else
result := s(i) + 1; -- removes the initialization requirement
end if ;
end loop ;
return result ;
end function resolved_barrier ;
-- Usage of integer barriers requires resolved_barrier. Initialization to 1 recommended, but not required
-- signal barrier1 : resolved_barrier integer := 1 ; -- using the resolution function
-- signal barrier2 : integer_barrier := 1 ; -- using the subtype that already applies the resolution function
procedure WaitForBarrier ( signal Sig : InOut integer ) is
begin
Sig <= 0 ;
-- Wait until all processes set Sig to 0
-- Level check not necessary since last value /= 0 yet
wait until Sig = 0 ;
-- Deactivate and propagate to allow back to back calls
Sig <= 1 ;
wait for 0 ns ;
end procedure WaitForBarrier ;
procedure WaitForBarrier ( signal Sig : InOut integer ; signal TimeOut : std_logic ; constant Polarity : in std_logic := '1') is
begin
Sig <= 0 ;
-- Wait until all processes set Sig to 0
-- Level check not necessary since last value /= 0 yet
wait until Sig = 0 or TimeOut = Polarity ;
-- Deactivate and propagate to allow back to back calls
Sig <= 1 ;
wait for 0 ns ;
end procedure WaitForBarrier ;
procedure WaitForBarrier ( signal Sig : InOut integer ; constant TimeOut : time ) is
begin
Sig <= 0 ;
-- Wait until all processes set Sig to 0
-- Level check not necessary since last value /= 0 yet
wait until Sig = 0 for TimeOut ;
-- Deactivate and propagate to allow back to back calls
Sig <= 1 ;
wait for 0 ns ;
end procedure WaitForBarrier ;
-- Using separate signals
procedure WaitForBarrier2 ( signal SyncOut : out std_logic ; signal SyncIn : in std_logic ) is
begin
-- Activate Rdy
SyncOut <= '1' ;
-- Make sure our Rdy is seen
wait for 0 ns ;
-- Wait until other process' Rdy is at level 1
if SyncIn /= '1' then
wait until SyncIn = '1' ;
end if ;
-- Deactivate Rdy
SyncOut <= '0' ;
end procedure WaitForBarrier2 ;
procedure WaitForBarrier2 ( signal SyncOut : out std_logic ; signal SyncInV : in std_logic_vector ) is
constant ALL_ONE : std_logic_vector(SyncInV'Range) := (others => '1');
begin
-- Activate Rdy
SyncOut <= '1' ;
-- Make sure our Rdy is seen
wait for 0 ns ;
-- Wait until all other process' Rdy is at level 1
if SyncInV /= ALL_ONE then
wait until SyncInV = ALL_ONE ;
end if ;
-- Deactivate Rdy
SyncOut <= '0' ;
end procedure WaitForBarrier2 ;
------------------------------------------------------------
-- WaitForClock
-- Sync to Clock - after a delay, after a number of clocks
------------------------------------------------------------
procedure WaitForClock ( signal Clk : in std_logic ; constant Delay : in time ) is
begin
if delay > t_sim_resolution then
wait for delay - t_sim_resolution ;
end if ;
wait until Clk = CLK_ACTIVE ;
end procedure WaitForClock ;
procedure WaitForClock ( signal Clk : in std_logic ; constant NumberOfClocks : in integer := 1) is
begin
for i in 1 to NumberOfClocks loop
wait until Clk = CLK_ACTIVE ;
end loop ;
end procedure WaitForClock ;
procedure WaitForClock ( signal Clk : in std_logic ; signal Enable : in boolean ) is
begin
wait on Clk until Clk = CLK_ACTIVE and Enable ;
end procedure WaitForClock ;
procedure WaitForClock ( signal Clk : in std_logic ; signal Enable : in std_logic ; constant Polarity : std_logic := '1' ) is
begin
wait on Clk until Clk = CLK_ACTIVE and Enable = Polarity ;
end procedure WaitForClock ;
------------------------------------------------------------
-- WaitForLevel
-- Find a signal at a level
------------------------------------------------------------
procedure WaitForLevel ( signal A : in boolean ) is
begin
if not A then
wait until A ;
end if ;
end procedure WaitForLevel ;
procedure WaitForLevel ( signal A : in std_logic ; Polarity : std_logic := '1' ) is
begin
if A /= Polarity then
-- wait on A until A = Polarity ;
if Polarity = '1' then
wait until A = '1' ;
else
wait until A = '0' ;
end if ;
end if ;
end procedure WaitForLevel ;
------------------------------------------------------------
-- CreateClock, CreateReset
-- Note these do not exit
------------------------------------------------------------
procedure CreateClock (
signal Clk : inout std_logic ;
constant Period : time ;
constant DutyCycle : real := 0.5
) is
constant HIGH_TIME : time := Period * DutyCycle ;
constant LOW_TIME : time := Period - HIGH_TIME ;
begin
if HIGH_TIME = LOW_TIME then
loop
Clk <= toggle_sl_table(Clk) after HIGH_TIME ;
wait on Clk ;
end loop ;
else
-- Schedule s.t. all assignments after the first occur on delta cycle 0
Clk <= '0', '1' after LOW_TIME ;
wait for period - 1 ns ; -- allows after on future Clk <= '0'
loop
Clk <= '0' after 1 ns, '1' after LOW_TIME + 1 ns ;
wait for period ;
end loop ;
end if ;
end procedure CreateClock ;
procedure CheckClockPeriod (
constant AlertLogID : AlertLogIDType ;
signal Clk : in std_logic ;
constant Period : time ;
constant ClkName : string := "Clock" ;
constant HowMany : integer := 5
) is
variable LastLogTime, ObservedPeriod : time ;
begin
wait until Clk = CLK_ACTIVE ;
LastLogTime := now ;
-- Check First HowMany clocks
for i in 1 to HowMany loop
wait until Clk = CLK_ACTIVE ;
ObservedPeriod := now - LastLogTime ;
AffirmIf(AlertLogID, ObservedPeriod = Period,
"CheckClockPeriod: " & ClkName & " Period: " & to_string(ObservedPeriod) &
" = Expected " & to_string(Period)) ;
LastLogTime := now ;
end loop ;
wait ;
end procedure CheckClockPeriod ;
procedure CheckClockPeriod (
signal Clk : in std_logic ;
constant Period : time ;
constant ClkName : string := "Clock" ;
constant HowMany : integer := 5
) is
begin
CheckClockPeriod (
AlertLogID => ALERTLOG_DEFAULT_ID,
Clk => Clk,
Period => Period,
ClkName => ClkName,
HowMany => HowMany
) ;
end procedure CheckClockPeriod ;
procedure CreateReset (
signal Reset : out std_logic ;
constant ResetActive : in std_logic ;
signal Clk : in std_logic ;
constant Period : time ;
constant tpd : time
) is
begin
wait until Clk = CLK_ACTIVE ;
Reset <= ResetActive after tpd ;
wait for Period - t_sim_resolution ;
wait until Clk = CLK_ACTIVE ;
Reset <= not ResetActive after tpd ;
wait ;
end procedure CreateReset ;
procedure LogReset (
constant AlertLogID : AlertLogIDType ;
signal Reset : in std_logic ;
constant ResetActive : in std_logic ;
constant ResetName : in string := "Reset" ;
constant LogLevel : in LogType := ALWAYS
) is
begin
-- Does not log the value of Reset at time 0.
for_ever : loop
wait on Reset ;
if Reset = ResetActive then
LOG(AlertLogID, ResetName & " now active", INFO) ;
print("") ;
elsif Reset = not ResetActive then
LOG(AlertLogID, ResetName & " now inactive", INFO) ;
print("") ;
else
LOG(AlertLogID, ResetName & " = " & to_string(Reset), INFO) ;
print("") ;
end if ;
end loop for_ever ;
end procedure LogReset ;
procedure LogReset (
signal Reset : in std_logic ;
constant ResetActive : in std_logic ;
constant ResetName : in string := "Reset" ;
constant LogLevel : in LogType := ALWAYS
) is
begin
LogReset (
AlertLogID => ALERTLOG_DEFAULT_ID,
Reset => Reset,
ResetActive => ResetActive,
ResetName => ResetName,
LogLevel => LogLevel
) ;
end procedure LogReset ;
------------------------------------------------------------
-- Deprecated
-- subsumed by WaitForTransaction with Ack and TimeOut.
-- TimeOut works exactly like IntReq
------------------------------------------------------------
procedure WaitForTransactionOrIrq (
signal Clk : In std_logic ;
signal Rdy : In std_logic ;
signal IntReq : In std_logic
) is
variable AckTime : time ;
constant POLARITY : std_logic := '1' ;
begin
AckTime := NOW ;
-- Find Ready or Time out
if (Rdy /= '1' and IntReq /= POLARITY) then
wait until Rdy = '1' or IntReq = POLARITY ;
else
wait for 0 ns ; -- allow Ack to update
end if ;
-- align to clock if Rdy or IntReq does not happen within delta cycles from Ack
if NOW /= AckTime then
wait until Clk = CLK_ACTIVE ;
end if ;
end procedure ;
------------------------------------------------------------
-- Deprecated
-- WaitForAck, StrobeAck
-- Replaced by WaitForToggle and Toggle
------------------------------------------------------------
procedure WaitForAck ( signal Ack : In std_logic ) is
begin
-- Wait for Model to be done
wait until Ack = '1' ;
end procedure ;
procedure StrobeAck ( signal Ack : Out std_logic ) is
begin
-- Model done, drive rising edge on Ack
Ack <= '0' ;
wait for 0 ns ;
Ack <= '1' ;
end procedure ;
end TbUtilPkg ;
|
-- ----------------------------------------------------------------------------
-- FILE: ba16x16x26.vhd
-- DESCRIPTION: This file implements only array of adders required for
-- Booth multiplier design. Booth array is truncated to
-- 26 bits in order to save some hardware.
-- DATE: Aug 24, 2001
-- AUTHOR(s): Microelectronic Centre Design Team
-- MUMEC
-- Bounds Green Road
-- N11 2NQ London
-- REVISIONS:
-- ----------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- ----------------------------------------------------------------------------
-- Entity declaration
-- ----------------------------------------------------------------------------
entity ba16x16x26mac is
port (
x: in std_logic_vector (15 downto 0);
y: in std_logic_vector (15 downto 0);
c: out std_logic_vector (25 downto 0);
s: out std_logic_vector (25 downto 0);
clk: in std_logic;
en: in std_logic;
reset: in std_logic
);
end ba16x16x26mac;
-- ----------------------------------------------------------------------------
-- Architecture
-- ----------------------------------------------------------------------------
architecture ba16x16x26mac_arch of ba16x16x26mac is
-- Latched x and y
signal xl1, xl2, xl3, xl4: std_logic_vector(15 downto 0);
signal yl1, yl2, yl3, yl4: std_logic_vector(15 downto 0);
-- Partial sums, carries and latces
signal a1, b1: std_logic_vector(14 downto 0);
signal a2, b2: std_logic_vector(16 downto 0);
signal a2l, b2l: std_logic_vector(16 downto 0); -- Latch B
signal a3, b3: std_logic_vector(18 downto 0);
signal a4, b4: std_logic_vector(18 downto 0);
signal a4l, b4l: std_logic_vector(18 downto 0); -- Latch C
signal a5, b5: std_logic_vector(18 downto 0);
signal a6, b6: std_logic_vector(18 downto 0);
signal a6l, b6l: std_logic_vector(18 downto 0); -- Latch D
signal a7, b7: std_logic_vector(18 downto 0);
signal al1, bl1: std_logic_vector(3 downto 0);
signal al2, bl2: std_logic_vector(7 downto 0);
-- Logic connstants
signal zero: std_logic;
-- Component declarations
use work.components.rowfirstt12;
use work.components.row14;
use work.components.row16;
for all:rowfirstt12 use entity work.rowfirstt12(rowfirstt12_arch);
for all:row14 use entity work.row14(row14_arch);
for all:row16 use entity work.row16(row16_arch);
begin
zero <= '0';
-- Latches
latch: process(clk, reset)
begin
if reset = '0' then
xl1 <= (others => '0');
yl1 <= (others => '0');
xl2 <= (others => '0');
yl2 <= (others => '0');
xl3 <= (others => '0');
yl3 <= (others => '0');
xl4 <= (others => '0');
yl4 <= (others => '0');
a2l <= (others => '0');
b2l <= (others => '0');
a4l <= (others => '0');
b4l <= (others => '0');
a6l <= (others => '0');
b6l <= (others => '0');
al1 <= (others => '0');
bl1 <= (others => '0');
al2 <= (others => '0');
bl2 <= (others => '0');
elsif clk'event and clk = '1' then
if en = '1' then
xl1 <= x;
yl1 <= y;
xl2 <= xl1;
yl2 <= yl1;
xl3 <= xl2;
yl3 <= yl2;
xl4 <= xl3;
yl4 <= yl3;
a2l <= a2;
b2l <= b2;
a4l <= a4;
b4l <= b4;
a6l <= a6;
b6l <= b6;
al1 <= a4(1 downto 0) & a3(1 downto 0);
bl1 <= b4(1 downto 0) & b3(1 downto 0);
al2 <= a6(1 downto 0) & a5(1 downto 0) & al1;
bl2 <= b6(1 downto 0) & b5(1 downto 0) & bl1;
end if;
end if;
end process latch;
-- Rows of adders
-- Latch A
row1: rowfirstt12
port map(x => xl1(15 downto 4), y => yl1(3 downto 0),
sbit => zero, s => a1, c => b1);
row2: row14
port map(x => xl1(15 downto 2), y => yl1(5 downto 3),
a => a1, b => b1, s => a2, c => b2);
-- Latch B
row3: row16
port map(x => xl2, y => yl2(7 downto 5),
a => a2l, b => b2l, s => a3, c => b3);
row4: row16
port map(x => xl2, y => yl2(9 downto 7),
a => a3(18 downto 2), b => b3(18 downto 2), s => a4, c => b4);
-- Latch C
row5: row16
port map(x => xl3, y => yl3(11 downto 9),
a => a4l(18 downto 2), b => b4l(18 downto 2), s => a5, c => b5);
row6: row16
port map(x => xl3, y => yl3(13 downto 11),
a => a5(18 downto 2), b => b5(18 downto 2), s => a6, c => b6);
-- Latch D
row7: row16
port map(x => xl4, y => yl4(15 downto 13),
a => a6l(18 downto 2), b => b6l(18 downto 2), s => a7, c => b7);
c <= a7(17 downto 0) & al2;
s <= b7(17 downto 0) & bl2;
end ba16x16x26mac_arch;
|
<filename>2019-eln-inverter-linejessye/Inverter_test/hdl/risingDetector_tester_test.vhd
ARCHITECTURE test OF risingDetector_tester IS
constant clockFrequency: real := 100.0E6;
constant clockPeriod: time := 1.0/clockFrequency * 1 sec;
signal sClock: std_uLogic := '1';
constant inputFrequency: real := clockFrequency / 5.0;
constant inputPeriod: time := 1.0/inputFrequency * 1 sec;
signal sInput: std_uLogic := '0';
BEGIN
------------------------------------------------------------------------------
-- clock and reset
reset <= '1', '0' after 4*clockPeriod;
sClock <= not sClock after clockPeriod/2;
clock <= transport sClock after 9.0/10.0 * clockPeriod;
------------------------------------------------------------------------------
-- mains
sInput <= not sInput after inputPeriod/2;
sigIn <= sInput;
END ARCHITECTURE test;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity nt_nt is
port(
clk : in std_logic;
SI : in BIT;
SO : out BIT
);
end nt_nt;
architecture nt_nt of nt_nt is
signal tmp: bit_vector(7 downto 0);
begin
process (clk)
begin
if (clk'event and clk='1') then
tmp <= tmp(6 downto 0)& SI;
end if;
end process;
SO <= tmp(7);
end nt_nt;
--clk=20Mhz; SI= random 10ns;
|
-- Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2021.2 (lin64) Build 3367213 Tue Oct 19 02:47:39 MDT 2021
-- Date : Fri Mar 18 09:01:57 2022
-- Host : labx running 64-bit Ubuntu 20.04.1 LTS
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ eth_xcvr_gt_channel_sim_netlist.vhdl
-- Design : eth_xcvr_gt_channel
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xcvu9p-flga2104-2L-e
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer is
port (
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : out STD_LOGIC;
rxresetdone_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer is
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rxresetdone_out(0),
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_0 is
port (
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : out STD_LOGIC;
txresetdone_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_0 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_0 is
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => txresetdone_out(0),
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_1 is
port (
E : out STD_LOGIC_VECTOR ( 0 to 0 );
gtpowergood_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
\FSM_sequential_sm_reset_all_reg[0]\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
\FSM_sequential_sm_reset_all_reg[0]_0\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_1 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_1;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_1 is
signal gtpowergood_sync : STD_LOGIC;
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
\FSM_sequential_sm_reset_all[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AF0FAF00CFFFCFFF"
)
port map (
I0 => gtpowergood_sync,
I1 => \FSM_sequential_sm_reset_all_reg[0]\,
I2 => Q(2),
I3 => Q(0),
I4 => \FSM_sequential_sm_reset_all_reg[0]_0\,
I5 => Q(1),
O => E(0)
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => gtpowergood_out(0),
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => gtpowergood_sync,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_10 is
port (
gtwiz_reset_rx_cdr_stable_out : out STD_LOGIC_VECTOR ( 0 to 0 );
\FSM_sequential_sm_reset_rx_reg[2]\ : out STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[1]\ : out STD_LOGIC;
sm_reset_rx_cdr_to_sat_reg : out STD_LOGIC;
rxcdrlock_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
sm_reset_rx_cdr_to_clr_reg : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
plllock_rx_sync : in STD_LOGIC;
sm_reset_rx_cdr_to_clr : in STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[0]\ : in STD_LOGIC;
sm_reset_rx_cdr_to_sat : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_10 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_10;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_10 is
signal \^gtwiz_reset_rx_cdr_stable_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
signal sm_reset_rx_cdr_to_clr_i_2_n_0 : STD_LOGIC;
signal \^sm_reset_rx_cdr_to_sat_reg\ : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of rxprogdivreset_out_i_2 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of sm_reset_rx_cdr_to_clr_i_2 : label is "soft_lutpair2";
begin
gtwiz_reset_rx_cdr_stable_out(0) <= \^gtwiz_reset_rx_cdr_stable_out\(0);
sm_reset_rx_cdr_to_sat_reg <= \^sm_reset_rx_cdr_to_sat_reg\;
\FSM_sequential_sm_reset_rx[2]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"000A000AC0C000C0"
)
port map (
I0 => \^sm_reset_rx_cdr_to_sat_reg\,
I1 => \FSM_sequential_sm_reset_rx_reg[0]\,
I2 => Q(1),
I3 => Q(0),
I4 => plllock_rx_sync,
I5 => Q(2),
O => \FSM_sequential_sm_reset_rx_reg[1]\
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rxcdrlock_out(0),
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => \^gtwiz_reset_rx_cdr_stable_out\(0),
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
rxprogdivreset_out_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => sm_reset_rx_cdr_to_sat,
I1 => \^gtwiz_reset_rx_cdr_stable_out\(0),
O => \^sm_reset_rx_cdr_to_sat_reg\
);
sm_reset_rx_cdr_to_clr_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FBFFFFFF0800AAAA"
)
port map (
I0 => sm_reset_rx_cdr_to_clr_i_2_n_0,
I1 => sm_reset_rx_cdr_to_clr_reg,
I2 => Q(2),
I3 => plllock_rx_sync,
I4 => Q(0),
I5 => sm_reset_rx_cdr_to_clr,
O => \FSM_sequential_sm_reset_rx_reg[2]\
);
sm_reset_rx_cdr_to_clr_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"00EF"
)
port map (
I0 => sm_reset_rx_cdr_to_sat,
I1 => \^gtwiz_reset_rx_cdr_stable_out\(0),
I2 => Q(2),
I3 => Q(1),
O => sm_reset_rx_cdr_to_clr_i_2_n_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_2 is
port (
E : out STD_LOGIC_VECTOR ( 0 to 0 );
in0 : in STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : in STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[0]\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
\FSM_sequential_sm_reset_rx_reg[0]_0\ : in STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[0]_1\ : in STD_LOGIC;
gtwiz_reset_rx_pll_and_datapath_dly : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_2 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_2;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_2 is
signal \FSM_sequential_sm_reset_rx[2]_i_3_n_0\ : STD_LOGIC;
signal gtwiz_reset_rx_datapath_dly : STD_LOGIC;
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
\FSM_sequential_sm_reset_rx[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFAAEA"
)
port map (
I0 => \FSM_sequential_sm_reset_rx[2]_i_3_n_0\,
I1 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
I2 => \FSM_sequential_sm_reset_rx_reg[0]\,
I3 => Q(0),
I4 => \FSM_sequential_sm_reset_rx_reg[0]_0\,
I5 => \FSM_sequential_sm_reset_rx_reg[0]_1\,
O => E(0)
);
\FSM_sequential_sm_reset_rx[2]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"01010100"
)
port map (
I0 => Q(0),
I1 => Q(1),
I2 => Q(2),
I3 => gtwiz_reset_rx_datapath_dly,
I4 => gtwiz_reset_rx_pll_and_datapath_dly,
O => \FSM_sequential_sm_reset_rx[2]_i_3_n_0\
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => in0,
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => gtwiz_reset_rx_datapath_dly,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_3 is
port (
gtwiz_reset_rx_pll_and_datapath_dly : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
in0 : in STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
\FSM_sequential_sm_reset_rx_reg[0]\ : in STD_LOGIC;
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_3 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_3;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_3 is
signal \^gtwiz_reset_rx_pll_and_datapath_dly\ : STD_LOGIC;
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
gtwiz_reset_rx_pll_and_datapath_dly <= \^gtwiz_reset_rx_pll_and_datapath_dly\;
\FSM_sequential_sm_reset_rx[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F55EA55EA55EA55E"
)
port map (
I0 => Q(0),
I1 => \^gtwiz_reset_rx_pll_and_datapath_dly\,
I2 => Q(2),
I3 => Q(1),
I4 => \FSM_sequential_sm_reset_rx_reg[0]\,
I5 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
O => D(0)
);
\FSM_sequential_sm_reset_rx[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0F800FF00F800FFF"
)
port map (
I0 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
I1 => \FSM_sequential_sm_reset_rx_reg[0]\,
I2 => Q(1),
I3 => Q(0),
I4 => Q(2),
I5 => \^gtwiz_reset_rx_pll_and_datapath_dly\,
O => D(1)
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => in0,
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => \^gtwiz_reset_rx_pll_and_datapath_dly\,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_4 is
port (
gtwiz_reset_tx_datapath_dly : out STD_LOGIC;
in0 : in STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_4 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_4;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_4 is
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => in0,
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => gtwiz_reset_tx_datapath_dly,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_5 is
port (
gtwiz_reset_tx_pll_and_datapath_dly : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
in0 : in STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 2 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_5 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_5;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_5 is
signal \^gtwiz_reset_tx_pll_and_datapath_dly\ : STD_LOGIC;
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[1]_i_1\ : label is "soft_lutpair0";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
gtwiz_reset_tx_pll_and_datapath_dly <= \^gtwiz_reset_tx_pll_and_datapath_dly\;
\FSM_sequential_sm_reset_tx[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0F3E"
)
port map (
I0 => \^gtwiz_reset_tx_pll_and_datapath_dly\,
I1 => Q(1),
I2 => Q(0),
I3 => Q(2),
O => D(0)
);
\FSM_sequential_sm_reset_tx[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0FF1"
)
port map (
I0 => \^gtwiz_reset_tx_pll_and_datapath_dly\,
I1 => Q(2),
I2 => Q(1),
I3 => Q(0),
O => D(1)
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => in0,
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => \^gtwiz_reset_tx_pll_and_datapath_dly\,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_6 is
port (
\FSM_sequential_sm_reset_rx_reg[0]\ : out STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[2]\ : out STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[1]\ : out STD_LOGIC;
gtwiz_userclk_rx_active_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
sm_reset_rx_timer_clr_reg : in STD_LOGIC;
gtwiz_reset_rx_any_sync : in STD_LOGIC;
\gen_gtwizard_gtye4.rxuserrdy_int\ : in STD_LOGIC;
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : in STD_LOGIC;
sm_reset_rx_timer_clr_reg_0 : in STD_LOGIC;
sm_reset_rx_timer_clr_reg_1 : in STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[0]_0\ : in STD_LOGIC;
sm_reset_rx_pll_timer_sat : in STD_LOGIC;
sm_reset_rx_timer_sat : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_6 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_6;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_6 is
signal gtwiz_reset_userclk_rx_active_sync : STD_LOGIC;
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
signal rxuserrdy_out_i_2_n_0 : STD_LOGIC;
signal sm_reset_rx_timer_clr_i_2_n_0 : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
\FSM_sequential_sm_reset_rx[2]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"2023202000000000"
)
port map (
I0 => rxuserrdy_out_i_2_n_0,
I1 => Q(1),
I2 => Q(2),
I3 => \FSM_sequential_sm_reset_rx_reg[0]_0\,
I4 => sm_reset_rx_pll_timer_sat,
I5 => Q(0),
O => \FSM_sequential_sm_reset_rx_reg[1]\
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => gtwiz_userclk_rx_active_out(0),
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => gtwiz_reset_userclk_rx_active_sync,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
rxuserrdy_out_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFAAF00000800"
)
port map (
I0 => Q(2),
I1 => rxuserrdy_out_i_2_n_0,
I2 => Q(1),
I3 => Q(0),
I4 => gtwiz_reset_rx_any_sync,
I5 => \gen_gtwizard_gtye4.rxuserrdy_int\,
O => \FSM_sequential_sm_reset_rx_reg[2]\
);
rxuserrdy_out_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => sm_reset_rx_timer_clr_reg,
I1 => sm_reset_rx_timer_sat,
I2 => gtwiz_reset_userclk_rx_active_sync,
O => rxuserrdy_out_i_2_n_0
);
sm_reset_rx_timer_clr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EBAE28A2"
)
port map (
I0 => sm_reset_rx_timer_clr_i_2_n_0,
I1 => Q(0),
I2 => Q(1),
I3 => Q(2),
I4 => sm_reset_rx_timer_clr_reg,
O => \FSM_sequential_sm_reset_rx_reg[0]\
);
sm_reset_rx_timer_clr_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"8888CC00C0C0FFFF"
)
port map (
I0 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
I1 => sm_reset_rx_timer_clr_reg_0,
I2 => gtwiz_reset_userclk_rx_active_sync,
I3 => sm_reset_rx_timer_clr_reg_1,
I4 => Q(2),
I5 => Q(1),
O => sm_reset_rx_timer_clr_i_2_n_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_7 is
port (
\FSM_sequential_sm_reset_tx_reg[2]\ : out STD_LOGIC;
\FSM_sequential_sm_reset_tx_reg[1]\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_active_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
sm_reset_tx_timer_clr_reg : in STD_LOGIC;
gtwiz_reset_tx_any_sync : in STD_LOGIC;
\gen_gtwizard_gtye4.txuserrdy_int\ : in STD_LOGIC;
\FSM_sequential_sm_reset_tx_reg[0]\ : in STD_LOGIC;
gtwiz_reset_tx_pll_and_datapath_dly : in STD_LOGIC;
gtwiz_reset_tx_datapath_dly : in STD_LOGIC;
sm_reset_tx_pll_timer_clr : in STD_LOGIC;
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : in STD_LOGIC;
sm_reset_tx_timer_clr_reg_0 : in STD_LOGIC;
plllock_tx_sync : in STD_LOGIC;
\FSM_sequential_sm_reset_tx_reg[0]_0\ : in STD_LOGIC;
\FSM_sequential_sm_reset_tx_reg[0]_1\ : in STD_LOGIC;
sm_reset_tx_pll_timer_sat : in STD_LOGIC;
sm_reset_tx_timer_sat : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_7 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_7;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_7 is
signal \FSM_sequential_sm_reset_tx[2]_i_3_n_0\ : STD_LOGIC;
signal gtwiz_reset_userclk_tx_active_sync : STD_LOGIC;
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
signal sm_reset_tx_timer_clr_i_2_n_0 : STD_LOGIC;
signal txuserrdy_out_i_2_n_0 : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
\FSM_sequential_sm_reset_tx[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEEEFFFEEEEE"
)
port map (
I0 => \FSM_sequential_sm_reset_tx[2]_i_3_n_0\,
I1 => \FSM_sequential_sm_reset_tx_reg[0]\,
I2 => gtwiz_reset_tx_pll_and_datapath_dly,
I3 => gtwiz_reset_tx_datapath_dly,
I4 => sm_reset_tx_pll_timer_clr,
I5 => Q(0),
O => E(0)
);
\FSM_sequential_sm_reset_tx[2]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"00F0000088888888"
)
port map (
I0 => \FSM_sequential_sm_reset_tx_reg[0]_0\,
I1 => gtwiz_reset_userclk_tx_active_sync,
I2 => sm_reset_tx_pll_timer_clr,
I3 => \FSM_sequential_sm_reset_tx_reg[0]_1\,
I4 => sm_reset_tx_pll_timer_sat,
I5 => Q(0),
O => \FSM_sequential_sm_reset_tx[2]_i_3_n_0\
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => gtwiz_userclk_tx_active_out(0),
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => gtwiz_reset_userclk_tx_active_sync,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
sm_reset_tx_timer_clr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EBEB282B"
)
port map (
I0 => sm_reset_tx_timer_clr_i_2_n_0,
I1 => Q(2),
I2 => Q(1),
I3 => Q(0),
I4 => sm_reset_tx_timer_clr_reg,
O => \FSM_sequential_sm_reset_tx_reg[2]\
);
sm_reset_tx_timer_clr_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"A0C0A0C0F0F000F0"
)
port map (
I0 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
I1 => gtwiz_reset_userclk_tx_active_sync,
I2 => sm_reset_tx_timer_clr_reg_0,
I3 => Q(0),
I4 => plllock_tx_sync,
I5 => Q(2),
O => sm_reset_tx_timer_clr_i_2_n_0
);
txuserrdy_out_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFEFB000002AA"
)
port map (
I0 => txuserrdy_out_i_2_n_0,
I1 => Q(1),
I2 => Q(2),
I3 => Q(0),
I4 => gtwiz_reset_tx_any_sync,
I5 => \gen_gtwizard_gtye4.txuserrdy_int\,
O => \FSM_sequential_sm_reset_tx_reg[1]\
);
txuserrdy_out_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"00200000"
)
port map (
I0 => sm_reset_tx_timer_sat,
I1 => sm_reset_tx_timer_clr_reg,
I2 => Q(2),
I3 => Q(1),
I4 => gtwiz_reset_userclk_tx_active_sync,
O => txuserrdy_out_i_2_n_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_8 is
port (
plllock_rx_sync : out STD_LOGIC;
i_in_out_reg_0 : out STD_LOGIC;
i_in_out_reg_1 : out STD_LOGIC;
i_in_out_reg_2 : out STD_LOGIC;
gtwiz_reset_qpll0lock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_done_int_reg : in STD_LOGIC;
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
gtwiz_reset_rx_done_int_reg_0 : in STD_LOGIC;
gtrxreset_out_reg : in STD_LOGIC;
sm_reset_rx_timer_sat : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_8 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_8;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_8 is
signal gtwiz_reset_rx_done_int : STD_LOGIC;
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
signal \^plllock_rx_sync\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of gtrxreset_out_i_2 : label is "soft_lutpair1";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
attribute SOFT_HLUTNM of sm_reset_rx_timer_clr_i_3 : label is "soft_lutpair1";
begin
plllock_rx_sync <= \^plllock_rx_sync\;
gtrxreset_out_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"20FFFF00"
)
port map (
I0 => \^plllock_rx_sync\,
I1 => gtrxreset_out_reg,
I2 => sm_reset_rx_timer_sat,
I3 => Q(1),
I4 => Q(0),
O => i_in_out_reg_1
);
gtwiz_reset_rx_done_int_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"AAC0FFFFAAC00000"
)
port map (
I0 => \^plllock_rx_sync\,
I1 => gtwiz_reset_rx_done_int_reg,
I2 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
I3 => Q(0),
I4 => gtwiz_reset_rx_done_int,
I5 => gtwiz_reset_rx_done_int_reg_0,
O => i_in_out_reg_0
);
gtwiz_reset_rx_done_int_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"44440000C0000000"
)
port map (
I0 => \^plllock_rx_sync\,
I1 => Q(1),
I2 => gtwiz_reset_rx_done_int_reg,
I3 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
I4 => Q(2),
I5 => Q(0),
O => gtwiz_reset_rx_done_int
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => gtwiz_reset_qpll0lock_in(0),
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => \^plllock_rx_sync\,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
sm_reset_rx_timer_clr_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^plllock_rx_sync\,
I1 => Q(0),
O => i_in_out_reg_2
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_9 is
port (
plllock_tx_sync : out STD_LOGIC;
gtwiz_reset_tx_done_int_reg : out STD_LOGIC;
i_in_out_reg_0 : out STD_LOGIC;
sm_reset_tx_timer_sat_reg : out STD_LOGIC;
gtwiz_reset_qpll0lock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_done_int_reg_0 : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
\FSM_sequential_sm_reset_tx_reg[0]\ : in STD_LOGIC;
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : in STD_LOGIC;
sm_reset_tx_timer_sat : in STD_LOGIC;
gttxreset_out_reg : in STD_LOGIC;
gtwiz_reset_tx_any_sync : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_9 : entity is "gtwizard_ultrascale_v1_7_12_bit_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_9;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_9 is
signal gtwiz_reset_tx_done_int : STD_LOGIC;
signal gtwiz_reset_tx_done_int_i_2_n_0 : STD_LOGIC;
signal i_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of i_in_meta : signal is "true";
signal i_in_sync1 : STD_LOGIC;
attribute async_reg of i_in_sync1 : signal is "true";
signal i_in_sync2 : STD_LOGIC;
attribute async_reg of i_in_sync2 : signal is "true";
signal i_in_sync3 : STD_LOGIC;
attribute async_reg of i_in_sync3 : signal is "true";
signal \^plllock_tx_sync\ : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of i_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of i_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync1_reg : label is std.standard.true;
attribute KEEP of i_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync2_reg : label is std.standard.true;
attribute KEEP of i_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of i_in_sync3_reg : label is std.standard.true;
attribute KEEP of i_in_sync3_reg : label is "yes";
begin
plllock_tx_sync <= \^plllock_tx_sync\;
\FSM_sequential_sm_reset_tx[2]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"38000C0008000C00"
)
port map (
I0 => \^plllock_tx_sync\,
I1 => Q(1),
I2 => Q(2),
I3 => \FSM_sequential_sm_reset_tx_reg[0]\,
I4 => Q(0),
I5 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
O => i_in_out_reg_0
);
gttxreset_out_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000002000000000"
)
port map (
I0 => sm_reset_tx_timer_sat,
I1 => gttxreset_out_reg,
I2 => \^plllock_tx_sync\,
I3 => gtwiz_reset_tx_any_sync,
I4 => Q(2),
I5 => Q(1),
O => sm_reset_tx_timer_sat_reg
);
gtwiz_reset_tx_done_int_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => gtwiz_reset_tx_done_int_i_2_n_0,
I1 => gtwiz_reset_tx_done_int,
I2 => gtwiz_reset_tx_done_int_reg_0,
O => gtwiz_reset_tx_done_int_reg
);
gtwiz_reset_tx_done_int_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"4444444444F44444"
)
port map (
I0 => Q(0),
I1 => \^plllock_tx_sync\,
I2 => sm_reset_tx_timer_sat,
I3 => gttxreset_out_reg,
I4 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
I5 => Q(1),
O => gtwiz_reset_tx_done_int_i_2_n_0
);
gtwiz_reset_tx_done_int_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"3000404000004040"
)
port map (
I0 => \^plllock_tx_sync\,
I1 => Q(1),
I2 => Q(2),
I3 => \FSM_sequential_sm_reset_tx_reg[0]\,
I4 => Q(0),
I5 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
O => gtwiz_reset_tx_done_int
);
i_in_meta_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => gtwiz_reset_qpll0lock_in(0),
Q => i_in_meta,
R => '0'
);
i_in_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync3,
Q => \^plllock_tx_sync\,
R => '0'
);
i_in_sync1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_meta,
Q => i_in_sync1,
R => '0'
);
i_in_sync2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync1,
Q => i_in_sync2,
R => '0'
);
i_in_sync3_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => i_in_sync2,
Q => i_in_sync3,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_userclk_rx is
port (
gtwiz_userclk_rx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_srcclk_out : in STD_LOGIC_VECTOR ( 0 to 0 );
lopt : out STD_LOGIC;
lopt_1 : in STD_LOGIC;
lopt_2 : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_userclk_rx;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_userclk_rx is
signal \<const1>\ : STD_LOGIC;
signal \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_meta\ : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_meta\ : signal is "true";
signal \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_sync\ : STD_LOGIC;
attribute async_reg of \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_sync\ : signal is "true";
signal \^gtwiz_userclk_rx_usrclk2_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^lopt\ : STD_LOGIC;
signal \^lopt_1\ : STD_LOGIC;
attribute BOX_TYPE : string;
attribute BOX_TYPE of \gen_gtwiz_userclk_rx_main.bufg_gt_usrclk_inst\ : label is "PRIMITIVE";
attribute OPT_MODIFIED : string;
attribute OPT_MODIFIED of \gen_gtwiz_userclk_rx_main.bufg_gt_usrclk_inst\ : label is "MLO";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_meta_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_meta_reg\ : label is "yes";
attribute ASYNC_REG_boolean of \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_sync_reg\ : label is std.standard.true;
attribute KEEP of \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_sync_reg\ : label is "yes";
begin
\^lopt\ <= lopt_1;
\^lopt_1\ <= lopt_2;
gtwiz_userclk_rx_active_out(0) <= \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_sync\;
gtwiz_userclk_rx_usrclk2_out(0) <= \^gtwiz_userclk_rx_usrclk2_out\(0);
lopt <= \<const1>\;
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_gtwiz_userclk_rx_main.bufg_gt_usrclk_inst\: unisim.vcomponents.BUFG_GT
generic map(
SIM_DEVICE => "ULTRASCALE",
STARTUP_SYNC => "FALSE"
)
port map (
CE => \^lopt\,
CEMASK => '0',
CLR => \^lopt_1\,
CLRMASK => '0',
DIV(2 downto 0) => B"000",
I => gtwiz_userclk_rx_srcclk_out(0),
O => \^gtwiz_userclk_rx_usrclk2_out\(0)
);
\gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_meta_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => \^gtwiz_userclk_rx_usrclk2_out\(0),
CE => '1',
CLR => gtwiz_userclk_rx_reset_in(0),
D => '1',
Q => \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_meta\
);
\gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_sync_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => \^gtwiz_userclk_rx_usrclk2_out\(0),
CE => '1',
CLR => gtwiz_userclk_rx_reset_in(0),
D => \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_meta\,
Q => \gen_gtwiz_userclk_rx_main.gtwiz_userclk_rx_active_sync\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_userclk_tx is
port (
gtwiz_userclk_tx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_srcclk_out : in STD_LOGIC_VECTOR ( 0 to 0 );
lopt : out STD_LOGIC;
lopt_1 : in STD_LOGIC;
lopt_2 : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_userclk_tx;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_userclk_tx is
signal \<const1>\ : STD_LOGIC;
signal \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_meta\ : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_meta\ : signal is "true";
signal \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_sync\ : STD_LOGIC;
attribute async_reg of \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_sync\ : signal is "true";
signal \^gtwiz_userclk_tx_usrclk2_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^lopt\ : STD_LOGIC;
signal \^lopt_1\ : STD_LOGIC;
attribute BOX_TYPE : string;
attribute BOX_TYPE of \gen_gtwiz_userclk_tx_main.bufg_gt_usrclk_inst\ : label is "PRIMITIVE";
attribute OPT_MODIFIED : string;
attribute OPT_MODIFIED of \gen_gtwiz_userclk_tx_main.bufg_gt_usrclk_inst\ : label is "MLO";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_meta_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_meta_reg\ : label is "yes";
attribute ASYNC_REG_boolean of \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_sync_reg\ : label is std.standard.true;
attribute KEEP of \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_sync_reg\ : label is "yes";
begin
\^lopt\ <= lopt_1;
\^lopt_1\ <= lopt_2;
gtwiz_userclk_tx_active_out(0) <= \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_sync\;
gtwiz_userclk_tx_usrclk2_out(0) <= \^gtwiz_userclk_tx_usrclk2_out\(0);
lopt <= \<const1>\;
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
\gen_gtwiz_userclk_tx_main.bufg_gt_usrclk_inst\: unisim.vcomponents.BUFG_GT
generic map(
SIM_DEVICE => "ULTRASCALE",
STARTUP_SYNC => "FALSE"
)
port map (
CE => \^lopt\,
CEMASK => '0',
CLR => \^lopt_1\,
CLRMASK => '0',
DIV(2 downto 0) => B"000",
I => gtwiz_userclk_tx_srcclk_out(0),
O => \^gtwiz_userclk_tx_usrclk2_out\(0)
);
\gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_meta_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => \^gtwiz_userclk_tx_usrclk2_out\(0),
CE => '1',
CLR => gtwiz_userclk_tx_reset_in(0),
D => '1',
Q => \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_meta\
);
\gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_sync_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => \^gtwiz_userclk_tx_usrclk2_out\(0),
CE => '1',
CLR => gtwiz_userclk_tx_reset_in(0),
D => \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_meta\,
Q => \gen_gtwiz_userclk_tx_main.gtwiz_userclk_tx_active_sync\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtye4_channel is
port (
\gen_gtwizard_gtye4.gtpowergood_int\ : out STD_LOGIC;
gtytxn_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtytxp_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrlock_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txoutclkpcs_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 63 downto 0 );
rxdatavalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxheadervalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxstartofseq_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxheader_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
\gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_0\ : out STD_LOGIC;
\gen_gtwizard_gtye4.gtrxreset_int\ : in STD_LOGIC;
\gen_gtwizard_gtye4.gttxreset_ch_int\ : in STD_LOGIC;
gtyrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtyrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxgearboxslip_in : in STD_LOGIC_VECTOR ( 0 to 0 );
\gen_gtwizard_gtye4.rxprogdivreset_int\ : in STD_LOGIC;
\gen_gtwizard_gtye4.rxuserrdy_int\ : in STD_LOGIC;
gtwiz_userclk_rx_usrclk2_out : in STD_LOGIC_VECTOR ( 0 to 0 );
TXRATE : in STD_LOGIC_VECTOR ( 0 to 0 );
\gen_gtwizard_gtye4.txprogdivreset_int\ : in STD_LOGIC;
\gen_gtwizard_gtye4.txuserrdy_int\ : in STD_LOGIC;
gtwiz_userclk_tx_usrclk2_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 63 downto 0 );
txheader_in : in STD_LOGIC_VECTOR ( 5 downto 0 );
txsequence_in : in STD_LOGIC_VECTOR ( 6 downto 0 );
lopt : in STD_LOGIC;
lopt_1 : in STD_LOGIC;
lopt_2 : out STD_LOGIC;
lopt_3 : out STD_LOGIC;
lopt_4 : in STD_LOGIC;
lopt_5 : in STD_LOGIC;
lopt_6 : out STD_LOGIC;
lopt_7 : out STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtye4_channel;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtye4_channel is
signal \^gen_gtwizard_gtye4.gtpowergood_int\ : STD_LOGIC;
signal \^gtwiz_userclk_rx_srcclk_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^gtwiz_userclk_tx_srcclk_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_0\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_1\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_100\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_101\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_102\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_103\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_104\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_105\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_106\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_107\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_108\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_109\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_110\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_111\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_112\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_113\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_114\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_115\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_116\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_117\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_118\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_119\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_12\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_120\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_121\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_122\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_123\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_124\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_125\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_126\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_127\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_128\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_129\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_13\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_130\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_131\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_132\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_133\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_134\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_135\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_136\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_137\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_138\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_14\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_15\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_16\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_17\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_18\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_19\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_2\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_20\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_203\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_204\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_205\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_206\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_207\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_208\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_209\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_21\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_210\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_211\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_212\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_213\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_214\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_215\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_216\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_217\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_218\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_219\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_22\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_220\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_221\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_222\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_223\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_224\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_225\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_226\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_227\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_228\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_229\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_230\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_231\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_232\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_233\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_234\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_235\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_236\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_237\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_238\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_239\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_24\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_240\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_241\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_242\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_243\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_244\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_245\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_246\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_247\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_248\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_249\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_25\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_250\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_251\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_252\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_253\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_254\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_255\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_256\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_257\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_258\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_259\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_26\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_260\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_261\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_262\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_263\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_264\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_265\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_266\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_267\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_268\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_269\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_27\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_270\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_271\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_272\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_273\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_274\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_275\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_276\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_277\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_278\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_279\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_28\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_280\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_281\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_282\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_283\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_284\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_285\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_286\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_287\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_288\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_289\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_29\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_290\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_291\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_292\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_293\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_294\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_295\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_296\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_297\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_298\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_299\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_3\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_30\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_300\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_301\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_302\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_303\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_304\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_31\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_311\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_312\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_313\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_314\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_315\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_316\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_317\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_318\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_319\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_32\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_320\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_321\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_322\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_323\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_324\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_325\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_326\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_327\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_328\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_329\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_33\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_336\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_337\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_338\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_339\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_34\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_340\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_341\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_342\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_343\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_344\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_345\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_346\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_347\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_348\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_349\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_35\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_350\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_351\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_352\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_353\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_354\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_355\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_356\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_357\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_358\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_359\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_36\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_360\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_361\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_362\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_363\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_364\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_365\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_366\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_367\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_368\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_369\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_37\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_370\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_371\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_372\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_373\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_374\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_375\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_376\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_38\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_39\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_4\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_40\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_41\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_43\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_44\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_45\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_46\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_48\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_49\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_5\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_51\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_52\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_54\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_55\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_56\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_57\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_58\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_59\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_6\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_60\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_61\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_62\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_63\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_65\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_67\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_68\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_7\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_71\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_73\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_74\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_75\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_76\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_77\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_78\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_79\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_80\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_81\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_82\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_83\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_84\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_85\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_86\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_87\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_88\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_89\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_9\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_90\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_91\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_92\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_93\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_94\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_95\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_96\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_97\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_98\ : STD_LOGIC;
signal \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_99\ : STD_LOGIC;
signal \^lopt_2\ : STD_LOGIC;
signal \^lopt_3\ : STD_LOGIC;
signal \xlnx_opt_\ : STD_LOGIC;
signal \xlnx_opt__1\ : STD_LOGIC;
signal \xlnx_opt__2\ : STD_LOGIC;
signal \xlnx_opt__3\ : STD_LOGIC;
attribute OPT_MODIFIED : string;
attribute OPT_MODIFIED of BUFG_GT_SYNC : label is "MLO";
attribute OPT_MODIFIED of BUFG_GT_SYNC_1 : label is "MLO";
attribute BOX_TYPE : string;
attribute BOX_TYPE of \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST\ : label is "PRIMITIVE";
begin
\^lopt_2\ <= lopt_4;
\^lopt_3\ <= lopt_5;
\gen_gtwizard_gtye4.gtpowergood_int\ <= \^gen_gtwizard_gtye4.gtpowergood_int\;
gtwiz_userclk_rx_srcclk_out(0) <= \^gtwiz_userclk_rx_srcclk_out\(0);
gtwiz_userclk_tx_srcclk_out(0) <= \^gtwiz_userclk_tx_srcclk_out\(0);
lopt_2 <= \xlnx_opt_\;
lopt_3 <= \xlnx_opt__1\;
lopt_6 <= \xlnx_opt__2\;
lopt_7 <= \xlnx_opt__3\;
BUFG_GT_SYNC: unisim.vcomponents.BUFG_GT_SYNC
port map (
CE => lopt,
CESYNC => \xlnx_opt_\,
CLK => \^gtwiz_userclk_rx_srcclk_out\(0),
CLR => lopt_1,
CLRSYNC => \xlnx_opt__1\
);
BUFG_GT_SYNC_1: unisim.vcomponents.BUFG_GT_SYNC
port map (
CE => \^lopt_2\,
CESYNC => \xlnx_opt__2\,
CLK => \^gtwiz_userclk_tx_srcclk_out\(0),
CLR => \^lopt_3\,
CLRSYNC => \xlnx_opt__3\
);
\gen_powergood_delay.intclk_rrst_n_r[4]_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^gen_gtwizard_gtye4.gtpowergood_int\,
O => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_0\
);
\gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST\: unisim.vcomponents.GTYE4_CHANNEL
generic map(
ACJTAG_DEBUG_MODE => '0',
ACJTAG_MODE => '0',
ACJTAG_RESET => '0',
ADAPT_CFG0 => X"0000",
ADAPT_CFG1 => X"FB1C",
ADAPT_CFG2 => X"0000",
ALIGN_COMMA_DOUBLE => "FALSE",
ALIGN_COMMA_ENABLE => B"0000000000",
ALIGN_COMMA_WORD => 1,
ALIGN_MCOMMA_DET => "FALSE",
ALIGN_MCOMMA_VALUE => B"1010000011",
ALIGN_PCOMMA_DET => "FALSE",
ALIGN_PCOMMA_VALUE => B"0101111100",
A_RXOSCALRESET => '0',
A_RXPROGDIVRESET => '0',
A_RXTERMINATION => '1',
A_TXDIFFCTRL => B"01100",
A_TXPROGDIVRESET => '0',
CBCC_DATA_SOURCE_SEL => "ENCODED",
CDR_SWAP_MODE_EN => '0',
CFOK_PWRSVE_EN => '1',
CHAN_BOND_KEEP_ALIGN => "FALSE",
CHAN_BOND_MAX_SKEW => 1,
CHAN_BOND_SEQ_1_1 => B"0000000000",
CHAN_BOND_SEQ_1_2 => B"0000000000",
CHAN_BOND_SEQ_1_3 => B"0000000000",
CHAN_BOND_SEQ_1_4 => B"0000000000",
CHAN_BOND_SEQ_1_ENABLE => B"1111",
CHAN_BOND_SEQ_2_1 => B"0000000000",
CHAN_BOND_SEQ_2_2 => B"0000000000",
CHAN_BOND_SEQ_2_3 => B"0000000000",
CHAN_BOND_SEQ_2_4 => B"0000000000",
CHAN_BOND_SEQ_2_ENABLE => B"1111",
CHAN_BOND_SEQ_2_USE => "FALSE",
CHAN_BOND_SEQ_LEN => 1,
CH_HSPMUX => X"9090",
CKCAL1_CFG_0 => B"0100000001000000",
CKCAL1_CFG_1 => B"0001000001000000",
CKCAL1_CFG_2 => B"0010000000001000",
CKCAL1_CFG_3 => B"0000000000000000",
CKCAL2_CFG_0 => B"0100000001000000",
CKCAL2_CFG_1 => B"0000000001000000",
CKCAL2_CFG_2 => B"0001000000000000",
CKCAL2_CFG_3 => B"0000000000000000",
CKCAL2_CFG_4 => B"0000000000000000",
CLK_CORRECT_USE => "FALSE",
CLK_COR_KEEP_IDLE => "FALSE",
CLK_COR_MAX_LAT => 20,
CLK_COR_MIN_LAT => 18,
CLK_COR_PRECEDENCE => "TRUE",
CLK_COR_REPEAT_WAIT => 0,
CLK_COR_SEQ_1_1 => B"0000000000",
CLK_COR_SEQ_1_2 => B"0000000000",
CLK_COR_SEQ_1_3 => B"0000000000",
CLK_COR_SEQ_1_4 => B"0000000000",
CLK_COR_SEQ_1_ENABLE => B"1111",
CLK_COR_SEQ_2_1 => B"0000000000",
CLK_COR_SEQ_2_2 => B"0000000000",
CLK_COR_SEQ_2_3 => B"0000000000",
CLK_COR_SEQ_2_4 => B"0000000000",
CLK_COR_SEQ_2_ENABLE => B"1111",
CLK_COR_SEQ_2_USE => "FALSE",
CLK_COR_SEQ_LEN => 1,
CPLL_CFG0 => X"01FA",
CPLL_CFG1 => X"002B",
CPLL_CFG2 => X"0002",
CPLL_CFG3 => X"0000",
CPLL_FBDIV => 2,
CPLL_FBDIV_45 => 5,
CPLL_INIT_CFG0 => X"02B2",
CPLL_LOCK_CFG => X"01E8",
CPLL_REFCLK_DIV => 1,
CTLE3_OCAP_EXT_CTRL => B"000",
CTLE3_OCAP_EXT_EN => '0',
DDI_CTRL => B"00",
DDI_REALIGN_WAIT => 15,
DEC_MCOMMA_DETECT => "FALSE",
DEC_PCOMMA_DETECT => "FALSE",
DEC_VALID_COMMA_ONLY => "FALSE",
DELAY_ELEC => '0',
DMONITOR_CFG0 => B"00" & X"00",
DMONITOR_CFG1 => X"00",
ES_CLK_PHASE_SEL => '0',
ES_CONTROL => B"000000",
ES_ERRDET_EN => "FALSE",
ES_EYE_SCAN_EN => "FALSE",
ES_HORZ_OFFSET => X"000",
ES_PRESCALE => B"00000",
ES_QUALIFIER0 => X"0000",
ES_QUALIFIER1 => X"0000",
ES_QUALIFIER2 => X"0000",
ES_QUALIFIER3 => X"0000",
ES_QUALIFIER4 => X"0000",
ES_QUALIFIER5 => X"0000",
ES_QUALIFIER6 => X"0000",
ES_QUALIFIER7 => X"0000",
ES_QUALIFIER8 => X"0000",
ES_QUALIFIER9 => X"0000",
ES_QUAL_MASK0 => X"0000",
ES_QUAL_MASK1 => X"0000",
ES_QUAL_MASK2 => X"0000",
ES_QUAL_MASK3 => X"0000",
ES_QUAL_MASK4 => X"0000",
ES_QUAL_MASK5 => X"0000",
ES_QUAL_MASK6 => X"0000",
ES_QUAL_MASK7 => X"0000",
ES_QUAL_MASK8 => X"0000",
ES_QUAL_MASK9 => X"0000",
ES_SDATA_MASK0 => X"0000",
ES_SDATA_MASK1 => X"0000",
ES_SDATA_MASK2 => X"0000",
ES_SDATA_MASK3 => X"0000",
ES_SDATA_MASK4 => X"0000",
ES_SDATA_MASK5 => X"0000",
ES_SDATA_MASK6 => X"0000",
ES_SDATA_MASK7 => X"0000",
ES_SDATA_MASK8 => X"0000",
ES_SDATA_MASK9 => X"0000",
EYESCAN_VP_RANGE => 0,
EYE_SCAN_SWAP_EN => '0',
FTS_DESKEW_SEQ_ENABLE => B"1111",
FTS_LANE_DESKEW_CFG => B"1111",
FTS_LANE_DESKEW_EN => "FALSE",
GEARBOX_MODE => B"10001",
ISCAN_CK_PH_SEL2 => '0',
LOCAL_MASTER => '1',
LPBK_BIAS_CTRL => 4,
LPBK_EN_RCAL_B => '0',
LPBK_EXT_RCAL => B"1000",
LPBK_IND_CTRL0 => 5,
LPBK_IND_CTRL1 => 5,
LPBK_IND_CTRL2 => 5,
LPBK_RG_CTRL => 2,
OOBDIVCTL => B"00",
OOB_PWRUP => '0',
PCI3_AUTO_REALIGN => "OVR_1K_BLK",
PCI3_PIPE_RX_ELECIDLE => '0',
PCI3_RX_ASYNC_EBUF_BYPASS => B"00",
PCI3_RX_ELECIDLE_EI2_ENABLE => '0',
PCI3_RX_ELECIDLE_H2L_COUNT => B"000000",
PCI3_RX_ELECIDLE_H2L_DISABLE => B"000",
PCI3_RX_ELECIDLE_HI_COUNT => B"000000",
PCI3_RX_ELECIDLE_LP4_DISABLE => '0',
PCI3_RX_FIFO_DISABLE => '0',
PCIE3_CLK_COR_EMPTY_THRSH => B"00000",
PCIE3_CLK_COR_FULL_THRSH => B"010000",
PCIE3_CLK_COR_MAX_LAT => B"00100",
PCIE3_CLK_COR_MIN_LAT => B"00000",
PCIE3_CLK_COR_THRSH_TIMER => B"001000",
PCIE_64B_DYN_CLKSW_DIS => "FALSE",
PCIE_BUFG_DIV_CTRL => X"3500",
PCIE_GEN4_64BIT_INT_EN => "FALSE",
PCIE_PLL_SEL_MODE_GEN12 => B"10",
PCIE_PLL_SEL_MODE_GEN3 => B"10",
PCIE_PLL_SEL_MODE_GEN4 => B"10",
PCIE_RXPCS_CFG_GEN3 => X"0AA5",
PCIE_RXPMA_CFG => X"280A",
PCIE_TXPCS_CFG_GEN3 => X"2CA4",
PCIE_TXPMA_CFG => X"280A",
PCS_PCIE_EN => "FALSE",
PCS_RSVD0 => X"0000",
PD_TRANS_TIME_FROM_P2 => X"03C",
PD_TRANS_TIME_NONE_P2 => X"19",
PD_TRANS_TIME_TO_P2 => X"64",
PREIQ_FREQ_BST => 3,
RATE_SW_USE_DRP => '1',
RCLK_SIPO_DLY_ENB => '0',
RCLK_SIPO_INV_EN => '0',
RTX_BUF_CML_CTRL => B"111",
RTX_BUF_TERM_CTRL => B"11",
RXBUFRESET_TIME => B"00011",
RXBUF_ADDR_MODE => "FAST",
RXBUF_EIDLE_HI_CNT => B"1000",
RXBUF_EIDLE_LO_CNT => B"0000",
RXBUF_EN => "FALSE",
RXBUF_RESET_ON_CB_CHANGE => "TRUE",
RXBUF_RESET_ON_COMMAALIGN => "FALSE",
RXBUF_RESET_ON_EIDLE => "FALSE",
RXBUF_RESET_ON_RATE_CHANGE => "TRUE",
RXBUF_THRESH_OVFLW => 0,
RXBUF_THRESH_OVRD => "FALSE",
RXBUF_THRESH_UNDFLW => 4,
RXCDRFREQRESET_TIME => B"00001",
RXCDRPHRESET_TIME => B"00001",
RXCDR_CFG0 => X"0003",
RXCDR_CFG0_GEN3 => X"0003",
RXCDR_CFG1 => X"0000",
RXCDR_CFG1_GEN3 => X"0000",
RXCDR_CFG2 => X"01E9",
RXCDR_CFG2_GEN2 => B"10" & X"69",
RXCDR_CFG2_GEN3 => X"0269",
RXCDR_CFG2_GEN4 => X"0164",
RXCDR_CFG3 => X"0010",
RXCDR_CFG3_GEN2 => B"01" & X"0",
RXCDR_CFG3_GEN3 => X"0010",
RXCDR_CFG3_GEN4 => X"0010",
RXCDR_CFG4 => X"5CF6",
RXCDR_CFG4_GEN3 => X"5CF6",
RXCDR_CFG5 => X"B46B",
RXCDR_CFG5_GEN3 => X"146B",
RXCDR_FR_RESET_ON_EIDLE => '0',
RXCDR_HOLD_DURING_EIDLE => '0',
RXCDR_LOCK_CFG0 => X"2201",
RXCDR_LOCK_CFG1 => X"9FFF",
RXCDR_LOCK_CFG2 => X"0000",
RXCDR_LOCK_CFG3 => X"0000",
RXCDR_LOCK_CFG4 => X"0000",
RXCDR_PH_RESET_ON_EIDLE => '0',
RXCFOK_CFG0 => X"0000",
RXCFOK_CFG1 => X"8015",
RXCFOK_CFG2 => X"02AE",
RXCKCAL1_IQ_LOOP_RST_CFG => X"0004",
RXCKCAL1_I_LOOP_RST_CFG => X"0004",
RXCKCAL1_Q_LOOP_RST_CFG => X"0004",
RXCKCAL2_DX_LOOP_RST_CFG => X"0004",
RXCKCAL2_D_LOOP_RST_CFG => X"0004",
RXCKCAL2_S_LOOP_RST_CFG => X"0004",
RXCKCAL2_X_LOOP_RST_CFG => X"0004",
RXDFELPMRESET_TIME => B"0001111",
RXDFELPM_KL_CFG0 => X"0000",
RXDFELPM_KL_CFG1 => X"A082",
RXDFELPM_KL_CFG2 => X"0100",
RXDFE_CFG0 => X"0A00",
RXDFE_CFG1 => X"0000",
RXDFE_GC_CFG0 => X"0000",
RXDFE_GC_CFG1 => X"8000",
RXDFE_GC_CFG2 => X"FFE0",
RXDFE_H2_CFG0 => X"0000",
RXDFE_H2_CFG1 => X"0002",
RXDFE_H3_CFG0 => X"0000",
RXDFE_H3_CFG1 => X"8002",
RXDFE_H4_CFG0 => X"0000",
RXDFE_H4_CFG1 => X"8002",
RXDFE_H5_CFG0 => X"0000",
RXDFE_H5_CFG1 => X"8002",
RXDFE_H6_CFG0 => X"0000",
RXDFE_H6_CFG1 => X"8002",
RXDFE_H7_CFG0 => X"0000",
RXDFE_H7_CFG1 => X"8002",
RXDFE_H8_CFG0 => X"0000",
RXDFE_H8_CFG1 => X"8002",
RXDFE_H9_CFG0 => X"0000",
RXDFE_H9_CFG1 => X"8002",
RXDFE_HA_CFG0 => X"0000",
RXDFE_HA_CFG1 => X"8002",
RXDFE_HB_CFG0 => X"0000",
RXDFE_HB_CFG1 => X"8002",
RXDFE_HC_CFG0 => X"0000",
RXDFE_HC_CFG1 => X"8002",
RXDFE_HD_CFG0 => X"0000",
RXDFE_HD_CFG1 => X"8002",
RXDFE_HE_CFG0 => X"0000",
RXDFE_HE_CFG1 => X"8002",
RXDFE_HF_CFG0 => X"0000",
RXDFE_HF_CFG1 => X"8002",
RXDFE_KH_CFG0 => X"8000",
RXDFE_KH_CFG1 => X"FE00",
RXDFE_KH_CFG2 => X"281C",
RXDFE_KH_CFG3 => X"4120",
RXDFE_OS_CFG0 => X"2000",
RXDFE_OS_CFG1 => X"8000",
RXDFE_UT_CFG0 => X"0000",
RXDFE_UT_CFG1 => X"0003",
RXDFE_UT_CFG2 => X"0000",
RXDFE_VP_CFG0 => X"0000",
RXDFE_VP_CFG1 => X"0033",
RXDLY_CFG => X"0010",
RXDLY_LCFG => X"0030",
RXELECIDLE_CFG => "SIGCFG_4",
RXGBOX_FIFO_INIT_RD_ADDR => 3,
RXGEARBOX_EN => "TRUE",
RXISCANRESET_TIME => B"00001",
RXLPM_CFG => X"0000",
RXLPM_GC_CFG => X"F800",
RXLPM_KH_CFG0 => X"0000",
RXLPM_KH_CFG1 => X"A002",
RXLPM_OS_CFG0 => X"0000",
RXLPM_OS_CFG1 => X"8002",
RXOOB_CFG => B"000000110",
RXOOB_CLK_CFG => "PMA",
RXOSCALRESET_TIME => B"00011",
RXOUT_DIV => 1,
RXPCSRESET_TIME => B"00011",
RXPHBEACON_CFG => X"0000",
RXPHDLY_CFG => X"2070",
RXPHSAMP_CFG => X"2100",
RXPHSLIP_CFG => X"9933",
RXPH_MONITOR_SEL => B"00000",
RXPI_CFG0 => X"3006",
RXPI_CFG1 => B"0000000000000000",
RXPMACLK_SEL => "DATA",
RXPMARESET_TIME => B"00011",
RXPRBS_ERR_LOOPBACK => '0',
RXPRBS_LINKACQ_CNT => 15,
RXREFCLKDIV2_SEL => '0',
RXSLIDE_AUTO_WAIT => 7,
RXSLIDE_MODE => "OFF",
RXSYNC_MULTILANE => '0',
RXSYNC_OVRD => '0',
RXSYNC_SKIP_DA => '0',
RX_AFE_CM_EN => '0',
RX_BIAS_CFG0 => X"12B0",
RX_BUFFER_CFG => B"000000",
RX_CAPFF_SARC_ENB => '0',
RX_CLK25_DIV => 7,
RX_CLKMUX_EN => '1',
RX_CLK_SLIP_OVRD => B"00000",
RX_CM_BUF_CFG => B"1010",
RX_CM_BUF_PD => '0',
RX_CM_SEL => 3,
RX_CM_TRIM => 10,
RX_CTLE_PWR_SAVING => '0',
RX_CTLE_RES_CTRL => B"0000",
RX_DATA_WIDTH => 64,
RX_DDI_SEL => B"000000",
RX_DEFER_RESET_BUF_EN => "TRUE",
RX_DEGEN_CTRL => B"111",
RX_DFELPM_CFG0 => 10,
RX_DFELPM_CFG1 => '1',
RX_DFELPM_KLKH_AGC_STUP_EN => '1',
RX_DFE_AGC_CFG1 => 4,
RX_DFE_KL_LPM_KH_CFG0 => 3,
RX_DFE_KL_LPM_KH_CFG1 => 2,
RX_DFE_KL_LPM_KL_CFG0 => B"11",
RX_DFE_KL_LPM_KL_CFG1 => 2,
RX_DFE_LPM_HOLD_DURING_EIDLE => '0',
RX_DISPERR_SEQ_MATCH => "TRUE",
RX_DIVRESET_TIME => B"00001",
RX_EN_CTLE_RCAL_B => '0',
RX_EN_SUM_RCAL_B => 0,
RX_EYESCAN_VS_CODE => B"0000000",
RX_EYESCAN_VS_NEG_DIR => '0',
RX_EYESCAN_VS_RANGE => B"10",
RX_EYESCAN_VS_UT_SIGN => '0',
RX_FABINT_USRCLK_FLOP => '0',
RX_I2V_FILTER_EN => '1',
RX_INT_DATAWIDTH => 2,
RX_PMA_POWER_SAVE => '0',
RX_PMA_RSV0 => X"002F",
RX_PROGDIV_CFG => 16.500000,
RX_PROGDIV_RATE => X"0000",
RX_RESLOAD_CTRL => B"0000",
RX_RESLOAD_OVRD => '0',
RX_SAMPLE_PERIOD => B"111",
RX_SIG_VALID_DLY => 11,
RX_SUM_DEGEN_AVTT_OVERITE => 1,
RX_SUM_DFETAPREP_EN => '0',
RX_SUM_IREF_TUNE => B"0000",
RX_SUM_PWR_SAVING => 0,
RX_SUM_RES_CTRL => B"0000",
RX_SUM_VCMTUNE => B"1001",
RX_SUM_VCM_BIAS_TUNE_EN => '1',
RX_SUM_VCM_OVWR => '0',
RX_SUM_VREF_TUNE => B"100",
RX_TUNE_AFE_OS => B"10",
RX_VREG_CTRL => B"010",
RX_VREG_PDB => '1',
RX_WIDEMODE_CDR => B"10",
RX_WIDEMODE_CDR_GEN3 => B"00",
RX_WIDEMODE_CDR_GEN4 => B"01",
RX_XCLK_SEL => "RXDES",
RX_XMODE_SEL => '0',
SAMPLE_CLK_PHASE => '0',
SAS_12G_MODE => '0',
SATA_BURST_SEQ_LEN => B"1111",
SATA_BURST_VAL => B"100",
SATA_CPLL_CFG => "VCO_3000MHZ",
SATA_EIDLE_VAL => B"100",
SHOW_REALIGN_COMMA => "TRUE",
SIM_DEVICE => "ULTRASCALE_PLUS",
SIM_MODE => "FAST",
SIM_RECEIVER_DETECT_PASS => "TRUE",
SIM_RESET_SPEEDUP => "TRUE",
SIM_TX_EIDLE_DRIVE_LEVEL => "Z",
SRSTMODE => '0',
TAPDLY_SET_TX => B"00",
TERM_RCAL_CFG => B"100001000000010",
TERM_RCAL_OVRD => B"001",
TRANS_TIME_RATE => X"0E",
TST_RSV0 => X"00",
TST_RSV1 => X"00",
TXBUF_EN => "FALSE",
TXBUF_RESET_ON_RATE_CHANGE => "TRUE",
TXDLY_CFG => X"8010",
TXDLY_LCFG => X"0030",
TXDRV_FREQBAND => 3,
TXFE_CFG0 => B"0000001111000110",
TXFE_CFG1 => B"1111100000000000",
TXFE_CFG2 => B"1111100000000000",
TXFE_CFG3 => B"1111100000000000",
TXFIFO_ADDR_CFG => "LOW",
TXGBOX_FIFO_INIT_RD_ADDR => 4,
TXGEARBOX_EN => "TRUE",
TXOUT_DIV => 1,
TXPCSRESET_TIME => B"00011",
TXPHDLY_CFG0 => X"6070",
TXPHDLY_CFG1 => X"000E",
TXPH_CFG => X"0723",
TXPH_CFG2 => X"0000",
TXPH_MONITOR_SEL => B"00000",
TXPI_CFG0 => B"0011000000000000",
TXPI_CFG1 => B"0000000000000000",
TXPI_GRAY_SEL => '0',
TXPI_INVSTROBE_SEL => '0',
TXPI_PPM => '0',
TXPI_PPM_CFG => B"00000000",
TXPI_SYNFREQ_PPM => B"001",
TXPMARESET_TIME => B"00011",
TXREFCLKDIV2_SEL => '0',
TXSWBST_BST => 1,
TXSWBST_EN => 1,
TXSWBST_MAG => 4,
TXSYNC_MULTILANE => '0',
TXSYNC_OVRD => '0',
TXSYNC_SKIP_DA => '0',
TX_CLK25_DIV => 7,
TX_CLKMUX_EN => '1',
TX_DATA_WIDTH => 64,
TX_DCC_LOOP_RST_CFG => X"0004",
TX_DEEMPH0 => B"000000",
TX_DEEMPH1 => B"000000",
TX_DEEMPH2 => B"000000",
TX_DEEMPH3 => B"000000",
TX_DIVRESET_TIME => B"00001",
TX_DRIVE_MODE => "DIRECT",
TX_EIDLE_ASSERT_DELAY => B"100",
TX_EIDLE_DEASSERT_DELAY => B"011",
TX_FABINT_USRCLK_FLOP => '0',
TX_FIFO_BYP_EN => '0',
TX_IDLE_DATA_ZERO => '0',
TX_INT_DATAWIDTH => 2,
TX_LOOPBACK_DRIVE_HIZ => "FALSE",
TX_MAINCURSOR_SEL => '0',
TX_MARGIN_FULL_0 => B"1011000",
TX_MARGIN_FULL_1 => B"1010111",
TX_MARGIN_FULL_2 => B"1010101",
TX_MARGIN_FULL_3 => B"1010011",
TX_MARGIN_FULL_4 => B"1010001",
TX_MARGIN_LOW_0 => B"1001100",
TX_MARGIN_LOW_1 => B"1001011",
TX_MARGIN_LOW_2 => B"1001000",
TX_MARGIN_LOW_3 => B"1000010",
TX_MARGIN_LOW_4 => B"1000000",
TX_PHICAL_CFG0 => X"0020",
TX_PHICAL_CFG1 => X"0040",
TX_PI_BIASSET => 3,
TX_PMADATA_OPT => '0',
TX_PMA_POWER_SAVE => '0',
TX_PMA_RSV0 => X"0000",
TX_PMA_RSV1 => X"0000",
TX_PROGCLK_SEL => "PREPI",
TX_PROGDIV_CFG => 16.500000,
TX_PROGDIV_RATE => X"0000",
TX_RXDETECT_CFG => B"00" & X"032",
TX_RXDETECT_REF => 5,
TX_SAMPLE_PERIOD => B"111",
TX_SW_MEAS => B"00",
TX_VREG_CTRL => B"011",
TX_VREG_PDB => '1',
TX_VREG_VREFSEL => B"10",
TX_XCLK_SEL => "TXOUT",
USB_BOTH_BURST_IDLE => '0',
USB_BURSTMAX_U3WAKE => B"1111111",
USB_BURSTMIN_U3WAKE => B"1100011",
USB_CLK_COR_EQ_EN => '0',
USB_EXT_CNTL => '1',
USB_IDLEMAX_POLLING => B"1010111011",
USB_IDLEMIN_POLLING => B"0100101011",
USB_LFPSPING_BURST => B"000000101",
USB_LFPSPOLLING_BURST => B"000110001",
USB_LFPSPOLLING_IDLE_MS => B"000000100",
USB_LFPSU1EXIT_BURST => B"000011101",
USB_LFPSU2LPEXIT_BURST_MS => B"001100011",
USB_LFPSU3WAKE_BURST_MS => B"111110011",
USB_LFPS_TPERIOD => B"0011",
USB_LFPS_TPERIOD_ACCURATE => '1',
USB_MODE => '0',
USB_PCIE_ERR_REP_DIS => '0',
USB_PING_SATA_MAX_INIT => 21,
USB_PING_SATA_MIN_INIT => 12,
USB_POLL_SATA_MAX_BURST => 8,
USB_POLL_SATA_MIN_BURST => 4,
USB_RAW_ELEC => '0',
USB_RXIDLE_P0_CTRL => '1',
USB_TXIDLE_TUNE_ENABLE => '1',
USB_U1_SATA_MAX_WAKE => 7,
USB_U1_SATA_MIN_WAKE => 4,
USB_U2_SAS_MAX_COM => 64,
USB_U2_SAS_MIN_COM => 36,
USE_PCS_CLK_PHASE_SEL => '0',
Y_ALL_MODE => '0'
)
port map (
BUFGTCE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_0\,
BUFGTCEMASK(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_313\,
BUFGTCEMASK(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_314\,
BUFGTCEMASK(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_315\,
BUFGTDIV(8) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_368\,
BUFGTDIV(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_369\,
BUFGTDIV(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_370\,
BUFGTDIV(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_371\,
BUFGTDIV(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_372\,
BUFGTDIV(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_373\,
BUFGTDIV(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_374\,
BUFGTDIV(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_375\,
BUFGTDIV(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_376\,
BUFGTRESET => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_1\,
BUFGTRSTMASK(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_316\,
BUFGTRSTMASK(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_317\,
BUFGTRSTMASK(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_318\,
CDRSTEPDIR => '0',
CDRSTEPSQ => '0',
CDRSTEPSX => '0',
CFGRESET => '0',
CLKRSVD0 => '0',
CLKRSVD1 => '0',
CPLLFBCLKLOST => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_2\,
CPLLFREQLOCK => '0',
CPLLLOCK => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_3\,
CPLLLOCKDETCLK => '0',
CPLLLOCKEN => '0',
CPLLPD => '1',
CPLLREFCLKLOST => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_4\,
CPLLREFCLKSEL(2 downto 0) => B"001",
CPLLRESET => '1',
DMONFIFORESET => '0',
DMONITORCLK => '0',
DMONITOROUT(15) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_203\,
DMONITOROUT(14) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_204\,
DMONITOROUT(13) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_205\,
DMONITOROUT(12) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_206\,
DMONITOROUT(11) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_207\,
DMONITOROUT(10) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_208\,
DMONITOROUT(9) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_209\,
DMONITOROUT(8) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_210\,
DMONITOROUT(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_211\,
DMONITOROUT(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_212\,
DMONITOROUT(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_213\,
DMONITOROUT(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_214\,
DMONITOROUT(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_215\,
DMONITOROUT(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_216\,
DMONITOROUT(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_217\,
DMONITOROUT(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_218\,
DMONITOROUTCLK => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_5\,
DRPADDR(9 downto 0) => B"0000000000",
DRPCLK => '0',
DRPDI(15 downto 0) => B"0000000000000000",
DRPDO(15) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_219\,
DRPDO(14) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_220\,
DRPDO(13) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_221\,
DRPDO(12) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_222\,
DRPDO(11) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_223\,
DRPDO(10) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_224\,
DRPDO(9) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_225\,
DRPDO(8) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_226\,
DRPDO(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_227\,
DRPDO(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_228\,
DRPDO(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_229\,
DRPDO(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_230\,
DRPDO(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_231\,
DRPDO(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_232\,
DRPDO(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_233\,
DRPDO(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_234\,
DRPEN => '0',
DRPRDY => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_6\,
DRPRST => '0',
DRPWE => '0',
EYESCANDATAERROR => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_7\,
EYESCANRESET => '0',
EYESCANTRIGGER => '0',
FREQOS => '0',
GTGREFCLK => '0',
GTNORTHREFCLK0 => '0',
GTNORTHREFCLK1 => '0',
GTPOWERGOOD => \^gen_gtwizard_gtye4.gtpowergood_int\,
GTREFCLK0 => '0',
GTREFCLK1 => '0',
GTREFCLKMONITOR => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_9\,
GTRSVD(15 downto 0) => B"0000000000000000",
GTRXRESET => \gen_gtwizard_gtye4.gtrxreset_int\,
GTRXRESETSEL => '0',
GTSOUTHREFCLK0 => '0',
GTSOUTHREFCLK1 => '0',
GTTXRESET => \gen_gtwizard_gtye4.gttxreset_ch_int\,
GTTXRESETSEL => '0',
GTYRXN => gtyrxn_in(0),
GTYRXP => gtyrxp_in(0),
GTYTXN => gtytxn_out(0),
GTYTXP => gtytxp_out(0),
INCPCTRL => '0',
LOOPBACK(2 downto 0) => B"000",
PCIEEQRXEQADAPTDONE => '0',
PCIERATEGEN3 => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_12\,
PCIERATEIDLE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_13\,
PCIERATEQPLLPD(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_299\,
PCIERATEQPLLPD(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_300\,
PCIERATEQPLLRESET(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_301\,
PCIERATEQPLLRESET(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_302\,
PCIERSTIDLE => '0',
PCIERSTTXSYNCSTART => '0',
PCIESYNCTXSYNCDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_14\,
PCIEUSERGEN3RDY => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_15\,
PCIEUSERPHYSTATUSRST => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_16\,
PCIEUSERRATEDONE => '0',
PCIEUSERRATESTART => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_17\,
PCSRSVDIN(15 downto 0) => B"0000000000000000",
PCSRSVDOUT(15) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_235\,
PCSRSVDOUT(14) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_236\,
PCSRSVDOUT(13) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_237\,
PCSRSVDOUT(12) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_238\,
PCSRSVDOUT(11) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_239\,
PCSRSVDOUT(10) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_240\,
PCSRSVDOUT(9) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_241\,
PCSRSVDOUT(8) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_242\,
PCSRSVDOUT(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_243\,
PCSRSVDOUT(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_244\,
PCSRSVDOUT(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_245\,
PCSRSVDOUT(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_246\,
PCSRSVDOUT(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_247\,
PCSRSVDOUT(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_248\,
PCSRSVDOUT(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_249\,
PCSRSVDOUT(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_250\,
PHYSTATUS => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_18\,
PINRSRVDAS(15) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_251\,
PINRSRVDAS(14) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_252\,
PINRSRVDAS(13) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_253\,
PINRSRVDAS(12) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_254\,
PINRSRVDAS(11) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_255\,
PINRSRVDAS(10) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_256\,
PINRSRVDAS(9) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_257\,
PINRSRVDAS(8) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_258\,
PINRSRVDAS(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_259\,
PINRSRVDAS(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_260\,
PINRSRVDAS(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_261\,
PINRSRVDAS(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_262\,
PINRSRVDAS(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_263\,
PINRSRVDAS(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_264\,
PINRSRVDAS(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_265\,
PINRSRVDAS(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_266\,
POWERPRESENT => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_19\,
QPLL0CLK => qpll0clk_in(0),
QPLL0FREQLOCK => '0',
QPLL0REFCLK => qpll0refclk_in(0),
QPLL1CLK => qpll1clk_in(0),
QPLL1FREQLOCK => '0',
QPLL1REFCLK => qpll1refclk_in(0),
RESETEXCEPTION => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_20\,
RESETOVRD => '0',
RX8B10BEN => '0',
RXAFECFOKEN => '1',
RXBUFRESET => '0',
RXBUFSTATUS(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_319\,
RXBUFSTATUS(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_320\,
RXBUFSTATUS(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_321\,
RXBYTEISALIGNED => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_21\,
RXBYTEREALIGN => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_22\,
RXCDRFREQRESET => '0',
RXCDRHOLD => '0',
RXCDRLOCK => rxcdrlock_out(0),
RXCDROVRDEN => '0',
RXCDRPHDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_24\,
RXCDRRESET => '0',
RXCHANBONDSEQ => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_25\,
RXCHANISALIGNED => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_26\,
RXCHANREALIGN => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_27\,
RXCHBONDEN => '0',
RXCHBONDI(4 downto 0) => B"00000",
RXCHBONDLEVEL(2 downto 0) => B"000",
RXCHBONDMASTER => '0',
RXCHBONDO(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_325\,
RXCHBONDO(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_326\,
RXCHBONDO(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_327\,
RXCHBONDO(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_328\,
RXCHBONDO(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_329\,
RXCHBONDSLAVE => '0',
RXCKCALDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_28\,
RXCKCALRESET => '0',
RXCKCALSTART(6 downto 0) => B"0000000",
RXCLKCORCNT(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_303\,
RXCLKCORCNT(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_304\,
RXCOMINITDET => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_29\,
RXCOMMADET => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_30\,
RXCOMMADETEN => '0',
RXCOMSASDET => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_31\,
RXCOMWAKEDET => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_32\,
RXCTRL0(15) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_267\,
RXCTRL0(14) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_268\,
RXCTRL0(13) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_269\,
RXCTRL0(12) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_270\,
RXCTRL0(11) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_271\,
RXCTRL0(10) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_272\,
RXCTRL0(9) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_273\,
RXCTRL0(8) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_274\,
RXCTRL0(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_275\,
RXCTRL0(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_276\,
RXCTRL0(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_277\,
RXCTRL0(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_278\,
RXCTRL0(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_279\,
RXCTRL0(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_280\,
RXCTRL0(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_281\,
RXCTRL0(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_282\,
RXCTRL1(15) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_283\,
RXCTRL1(14) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_284\,
RXCTRL1(13) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_285\,
RXCTRL1(12) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_286\,
RXCTRL1(11) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_287\,
RXCTRL1(10) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_288\,
RXCTRL1(9) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_289\,
RXCTRL1(8) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_290\,
RXCTRL1(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_291\,
RXCTRL1(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_292\,
RXCTRL1(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_293\,
RXCTRL1(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_294\,
RXCTRL1(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_295\,
RXCTRL1(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_296\,
RXCTRL1(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_297\,
RXCTRL1(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_298\,
RXCTRL2(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_336\,
RXCTRL2(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_337\,
RXCTRL2(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_338\,
RXCTRL2(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_339\,
RXCTRL2(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_340\,
RXCTRL2(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_341\,
RXCTRL2(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_342\,
RXCTRL2(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_343\,
RXCTRL3(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_344\,
RXCTRL3(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_345\,
RXCTRL3(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_346\,
RXCTRL3(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_347\,
RXCTRL3(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_348\,
RXCTRL3(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_349\,
RXCTRL3(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_350\,
RXCTRL3(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_351\,
RXDATA(127) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_75\,
RXDATA(126) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_76\,
RXDATA(125) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_77\,
RXDATA(124) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_78\,
RXDATA(123) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_79\,
RXDATA(122) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_80\,
RXDATA(121) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_81\,
RXDATA(120) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_82\,
RXDATA(119) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_83\,
RXDATA(118) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_84\,
RXDATA(117) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_85\,
RXDATA(116) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_86\,
RXDATA(115) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_87\,
RXDATA(114) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_88\,
RXDATA(113) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_89\,
RXDATA(112) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_90\,
RXDATA(111) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_91\,
RXDATA(110) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_92\,
RXDATA(109) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_93\,
RXDATA(108) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_94\,
RXDATA(107) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_95\,
RXDATA(106) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_96\,
RXDATA(105) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_97\,
RXDATA(104) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_98\,
RXDATA(103) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_99\,
RXDATA(102) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_100\,
RXDATA(101) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_101\,
RXDATA(100) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_102\,
RXDATA(99) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_103\,
RXDATA(98) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_104\,
RXDATA(97) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_105\,
RXDATA(96) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_106\,
RXDATA(95) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_107\,
RXDATA(94) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_108\,
RXDATA(93) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_109\,
RXDATA(92) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_110\,
RXDATA(91) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_111\,
RXDATA(90) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_112\,
RXDATA(89) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_113\,
RXDATA(88) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_114\,
RXDATA(87) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_115\,
RXDATA(86) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_116\,
RXDATA(85) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_117\,
RXDATA(84) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_118\,
RXDATA(83) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_119\,
RXDATA(82) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_120\,
RXDATA(81) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_121\,
RXDATA(80) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_122\,
RXDATA(79) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_123\,
RXDATA(78) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_124\,
RXDATA(77) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_125\,
RXDATA(76) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_126\,
RXDATA(75) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_127\,
RXDATA(74) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_128\,
RXDATA(73) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_129\,
RXDATA(72) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_130\,
RXDATA(71) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_131\,
RXDATA(70) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_132\,
RXDATA(69) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_133\,
RXDATA(68) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_134\,
RXDATA(67) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_135\,
RXDATA(66) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_136\,
RXDATA(65) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_137\,
RXDATA(64) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_138\,
RXDATA(63 downto 0) => gtwiz_userdata_rx_out(63 downto 0),
RXDATAEXTENDRSVD(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_352\,
RXDATAEXTENDRSVD(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_353\,
RXDATAEXTENDRSVD(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_354\,
RXDATAEXTENDRSVD(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_355\,
RXDATAEXTENDRSVD(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_356\,
RXDATAEXTENDRSVD(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_357\,
RXDATAEXTENDRSVD(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_358\,
RXDATAEXTENDRSVD(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_359\,
RXDATAVALID(1 downto 0) => rxdatavalid_out(1 downto 0),
RXDFEAGCHOLD => '0',
RXDFEAGCOVRDEN => '0',
RXDFECFOKFCNUM(3 downto 0) => B"1101",
RXDFECFOKFEN => '0',
RXDFECFOKFPULSE => '0',
RXDFECFOKHOLD => '0',
RXDFECFOKOVREN => '0',
RXDFEKHHOLD => '0',
RXDFEKHOVRDEN => '0',
RXDFELFHOLD => '0',
RXDFELFOVRDEN => '0',
RXDFELPMRESET => '0',
RXDFETAP10HOLD => '0',
RXDFETAP10OVRDEN => '0',
RXDFETAP11HOLD => '0',
RXDFETAP11OVRDEN => '0',
RXDFETAP12HOLD => '0',
RXDFETAP12OVRDEN => '0',
RXDFETAP13HOLD => '0',
RXDFETAP13OVRDEN => '0',
RXDFETAP14HOLD => '0',
RXDFETAP14OVRDEN => '0',
RXDFETAP15HOLD => '0',
RXDFETAP15OVRDEN => '0',
RXDFETAP2HOLD => '0',
RXDFETAP2OVRDEN => '0',
RXDFETAP3HOLD => '0',
RXDFETAP3OVRDEN => '0',
RXDFETAP4HOLD => '0',
RXDFETAP4OVRDEN => '0',
RXDFETAP5HOLD => '0',
RXDFETAP5OVRDEN => '0',
RXDFETAP6HOLD => '0',
RXDFETAP6OVRDEN => '0',
RXDFETAP7HOLD => '0',
RXDFETAP7OVRDEN => '0',
RXDFETAP8HOLD => '0',
RXDFETAP8OVRDEN => '0',
RXDFETAP9HOLD => '0',
RXDFETAP9OVRDEN => '0',
RXDFEUTHOLD => '0',
RXDFEUTOVRDEN => '0',
RXDFEVPHOLD => '0',
RXDFEVPOVRDEN => '0',
RXDFEXYDEN => '1',
RXDLYBYPASS => '1',
RXDLYEN => '0',
RXDLYOVRDEN => '0',
RXDLYSRESET => '0',
RXDLYSRESETDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_33\,
RXELECIDLE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_34\,
RXELECIDLEMODE(1 downto 0) => B"11",
RXEQTRAINING => '0',
RXGEARBOXSLIP => rxgearboxslip_in(0),
RXHEADER(5 downto 0) => rxheader_out(5 downto 0),
RXHEADERVALID(1 downto 0) => rxheadervalid_out(1 downto 0),
RXLATCLK => '0',
RXLFPSTRESETDET => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_35\,
RXLFPSU2LPEXITDET => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_36\,
RXLFPSU3WAKEDET => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_37\,
RXLPMEN => '0',
RXLPMGCHOLD => '0',
RXLPMGCOVRDEN => '0',
RXLPMHFHOLD => '0',
RXLPMHFOVRDEN => '0',
RXLPMLFHOLD => '0',
RXLPMLFKLOVRDEN => '0',
RXLPMOSHOLD => '0',
RXLPMOSOVRDEN => '0',
RXMCOMMAALIGNEN => '0',
RXMONITOROUT(7) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_360\,
RXMONITOROUT(6) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_361\,
RXMONITOROUT(5) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_362\,
RXMONITOROUT(4) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_363\,
RXMONITOROUT(3) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_364\,
RXMONITOROUT(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_365\,
RXMONITOROUT(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_366\,
RXMONITOROUT(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_367\,
RXMONITORSEL(1 downto 0) => B"00",
RXOOBRESET => '0',
RXOSCALRESET => '0',
RXOSHOLD => '0',
RXOSINTDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_38\,
RXOSINTSTARTED => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_39\,
RXOSINTSTROBEDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_40\,
RXOSINTSTROBESTARTED => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_41\,
RXOSOVRDEN => '0',
RXOUTCLK => \^gtwiz_userclk_rx_srcclk_out\(0),
RXOUTCLKFABRIC => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_43\,
RXOUTCLKPCS => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_44\,
RXOUTCLKSEL(2 downto 0) => B"101",
RXPCOMMAALIGNEN => '0',
RXPCSRESET => '0',
RXPD(1 downto 0) => B"00",
RXPHALIGN => '0',
RXPHALIGNDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_45\,
RXPHALIGNEN => '0',
RXPHALIGNERR => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_46\,
RXPHDLYPD => '1',
RXPHDLYRESET => '0',
RXPLLCLKSEL(1 downto 0) => B"11",
RXPMARESET => '0',
RXPMARESETDONE => rxpmaresetdone_out(0),
RXPOLARITY => '0',
RXPRBSCNTRESET => '0',
RXPRBSERR => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_48\,
RXPRBSLOCKED => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_49\,
RXPRBSSEL(3 downto 0) => B"0000",
RXPRGDIVRESETDONE => rxprgdivresetdone_out(0),
RXPROGDIVRESET => \gen_gtwizard_gtye4.rxprogdivreset_int\,
RXRATE(2 downto 0) => B"000",
RXRATEDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_51\,
RXRATEMODE => '0',
RXRECCLKOUT => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_52\,
RXRESETDONE => rxresetdone_out(0),
RXSLIDE => '0',
RXSLIDERDY => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_54\,
RXSLIPDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_55\,
RXSLIPOUTCLK => '0',
RXSLIPOUTCLKRDY => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_56\,
RXSLIPPMA => '0',
RXSLIPPMARDY => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_57\,
RXSTARTOFSEQ(1 downto 0) => rxstartofseq_out(1 downto 0),
RXSTATUS(2) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_322\,
RXSTATUS(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_323\,
RXSTATUS(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_324\,
RXSYNCALLIN => '0',
RXSYNCDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_58\,
RXSYNCIN => '0',
RXSYNCMODE => '0',
RXSYNCOUT => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_59\,
RXSYSCLKSEL(1 downto 0) => B"10",
RXTERMINATION => '0',
RXUSERRDY => \gen_gtwizard_gtye4.rxuserrdy_int\,
RXUSRCLK => gtwiz_userclk_rx_usrclk2_out(0),
RXUSRCLK2 => gtwiz_userclk_rx_usrclk2_out(0),
RXVALID => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_60\,
SIGVALIDCLK => '0',
TSTIN(19 downto 0) => B"00000000000000000000",
TX8B10BBYPASS(7 downto 0) => B"00000000",
TX8B10BEN => '0',
TXBUFSTATUS(1) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_311\,
TXBUFSTATUS(0) => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_312\,
TXCOMFINISH => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_61\,
TXCOMINIT => '0',
TXCOMSAS => '0',
TXCOMWAKE => '0',
TXCTRL0(15 downto 0) => B"0000000000000000",
TXCTRL1(15 downto 0) => B"0000000000000000",
TXCTRL2(7 downto 0) => B"00000000",
TXDATA(127 downto 64) => B"0000000000000000000000000000000000000000000000000000000000000000",
TXDATA(63 downto 0) => gtwiz_userdata_tx_in(63 downto 0),
TXDATAEXTENDRSVD(7 downto 0) => B"00000000",
TXDCCDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_62\,
TXDCCFORCESTART => '0',
TXDCCRESET => '0',
TXDEEMPH(1 downto 0) => B"00",
TXDETECTRX => '0',
TXDIFFCTRL(4 downto 0) => B"11000",
TXDLYBYPASS => '1',
TXDLYEN => '0',
TXDLYHOLD => '0',
TXDLYOVRDEN => '0',
TXDLYSRESET => '0',
TXDLYSRESETDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_63\,
TXDLYUPDOWN => '0',
TXELECIDLE => '0',
TXHEADER(5 downto 0) => txheader_in(5 downto 0),
TXINHIBIT => '0',
TXLATCLK => '0',
TXLFPSTRESET => '0',
TXLFPSU2LPEXIT => '0',
TXLFPSU3WAKE => '0',
TXMAINCURSOR(6 downto 0) => B"1010000",
TXMARGIN(2 downto 0) => B"000",
TXMUXDCDEXHOLD => '0',
TXMUXDCDORWREN => '0',
TXONESZEROS => '0',
TXOUTCLK => \^gtwiz_userclk_tx_srcclk_out\(0),
TXOUTCLKFABRIC => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_65\,
TXOUTCLKPCS => txoutclkpcs_out(0),
TXOUTCLKSEL(2 downto 0) => B"101",
TXPCSRESET => '0',
TXPD(1 downto 0) => B"00",
TXPDELECIDLEMODE => '0',
TXPHALIGN => '0',
TXPHALIGNDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_67\,
TXPHALIGNEN => '0',
TXPHDLYPD => '1',
TXPHDLYRESET => '0',
TXPHDLYTSTCLK => '0',
TXPHINIT => '0',
TXPHINITDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_68\,
TXPHOVRDEN => '0',
TXPIPPMEN => '0',
TXPIPPMOVRDEN => '0',
TXPIPPMPD => '0',
TXPIPPMSEL => '1',
TXPIPPMSTEPSIZE(4 downto 0) => B"00000",
TXPISOPD => TXRATE(0),
TXPLLCLKSEL(1 downto 0) => B"11",
TXPMARESET => '0',
TXPMARESETDONE => txpmaresetdone_out(0),
TXPOLARITY => '0',
TXPOSTCURSOR(4 downto 0) => B"00000",
TXPRBSFORCEERR => '0',
TXPRBSSEL(3 downto 0) => B"0000",
TXPRECURSOR(4 downto 0) => B"00000",
TXPRGDIVRESETDONE => txprgdivresetdone_out(0),
TXPROGDIVRESET => \gen_gtwizard_gtye4.txprogdivreset_int\,
TXRATE(2 downto 1) => B"00",
TXRATE(0) => TXRATE(0),
TXRATEDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_71\,
TXRATEMODE => TXRATE(0),
TXRESETDONE => txresetdone_out(0),
TXSEQUENCE(6 downto 0) => txsequence_in(6 downto 0),
TXSWING => '0',
TXSYNCALLIN => '0',
TXSYNCDONE => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_73\,
TXSYNCIN => '0',
TXSYNCMODE => '0',
TXSYNCOUT => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_n_74\,
TXSYSCLKSEL(1 downto 0) => B"10",
TXUSERRDY => \gen_gtwizard_gtye4.txuserrdy_int\,
TXUSRCLK => gtwiz_userclk_tx_usrclk2_out(0),
TXUSRCLK2 => gtwiz_userclk_tx_usrclk2_out(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtye4_delay_powergood is
port (
\out\ : out STD_LOGIC;
TXRATE : out STD_LOGIC_VECTOR ( 0 to 0 );
txoutclkpcs_out : in STD_LOGIC_VECTOR ( 0 to 0 );
\gen_powergood_delay.intclk_rrst_n_r_reg[4]_0\ : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtye4_delay_powergood;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtye4_delay_powergood is
signal \gen_powergood_delay.int_pwr_on_fsm\ : STD_LOGIC;
attribute RTL_KEEP : string;
attribute RTL_KEEP of \gen_powergood_delay.int_pwr_on_fsm\ : signal is "true";
attribute async_reg : string;
attribute async_reg of \gen_powergood_delay.int_pwr_on_fsm\ : signal is "true";
attribute shreg_extract : string;
attribute shreg_extract of \gen_powergood_delay.int_pwr_on_fsm\ : signal is "no";
signal \gen_powergood_delay.int_pwr_on_fsm_i_1_n_0\ : STD_LOGIC;
signal \gen_powergood_delay.intclk_rrst_n_r\ : STD_LOGIC_VECTOR ( 4 downto 0 );
attribute async_reg of \gen_powergood_delay.intclk_rrst_n_r\ : signal is "true";
attribute shreg_extract of \gen_powergood_delay.intclk_rrst_n_r\ : signal is "no";
signal \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\ : STD_LOGIC;
signal \gen_powergood_delay.pwr_on_fsm\ : STD_LOGIC;
attribute RTL_KEEP of \gen_powergood_delay.pwr_on_fsm\ : signal is "true";
attribute async_reg of \gen_powergood_delay.pwr_on_fsm\ : signal is "true";
attribute shreg_extract of \gen_powergood_delay.pwr_on_fsm\ : signal is "no";
signal \gen_powergood_delay.wait_cnt\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute async_reg of \gen_powergood_delay.wait_cnt\ : signal is "true";
attribute shreg_extract of \gen_powergood_delay.wait_cnt\ : signal is "no";
signal \gen_powergood_delay.wait_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \gen_powergood_delay.wait_cnt[8]_i_1_n_0\ : STD_LOGIC;
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of \gen_powergood_delay.int_pwr_on_fsm_reg\ : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of \gen_powergood_delay.int_pwr_on_fsm_reg\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.int_pwr_on_fsm_reg\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.intclk_rrst_n_r_reg[0]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.intclk_rrst_n_r_reg[0]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.intclk_rrst_n_r_reg[0]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.intclk_rrst_n_r_reg[1]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.intclk_rrst_n_r_reg[1]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.intclk_rrst_n_r_reg[1]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.intclk_rrst_n_r_reg[2]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.intclk_rrst_n_r_reg[2]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.intclk_rrst_n_r_reg[2]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.intclk_rrst_n_r_reg[3]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.intclk_rrst_n_r_reg[3]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.intclk_rrst_n_r_reg[3]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.intclk_rrst_n_r_reg[4]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.intclk_rrst_n_r_reg[4]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.intclk_rrst_n_r_reg[4]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.pwr_on_fsm_reg\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.pwr_on_fsm_reg\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.pwr_on_fsm_reg\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[0]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[0]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[0]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[1]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[1]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[1]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[2]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[2]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[2]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[3]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[3]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[3]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[4]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[4]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[4]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[5]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[5]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[5]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[6]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[6]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[6]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[7]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[7]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[7]\ : label is "no";
attribute ASYNC_REG_boolean of \gen_powergood_delay.wait_cnt_reg[8]\ : label is std.standard.true;
attribute KEEP of \gen_powergood_delay.wait_cnt_reg[8]\ : label is "yes";
attribute SHREG_EXTRACT of \gen_powergood_delay.wait_cnt_reg[8]\ : label is "no";
begin
\out\ <= \gen_powergood_delay.pwr_on_fsm\;
\gen_powergood_delay.int_pwr_on_fsm_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \gen_powergood_delay.int_pwr_on_fsm\,
I1 => \gen_powergood_delay.wait_cnt\(7),
O => \gen_powergood_delay.int_pwr_on_fsm_i_1_n_0\
);
\gen_powergood_delay.int_pwr_on_fsm_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => txoutclkpcs_out(0),
CE => '1',
CLR => \gen_powergood_delay.intclk_rrst_n_r_reg[4]_0\,
D => \gen_powergood_delay.int_pwr_on_fsm_i_1_n_0\,
Q => \gen_powergood_delay.int_pwr_on_fsm\
);
\gen_powergood_delay.intclk_rrst_n_r[4]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \gen_powergood_delay.int_pwr_on_fsm\,
O => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\
);
\gen_powergood_delay.intclk_rrst_n_r_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
CLR => \gen_powergood_delay.intclk_rrst_n_r_reg[4]_0\,
D => '1',
Q => \gen_powergood_delay.intclk_rrst_n_r\(0)
);
\gen_powergood_delay.intclk_rrst_n_r_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
CLR => \gen_powergood_delay.intclk_rrst_n_r_reg[4]_0\,
D => \gen_powergood_delay.intclk_rrst_n_r\(0),
Q => \gen_powergood_delay.intclk_rrst_n_r\(1)
);
\gen_powergood_delay.intclk_rrst_n_r_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
CLR => \gen_powergood_delay.intclk_rrst_n_r_reg[4]_0\,
D => \gen_powergood_delay.intclk_rrst_n_r\(1),
Q => \gen_powergood_delay.intclk_rrst_n_r\(2)
);
\gen_powergood_delay.intclk_rrst_n_r_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
CLR => \gen_powergood_delay.intclk_rrst_n_r_reg[4]_0\,
D => \gen_powergood_delay.intclk_rrst_n_r\(2),
Q => \gen_powergood_delay.intclk_rrst_n_r\(3)
);
\gen_powergood_delay.intclk_rrst_n_r_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
CLR => \gen_powergood_delay.intclk_rrst_n_r_reg[4]_0\,
D => \gen_powergood_delay.intclk_rrst_n_r\(3),
Q => \gen_powergood_delay.intclk_rrst_n_r\(4)
);
\gen_powergood_delay.pwr_on_fsm_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => txoutclkpcs_out(0),
CE => '1',
D => \gen_powergood_delay.int_pwr_on_fsm\,
Q => \gen_powergood_delay.pwr_on_fsm\,
R => '0'
);
\gen_powergood_delay.wait_cnt[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \gen_powergood_delay.intclk_rrst_n_r\(4),
I1 => \gen_powergood_delay.int_pwr_on_fsm\,
O => \gen_powergood_delay.wait_cnt[0]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt[8]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \gen_powergood_delay.intclk_rrst_n_r\(4),
O => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt_reg[0]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.wait_cnt[0]_i_1_n_0\,
D => \gen_powergood_delay.intclk_rrst_n_r\(4),
Q => \gen_powergood_delay.wait_cnt\(0),
R => '0'
);
\gen_powergood_delay.wait_cnt_reg[1]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
D => \gen_powergood_delay.wait_cnt\(0),
Q => \gen_powergood_delay.wait_cnt\(1),
R => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt_reg[2]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
D => \gen_powergood_delay.wait_cnt\(1),
Q => \gen_powergood_delay.wait_cnt\(2),
R => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt_reg[3]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
D => \gen_powergood_delay.wait_cnt\(2),
Q => \gen_powergood_delay.wait_cnt\(3),
R => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt_reg[4]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
D => \gen_powergood_delay.wait_cnt\(3),
Q => \gen_powergood_delay.wait_cnt\(4),
R => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt_reg[5]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
D => \gen_powergood_delay.wait_cnt\(4),
Q => \gen_powergood_delay.wait_cnt\(5),
R => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt_reg[6]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
D => \gen_powergood_delay.wait_cnt\(5),
Q => \gen_powergood_delay.wait_cnt\(6),
R => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt_reg[7]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
D => \gen_powergood_delay.wait_cnt\(6),
Q => \gen_powergood_delay.wait_cnt\(7),
R => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gen_powergood_delay.wait_cnt_reg[8]\: unisim.vcomponents.FDRE
port map (
C => txoutclkpcs_out(0),
CE => \gen_powergood_delay.intclk_rrst_n_r[4]_i_1_n_0\,
D => \gen_powergood_delay.wait_cnt\(7),
Q => \gen_powergood_delay.wait_cnt\(8),
R => \gen_powergood_delay.wait_cnt[8]_i_1_n_0\
);
\gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_i_2\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \gen_powergood_delay.pwr_on_fsm\,
O => TXRATE(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer is
port (
gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_usrclk2_out : in STD_LOGIC_VECTOR ( 0 to 0 );
rst_in_sync2_reg_0 : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer is
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal \rst_in_out_i_1__0_n_0\ : STD_LOGIC;
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
rst_in_meta_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_rx_usrclk2_out(0),
CE => '1',
CLR => \rst_in_out_i_1__0_n_0\,
D => '1',
Q => rst_in_meta
);
\rst_in_out_i_1__0\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rst_in_sync2_reg_0,
O => \rst_in_out_i_1__0_n_0\
);
rst_in_out_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_rx_usrclk2_out(0),
CE => '1',
CLR => \rst_in_out_i_1__0_n_0\,
D => rst_in_sync3,
Q => gtwiz_reset_rx_done_out(0)
);
rst_in_sync1_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_rx_usrclk2_out(0),
CE => '1',
CLR => \rst_in_out_i_1__0_n_0\,
D => rst_in_meta,
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_rx_usrclk2_out(0),
CE => '1',
CLR => \rst_in_out_i_1__0_n_0\,
D => rst_in_sync1,
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_rx_usrclk2_out(0),
CE => '1',
CLR => \rst_in_out_i_1__0_n_0\,
D => rst_in_sync2,
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer_17 is
port (
gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_usrclk2_out : in STD_LOGIC_VECTOR ( 0 to 0 );
rst_in_sync2_reg_0 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer_17 : entity is "gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer_17;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer_17 is
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_out_i_1_n_0 : STD_LOGIC;
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
rst_in_meta_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_tx_usrclk2_out(0),
CE => '1',
CLR => rst_in_out_i_1_n_0,
D => '1',
Q => rst_in_meta
);
rst_in_out_i_1: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => rst_in_sync2_reg_0,
O => rst_in_out_i_1_n_0
);
rst_in_out_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_tx_usrclk2_out(0),
CE => '1',
CLR => rst_in_out_i_1_n_0,
D => rst_in_sync3,
Q => gtwiz_reset_tx_done_out(0)
);
rst_in_sync1_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_tx_usrclk2_out(0),
CE => '1',
CLR => rst_in_out_i_1_n_0,
D => rst_in_meta,
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_tx_usrclk2_out(0),
CE => '1',
CLR => rst_in_out_i_1_n_0,
D => rst_in_sync1,
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => gtwiz_userclk_tx_usrclk2_out(0),
CE => '1',
CLR => rst_in_out_i_1_n_0,
D => rst_in_sync2,
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer is
port (
gtwiz_reset_all_sync : out STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer is
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
rst_in_meta_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => '0',
PRE => gtwiz_reset_all_in(0),
Q => rst_in_meta
);
rst_in_out_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync3,
PRE => gtwiz_reset_all_in(0),
Q => gtwiz_reset_all_sync
);
rst_in_sync1_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_meta,
PRE => gtwiz_reset_all_in(0),
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync1,
PRE => gtwiz_reset_all_in(0),
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync2,
PRE => gtwiz_reset_all_in(0),
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_11 is
port (
gtwiz_reset_rx_any_sync : out STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[1]\ : out STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[1]_0\ : out STD_LOGIC;
\FSM_sequential_sm_reset_rx_reg[1]_1\ : out STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\ : in STD_LOGIC;
rxprogdivreset_out_reg : in STD_LOGIC;
\gen_gtwizard_gtye4.rxprogdivreset_int\ : in STD_LOGIC;
gtrxreset_out_reg : in STD_LOGIC;
\gen_gtwizard_gtye4.gtrxreset_int\ : in STD_LOGIC;
rst_in_out_reg_0 : in STD_LOGIC;
gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rst_in_out_reg_1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_11 : entity is "gtwizard_ultrascale_v1_7_12_reset_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_11;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_11 is
signal gtwiz_reset_rx_any : STD_LOGIC;
signal \^gtwiz_reset_rx_any_sync\ : STD_LOGIC;
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
gtwiz_reset_rx_any_sync <= \^gtwiz_reset_rx_any_sync\;
gtrxreset_out_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF7FFFF00070000"
)
port map (
I0 => Q(1),
I1 => Q(0),
I2 => Q(2),
I3 => \^gtwiz_reset_rx_any_sync\,
I4 => gtrxreset_out_reg,
I5 => \gen_gtwizard_gtye4.gtrxreset_int\,
O => \FSM_sequential_sm_reset_rx_reg[1]_1\
);
pllreset_rx_out_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFDF0010"
)
port map (
I0 => Q(1),
I1 => Q(2),
I2 => Q(0),
I3 => \^gtwiz_reset_rx_any_sync\,
I4 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\,
O => \FSM_sequential_sm_reset_rx_reg[1]\
);
\rst_in_meta_i_1__1\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => rst_in_out_reg_0,
I1 => gtwiz_reset_rx_datapath_in(0),
I2 => gtwiz_reset_rx_pll_and_datapath_in(0),
I3 => rst_in_out_reg_1,
O => gtwiz_reset_rx_any
);
rst_in_meta_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => '0',
PRE => gtwiz_reset_rx_any,
Q => rst_in_meta
);
rst_in_out_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync3,
PRE => gtwiz_reset_rx_any,
Q => \^gtwiz_reset_rx_any_sync\
);
rst_in_sync1_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_meta,
PRE => gtwiz_reset_rx_any,
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync1,
PRE => gtwiz_reset_rx_any,
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync2,
PRE => gtwiz_reset_rx_any,
Q => rst_in_sync3
);
rxprogdivreset_out_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFBFFFF00120012"
)
port map (
I0 => Q(1),
I1 => Q(2),
I2 => Q(0),
I3 => \^gtwiz_reset_rx_any_sync\,
I4 => rxprogdivreset_out_reg,
I5 => \gen_gtwizard_gtye4.rxprogdivreset_int\,
O => \FSM_sequential_sm_reset_rx_reg[1]_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_12 is
port (
in0 : out STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rst_in_out_reg_0 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_12 : entity is "gtwizard_ultrascale_v1_7_12_reset_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_12;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_12 is
signal rst_in0_1 : STD_LOGIC;
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
\rst_in_meta_i_1__3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => gtwiz_reset_rx_datapath_in(0),
I1 => rst_in_out_reg_0,
O => rst_in0_1
);
rst_in_meta_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => '0',
PRE => rst_in0_1,
Q => rst_in_meta
);
rst_in_out_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync3,
PRE => rst_in0_1,
Q => in0
);
rst_in_sync1_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_meta,
PRE => rst_in0_1,
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync1,
PRE => rst_in0_1,
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync2,
PRE => rst_in0_1,
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_13 is
port (
in0 : out STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rst_in_out_reg_0 : in STD_LOGIC;
gtwiz_reset_rx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_13 : entity is "gtwizard_ultrascale_v1_7_12_reset_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_13;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_13 is
signal p_0_in_0 : STD_LOGIC;
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
\rst_in_meta_i_1__2\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => rst_in_out_reg_0,
I1 => gtwiz_reset_rx_pll_and_datapath_in(0),
O => p_0_in_0
);
rst_in_meta_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => '0',
PRE => p_0_in_0,
Q => rst_in_meta
);
rst_in_out_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync3,
PRE => p_0_in_0,
Q => in0
);
rst_in_sync1_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_meta,
PRE => p_0_in_0,
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync1,
PRE => p_0_in_0,
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync2,
PRE => p_0_in_0,
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_14 is
port (
gtwiz_reset_tx_any_sync : out STD_LOGIC;
\FSM_sequential_sm_reset_tx_reg[1]\ : out STD_LOGIC;
rst_in_out_reg_0 : out STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\ : in STD_LOGIC;
gttxreset_out_reg : in STD_LOGIC;
\gen_gtwizard_gtye4.gttxreset_int\ : in STD_LOGIC;
gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rst_in_out_reg_1 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_14 : entity is "gtwizard_ultrascale_v1_7_12_reset_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_14;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_14 is
signal gtwiz_reset_tx_any : STD_LOGIC;
signal \^gtwiz_reset_tx_any_sync\ : STD_LOGIC;
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
gtwiz_reset_tx_any_sync <= \^gtwiz_reset_tx_any_sync\;
gttxreset_out_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"3F3FFFFF3F3F0014"
)
port map (
I0 => \^gtwiz_reset_tx_any_sync\,
I1 => Q(1),
I2 => Q(0),
I3 => Q(2),
I4 => gttxreset_out_reg,
I5 => \gen_gtwizard_gtye4.gttxreset_int\,
O => rst_in_out_reg_0
);
pllreset_tx_out_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFDF0010"
)
port map (
I0 => Q(1),
I1 => Q(2),
I2 => Q(0),
I3 => \^gtwiz_reset_tx_any_sync\,
I4 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\,
O => \FSM_sequential_sm_reset_tx_reg[1]\
);
rst_in_meta_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => gtwiz_reset_tx_datapath_in(0),
I1 => gtwiz_reset_tx_pll_and_datapath_in(0),
I2 => rst_in_out_reg_1,
O => gtwiz_reset_tx_any
);
rst_in_meta_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => '0',
PRE => gtwiz_reset_tx_any,
Q => rst_in_meta
);
rst_in_out_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync3,
PRE => gtwiz_reset_tx_any,
Q => \^gtwiz_reset_tx_any_sync\
);
rst_in_sync1_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_meta,
PRE => gtwiz_reset_tx_any,
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync1,
PRE => gtwiz_reset_tx_any,
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync2,
PRE => gtwiz_reset_tx_any,
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_15 is
port (
in0 : out STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_15 : entity is "gtwizard_ultrascale_v1_7_12_reset_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_15;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_15 is
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
rst_in_meta_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => '0',
PRE => gtwiz_reset_tx_datapath_in(0),
Q => rst_in_meta
);
rst_in_out_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync3,
PRE => gtwiz_reset_tx_datapath_in(0),
Q => in0
);
rst_in_sync1_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_meta,
PRE => gtwiz_reset_tx_datapath_in(0),
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync1,
PRE => gtwiz_reset_tx_datapath_in(0),
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync2,
PRE => gtwiz_reset_tx_datapath_in(0),
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_16 is
port (
in0 : out STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rst_in_out_reg_0 : in STD_LOGIC;
gtwiz_reset_tx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_16 : entity is "gtwizard_ultrascale_v1_7_12_reset_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_16;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_16 is
signal p_1_in : STD_LOGIC;
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
\rst_in_meta_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => rst_in_out_reg_0,
I1 => gtwiz_reset_tx_pll_and_datapath_in(0),
O => p_1_in
);
rst_in_meta_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => '0',
PRE => p_1_in,
Q => rst_in_meta
);
rst_in_out_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync3,
PRE => p_1_in,
Q => in0
);
rst_in_sync1_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_meta,
PRE => p_1_in,
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync1,
PRE => p_1_in,
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync2,
PRE => p_1_in,
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_18 is
port (
\gen_gtwizard_gtye4.txprogdivreset_int\ : out STD_LOGIC;
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll0lock_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_18 : entity is "gtwizard_ultrascale_v1_7_12_reset_synchronizer";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_18;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_18 is
signal rst_in0 : STD_LOGIC;
signal rst_in_meta : STD_LOGIC;
attribute async_reg : string;
attribute async_reg of rst_in_meta : signal is "true";
signal rst_in_sync1 : STD_LOGIC;
attribute async_reg of rst_in_sync1 : signal is "true";
signal rst_in_sync2 : STD_LOGIC;
attribute async_reg of rst_in_sync2 : signal is "true";
signal rst_in_sync3 : STD_LOGIC;
attribute async_reg of rst_in_sync3 : signal is "true";
attribute ASYNC_REG_boolean : boolean;
attribute ASYNC_REG_boolean of rst_in_meta_reg : label is std.standard.true;
attribute KEEP : string;
attribute KEEP of rst_in_meta_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync1_reg : label is std.standard.true;
attribute KEEP of rst_in_sync1_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync2_reg : label is std.standard.true;
attribute KEEP of rst_in_sync2_reg : label is "yes";
attribute ASYNC_REG_boolean of rst_in_sync3_reg : label is std.standard.true;
attribute KEEP of rst_in_sync3_reg : label is "yes";
begin
\rst_in_meta_i_1__4\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => gtwiz_reset_qpll0lock_in(0),
O => rst_in0
);
rst_in_meta_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => '0',
PRE => rst_in0,
Q => rst_in_meta
);
rst_in_out_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync3,
PRE => rst_in0,
Q => \gen_gtwizard_gtye4.txprogdivreset_int\
);
rst_in_sync1_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_meta,
PRE => rst_in0,
Q => rst_in_sync1
);
rst_in_sync2_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync1,
PRE => rst_in0,
Q => rst_in_sync2
);
rst_in_sync3_reg: unisim.vcomponents.FDPE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => rst_in_sync2,
PRE => rst_in0,
Q => rst_in_sync3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtye4_channel_wrapper is
port (
\gen_gtwizard_gtye4.gtpowergood_int\ : out STD_LOGIC;
gtytxn_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtytxp_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrlock_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txoutclkpcs_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 63 downto 0 );
rxdatavalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxheadervalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxstartofseq_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxheader_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
\gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST\ : out STD_LOGIC;
\gen_gtwizard_gtye4.gtrxreset_int\ : in STD_LOGIC;
\gen_gtwizard_gtye4.gttxreset_ch_int\ : in STD_LOGIC;
gtyrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtyrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxgearboxslip_in : in STD_LOGIC_VECTOR ( 0 to 0 );
\gen_gtwizard_gtye4.rxprogdivreset_int\ : in STD_LOGIC;
\gen_gtwizard_gtye4.rxuserrdy_int\ : in STD_LOGIC;
gtwiz_userclk_rx_usrclk2_out : in STD_LOGIC_VECTOR ( 0 to 0 );
TXRATE : in STD_LOGIC_VECTOR ( 0 to 0 );
\gen_gtwizard_gtye4.txprogdivreset_int\ : in STD_LOGIC;
\gen_gtwizard_gtye4.txuserrdy_int\ : in STD_LOGIC;
gtwiz_userclk_tx_usrclk2_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 63 downto 0 );
txheader_in : in STD_LOGIC_VECTOR ( 5 downto 0 );
txsequence_in : in STD_LOGIC_VECTOR ( 6 downto 0 );
lopt : in STD_LOGIC;
lopt_1 : in STD_LOGIC;
lopt_2 : out STD_LOGIC;
lopt_3 : out STD_LOGIC;
lopt_4 : in STD_LOGIC;
lopt_5 : in STD_LOGIC;
lopt_6 : out STD_LOGIC;
lopt_7 : out STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtye4_channel_wrapper;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtye4_channel_wrapper is
begin
channel_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtye4_channel
port map (
TXRATE(0) => TXRATE(0),
\gen_gtwizard_gtye4.gtpowergood_int\ => \gen_gtwizard_gtye4.gtpowergood_int\,
\gen_gtwizard_gtye4.gtrxreset_int\ => \gen_gtwizard_gtye4.gtrxreset_int\,
\gen_gtwizard_gtye4.gttxreset_ch_int\ => \gen_gtwizard_gtye4.gttxreset_ch_int\,
\gen_gtwizard_gtye4.rxprogdivreset_int\ => \gen_gtwizard_gtye4.rxprogdivreset_int\,
\gen_gtwizard_gtye4.rxuserrdy_int\ => \gen_gtwizard_gtye4.rxuserrdy_int\,
\gen_gtwizard_gtye4.txprogdivreset_int\ => \gen_gtwizard_gtye4.txprogdivreset_int\,
\gen_gtwizard_gtye4.txuserrdy_int\ => \gen_gtwizard_gtye4.txuserrdy_int\,
gtwiz_userclk_rx_srcclk_out(0) => gtwiz_userclk_rx_srcclk_out(0),
gtwiz_userclk_rx_usrclk2_out(0) => gtwiz_userclk_rx_usrclk2_out(0),
gtwiz_userclk_tx_srcclk_out(0) => gtwiz_userclk_tx_srcclk_out(0),
gtwiz_userclk_tx_usrclk2_out(0) => gtwiz_userclk_tx_usrclk2_out(0),
gtwiz_userdata_rx_out(63 downto 0) => gtwiz_userdata_rx_out(63 downto 0),
gtwiz_userdata_tx_in(63 downto 0) => gtwiz_userdata_tx_in(63 downto 0),
\gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_0\ => \gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST\,
gtyrxn_in(0) => gtyrxn_in(0),
gtyrxp_in(0) => gtyrxp_in(0),
gtytxn_out(0) => gtytxn_out(0),
gtytxp_out(0) => gtytxp_out(0),
lopt => lopt,
lopt_1 => lopt_1,
lopt_2 => lopt_2,
lopt_3 => lopt_3,
lopt_4 => lopt_4,
lopt_5 => lopt_5,
lopt_6 => lopt_6,
lopt_7 => lopt_7,
qpll0clk_in(0) => qpll0clk_in(0),
qpll0refclk_in(0) => qpll0refclk_in(0),
qpll1clk_in(0) => qpll1clk_in(0),
qpll1refclk_in(0) => qpll1refclk_in(0),
rxcdrlock_out(0) => rxcdrlock_out(0),
rxdatavalid_out(1 downto 0) => rxdatavalid_out(1 downto 0),
rxgearboxslip_in(0) => rxgearboxslip_in(0),
rxheader_out(5 downto 0) => rxheader_out(5 downto 0),
rxheadervalid_out(1 downto 0) => rxheadervalid_out(1 downto 0),
rxpmaresetdone_out(0) => rxpmaresetdone_out(0),
rxprgdivresetdone_out(0) => rxprgdivresetdone_out(0),
rxresetdone_out(0) => rxresetdone_out(0),
rxstartofseq_out(1 downto 0) => rxstartofseq_out(1 downto 0),
txheader_in(5 downto 0) => txheader_in(5 downto 0),
txoutclkpcs_out(0) => txoutclkpcs_out(0),
txpmaresetdone_out(0) => txpmaresetdone_out(0),
txprgdivresetdone_out(0) => txprgdivresetdone_out(0),
txresetdone_out(0) => txresetdone_out(0),
txsequence_in(6 downto 0) => txsequence_in(6 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_reset is
port (
\gen_gtwizard_gtye4.txprogdivreset_int\ : out STD_LOGIC;
gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_cdr_stable_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
\gen_gtwizard_gtye4.txuserrdy_int\ : out STD_LOGIC;
\gen_gtwizard_gtye4.rxprogdivreset_int\ : out STD_LOGIC;
\gen_gtwizard_gtye4.gtrxreset_int\ : out STD_LOGIC;
\gen_gtwizard_gtye4.rxuserrdy_int\ : out STD_LOGIC;
\gen_gtwizard_gtye4.gttxreset_ch_int\ : out STD_LOGIC;
gtwiz_reset_qpll0reset_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtpowergood_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_active_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll0lock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_active_out : in STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrlock_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_usrclk2_out : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_usrclk2_out : in STD_LOGIC_VECTOR ( 0 to 0 );
\gen_gtwizard_gtye4.gtpowergood_int\ : in STD_LOGIC;
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : in STD_LOGIC;
gtwiz_reset_tx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_reset;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_reset is
signal \FSM_sequential_sm_reset_all[2]_i_3_n_0\ : STD_LOGIC;
signal \FSM_sequential_sm_reset_all[2]_i_4_n_0\ : STD_LOGIC;
signal \FSM_sequential_sm_reset_rx[1]_i_2_n_0\ : STD_LOGIC;
signal \FSM_sequential_sm_reset_rx[2]_i_4_n_0\ : STD_LOGIC;
signal \FSM_sequential_sm_reset_tx[2]_i_6_n_0\ : STD_LOGIC;
signal \FSM_sequential_sm_reset_tx[2]_i_7_n_0\ : STD_LOGIC;
signal bit_synchronizer_gtpowergood_inst_n_0 : STD_LOGIC;
signal bit_synchronizer_gtwiz_reset_rx_datapath_dly_inst_n_0 : STD_LOGIC;
signal bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_0 : STD_LOGIC;
signal bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_1 : STD_LOGIC;
signal bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_2 : STD_LOGIC;
signal bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_0 : STD_LOGIC;
signal bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_1 : STD_LOGIC;
signal bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_2 : STD_LOGIC;
signal bit_synchronizer_plllock_rx_inst_n_1 : STD_LOGIC;
signal bit_synchronizer_plllock_rx_inst_n_2 : STD_LOGIC;
signal bit_synchronizer_plllock_rx_inst_n_3 : STD_LOGIC;
signal bit_synchronizer_plllock_tx_inst_n_1 : STD_LOGIC;
signal bit_synchronizer_plllock_tx_inst_n_2 : STD_LOGIC;
signal bit_synchronizer_plllock_tx_inst_n_3 : STD_LOGIC;
signal bit_synchronizer_rxcdrlock_inst_n_1 : STD_LOGIC;
signal bit_synchronizer_rxcdrlock_inst_n_2 : STD_LOGIC;
signal bit_synchronizer_rxcdrlock_inst_n_3 : STD_LOGIC;
signal \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\ : STD_LOGIC;
signal \^gen_gtwizard_gtye4.gtrxreset_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gttxreset_int\ : STD_LOGIC;
signal \^gen_gtwizard_gtye4.rxprogdivreset_int\ : STD_LOGIC;
signal \^gen_gtwizard_gtye4.rxuserrdy_int\ : STD_LOGIC;
signal \^gen_gtwizard_gtye4.txuserrdy_int\ : STD_LOGIC;
signal gtwiz_reset_all_sync : STD_LOGIC;
signal gtwiz_reset_rx_any_sync : STD_LOGIC;
signal gtwiz_reset_rx_datapath_int_i_1_n_0 : STD_LOGIC;
signal gtwiz_reset_rx_datapath_int_reg_n_0 : STD_LOGIC;
signal gtwiz_reset_rx_datapath_sync : STD_LOGIC;
signal gtwiz_reset_rx_done_int_reg_n_0 : STD_LOGIC;
signal gtwiz_reset_rx_pll_and_datapath_dly : STD_LOGIC;
signal gtwiz_reset_rx_pll_and_datapath_int_i_1_n_0 : STD_LOGIC;
signal gtwiz_reset_rx_pll_and_datapath_int_reg_n_0 : STD_LOGIC;
signal gtwiz_reset_rx_pll_and_datapath_sync : STD_LOGIC;
signal gtwiz_reset_tx_any_sync : STD_LOGIC;
signal gtwiz_reset_tx_datapath_dly : STD_LOGIC;
signal gtwiz_reset_tx_datapath_sync : STD_LOGIC;
signal gtwiz_reset_tx_done_int_reg_n_0 : STD_LOGIC;
signal gtwiz_reset_tx_pll_and_datapath_dly : STD_LOGIC;
signal gtwiz_reset_tx_pll_and_datapath_int_i_1_n_0 : STD_LOGIC;
signal gtwiz_reset_tx_pll_and_datapath_int_reg_n_0 : STD_LOGIC;
signal gtwiz_reset_tx_pll_and_datapath_sync : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal \p_0_in__1\ : STD_LOGIC_VECTOR ( 9 downto 0 );
signal plllock_rx_sync : STD_LOGIC;
signal plllock_tx_sync : STD_LOGIC;
signal reset_synchronizer_gtwiz_reset_rx_any_inst_n_1 : STD_LOGIC;
signal reset_synchronizer_gtwiz_reset_rx_any_inst_n_2 : STD_LOGIC;
signal reset_synchronizer_gtwiz_reset_rx_any_inst_n_3 : STD_LOGIC;
signal reset_synchronizer_gtwiz_reset_tx_any_inst_n_1 : STD_LOGIC;
signal reset_synchronizer_gtwiz_reset_tx_any_inst_n_2 : STD_LOGIC;
signal sm_reset_all : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \sm_reset_all__0\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal sm_reset_all_timer_clr_i_1_n_0 : STD_LOGIC;
signal sm_reset_all_timer_clr_i_2_n_0 : STD_LOGIC;
signal sm_reset_all_timer_clr_reg_n_0 : STD_LOGIC;
signal sm_reset_all_timer_ctr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \sm_reset_all_timer_ctr0_inferred__0/i__n_0\ : STD_LOGIC;
signal \sm_reset_all_timer_ctr[0]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_all_timer_ctr[1]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_all_timer_ctr[2]_i_1_n_0\ : STD_LOGIC;
signal sm_reset_all_timer_sat : STD_LOGIC;
signal sm_reset_all_timer_sat_i_1_n_0 : STD_LOGIC;
signal sm_reset_rx : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \sm_reset_rx__0\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal sm_reset_rx_cdr_to_clr : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr[0]_i_3_n_0\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr[0]_i_4_n_0\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr[0]_i_5_n_0\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr[0]_i_6_n_0\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr[0]_i_7_n_0\ : STD_LOGIC;
signal sm_reset_rx_cdr_to_ctr_reg : STD_LOGIC_VECTOR ( 25 downto 0 );
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_1\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_10\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_11\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_12\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_13\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_14\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_15\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_2\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_3\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_4\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_5\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_6\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_7\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_8\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_9\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_1\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_10\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_11\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_12\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_13\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_14\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_15\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_2\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_3\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_4\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_5\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_6\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_7\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_8\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_9\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_14\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_15\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_7\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_1\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_10\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_11\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_12\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_13\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_14\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_15\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_2\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_3\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_7\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_8\ : STD_LOGIC;
signal \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_9\ : STD_LOGIC;
signal sm_reset_rx_cdr_to_sat : STD_LOGIC;
signal sm_reset_rx_cdr_to_sat_i_1_n_0 : STD_LOGIC;
signal sm_reset_rx_cdr_to_sat_i_2_n_0 : STD_LOGIC;
signal sm_reset_rx_cdr_to_sat_i_3_n_0 : STD_LOGIC;
signal sm_reset_rx_cdr_to_sat_i_4_n_0 : STD_LOGIC;
signal sm_reset_rx_cdr_to_sat_i_5_n_0 : STD_LOGIC;
signal sm_reset_rx_cdr_to_sat_i_6_n_0 : STD_LOGIC;
signal sm_reset_rx_pll_timer_clr_i_1_n_0 : STD_LOGIC;
signal sm_reset_rx_pll_timer_clr_reg_n_0 : STD_LOGIC;
signal \sm_reset_rx_pll_timer_ctr[2]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_rx_pll_timer_ctr[3]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_rx_pll_timer_ctr[9]_i_3_n_0\ : STD_LOGIC;
signal \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\ : STD_LOGIC;
signal sm_reset_rx_pll_timer_ctr_reg : STD_LOGIC_VECTOR ( 9 downto 0 );
signal sm_reset_rx_pll_timer_sat : STD_LOGIC;
signal sm_reset_rx_pll_timer_sat_i_1_n_0 : STD_LOGIC;
signal sm_reset_rx_pll_timer_sat_i_2_n_0 : STD_LOGIC;
signal sm_reset_rx_pll_timer_sat_i_3_n_0 : STD_LOGIC;
signal sm_reset_rx_timer_clr_reg_n_0 : STD_LOGIC;
signal sm_reset_rx_timer_ctr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \sm_reset_rx_timer_ctr0_inferred__0/i__n_0\ : STD_LOGIC;
signal \sm_reset_rx_timer_ctr[0]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_rx_timer_ctr[1]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_rx_timer_ctr[2]_i_1_n_0\ : STD_LOGIC;
signal sm_reset_rx_timer_sat : STD_LOGIC;
signal sm_reset_rx_timer_sat_i_1_n_0 : STD_LOGIC;
signal sm_reset_tx : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \sm_reset_tx__0\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal sm_reset_tx_pll_timer_clr : STD_LOGIC;
signal sm_reset_tx_pll_timer_clr_i_1_n_0 : STD_LOGIC;
signal sm_reset_tx_pll_timer_clr_reg_n_0 : STD_LOGIC;
signal \sm_reset_tx_pll_timer_ctr[2]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_tx_pll_timer_ctr[3]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_tx_pll_timer_ctr[9]_i_3_n_0\ : STD_LOGIC;
signal \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\ : STD_LOGIC;
signal sm_reset_tx_pll_timer_ctr_reg : STD_LOGIC_VECTOR ( 9 downto 0 );
signal sm_reset_tx_pll_timer_sat : STD_LOGIC;
signal sm_reset_tx_pll_timer_sat_i_1_n_0 : STD_LOGIC;
signal sm_reset_tx_pll_timer_sat_i_2_n_0 : STD_LOGIC;
signal sm_reset_tx_pll_timer_sat_i_3_n_0 : STD_LOGIC;
signal sm_reset_tx_timer_clr_reg_n_0 : STD_LOGIC;
signal sm_reset_tx_timer_ctr : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \sm_reset_tx_timer_ctr[0]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_tx_timer_ctr[1]_i_1_n_0\ : STD_LOGIC;
signal \sm_reset_tx_timer_ctr[2]_i_1_n_0\ : STD_LOGIC;
signal sm_reset_tx_timer_sat : STD_LOGIC;
signal sm_reset_tx_timer_sat_i_1_n_0 : STD_LOGIC;
signal \NLW_sm_reset_rx_cdr_to_ctr_reg[24]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 1 );
signal \NLW_sm_reset_rx_cdr_to_ctr_reg[24]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 2 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_all[1]_i_1\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_all[2]_i_2\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_all[2]_i_3\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_all[2]_i_4\ : label is "soft_lutpair12";
attribute FSM_ENCODED_STATES : string;
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_all_reg[0]\ : label is "ST_RESET_ALL_BRANCH:000,ST_RESET_ALL_TX_PLL_WAIT:010,ST_RESET_ALL_RX_WAIT:101,ST_RESET_ALL_TX_PLL:001,ST_RESET_ALL_RX_PLL:100,ST_RESET_ALL_RX_DP:011,ST_RESET_ALL_INIT:111,iSTATE:110";
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_all_reg[1]\ : label is "ST_RESET_ALL_BRANCH:000,ST_RESET_ALL_TX_PLL_WAIT:010,ST_RESET_ALL_RX_WAIT:101,ST_RESET_ALL_TX_PLL:001,ST_RESET_ALL_RX_PLL:100,ST_RESET_ALL_RX_DP:011,ST_RESET_ALL_INIT:111,iSTATE:110";
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_all_reg[2]\ : label is "ST_RESET_ALL_BRANCH:000,ST_RESET_ALL_TX_PLL_WAIT:010,ST_RESET_ALL_RX_WAIT:101,ST_RESET_ALL_TX_PLL:001,ST_RESET_ALL_RX_PLL:100,ST_RESET_ALL_RX_DP:011,ST_RESET_ALL_INIT:111,iSTATE:110";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_rx[1]_i_2\ : label is "soft_lutpair9";
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_rx_reg[0]\ : label is "ST_RESET_RX_WAIT_LOCK:011,ST_RESET_RX_WAIT_CDR:100,ST_RESET_RX_WAIT_USERRDY:101,ST_RESET_RX_WAIT_RESETDONE:110,ST_RESET_RX_DATAPATH:010,ST_RESET_RX_PLL:001,ST_RESET_RX_BRANCH:000,ST_RESET_RX_IDLE:111";
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_rx_reg[1]\ : label is "ST_RESET_RX_WAIT_LOCK:011,ST_RESET_RX_WAIT_CDR:100,ST_RESET_RX_WAIT_USERRDY:101,ST_RESET_RX_WAIT_RESETDONE:110,ST_RESET_RX_DATAPATH:010,ST_RESET_RX_PLL:001,ST_RESET_RX_BRANCH:000,ST_RESET_RX_IDLE:111";
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_rx_reg[2]\ : label is "ST_RESET_RX_WAIT_LOCK:011,ST_RESET_RX_WAIT_CDR:100,ST_RESET_RX_WAIT_USERRDY:101,ST_RESET_RX_WAIT_RESETDONE:110,ST_RESET_RX_DATAPATH:010,ST_RESET_RX_PLL:001,ST_RESET_RX_BRANCH:000,ST_RESET_RX_IDLE:111";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[2]_i_2\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[2]_i_5\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[2]_i_6\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \FSM_sequential_sm_reset_tx[2]_i_7\ : label is "soft_lutpair8";
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_tx_reg[0]\ : label is "ST_RESET_TX_BRANCH:000,ST_RESET_TX_WAIT_LOCK:011,ST_RESET_TX_WAIT_USERRDY:100,ST_RESET_TX_WAIT_RESETDONE:101,ST_RESET_TX_IDLE:110,ST_RESET_TX_DATAPATH:010,ST_RESET_TX_PLL:001";
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_tx_reg[1]\ : label is "ST_RESET_TX_BRANCH:000,ST_RESET_TX_WAIT_LOCK:011,ST_RESET_TX_WAIT_USERRDY:100,ST_RESET_TX_WAIT_RESETDONE:101,ST_RESET_TX_IDLE:110,ST_RESET_TX_DATAPATH:010,ST_RESET_TX_PLL:001";
attribute FSM_ENCODED_STATES of \FSM_sequential_sm_reset_tx_reg[2]\ : label is "ST_RESET_TX_BRANCH:000,ST_RESET_TX_WAIT_LOCK:011,ST_RESET_TX_WAIT_USERRDY:100,ST_RESET_TX_WAIT_RESETDONE:101,ST_RESET_TX_IDLE:110,ST_RESET_TX_DATAPATH:010,ST_RESET_TX_PLL:001";
attribute SOFT_HLUTNM of gtwiz_reset_rx_datapath_int_i_1 : label is "soft_lutpair11";
attribute SOFT_HLUTNM of gtwiz_reset_tx_pll_and_datapath_int_i_1 : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \sm_reset_all_timer_ctr[1]_i_1\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \sm_reset_all_timer_ctr[2]_i_1\ : label is "soft_lutpair20";
attribute ADDER_THRESHOLD : integer;
attribute ADDER_THRESHOLD of \sm_reset_rx_cdr_to_ctr_reg[0]_i_2\ : label is 16;
attribute ADDER_THRESHOLD of \sm_reset_rx_cdr_to_ctr_reg[16]_i_1\ : label is 16;
attribute ADDER_THRESHOLD of \sm_reset_rx_cdr_to_ctr_reg[24]_i_1\ : label is 16;
attribute ADDER_THRESHOLD of \sm_reset_rx_cdr_to_ctr_reg[8]_i_1\ : label is 16;
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[0]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[1]_i_1\ : label is "soft_lutpair22";
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[2]_i_1\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[3]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[4]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[6]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[7]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[8]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \sm_reset_rx_pll_timer_ctr[9]_i_2\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of sm_reset_rx_pll_timer_sat_i_2 : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \sm_reset_rx_timer_ctr[1]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \sm_reset_rx_timer_ctr[2]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of sm_reset_rx_timer_sat_i_1 : label is "soft_lutpair9";
attribute SOFT_HLUTNM of sm_reset_tx_pll_timer_clr_i_1 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[0]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[1]_i_1\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[2]_i_1\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[3]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[4]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[6]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[7]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[8]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \sm_reset_tx_pll_timer_ctr[9]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of sm_reset_tx_pll_timer_sat_i_2 : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \sm_reset_tx_timer_ctr[1]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \sm_reset_tx_timer_ctr[2]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of sm_reset_tx_timer_sat_i_1 : label is "soft_lutpair8";
begin
\gen_gtwizard_gtye4.gtrxreset_int\ <= \^gen_gtwizard_gtye4.gtrxreset_int\;
\gen_gtwizard_gtye4.rxprogdivreset_int\ <= \^gen_gtwizard_gtye4.rxprogdivreset_int\;
\gen_gtwizard_gtye4.rxuserrdy_int\ <= \^gen_gtwizard_gtye4.rxuserrdy_int\;
\gen_gtwizard_gtye4.txuserrdy_int\ <= \^gen_gtwizard_gtye4.txuserrdy_int\;
\FSM_sequential_sm_reset_all[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00FFF70000FFFFFF"
)
port map (
I0 => gtwiz_reset_rx_done_int_reg_n_0,
I1 => sm_reset_all_timer_sat,
I2 => sm_reset_all_timer_clr_reg_n_0,
I3 => sm_reset_all(2),
I4 => sm_reset_all(1),
I5 => sm_reset_all(0),
O => \sm_reset_all__0\(0)
);
\FSM_sequential_sm_reset_all[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"34"
)
port map (
I0 => sm_reset_all(2),
I1 => sm_reset_all(1),
I2 => sm_reset_all(0),
O => \sm_reset_all__0\(1)
);
\FSM_sequential_sm_reset_all[2]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"4A"
)
port map (
I0 => sm_reset_all(2),
I1 => sm_reset_all(0),
I2 => sm_reset_all(1),
O => \sm_reset_all__0\(2)
);
\FSM_sequential_sm_reset_all[2]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => sm_reset_all_timer_sat,
I1 => gtwiz_reset_rx_done_int_reg_n_0,
I2 => sm_reset_all_timer_clr_reg_n_0,
O => \FSM_sequential_sm_reset_all[2]_i_3_n_0\
);
\FSM_sequential_sm_reset_all[2]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => sm_reset_all_timer_clr_reg_n_0,
I1 => sm_reset_all_timer_sat,
I2 => gtwiz_reset_tx_done_int_reg_n_0,
O => \FSM_sequential_sm_reset_all[2]_i_4_n_0\
);
\FSM_sequential_sm_reset_all_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtpowergood_inst_n_0,
D => \sm_reset_all__0\(0),
Q => sm_reset_all(0),
R => gtwiz_reset_all_sync
);
\FSM_sequential_sm_reset_all_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtpowergood_inst_n_0,
D => \sm_reset_all__0\(1),
Q => sm_reset_all(1),
R => gtwiz_reset_all_sync
);
\FSM_sequential_sm_reset_all_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtpowergood_inst_n_0,
D => \sm_reset_all__0\(2),
Q => sm_reset_all(2),
R => gtwiz_reset_all_sync
);
\FSM_sequential_sm_reset_rx[1]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => sm_reset_rx_timer_sat,
I1 => sm_reset_rx_timer_clr_reg_n_0,
O => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\
);
\FSM_sequential_sm_reset_rx[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"DDFD8888DDDD8888"
)
port map (
I0 => sm_reset_rx(1),
I1 => sm_reset_rx(0),
I2 => sm_reset_rx_timer_sat,
I3 => sm_reset_rx_timer_clr_reg_n_0,
I4 => sm_reset_rx(2),
I5 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
O => \sm_reset_rx__0\(2)
);
\FSM_sequential_sm_reset_rx[2]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => sm_reset_rx_timer_clr_reg_n_0,
I1 => sm_reset_rx_timer_sat,
I2 => sm_reset_rx(1),
O => \FSM_sequential_sm_reset_rx[2]_i_4_n_0\
);
\FSM_sequential_sm_reset_rx_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtwiz_reset_rx_datapath_dly_inst_n_0,
D => \sm_reset_rx__0\(0),
Q => sm_reset_rx(0),
R => gtwiz_reset_rx_any_sync
);
\FSM_sequential_sm_reset_rx_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtwiz_reset_rx_datapath_dly_inst_n_0,
D => \sm_reset_rx__0\(1),
Q => sm_reset_rx(1),
R => gtwiz_reset_rx_any_sync
);
\FSM_sequential_sm_reset_rx_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtwiz_reset_rx_datapath_dly_inst_n_0,
D => \sm_reset_rx__0\(2),
Q => sm_reset_rx(2),
R => gtwiz_reset_rx_any_sync
);
\FSM_sequential_sm_reset_tx[2]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"38"
)
port map (
I0 => sm_reset_tx(0),
I1 => sm_reset_tx(1),
I2 => sm_reset_tx(2),
O => \sm_reset_tx__0\(2)
);
\FSM_sequential_sm_reset_tx[2]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => sm_reset_tx(1),
I1 => sm_reset_tx(2),
O => sm_reset_tx_pll_timer_clr
);
\FSM_sequential_sm_reset_tx[2]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"0400"
)
port map (
I0 => sm_reset_tx(1),
I1 => sm_reset_tx(2),
I2 => sm_reset_tx_timer_clr_reg_n_0,
I3 => sm_reset_tx_timer_sat,
O => \FSM_sequential_sm_reset_tx[2]_i_6_n_0\
);
\FSM_sequential_sm_reset_tx[2]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => sm_reset_tx_timer_sat,
I1 => sm_reset_tx_timer_clr_reg_n_0,
O => \FSM_sequential_sm_reset_tx[2]_i_7_n_0\
);
\FSM_sequential_sm_reset_tx_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_2,
D => \sm_reset_tx__0\(0),
Q => sm_reset_tx(0),
R => gtwiz_reset_tx_any_sync
);
\FSM_sequential_sm_reset_tx_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_2,
D => \sm_reset_tx__0\(1),
Q => sm_reset_tx(1),
R => gtwiz_reset_tx_any_sync
);
\FSM_sequential_sm_reset_tx_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_2,
D => \sm_reset_tx__0\(2),
Q => sm_reset_tx(2),
R => gtwiz_reset_tx_any_sync
);
bit_synchronizer_gtpowergood_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_1
port map (
E(0) => bit_synchronizer_gtpowergood_inst_n_0,
\FSM_sequential_sm_reset_all_reg[0]\ => \FSM_sequential_sm_reset_all[2]_i_3_n_0\,
\FSM_sequential_sm_reset_all_reg[0]_0\ => \FSM_sequential_sm_reset_all[2]_i_4_n_0\,
Q(2 downto 0) => sm_reset_all(2 downto 0),
gtpowergood_out(0) => gtpowergood_out(0),
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0)
);
bit_synchronizer_gtwiz_reset_rx_datapath_dly_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_2
port map (
E(0) => bit_synchronizer_gtwiz_reset_rx_datapath_dly_inst_n_0,
\FSM_sequential_sm_reset_rx_reg[0]\ => \FSM_sequential_sm_reset_rx[2]_i_4_n_0\,
\FSM_sequential_sm_reset_rx_reg[0]_0\ => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_2,
\FSM_sequential_sm_reset_rx_reg[0]_1\ => bit_synchronizer_rxcdrlock_inst_n_2,
Q(2 downto 0) => sm_reset_rx(2 downto 0),
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_rx_pll_and_datapath_dly => gtwiz_reset_rx_pll_and_datapath_dly,
in0 => gtwiz_reset_rx_datapath_sync
);
bit_synchronizer_gtwiz_reset_rx_pll_and_datapath_dly_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_3
port map (
D(1 downto 0) => \sm_reset_rx__0\(1 downto 0),
\FSM_sequential_sm_reset_rx_reg[0]\ => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\,
Q(2 downto 0) => sm_reset_rx(2 downto 0),
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_rx_pll_and_datapath_dly => gtwiz_reset_rx_pll_and_datapath_dly,
in0 => gtwiz_reset_rx_pll_and_datapath_sync
);
bit_synchronizer_gtwiz_reset_tx_datapath_dly_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_4
port map (
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_tx_datapath_dly => gtwiz_reset_tx_datapath_dly,
in0 => gtwiz_reset_tx_datapath_sync
);
bit_synchronizer_gtwiz_reset_tx_pll_and_datapath_dly_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_5
port map (
D(1 downto 0) => \sm_reset_tx__0\(1 downto 0),
Q(2 downto 0) => sm_reset_tx(2 downto 0),
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_tx_pll_and_datapath_dly => gtwiz_reset_tx_pll_and_datapath_dly,
in0 => gtwiz_reset_tx_pll_and_datapath_sync
);
bit_synchronizer_gtwiz_reset_userclk_rx_active_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_6
port map (
\FSM_sequential_sm_reset_rx_reg[0]\ => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_0,
\FSM_sequential_sm_reset_rx_reg[0]_0\ => sm_reset_rx_pll_timer_clr_reg_n_0,
\FSM_sequential_sm_reset_rx_reg[1]\ => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_2,
\FSM_sequential_sm_reset_rx_reg[2]\ => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_1,
Q(2 downto 0) => sm_reset_rx(2 downto 0),
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
\gen_gtwizard_gtye4.rxuserrdy_int\ => \^gen_gtwizard_gtye4.rxuserrdy_int\,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_rx_any_sync => gtwiz_reset_rx_any_sync,
gtwiz_userclk_rx_active_out(0) => gtwiz_userclk_rx_active_out(0),
sm_reset_rx_pll_timer_sat => sm_reset_rx_pll_timer_sat,
sm_reset_rx_timer_clr_reg => sm_reset_rx_timer_clr_reg_n_0,
sm_reset_rx_timer_clr_reg_0 => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\,
sm_reset_rx_timer_clr_reg_1 => bit_synchronizer_plllock_rx_inst_n_3,
sm_reset_rx_timer_sat => sm_reset_rx_timer_sat
);
bit_synchronizer_gtwiz_reset_userclk_tx_active_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_7
port map (
E(0) => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_2,
\FSM_sequential_sm_reset_tx_reg[0]\ => bit_synchronizer_plllock_tx_inst_n_2,
\FSM_sequential_sm_reset_tx_reg[0]_0\ => \FSM_sequential_sm_reset_tx[2]_i_6_n_0\,
\FSM_sequential_sm_reset_tx_reg[0]_1\ => sm_reset_tx_pll_timer_clr_reg_n_0,
\FSM_sequential_sm_reset_tx_reg[1]\ => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_1,
\FSM_sequential_sm_reset_tx_reg[2]\ => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_0,
Q(2 downto 0) => sm_reset_tx(2 downto 0),
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
\gen_gtwizard_gtye4.txuserrdy_int\ => \^gen_gtwizard_gtye4.txuserrdy_int\,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_tx_any_sync => gtwiz_reset_tx_any_sync,
gtwiz_reset_tx_datapath_dly => gtwiz_reset_tx_datapath_dly,
gtwiz_reset_tx_pll_and_datapath_dly => gtwiz_reset_tx_pll_and_datapath_dly,
gtwiz_userclk_tx_active_out(0) => gtwiz_userclk_tx_active_out(0),
plllock_tx_sync => plllock_tx_sync,
sm_reset_tx_pll_timer_clr => sm_reset_tx_pll_timer_clr,
sm_reset_tx_pll_timer_sat => sm_reset_tx_pll_timer_sat,
sm_reset_tx_timer_clr_reg => sm_reset_tx_timer_clr_reg_n_0,
sm_reset_tx_timer_clr_reg_0 => \FSM_sequential_sm_reset_tx[2]_i_7_n_0\,
sm_reset_tx_timer_sat => sm_reset_tx_timer_sat
);
bit_synchronizer_plllock_rx_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_8
port map (
Q(2 downto 0) => sm_reset_rx(2 downto 0),
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
gtrxreset_out_reg => sm_reset_rx_timer_clr_reg_n_0,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_qpll0lock_in(0) => gtwiz_reset_qpll0lock_in(0),
gtwiz_reset_rx_done_int_reg => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\,
gtwiz_reset_rx_done_int_reg_0 => gtwiz_reset_rx_done_int_reg_n_0,
i_in_out_reg_0 => bit_synchronizer_plllock_rx_inst_n_1,
i_in_out_reg_1 => bit_synchronizer_plllock_rx_inst_n_2,
i_in_out_reg_2 => bit_synchronizer_plllock_rx_inst_n_3,
plllock_rx_sync => plllock_rx_sync,
sm_reset_rx_timer_sat => sm_reset_rx_timer_sat
);
bit_synchronizer_plllock_tx_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_9
port map (
\FSM_sequential_sm_reset_tx_reg[0]\ => \FSM_sequential_sm_reset_tx[2]_i_7_n_0\,
Q(2 downto 0) => sm_reset_tx(2 downto 0),
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
gttxreset_out_reg => sm_reset_tx_timer_clr_reg_n_0,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_qpll0lock_in(0) => gtwiz_reset_qpll0lock_in(0),
gtwiz_reset_tx_any_sync => gtwiz_reset_tx_any_sync,
gtwiz_reset_tx_done_int_reg => bit_synchronizer_plllock_tx_inst_n_1,
gtwiz_reset_tx_done_int_reg_0 => gtwiz_reset_tx_done_int_reg_n_0,
i_in_out_reg_0 => bit_synchronizer_plllock_tx_inst_n_2,
plllock_tx_sync => plllock_tx_sync,
sm_reset_tx_timer_sat => sm_reset_tx_timer_sat,
sm_reset_tx_timer_sat_reg => bit_synchronizer_plllock_tx_inst_n_3
);
bit_synchronizer_rxcdrlock_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_10
port map (
\FSM_sequential_sm_reset_rx_reg[0]\ => \FSM_sequential_sm_reset_rx[1]_i_2_n_0\,
\FSM_sequential_sm_reset_rx_reg[1]\ => bit_synchronizer_rxcdrlock_inst_n_2,
\FSM_sequential_sm_reset_rx_reg[2]\ => bit_synchronizer_rxcdrlock_inst_n_1,
Q(2 downto 0) => sm_reset_rx(2 downto 0),
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_rx_cdr_stable_out(0) => gtwiz_reset_rx_cdr_stable_out(0),
plllock_rx_sync => plllock_rx_sync,
rxcdrlock_out(0) => rxcdrlock_out(0),
sm_reset_rx_cdr_to_clr => sm_reset_rx_cdr_to_clr,
sm_reset_rx_cdr_to_clr_reg => \FSM_sequential_sm_reset_rx[2]_i_4_n_0\,
sm_reset_rx_cdr_to_sat => sm_reset_rx_cdr_to_sat,
sm_reset_rx_cdr_to_sat_reg => bit_synchronizer_rxcdrlock_inst_n_3
);
gtrxreset_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => reset_synchronizer_gtwiz_reset_rx_any_inst_n_3,
Q => \^gen_gtwizard_gtye4.gtrxreset_int\,
R => '0'
);
gttxreset_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => reset_synchronizer_gtwiz_reset_tx_any_inst_n_2,
Q => \gen_gtwizard_gtye4.gttxreset_int\,
R => '0'
);
\gtwiz_reset_qpll0reset_out[0]_INST_0\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\,
I1 => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\,
O => gtwiz_reset_qpll0reset_out(0)
);
gtwiz_reset_rx_datapath_int_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F740"
)
port map (
I0 => sm_reset_all(2),
I1 => sm_reset_all(0),
I2 => sm_reset_all(1),
I3 => gtwiz_reset_rx_datapath_int_reg_n_0,
O => gtwiz_reset_rx_datapath_int_i_1_n_0
);
gtwiz_reset_rx_datapath_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => gtwiz_reset_rx_datapath_int_i_1_n_0,
Q => gtwiz_reset_rx_datapath_int_reg_n_0,
R => gtwiz_reset_all_sync
);
gtwiz_reset_rx_done_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => bit_synchronizer_plllock_rx_inst_n_1,
Q => gtwiz_reset_rx_done_int_reg_n_0,
R => gtwiz_reset_rx_any_sync
);
gtwiz_reset_rx_pll_and_datapath_int_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F704"
)
port map (
I0 => sm_reset_all(0),
I1 => sm_reset_all(2),
I2 => sm_reset_all(1),
I3 => gtwiz_reset_rx_pll_and_datapath_int_reg_n_0,
O => gtwiz_reset_rx_pll_and_datapath_int_i_1_n_0
);
gtwiz_reset_rx_pll_and_datapath_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => gtwiz_reset_rx_pll_and_datapath_int_i_1_n_0,
Q => gtwiz_reset_rx_pll_and_datapath_int_reg_n_0,
R => gtwiz_reset_all_sync
);
gtwiz_reset_tx_done_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => bit_synchronizer_plllock_tx_inst_n_1,
Q => gtwiz_reset_tx_done_int_reg_n_0,
R => gtwiz_reset_tx_any_sync
);
gtwiz_reset_tx_pll_and_datapath_int_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FB02"
)
port map (
I0 => sm_reset_all(0),
I1 => sm_reset_all(1),
I2 => sm_reset_all(2),
I3 => gtwiz_reset_tx_pll_and_datapath_int_reg_n_0,
O => gtwiz_reset_tx_pll_and_datapath_int_i_1_n_0
);
gtwiz_reset_tx_pll_and_datapath_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => gtwiz_reset_tx_pll_and_datapath_int_i_1_n_0,
Q => gtwiz_reset_tx_pll_and_datapath_int_reg_n_0,
R => gtwiz_reset_all_sync
);
\gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"8B"
)
port map (
I0 => \gen_gtwizard_gtye4.gttxreset_int\,
I1 => gtpowergood_out(0),
I2 => \gen_gtwizard_gtye4.gtpowergood_int\,
O => \gen_gtwizard_gtye4.gttxreset_ch_int\
);
pllreset_rx_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => reset_synchronizer_gtwiz_reset_rx_any_inst_n_1,
Q => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\,
R => '0'
);
pllreset_tx_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => reset_synchronizer_gtwiz_reset_tx_any_inst_n_1,
Q => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\,
R => '0'
);
reset_synchronizer_gtwiz_reset_all_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer
port map (
gtwiz_reset_all_in(0) => gtwiz_reset_all_in(0),
gtwiz_reset_all_sync => gtwiz_reset_all_sync,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0)
);
reset_synchronizer_gtwiz_reset_rx_any_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_11
port map (
\FSM_sequential_sm_reset_rx_reg[1]\ => reset_synchronizer_gtwiz_reset_rx_any_inst_n_1,
\FSM_sequential_sm_reset_rx_reg[1]_0\ => reset_synchronizer_gtwiz_reset_rx_any_inst_n_2,
\FSM_sequential_sm_reset_rx_reg[1]_1\ => reset_synchronizer_gtwiz_reset_rx_any_inst_n_3,
Q(2 downto 0) => sm_reset_rx(2 downto 0),
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_rx_int\,
\gen_gtwizard_gtye4.gtrxreset_int\ => \^gen_gtwizard_gtye4.gtrxreset_int\,
\gen_gtwizard_gtye4.rxprogdivreset_int\ => \^gen_gtwizard_gtye4.rxprogdivreset_int\,
gtrxreset_out_reg => bit_synchronizer_plllock_rx_inst_n_2,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_rx_any_sync => gtwiz_reset_rx_any_sync,
gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0),
gtwiz_reset_rx_pll_and_datapath_in(0) => gtwiz_reset_rx_pll_and_datapath_in(0),
rst_in_out_reg_0 => gtwiz_reset_rx_datapath_int_reg_n_0,
rst_in_out_reg_1 => gtwiz_reset_rx_pll_and_datapath_int_reg_n_0,
rxprogdivreset_out_reg => bit_synchronizer_rxcdrlock_inst_n_3
);
reset_synchronizer_gtwiz_reset_rx_datapath_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_12
port map (
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0),
in0 => gtwiz_reset_rx_datapath_sync,
rst_in_out_reg_0 => gtwiz_reset_rx_datapath_int_reg_n_0
);
reset_synchronizer_gtwiz_reset_rx_pll_and_datapath_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_13
port map (
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_rx_pll_and_datapath_in(0) => gtwiz_reset_rx_pll_and_datapath_in(0),
in0 => gtwiz_reset_rx_pll_and_datapath_sync,
rst_in_out_reg_0 => gtwiz_reset_rx_pll_and_datapath_int_reg_n_0
);
reset_synchronizer_gtwiz_reset_tx_any_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_14
port map (
\FSM_sequential_sm_reset_tx_reg[1]\ => reset_synchronizer_gtwiz_reset_tx_any_inst_n_1,
Q(2 downto 0) => sm_reset_tx(2 downto 0),
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_pllreset_tx_int\,
\gen_gtwizard_gtye4.gttxreset_int\ => \gen_gtwizard_gtye4.gttxreset_int\,
gttxreset_out_reg => bit_synchronizer_plllock_tx_inst_n_3,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_tx_any_sync => gtwiz_reset_tx_any_sync,
gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0),
gtwiz_reset_tx_pll_and_datapath_in(0) => gtwiz_reset_tx_pll_and_datapath_in(0),
rst_in_out_reg_0 => reset_synchronizer_gtwiz_reset_tx_any_inst_n_2,
rst_in_out_reg_1 => gtwiz_reset_tx_pll_and_datapath_int_reg_n_0
);
reset_synchronizer_gtwiz_reset_tx_datapath_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_15
port map (
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0),
in0 => gtwiz_reset_tx_datapath_sync
);
reset_synchronizer_gtwiz_reset_tx_pll_and_datapath_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_16
port map (
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_tx_pll_and_datapath_in(0) => gtwiz_reset_tx_pll_and_datapath_in(0),
in0 => gtwiz_reset_tx_pll_and_datapath_sync,
rst_in_out_reg_0 => gtwiz_reset_tx_pll_and_datapath_int_reg_n_0
);
reset_synchronizer_rx_done_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer
port map (
gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out(0),
gtwiz_userclk_rx_usrclk2_out(0) => gtwiz_userclk_rx_usrclk2_out(0),
rst_in_sync2_reg_0 => gtwiz_reset_rx_done_int_reg_n_0
);
reset_synchronizer_tx_done_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_inv_synchronizer_17
port map (
gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out(0),
gtwiz_userclk_tx_usrclk2_out(0) => gtwiz_userclk_tx_usrclk2_out(0),
rst_in_sync2_reg_0 => gtwiz_reset_tx_done_int_reg_n_0
);
reset_synchronizer_txprogdivreset_inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_reset_synchronizer_18
port map (
\gen_gtwizard_gtye4.txprogdivreset_int\ => \gen_gtwizard_gtye4.txprogdivreset_int\,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_qpll0lock_in(0) => gtwiz_reset_qpll0lock_in(0)
);
rxprogdivreset_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => reset_synchronizer_gtwiz_reset_rx_any_inst_n_2,
Q => \^gen_gtwizard_gtye4.rxprogdivreset_int\,
R => '0'
);
rxuserrdy_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_1,
Q => \^gen_gtwizard_gtye4.rxuserrdy_int\,
R => '0'
);
sm_reset_all_timer_clr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EFFA200A"
)
port map (
I0 => sm_reset_all_timer_clr_i_2_n_0,
I1 => sm_reset_all(1),
I2 => sm_reset_all(2),
I3 => sm_reset_all(0),
I4 => sm_reset_all_timer_clr_reg_n_0,
O => sm_reset_all_timer_clr_i_1_n_0
);
sm_reset_all_timer_clr_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000B0003333BB33"
)
port map (
I0 => gtwiz_reset_rx_done_int_reg_n_0,
I1 => sm_reset_all(2),
I2 => gtwiz_reset_tx_done_int_reg_n_0,
I3 => sm_reset_all_timer_sat,
I4 => sm_reset_all_timer_clr_reg_n_0,
I5 => sm_reset_all(1),
O => sm_reset_all_timer_clr_i_2_n_0
);
sm_reset_all_timer_clr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_all_timer_clr_i_1_n_0,
Q => sm_reset_all_timer_clr_reg_n_0,
S => gtwiz_reset_all_sync
);
\sm_reset_all_timer_ctr0_inferred__0/i_\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => sm_reset_all_timer_ctr(2),
I1 => sm_reset_all_timer_ctr(0),
I2 => sm_reset_all_timer_ctr(1),
O => \sm_reset_all_timer_ctr0_inferred__0/i__n_0\
);
\sm_reset_all_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => sm_reset_all_timer_ctr(0),
O => \sm_reset_all_timer_ctr[0]_i_1_n_0\
);
\sm_reset_all_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => sm_reset_all_timer_ctr(0),
I1 => sm_reset_all_timer_ctr(1),
O => \sm_reset_all_timer_ctr[1]_i_1_n_0\
);
\sm_reset_all_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => sm_reset_all_timer_ctr(0),
I1 => sm_reset_all_timer_ctr(1),
I2 => sm_reset_all_timer_ctr(2),
O => \sm_reset_all_timer_ctr[2]_i_1_n_0\
);
\sm_reset_all_timer_ctr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_all_timer_ctr0_inferred__0/i__n_0\,
D => \sm_reset_all_timer_ctr[0]_i_1_n_0\,
Q => sm_reset_all_timer_ctr(0),
R => sm_reset_all_timer_clr_reg_n_0
);
\sm_reset_all_timer_ctr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_all_timer_ctr0_inferred__0/i__n_0\,
D => \sm_reset_all_timer_ctr[1]_i_1_n_0\,
Q => sm_reset_all_timer_ctr(1),
R => sm_reset_all_timer_clr_reg_n_0
);
\sm_reset_all_timer_ctr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_all_timer_ctr0_inferred__0/i__n_0\,
D => \sm_reset_all_timer_ctr[2]_i_1_n_0\,
Q => sm_reset_all_timer_ctr(2),
R => sm_reset_all_timer_clr_reg_n_0
);
sm_reset_all_timer_sat_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF80"
)
port map (
I0 => sm_reset_all_timer_ctr(2),
I1 => sm_reset_all_timer_ctr(0),
I2 => sm_reset_all_timer_ctr(1),
I3 => sm_reset_all_timer_sat,
I4 => sm_reset_all_timer_clr_reg_n_0,
O => sm_reset_all_timer_sat_i_1_n_0
);
sm_reset_all_timer_sat_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_all_timer_sat_i_1_n_0,
Q => sm_reset_all_timer_sat,
R => '0'
);
sm_reset_rx_cdr_to_clr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => bit_synchronizer_rxcdrlock_inst_n_1,
Q => sm_reset_rx_cdr_to_clr,
S => gtwiz_reset_rx_any_sync
);
\sm_reset_rx_cdr_to_ctr[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFD"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(1),
I1 => sm_reset_rx_cdr_to_ctr_reg(0),
I2 => \sm_reset_rx_cdr_to_ctr[0]_i_3_n_0\,
I3 => \sm_reset_rx_cdr_to_ctr[0]_i_4_n_0\,
I4 => \sm_reset_rx_cdr_to_ctr[0]_i_5_n_0\,
I5 => \sm_reset_rx_cdr_to_ctr[0]_i_6_n_0\,
O => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\
);
\sm_reset_rx_cdr_to_ctr[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEFFFFFFFFF"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(18),
I1 => sm_reset_rx_cdr_to_ctr_reg(19),
I2 => sm_reset_rx_cdr_to_ctr_reg(17),
I3 => sm_reset_rx_cdr_to_ctr_reg(16),
I4 => sm_reset_rx_cdr_to_ctr_reg(14),
I5 => sm_reset_rx_cdr_to_ctr_reg(15),
O => \sm_reset_rx_cdr_to_ctr[0]_i_3_n_0\
);
\sm_reset_rx_cdr_to_ctr[0]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(24),
I1 => sm_reset_rx_cdr_to_ctr_reg(25),
I2 => sm_reset_rx_cdr_to_ctr_reg(22),
I3 => sm_reset_rx_cdr_to_ctr_reg(23),
I4 => sm_reset_rx_cdr_to_ctr_reg(21),
I5 => sm_reset_rx_cdr_to_ctr_reg(20),
O => \sm_reset_rx_cdr_to_ctr[0]_i_4_n_0\
);
\sm_reset_rx_cdr_to_ctr[0]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFF7FFF"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(12),
I1 => sm_reset_rx_cdr_to_ctr_reg(13),
I2 => sm_reset_rx_cdr_to_ctr_reg(10),
I3 => sm_reset_rx_cdr_to_ctr_reg(11),
I4 => sm_reset_rx_cdr_to_ctr_reg(9),
I5 => sm_reset_rx_cdr_to_ctr_reg(8),
O => \sm_reset_rx_cdr_to_ctr[0]_i_5_n_0\
);
\sm_reset_rx_cdr_to_ctr[0]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFF7"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(6),
I1 => sm_reset_rx_cdr_to_ctr_reg(7),
I2 => sm_reset_rx_cdr_to_ctr_reg(4),
I3 => sm_reset_rx_cdr_to_ctr_reg(5),
I4 => sm_reset_rx_cdr_to_ctr_reg(3),
I5 => sm_reset_rx_cdr_to_ctr_reg(2),
O => \sm_reset_rx_cdr_to_ctr[0]_i_6_n_0\
);
\sm_reset_rx_cdr_to_ctr[0]_i_7\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(0),
O => \sm_reset_rx_cdr_to_ctr[0]_i_7_n_0\
);
\sm_reset_rx_cdr_to_ctr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_15\,
Q => sm_reset_rx_cdr_to_ctr_reg(0),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[0]_i_2\: unisim.vcomponents.CARRY8
port map (
CI => '0',
CI_TOP => '0',
CO(7) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_0\,
CO(6) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_1\,
CO(5) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_2\,
CO(4) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_3\,
CO(3) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_4\,
CO(2) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_5\,
CO(1) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_6\,
CO(0) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_7\,
DI(7 downto 0) => B"00000001",
O(7) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_8\,
O(6) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_9\,
O(5) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_10\,
O(4) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_11\,
O(3) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_12\,
O(2) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_13\,
O(1) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_14\,
O(0) => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_15\,
S(7 downto 1) => sm_reset_rx_cdr_to_ctr_reg(7 downto 1),
S(0) => \sm_reset_rx_cdr_to_ctr[0]_i_7_n_0\
);
\sm_reset_rx_cdr_to_ctr_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_13\,
Q => sm_reset_rx_cdr_to_ctr_reg(10),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_12\,
Q => sm_reset_rx_cdr_to_ctr_reg(11),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_11\,
Q => sm_reset_rx_cdr_to_ctr_reg(12),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_10\,
Q => sm_reset_rx_cdr_to_ctr_reg(13),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_9\,
Q => sm_reset_rx_cdr_to_ctr_reg(14),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_8\,
Q => sm_reset_rx_cdr_to_ctr_reg(15),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_15\,
Q => sm_reset_rx_cdr_to_ctr_reg(16),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[16]_i_1\: unisim.vcomponents.CARRY8
port map (
CI => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_0\,
CI_TOP => '0',
CO(7) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_0\,
CO(6) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_1\,
CO(5) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_2\,
CO(4) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_3\,
CO(3) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_4\,
CO(2) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_5\,
CO(1) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_6\,
CO(0) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_7\,
DI(7 downto 0) => B"00000000",
O(7) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_8\,
O(6) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_9\,
O(5) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_10\,
O(4) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_11\,
O(3) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_12\,
O(2) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_13\,
O(1) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_14\,
O(0) => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_15\,
S(7 downto 0) => sm_reset_rx_cdr_to_ctr_reg(23 downto 16)
);
\sm_reset_rx_cdr_to_ctr_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_14\,
Q => sm_reset_rx_cdr_to_ctr_reg(17),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_13\,
Q => sm_reset_rx_cdr_to_ctr_reg(18),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_12\,
Q => sm_reset_rx_cdr_to_ctr_reg(19),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_14\,
Q => sm_reset_rx_cdr_to_ctr_reg(1),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_11\,
Q => sm_reset_rx_cdr_to_ctr_reg(20),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_10\,
Q => sm_reset_rx_cdr_to_ctr_reg(21),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_9\,
Q => sm_reset_rx_cdr_to_ctr_reg(22),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_8\,
Q => sm_reset_rx_cdr_to_ctr_reg(23),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_15\,
Q => sm_reset_rx_cdr_to_ctr_reg(24),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[24]_i_1\: unisim.vcomponents.CARRY8
port map (
CI => \sm_reset_rx_cdr_to_ctr_reg[16]_i_1_n_0\,
CI_TOP => '0',
CO(7 downto 1) => \NLW_sm_reset_rx_cdr_to_ctr_reg[24]_i_1_CO_UNCONNECTED\(7 downto 1),
CO(0) => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_7\,
DI(7 downto 0) => B"00000000",
O(7 downto 2) => \NLW_sm_reset_rx_cdr_to_ctr_reg[24]_i_1_O_UNCONNECTED\(7 downto 2),
O(1) => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_14\,
O(0) => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_15\,
S(7 downto 2) => B"000000",
S(1 downto 0) => sm_reset_rx_cdr_to_ctr_reg(25 downto 24)
);
\sm_reset_rx_cdr_to_ctr_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[24]_i_1_n_14\,
Q => sm_reset_rx_cdr_to_ctr_reg(25),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_13\,
Q => sm_reset_rx_cdr_to_ctr_reg(2),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_12\,
Q => sm_reset_rx_cdr_to_ctr_reg(3),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_11\,
Q => sm_reset_rx_cdr_to_ctr_reg(4),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_10\,
Q => sm_reset_rx_cdr_to_ctr_reg(5),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_9\,
Q => sm_reset_rx_cdr_to_ctr_reg(6),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_8\,
Q => sm_reset_rx_cdr_to_ctr_reg(7),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_15\,
Q => sm_reset_rx_cdr_to_ctr_reg(8),
R => sm_reset_rx_cdr_to_clr
);
\sm_reset_rx_cdr_to_ctr_reg[8]_i_1\: unisim.vcomponents.CARRY8
port map (
CI => \sm_reset_rx_cdr_to_ctr_reg[0]_i_2_n_0\,
CI_TOP => '0',
CO(7) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_0\,
CO(6) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_1\,
CO(5) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_2\,
CO(4) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_3\,
CO(3) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_4\,
CO(2) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_5\,
CO(1) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_6\,
CO(0) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_7\,
DI(7 downto 0) => B"00000000",
O(7) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_8\,
O(6) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_9\,
O(5) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_10\,
O(4) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_11\,
O(3) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_12\,
O(2) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_13\,
O(1) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_14\,
O(0) => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_15\,
S(7 downto 0) => sm_reset_rx_cdr_to_ctr_reg(15 downto 8)
);
\sm_reset_rx_cdr_to_ctr_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_cdr_to_ctr[0]_i_1_n_0\,
D => \sm_reset_rx_cdr_to_ctr_reg[8]_i_1_n_14\,
Q => sm_reset_rx_cdr_to_ctr_reg(9),
R => sm_reset_rx_cdr_to_clr
);
sm_reset_rx_cdr_to_sat_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"0E"
)
port map (
I0 => sm_reset_rx_cdr_to_sat,
I1 => sm_reset_rx_cdr_to_sat_i_2_n_0,
I2 => sm_reset_rx_cdr_to_clr,
O => sm_reset_rx_cdr_to_sat_i_1_n_0
);
sm_reset_rx_cdr_to_sat_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080000000"
)
port map (
I0 => sm_reset_rx_cdr_to_sat_i_3_n_0,
I1 => sm_reset_rx_cdr_to_sat_i_4_n_0,
I2 => sm_reset_rx_cdr_to_sat_i_5_n_0,
I3 => sm_reset_rx_cdr_to_sat_i_6_n_0,
I4 => sm_reset_rx_cdr_to_ctr_reg(1),
I5 => sm_reset_rx_cdr_to_ctr_reg(0),
O => sm_reset_rx_cdr_to_sat_i_2_n_0
);
sm_reset_rx_cdr_to_sat_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0001000000000000"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(4),
I1 => sm_reset_rx_cdr_to_ctr_reg(5),
I2 => sm_reset_rx_cdr_to_ctr_reg(2),
I3 => sm_reset_rx_cdr_to_ctr_reg(3),
I4 => sm_reset_rx_cdr_to_ctr_reg(7),
I5 => sm_reset_rx_cdr_to_ctr_reg(6),
O => sm_reset_rx_cdr_to_sat_i_3_n_0
);
sm_reset_rx_cdr_to_sat_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(22),
I1 => sm_reset_rx_cdr_to_ctr_reg(23),
I2 => sm_reset_rx_cdr_to_ctr_reg(20),
I3 => sm_reset_rx_cdr_to_ctr_reg(21),
I4 => sm_reset_rx_cdr_to_ctr_reg(25),
I5 => sm_reset_rx_cdr_to_ctr_reg(24),
O => sm_reset_rx_cdr_to_sat_i_4_n_0
);
sm_reset_rx_cdr_to_sat_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000020"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(17),
I1 => sm_reset_rx_cdr_to_ctr_reg(16),
I2 => sm_reset_rx_cdr_to_ctr_reg(15),
I3 => sm_reset_rx_cdr_to_ctr_reg(14),
I4 => sm_reset_rx_cdr_to_ctr_reg(19),
I5 => sm_reset_rx_cdr_to_ctr_reg(18),
O => sm_reset_rx_cdr_to_sat_i_5_n_0
);
sm_reset_rx_cdr_to_sat_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"0008000000000000"
)
port map (
I0 => sm_reset_rx_cdr_to_ctr_reg(10),
I1 => sm_reset_rx_cdr_to_ctr_reg(11),
I2 => sm_reset_rx_cdr_to_ctr_reg(8),
I3 => sm_reset_rx_cdr_to_ctr_reg(9),
I4 => sm_reset_rx_cdr_to_ctr_reg(13),
I5 => sm_reset_rx_cdr_to_ctr_reg(12),
O => sm_reset_rx_cdr_to_sat_i_6_n_0
);
sm_reset_rx_cdr_to_sat_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_rx_cdr_to_sat_i_1_n_0,
Q => sm_reset_rx_cdr_to_sat,
R => '0'
);
sm_reset_rx_pll_timer_clr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF3000B"
)
port map (
I0 => sm_reset_rx_pll_timer_sat,
I1 => sm_reset_rx(0),
I2 => sm_reset_rx(1),
I3 => sm_reset_rx(2),
I4 => sm_reset_rx_pll_timer_clr_reg_n_0,
O => sm_reset_rx_pll_timer_clr_i_1_n_0
);
sm_reset_rx_pll_timer_clr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_rx_pll_timer_clr_i_1_n_0,
Q => sm_reset_rx_pll_timer_clr_reg_n_0,
S => gtwiz_reset_rx_any_sync
);
\sm_reset_rx_pll_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(0),
O => \p_0_in__1\(0)
);
\sm_reset_rx_pll_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(0),
I1 => sm_reset_rx_pll_timer_ctr_reg(1),
O => \p_0_in__1\(1)
);
\sm_reset_rx_pll_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(1),
I1 => sm_reset_rx_pll_timer_ctr_reg(0),
I2 => sm_reset_rx_pll_timer_ctr_reg(2),
O => \sm_reset_rx_pll_timer_ctr[2]_i_1_n_0\
);
\sm_reset_rx_pll_timer_ctr[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(2),
I1 => sm_reset_rx_pll_timer_ctr_reg(0),
I2 => sm_reset_rx_pll_timer_ctr_reg(1),
I3 => sm_reset_rx_pll_timer_ctr_reg(3),
O => \sm_reset_rx_pll_timer_ctr[3]_i_1_n_0\
);
\sm_reset_rx_pll_timer_ctr[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(1),
I1 => sm_reset_rx_pll_timer_ctr_reg(0),
I2 => sm_reset_rx_pll_timer_ctr_reg(2),
I3 => sm_reset_rx_pll_timer_ctr_reg(3),
I4 => sm_reset_rx_pll_timer_ctr_reg(4),
O => \p_0_in__1\(4)
);
\sm_reset_rx_pll_timer_ctr[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(4),
I1 => sm_reset_rx_pll_timer_ctr_reg(3),
I2 => sm_reset_rx_pll_timer_ctr_reg(2),
I3 => sm_reset_rx_pll_timer_ctr_reg(0),
I4 => sm_reset_rx_pll_timer_ctr_reg(1),
I5 => sm_reset_rx_pll_timer_ctr_reg(5),
O => \p_0_in__1\(5)
);
\sm_reset_rx_pll_timer_ctr[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\,
I1 => sm_reset_rx_pll_timer_ctr_reg(6),
O => \p_0_in__1\(6)
);
\sm_reset_rx_pll_timer_ctr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(6),
I1 => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\,
I2 => sm_reset_rx_pll_timer_ctr_reg(7),
O => \p_0_in__1\(7)
);
\sm_reset_rx_pll_timer_ctr[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\,
I1 => sm_reset_rx_pll_timer_ctr_reg(6),
I2 => sm_reset_rx_pll_timer_ctr_reg(7),
I3 => sm_reset_rx_pll_timer_ctr_reg(8),
O => \p_0_in__1\(8)
);
\sm_reset_rx_pll_timer_ctr[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"EFFFFFFF"
)
port map (
I0 => \sm_reset_rx_pll_timer_ctr[9]_i_3_n_0\,
I1 => sm_reset_rx_pll_timer_ctr_reg(3),
I2 => sm_reset_rx_pll_timer_ctr_reg(1),
I3 => sm_reset_rx_pll_timer_ctr_reg(0),
I4 => sm_reset_rx_pll_timer_ctr_reg(2),
O => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\
);
\sm_reset_rx_pll_timer_ctr[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\,
I1 => sm_reset_rx_pll_timer_ctr_reg(8),
I2 => sm_reset_rx_pll_timer_ctr_reg(7),
I3 => sm_reset_rx_pll_timer_ctr_reg(6),
I4 => sm_reset_rx_pll_timer_ctr_reg(9),
O => \p_0_in__1\(9)
);
\sm_reset_rx_pll_timer_ctr[9]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(8),
I1 => sm_reset_rx_pll_timer_ctr_reg(9),
I2 => sm_reset_rx_pll_timer_ctr_reg(6),
I3 => sm_reset_rx_pll_timer_ctr_reg(7),
I4 => sm_reset_rx_pll_timer_ctr_reg(5),
I5 => sm_reset_rx_pll_timer_ctr_reg(4),
O => \sm_reset_rx_pll_timer_ctr[9]_i_3_n_0\
);
\sm_reset_rx_pll_timer_ctr[9]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(4),
I1 => sm_reset_rx_pll_timer_ctr_reg(3),
I2 => sm_reset_rx_pll_timer_ctr_reg(2),
I3 => sm_reset_rx_pll_timer_ctr_reg(0),
I4 => sm_reset_rx_pll_timer_ctr_reg(1),
I5 => sm_reset_rx_pll_timer_ctr_reg(5),
O => \sm_reset_rx_pll_timer_ctr[9]_i_4_n_0\
);
\sm_reset_rx_pll_timer_ctr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__1\(0),
Q => sm_reset_rx_pll_timer_ctr_reg(0),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__1\(1),
Q => sm_reset_rx_pll_timer_ctr_reg(1),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \sm_reset_rx_pll_timer_ctr[2]_i_1_n_0\,
Q => sm_reset_rx_pll_timer_ctr_reg(2),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \sm_reset_rx_pll_timer_ctr[3]_i_1_n_0\,
Q => sm_reset_rx_pll_timer_ctr_reg(3),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__1\(4),
Q => sm_reset_rx_pll_timer_ctr_reg(4),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__1\(5),
Q => sm_reset_rx_pll_timer_ctr_reg(5),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__1\(6),
Q => sm_reset_rx_pll_timer_ctr_reg(6),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__1\(7),
Q => sm_reset_rx_pll_timer_ctr_reg(7),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__1\(8),
Q => sm_reset_rx_pll_timer_ctr_reg(8),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
\sm_reset_rx_pll_timer_ctr_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__1\(9),
Q => sm_reset_rx_pll_timer_ctr_reg(9),
R => sm_reset_rx_pll_timer_clr_reg_n_0
);
sm_reset_rx_pll_timer_sat_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0000ABAA"
)
port map (
I0 => sm_reset_rx_pll_timer_sat,
I1 => sm_reset_rx_pll_timer_ctr_reg(3),
I2 => sm_reset_rx_pll_timer_sat_i_2_n_0,
I3 => sm_reset_rx_pll_timer_sat_i_3_n_0,
I4 => sm_reset_rx_pll_timer_clr_reg_n_0,
O => sm_reset_rx_pll_timer_sat_i_1_n_0
);
sm_reset_rx_pll_timer_sat_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(1),
I1 => sm_reset_rx_pll_timer_ctr_reg(0),
I2 => sm_reset_rx_pll_timer_ctr_reg(2),
O => sm_reset_rx_pll_timer_sat_i_2_n_0
);
sm_reset_rx_pll_timer_sat_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => sm_reset_rx_pll_timer_ctr_reg(6),
I1 => sm_reset_rx_pll_timer_ctr_reg(7),
I2 => sm_reset_rx_pll_timer_ctr_reg(4),
I3 => sm_reset_rx_pll_timer_ctr_reg(5),
I4 => sm_reset_rx_pll_timer_ctr_reg(9),
I5 => sm_reset_rx_pll_timer_ctr_reg(8),
O => sm_reset_rx_pll_timer_sat_i_3_n_0
);
sm_reset_rx_pll_timer_sat_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_rx_pll_timer_sat_i_1_n_0,
Q => sm_reset_rx_pll_timer_sat,
R => '0'
);
sm_reset_rx_timer_clr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => bit_synchronizer_gtwiz_reset_userclk_rx_active_inst_n_0,
Q => sm_reset_rx_timer_clr_reg_n_0,
S => gtwiz_reset_rx_any_sync
);
\sm_reset_rx_timer_ctr0_inferred__0/i_\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => sm_reset_rx_timer_ctr(2),
I1 => sm_reset_rx_timer_ctr(0),
I2 => sm_reset_rx_timer_ctr(1),
O => \sm_reset_rx_timer_ctr0_inferred__0/i__n_0\
);
\sm_reset_rx_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => sm_reset_rx_timer_ctr(0),
O => \sm_reset_rx_timer_ctr[0]_i_1_n_0\
);
\sm_reset_rx_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => sm_reset_rx_timer_ctr(0),
I1 => sm_reset_rx_timer_ctr(1),
O => \sm_reset_rx_timer_ctr[1]_i_1_n_0\
);
\sm_reset_rx_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => sm_reset_rx_timer_ctr(0),
I1 => sm_reset_rx_timer_ctr(1),
I2 => sm_reset_rx_timer_ctr(2),
O => \sm_reset_rx_timer_ctr[2]_i_1_n_0\
);
\sm_reset_rx_timer_ctr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_timer_ctr0_inferred__0/i__n_0\,
D => \sm_reset_rx_timer_ctr[0]_i_1_n_0\,
Q => sm_reset_rx_timer_ctr(0),
R => sm_reset_rx_timer_clr_reg_n_0
);
\sm_reset_rx_timer_ctr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_timer_ctr0_inferred__0/i__n_0\,
D => \sm_reset_rx_timer_ctr[1]_i_1_n_0\,
Q => sm_reset_rx_timer_ctr(1),
R => sm_reset_rx_timer_clr_reg_n_0
);
\sm_reset_rx_timer_ctr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_rx_timer_ctr0_inferred__0/i__n_0\,
D => \sm_reset_rx_timer_ctr[2]_i_1_n_0\,
Q => sm_reset_rx_timer_ctr(2),
R => sm_reset_rx_timer_clr_reg_n_0
);
sm_reset_rx_timer_sat_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF80"
)
port map (
I0 => sm_reset_rx_timer_ctr(2),
I1 => sm_reset_rx_timer_ctr(0),
I2 => sm_reset_rx_timer_ctr(1),
I3 => sm_reset_rx_timer_sat,
I4 => sm_reset_rx_timer_clr_reg_n_0,
O => sm_reset_rx_timer_sat_i_1_n_0
);
sm_reset_rx_timer_sat_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_rx_timer_sat_i_1_n_0,
Q => sm_reset_rx_timer_sat,
R => '0'
);
sm_reset_tx_pll_timer_clr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFF5000D"
)
port map (
I0 => sm_reset_tx(0),
I1 => sm_reset_tx_pll_timer_sat,
I2 => sm_reset_tx(1),
I3 => sm_reset_tx(2),
I4 => sm_reset_tx_pll_timer_clr_reg_n_0,
O => sm_reset_tx_pll_timer_clr_i_1_n_0
);
sm_reset_tx_pll_timer_clr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_tx_pll_timer_clr_i_1_n_0,
Q => sm_reset_tx_pll_timer_clr_reg_n_0,
S => gtwiz_reset_tx_any_sync
);
\sm_reset_tx_pll_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(0),
O => \p_0_in__0\(0)
);
\sm_reset_tx_pll_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(0),
I1 => sm_reset_tx_pll_timer_ctr_reg(1),
O => \p_0_in__0\(1)
);
\sm_reset_tx_pll_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(1),
I1 => sm_reset_tx_pll_timer_ctr_reg(0),
I2 => sm_reset_tx_pll_timer_ctr_reg(2),
O => \sm_reset_tx_pll_timer_ctr[2]_i_1_n_0\
);
\sm_reset_tx_pll_timer_ctr[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(2),
I1 => sm_reset_tx_pll_timer_ctr_reg(0),
I2 => sm_reset_tx_pll_timer_ctr_reg(1),
I3 => sm_reset_tx_pll_timer_ctr_reg(3),
O => \sm_reset_tx_pll_timer_ctr[3]_i_1_n_0\
);
\sm_reset_tx_pll_timer_ctr[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(1),
I1 => sm_reset_tx_pll_timer_ctr_reg(0),
I2 => sm_reset_tx_pll_timer_ctr_reg(2),
I3 => sm_reset_tx_pll_timer_ctr_reg(3),
I4 => sm_reset_tx_pll_timer_ctr_reg(4),
O => \p_0_in__0\(4)
);
\sm_reset_tx_pll_timer_ctr[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(4),
I1 => sm_reset_tx_pll_timer_ctr_reg(3),
I2 => sm_reset_tx_pll_timer_ctr_reg(2),
I3 => sm_reset_tx_pll_timer_ctr_reg(0),
I4 => sm_reset_tx_pll_timer_ctr_reg(1),
I5 => sm_reset_tx_pll_timer_ctr_reg(5),
O => \p_0_in__0\(5)
);
\sm_reset_tx_pll_timer_ctr[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\,
I1 => sm_reset_tx_pll_timer_ctr_reg(6),
O => \p_0_in__0\(6)
);
\sm_reset_tx_pll_timer_ctr[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(6),
I1 => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\,
I2 => sm_reset_tx_pll_timer_ctr_reg(7),
O => \p_0_in__0\(7)
);
\sm_reset_tx_pll_timer_ctr[8]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\,
I1 => sm_reset_tx_pll_timer_ctr_reg(6),
I2 => sm_reset_tx_pll_timer_ctr_reg(7),
I3 => sm_reset_tx_pll_timer_ctr_reg(8),
O => \p_0_in__0\(8)
);
\sm_reset_tx_pll_timer_ctr[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"EFFFFFFF"
)
port map (
I0 => \sm_reset_tx_pll_timer_ctr[9]_i_3_n_0\,
I1 => sm_reset_tx_pll_timer_ctr_reg(3),
I2 => sm_reset_tx_pll_timer_ctr_reg(1),
I3 => sm_reset_tx_pll_timer_ctr_reg(0),
I4 => sm_reset_tx_pll_timer_ctr_reg(2),
O => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\
);
\sm_reset_tx_pll_timer_ctr[9]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\,
I1 => sm_reset_tx_pll_timer_ctr_reg(8),
I2 => sm_reset_tx_pll_timer_ctr_reg(7),
I3 => sm_reset_tx_pll_timer_ctr_reg(6),
I4 => sm_reset_tx_pll_timer_ctr_reg(9),
O => \p_0_in__0\(9)
);
\sm_reset_tx_pll_timer_ctr[9]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(8),
I1 => sm_reset_tx_pll_timer_ctr_reg(9),
I2 => sm_reset_tx_pll_timer_ctr_reg(6),
I3 => sm_reset_tx_pll_timer_ctr_reg(7),
I4 => sm_reset_tx_pll_timer_ctr_reg(5),
I5 => sm_reset_tx_pll_timer_ctr_reg(4),
O => \sm_reset_tx_pll_timer_ctr[9]_i_3_n_0\
);
\sm_reset_tx_pll_timer_ctr[9]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(4),
I1 => sm_reset_tx_pll_timer_ctr_reg(3),
I2 => sm_reset_tx_pll_timer_ctr_reg(2),
I3 => sm_reset_tx_pll_timer_ctr_reg(0),
I4 => sm_reset_tx_pll_timer_ctr_reg(1),
I5 => sm_reset_tx_pll_timer_ctr_reg(5),
O => \sm_reset_tx_pll_timer_ctr[9]_i_4_n_0\
);
\sm_reset_tx_pll_timer_ctr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__0\(0),
Q => sm_reset_tx_pll_timer_ctr_reg(0),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__0\(1),
Q => sm_reset_tx_pll_timer_ctr_reg(1),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \sm_reset_tx_pll_timer_ctr[2]_i_1_n_0\,
Q => sm_reset_tx_pll_timer_ctr_reg(2),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \sm_reset_tx_pll_timer_ctr[3]_i_1_n_0\,
Q => sm_reset_tx_pll_timer_ctr_reg(3),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__0\(4),
Q => sm_reset_tx_pll_timer_ctr_reg(4),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__0\(5),
Q => sm_reset_tx_pll_timer_ctr_reg(5),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__0\(6),
Q => sm_reset_tx_pll_timer_ctr_reg(6),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__0\(7),
Q => sm_reset_tx_pll_timer_ctr_reg(7),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__0\(8),
Q => sm_reset_tx_pll_timer_ctr_reg(8),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
\sm_reset_tx_pll_timer_ctr_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => \sm_reset_tx_pll_timer_ctr[9]_i_1_n_0\,
D => \p_0_in__0\(9),
Q => sm_reset_tx_pll_timer_ctr_reg(9),
R => sm_reset_tx_pll_timer_clr_reg_n_0
);
sm_reset_tx_pll_timer_sat_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0000ABAA"
)
port map (
I0 => sm_reset_tx_pll_timer_sat,
I1 => sm_reset_tx_pll_timer_ctr_reg(3),
I2 => sm_reset_tx_pll_timer_sat_i_2_n_0,
I3 => sm_reset_tx_pll_timer_sat_i_3_n_0,
I4 => sm_reset_tx_pll_timer_clr_reg_n_0,
O => sm_reset_tx_pll_timer_sat_i_1_n_0
);
sm_reset_tx_pll_timer_sat_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(1),
I1 => sm_reset_tx_pll_timer_ctr_reg(0),
I2 => sm_reset_tx_pll_timer_ctr_reg(2),
O => sm_reset_tx_pll_timer_sat_i_2_n_0
);
sm_reset_tx_pll_timer_sat_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => sm_reset_tx_pll_timer_ctr_reg(6),
I1 => sm_reset_tx_pll_timer_ctr_reg(7),
I2 => sm_reset_tx_pll_timer_ctr_reg(4),
I3 => sm_reset_tx_pll_timer_ctr_reg(5),
I4 => sm_reset_tx_pll_timer_ctr_reg(9),
I5 => sm_reset_tx_pll_timer_ctr_reg(8),
O => sm_reset_tx_pll_timer_sat_i_3_n_0
);
sm_reset_tx_pll_timer_sat_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_tx_pll_timer_sat_i_1_n_0,
Q => sm_reset_tx_pll_timer_sat,
R => '0'
);
sm_reset_tx_timer_clr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_0,
Q => sm_reset_tx_timer_clr_reg_n_0,
S => gtwiz_reset_tx_any_sync
);
\sm_reset_tx_timer_ctr0_inferred__0/i_\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => sm_reset_tx_timer_ctr(2),
I1 => sm_reset_tx_timer_ctr(0),
I2 => sm_reset_tx_timer_ctr(1),
O => p_0_in
);
\sm_reset_tx_timer_ctr[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => sm_reset_tx_timer_ctr(0),
O => \sm_reset_tx_timer_ctr[0]_i_1_n_0\
);
\sm_reset_tx_timer_ctr[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => sm_reset_tx_timer_ctr(0),
I1 => sm_reset_tx_timer_ctr(1),
O => \sm_reset_tx_timer_ctr[1]_i_1_n_0\
);
\sm_reset_tx_timer_ctr[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => sm_reset_tx_timer_ctr(0),
I1 => sm_reset_tx_timer_ctr(1),
I2 => sm_reset_tx_timer_ctr(2),
O => \sm_reset_tx_timer_ctr[2]_i_1_n_0\
);
\sm_reset_tx_timer_ctr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => p_0_in,
D => \sm_reset_tx_timer_ctr[0]_i_1_n_0\,
Q => sm_reset_tx_timer_ctr(0),
R => sm_reset_tx_timer_clr_reg_n_0
);
\sm_reset_tx_timer_ctr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => p_0_in,
D => \sm_reset_tx_timer_ctr[1]_i_1_n_0\,
Q => sm_reset_tx_timer_ctr(1),
R => sm_reset_tx_timer_clr_reg_n_0
);
\sm_reset_tx_timer_ctr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => p_0_in,
D => \sm_reset_tx_timer_ctr[2]_i_1_n_0\,
Q => sm_reset_tx_timer_ctr(2),
R => sm_reset_tx_timer_clr_reg_n_0
);
sm_reset_tx_timer_sat_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0000FF80"
)
port map (
I0 => sm_reset_tx_timer_ctr(2),
I1 => sm_reset_tx_timer_ctr(0),
I2 => sm_reset_tx_timer_ctr(1),
I3 => sm_reset_tx_timer_sat,
I4 => sm_reset_tx_timer_clr_reg_n_0,
O => sm_reset_tx_timer_sat_i_1_n_0
);
sm_reset_tx_timer_sat_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => sm_reset_tx_timer_sat_i_1_n_0,
Q => sm_reset_tx_timer_sat,
R => '0'
);
txuserrdy_out_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => gtwiz_reset_clk_freerun_in(0),
CE => '1',
D => bit_synchronizer_gtwiz_reset_userclk_tx_active_inst_n_1,
Q => \^gen_gtwizard_gtye4.txuserrdy_int\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_gtye4 is
port (
gtytxn_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtytxp_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 63 downto 0 );
rxdatavalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxheadervalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxstartofseq_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxheader_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
gtwiz_userclk_rx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtpowergood_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_cdr_stable_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll0reset_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtyrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtyrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxgearboxslip_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 63 downto 0 );
txheader_in : in STD_LOGIC_VECTOR ( 5 downto 0 );
txsequence_in : in STD_LOGIC_VECTOR ( 6 downto 0 );
gtwiz_userclk_tx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll0lock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_gtye4;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_gtye4 is
signal \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_12\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_3\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_7\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_89\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_9\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gtpowergood_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gtrxreset_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.gttxreset_ch_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.rxprogdivreset_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.rxuserrdy_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.txpisopd_ch_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.txprogdivreset_int\ : STD_LOGIC;
signal \gen_gtwizard_gtye4.txuserrdy_int\ : STD_LOGIC;
signal \^gtpowergood_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^gtwiz_userclk_rx_active_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^gtwiz_userclk_rx_srcclk_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^gtwiz_userclk_rx_usrclk2_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^gtwiz_userclk_tx_active_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^gtwiz_userclk_tx_srcclk_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^gtwiz_userclk_tx_usrclk2_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal lopt : STD_LOGIC;
signal lopt_1 : STD_LOGIC;
signal lopt_2 : STD_LOGIC;
signal lopt_3 : STD_LOGIC;
signal lopt_4 : STD_LOGIC;
signal lopt_5 : STD_LOGIC;
begin
gtpowergood_out(0) <= \^gtpowergood_out\(0);
gtwiz_userclk_rx_active_out(0) <= \^gtwiz_userclk_rx_active_out\(0);
gtwiz_userclk_rx_srcclk_out(0) <= \^gtwiz_userclk_rx_srcclk_out\(0);
gtwiz_userclk_rx_usrclk2_out(0) <= \^gtwiz_userclk_rx_usrclk2_out\(0);
gtwiz_userclk_tx_active_out(0) <= \^gtwiz_userclk_tx_active_out\(0);
gtwiz_userclk_tx_srcclk_out(0) <= \^gtwiz_userclk_tx_srcclk_out\(0);
gtwiz_userclk_tx_usrclk2_out(0) <= \^gtwiz_userclk_tx_usrclk2_out\(0);
\gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtye4_channel_wrapper
port map (
TXRATE(0) => \gen_gtwizard_gtye4.txpisopd_ch_int\,
\gen_gtwizard_gtye4.gtpowergood_int\ => \gen_gtwizard_gtye4.gtpowergood_int\,
\gen_gtwizard_gtye4.gtrxreset_int\ => \gen_gtwizard_gtye4.gtrxreset_int\,
\gen_gtwizard_gtye4.gttxreset_ch_int\ => \gen_gtwizard_gtye4.gttxreset_ch_int\,
\gen_gtwizard_gtye4.rxprogdivreset_int\ => \gen_gtwizard_gtye4.rxprogdivreset_int\,
\gen_gtwizard_gtye4.rxuserrdy_int\ => \gen_gtwizard_gtye4.rxuserrdy_int\,
\gen_gtwizard_gtye4.txprogdivreset_int\ => \gen_gtwizard_gtye4.txprogdivreset_int\,
\gen_gtwizard_gtye4.txuserrdy_int\ => \gen_gtwizard_gtye4.txuserrdy_int\,
gtwiz_userclk_rx_srcclk_out(0) => \^gtwiz_userclk_rx_srcclk_out\(0),
gtwiz_userclk_rx_usrclk2_out(0) => \^gtwiz_userclk_rx_usrclk2_out\(0),
gtwiz_userclk_tx_srcclk_out(0) => \^gtwiz_userclk_tx_srcclk_out\(0),
gtwiz_userclk_tx_usrclk2_out(0) => \^gtwiz_userclk_tx_usrclk2_out\(0),
gtwiz_userdata_rx_out(63 downto 0) => gtwiz_userdata_rx_out(63 downto 0),
gtwiz_userdata_tx_in(63 downto 0) => gtwiz_userdata_tx_in(63 downto 0),
\gtye4_channel_gen.gen_gtye4_channel_inst[0].GTYE4_CHANNEL_PRIM_INST\ => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_89\,
gtyrxn_in(0) => gtyrxn_in(0),
gtyrxp_in(0) => gtyrxp_in(0),
gtytxn_out(0) => gtytxn_out(0),
gtytxp_out(0) => gtytxp_out(0),
lopt => lopt,
lopt_1 => gtwiz_userclk_rx_reset_in(0),
lopt_2 => lopt_1,
lopt_3 => lopt_2,
lopt_4 => lopt_3,
lopt_5 => gtwiz_userclk_tx_reset_in(0),
lopt_6 => lopt_4,
lopt_7 => lopt_5,
qpll0clk_in(0) => qpll0clk_in(0),
qpll0refclk_in(0) => qpll0refclk_in(0),
qpll1clk_in(0) => qpll1clk_in(0),
qpll1refclk_in(0) => qpll1refclk_in(0),
rxcdrlock_out(0) => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_3\,
rxdatavalid_out(1 downto 0) => rxdatavalid_out(1 downto 0),
rxgearboxslip_in(0) => rxgearboxslip_in(0),
rxheader_out(5 downto 0) => rxheader_out(5 downto 0),
rxheadervalid_out(1 downto 0) => rxheadervalid_out(1 downto 0),
rxpmaresetdone_out(0) => rxpmaresetdone_out(0),
rxprgdivresetdone_out(0) => rxprgdivresetdone_out(0),
rxresetdone_out(0) => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_7\,
rxstartofseq_out(1 downto 0) => rxstartofseq_out(1 downto 0),
txheader_in(5 downto 0) => txheader_in(5 downto 0),
txoutclkpcs_out(0) => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_9\,
txpmaresetdone_out(0) => txpmaresetdone_out(0),
txprgdivresetdone_out(0) => txprgdivresetdone_out(0),
txresetdone_out(0) => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_12\,
txsequence_in(6 downto 0) => txsequence_in(6 downto 0)
);
\gen_gtwizard_gtye4.gen_pwrgood_delay_inst[0].delay_powergood_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtye4_delay_powergood
port map (
TXRATE(0) => \gen_gtwizard_gtye4.txpisopd_ch_int\,
\gen_powergood_delay.intclk_rrst_n_r_reg[4]_0\ => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_89\,
\out\ => \^gtpowergood_out\(0),
txoutclkpcs_out(0) => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_9\
);
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gen_ch_xrd[0].bit_synchronizer_rxresetdone_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer
port map (
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
rxresetdone_out(0) => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_7\
);
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gen_ch_xrd[0].bit_synchronizer_txresetdone_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_bit_synchronizer_0
port map (
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
txresetdone_out(0) => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_12\
);
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.gtwiz_reset_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_reset
port map (
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.rxresetdone_sync\,
\gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\ => \gen_gtwizard_gtye4.gen_reset_controller_internal.gen_single_instance.txresetdone_sync\,
\gen_gtwizard_gtye4.gtpowergood_int\ => \gen_gtwizard_gtye4.gtpowergood_int\,
\gen_gtwizard_gtye4.gtrxreset_int\ => \gen_gtwizard_gtye4.gtrxreset_int\,
\gen_gtwizard_gtye4.gttxreset_ch_int\ => \gen_gtwizard_gtye4.gttxreset_ch_int\,
\gen_gtwizard_gtye4.rxprogdivreset_int\ => \gen_gtwizard_gtye4.rxprogdivreset_int\,
\gen_gtwizard_gtye4.rxuserrdy_int\ => \gen_gtwizard_gtye4.rxuserrdy_int\,
\gen_gtwizard_gtye4.txprogdivreset_int\ => \gen_gtwizard_gtye4.txprogdivreset_int\,
\gen_gtwizard_gtye4.txuserrdy_int\ => \gen_gtwizard_gtye4.txuserrdy_int\,
gtpowergood_out(0) => \^gtpowergood_out\(0),
gtwiz_reset_all_in(0) => gtwiz_reset_all_in(0),
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_qpll0lock_in(0) => gtwiz_reset_qpll0lock_in(0),
gtwiz_reset_qpll0reset_out(0) => gtwiz_reset_qpll0reset_out(0),
gtwiz_reset_rx_cdr_stable_out(0) => gtwiz_reset_rx_cdr_stable_out(0),
gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0),
gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out(0),
gtwiz_reset_rx_pll_and_datapath_in(0) => gtwiz_reset_rx_pll_and_datapath_in(0),
gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0),
gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out(0),
gtwiz_reset_tx_pll_and_datapath_in(0) => gtwiz_reset_tx_pll_and_datapath_in(0),
gtwiz_userclk_rx_active_out(0) => \^gtwiz_userclk_rx_active_out\(0),
gtwiz_userclk_rx_usrclk2_out(0) => \^gtwiz_userclk_rx_usrclk2_out\(0),
gtwiz_userclk_tx_active_out(0) => \^gtwiz_userclk_tx_active_out\(0),
gtwiz_userclk_tx_usrclk2_out(0) => \^gtwiz_userclk_tx_usrclk2_out\(0),
rxcdrlock_out(0) => \gen_gtwizard_gtye4.gen_channel_container[1].gen_enabled_channel.gtye4_channel_wrapper_inst_n_3\
);
\gen_gtwizard_gtye4.gen_rx_user_clocking_internal.gen_single_instance.gtwiz_userclk_rx_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_userclk_rx
port map (
gtwiz_userclk_rx_active_out(0) => \^gtwiz_userclk_rx_active_out\(0),
gtwiz_userclk_rx_reset_in(0) => gtwiz_userclk_rx_reset_in(0),
gtwiz_userclk_rx_srcclk_out(0) => \^gtwiz_userclk_rx_srcclk_out\(0),
gtwiz_userclk_rx_usrclk2_out(0) => \^gtwiz_userclk_rx_usrclk2_out\(0),
lopt => lopt,
lopt_1 => lopt_1,
lopt_2 => lopt_2
);
\gen_gtwizard_gtye4.gen_tx_user_clocking_internal.gen_single_instance.gtwiz_userclk_tx_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_gtwizard_ultrascale_v1_7_12_gtwiz_userclk_tx
port map (
gtwiz_userclk_tx_active_out(0) => \^gtwiz_userclk_tx_active_out\(0),
gtwiz_userclk_tx_reset_in(0) => gtwiz_userclk_tx_reset_in(0),
gtwiz_userclk_tx_srcclk_out(0) => \^gtwiz_userclk_tx_srcclk_out\(0),
gtwiz_userclk_tx_usrclk2_out(0) => \^gtwiz_userclk_tx_usrclk2_out\(0),
lopt => lopt_3,
lopt_1 => lopt_4,
lopt_2 => lopt_5
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top is
port (
gtwiz_userclk_tx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_usrclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_active_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_usrclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_buffbypass_tx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_buffbypass_tx_start_user_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_buffbypass_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_buffbypass_tx_error_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_buffbypass_rx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_buffbypass_rx_start_user_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_buffbypass_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_buffbypass_rx_error_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_done_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_done_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll0lock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll1lock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_cdr_stable_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll0reset_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll1reset_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_gthe3_cpll_cal_txoutclk_period_in : in STD_LOGIC_VECTOR ( 17 downto 0 );
gtwiz_gthe3_cpll_cal_cnt_tol_in : in STD_LOGIC_VECTOR ( 17 downto 0 );
gtwiz_gthe3_cpll_cal_bufg_ce_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_gthe4_cpll_cal_txoutclk_period_in : in STD_LOGIC_VECTOR ( 17 downto 0 );
gtwiz_gthe4_cpll_cal_cnt_tol_in : in STD_LOGIC_VECTOR ( 17 downto 0 );
gtwiz_gthe4_cpll_cal_bufg_ce_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_gtye4_cpll_cal_txoutclk_period_in : in STD_LOGIC_VECTOR ( 17 downto 0 );
gtwiz_gtye4_cpll_cal_cnt_tol_in : in STD_LOGIC_VECTOR ( 17 downto 0 );
gtwiz_gtye4_cpll_cal_bufg_ce_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 63 downto 0 );
gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 63 downto 0 );
bgbypassb_in : in STD_LOGIC_VECTOR ( 0 to 0 );
bgmonitorenb_in : in STD_LOGIC_VECTOR ( 0 to 0 );
bgpdb_in : in STD_LOGIC_VECTOR ( 0 to 0 );
bgrcalovrd_in : in STD_LOGIC_VECTOR ( 4 downto 0 );
bgrcalovrdenb_in : in STD_LOGIC_VECTOR ( 0 to 0 );
drpaddr_common_in : in STD_LOGIC_VECTOR ( 15 downto 0 );
drpclk_common_in : in STD_LOGIC_VECTOR ( 0 to 0 );
drpdi_common_in : in STD_LOGIC_VECTOR ( 15 downto 0 );
drpen_common_in : in STD_LOGIC_VECTOR ( 0 to 0 );
drpwe_common_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtgrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtgrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtnorthrefclk00_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtnorthrefclk01_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtnorthrefclk10_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtnorthrefclk11_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtrefclk00_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtrefclk01_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtrefclk10_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtrefclk11_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtsouthrefclk00_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtsouthrefclk01_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtsouthrefclk10_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtsouthrefclk11_in : in STD_LOGIC_VECTOR ( 0 to 0 );
pcierateqpll0_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
pcierateqpll1_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
pmarsvd0_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
pmarsvd1_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
qpll0clkrsvd0_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0clkrsvd1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0fbdiv_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
qpll0lockdetclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0locken_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0pd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0refclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
qpll0reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1clkrsvd0_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1clkrsvd1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1fbdiv_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
qpll1lockdetclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1locken_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1pd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1refclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
qpll1reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpllrsvd1_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
qpllrsvd2_in : in STD_LOGIC_VECTOR ( 4 downto 0 );
qpllrsvd3_in : in STD_LOGIC_VECTOR ( 4 downto 0 );
qpllrsvd4_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
rcalenb_in : in STD_LOGIC_VECTOR ( 0 to 0 );
sdm0data_in : in STD_LOGIC_VECTOR ( 24 downto 0 );
sdm0reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
sdm0toggle_in : in STD_LOGIC_VECTOR ( 0 to 0 );
sdm0width_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
sdm1data_in : in STD_LOGIC_VECTOR ( 24 downto 0 );
sdm1reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
sdm1toggle_in : in STD_LOGIC_VECTOR ( 0 to 0 );
sdm1width_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
tcongpi_in : in STD_LOGIC_VECTOR ( 0 to 0 );
tconpowerup_in : in STD_LOGIC_VECTOR ( 0 to 0 );
tconreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
tconrsvdin1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubcfgstreamen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubdo_in : in STD_LOGIC_VECTOR ( 15 downto 0 );
ubdrdy_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubenable_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubgpi_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
ubintr_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
ubiolmbrst_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubmbrst_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubmdmcapture_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubmdmdbgrst_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubmdmdbgupdate_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubmdmregen_in : in STD_LOGIC_VECTOR ( 3 downto 0 );
ubmdmshift_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubmdmsysrst_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubmdmtck_in : in STD_LOGIC_VECTOR ( 0 to 0 );
ubmdmtdi_in : in STD_LOGIC_VECTOR ( 0 to 0 );
drpdo_common_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
drprdy_common_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pmarsvdout0_out : out STD_LOGIC_VECTOR ( 7 downto 0 );
pmarsvdout1_out : out STD_LOGIC_VECTOR ( 7 downto 0 );
qpll0fbclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll0lock_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll0outclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll0outrefclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll0refclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll1fbclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll1lock_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll1outclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll1outrefclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qpll1refclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 );
qplldmonitor0_out : out STD_LOGIC_VECTOR ( 7 downto 0 );
qplldmonitor1_out : out STD_LOGIC_VECTOR ( 7 downto 0 );
refclkoutmonitor0_out : out STD_LOGIC_VECTOR ( 0 to 0 );
refclkoutmonitor1_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxrecclk0_sel_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxrecclk1_sel_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxrecclk0sel_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxrecclk1sel_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
sdm0finalout_out : out STD_LOGIC_VECTOR ( 3 downto 0 );
sdm0testdata_out : out STD_LOGIC_VECTOR ( 14 downto 0 );
sdm1finalout_out : out STD_LOGIC_VECTOR ( 3 downto 0 );
sdm1testdata_out : out STD_LOGIC_VECTOR ( 14 downto 0 );
tcongpo_out : out STD_LOGIC_VECTOR ( 0 to 0 );
tconrsvdout0_out : out STD_LOGIC_VECTOR ( 0 to 0 );
ubdaddr_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
ubden_out : out STD_LOGIC_VECTOR ( 0 to 0 );
ubdi_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
ubdwe_out : out STD_LOGIC_VECTOR ( 0 to 0 );
ubmdmtdo_out : out STD_LOGIC_VECTOR ( 0 to 0 );
ubrsvdout_out : out STD_LOGIC_VECTOR ( 0 to 0 );
ubtxuart_out : out STD_LOGIC_VECTOR ( 0 to 0 );
cdrstepdir_in : in STD_LOGIC_VECTOR ( 0 to 0 );
cdrstepsq_in : in STD_LOGIC_VECTOR ( 0 to 0 );
cdrstepsx_in : in STD_LOGIC_VECTOR ( 0 to 0 );
cfgreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
clkrsvd0_in : in STD_LOGIC_VECTOR ( 0 to 0 );
clkrsvd1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
cpllfreqlock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
cplllockdetclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
cplllocken_in : in STD_LOGIC_VECTOR ( 0 to 0 );
cpllpd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
cpllrefclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
cpllreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
dmonfiforeset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
dmonitorclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
drpaddr_in : in STD_LOGIC_VECTOR ( 9 downto 0 );
drpclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
drpdi_in : in STD_LOGIC_VECTOR ( 15 downto 0 );
drpen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
drprst_in : in STD_LOGIC_VECTOR ( 0 to 0 );
drpwe_in : in STD_LOGIC_VECTOR ( 0 to 0 );
elpcaldvorwren_in : in STD_LOGIC_VECTOR ( 0 to 0 );
elpcalpaorwren_in : in STD_LOGIC_VECTOR ( 0 to 0 );
evoddphicaldone_in : in STD_LOGIC_VECTOR ( 0 to 0 );
evoddphicalstart_in : in STD_LOGIC_VECTOR ( 0 to 0 );
evoddphidrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
evoddphidwren_in : in STD_LOGIC_VECTOR ( 0 to 0 );
evoddphixrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
evoddphixwren_in : in STD_LOGIC_VECTOR ( 0 to 0 );
eyescanmode_in : in STD_LOGIC_VECTOR ( 0 to 0 );
eyescanreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
eyescantrigger_in : in STD_LOGIC_VECTOR ( 0 to 0 );
freqos_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtgrefclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gthrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gthrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtnorthrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtnorthrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtresetsel_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtrsvd_in : in STD_LOGIC_VECTOR ( 15 downto 0 );
gtrxreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtrxresetsel_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtsouthrefclk0_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtsouthrefclk1_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gttxreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gttxresetsel_in : in STD_LOGIC_VECTOR ( 0 to 0 );
incpctrl_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtyrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtyrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 );
loopback_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
looprsvd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
lpbkrxtxseren_in : in STD_LOGIC_VECTOR ( 0 to 0 );
lpbktxrxseren_in : in STD_LOGIC_VECTOR ( 0 to 0 );
pcieeqrxeqadaptdone_in : in STD_LOGIC_VECTOR ( 0 to 0 );
pcierstidle_in : in STD_LOGIC_VECTOR ( 0 to 0 );
pciersttxsyncstart_in : in STD_LOGIC_VECTOR ( 0 to 0 );
pcieuserratedone_in : in STD_LOGIC_VECTOR ( 0 to 0 );
pcsrsvdin_in : in STD_LOGIC_VECTOR ( 15 downto 0 );
pcsrsvdin2_in : in STD_LOGIC_VECTOR ( 0 to 0 );
pmarsvdin_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0freqlock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1freqlock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
resetovrd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rstclkentx_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rx8b10ben_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxafecfoken_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxbufreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrfreqreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrhold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrresetrsv_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxchbonden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxchbondi_in : in STD_LOGIC_VECTOR ( 4 downto 0 );
rxchbondlevel_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
rxchbondmaster_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxchbondslave_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxckcalreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxckcalstart_in : in STD_LOGIC_VECTOR ( 6 downto 0 );
rxcommadeten_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfeagcctrl_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdccforcestart_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfeagchold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfeagcovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfecfokfcnum_in : in STD_LOGIC_VECTOR ( 3 downto 0 );
rxdfecfokfen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfecfokfpulse_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfecfokhold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfecfokovren_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfekhhold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfekhovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfelfhold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfelfovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfelpmreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap10hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap10ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap11hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap11ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap12hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap12ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap13hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap13ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap14hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap14ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap15hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap15ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap2hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap2ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap3hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap3ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap4hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap4ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap5hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap5ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap6hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap6ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap7hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap7ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap8hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap8ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap9hold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfetap9ovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfeuthold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfeutovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfevphold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfevpovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfevsen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdfexyden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdlybypass_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdlyen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdlyovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxdlysreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxelecidlemode_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
rxeqtraining_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxgearboxslip_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlatclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmgchold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmgcovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmhfhold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmhfovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmlfhold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmlfklovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmoshold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxlpmosovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxmcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxmonitorsel_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
rxoobreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxoscalreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxoshold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxosintcfg_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxosinten_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxosinthold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxosintovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxosintstrobe_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxosinttestovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxosovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxoutclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
rxpcommaalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxpcsreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxpd_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
rxphalign_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxphalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxphdlypd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxphdlyreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxphovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxpllclksel_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
rxpmareset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxpolarity_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxprbscntreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxprbssel_in : in STD_LOGIC_VECTOR ( 3 downto 0 );
rxprogdivreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxqpien_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxrate_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
rxratemode_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxslide_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxslipoutclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxslippma_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxsyncallin_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxsyncin_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxsyncmode_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxsysclksel_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
rxtermination_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxuserrdy_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxusrclk2_in : in STD_LOGIC_VECTOR ( 0 to 0 );
sigvalidclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
tstin_in : in STD_LOGIC_VECTOR ( 19 downto 0 );
tx8b10bbypass_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
tx8b10ben_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txbufdiffctrl_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txcominit_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txcomsas_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txcomwake_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txctrl0_in : in STD_LOGIC_VECTOR ( 15 downto 0 );
txctrl1_in : in STD_LOGIC_VECTOR ( 15 downto 0 );
txctrl2_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
txdata_in : in STD_LOGIC_VECTOR ( 127 downto 0 );
txdataextendrsvd_in : in STD_LOGIC_VECTOR ( 7 downto 0 );
txdccforcestart_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdccreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdeemph_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
txdetectrx_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdiffctrl_in : in STD_LOGIC_VECTOR ( 4 downto 0 );
txdiffpd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdlybypass_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdlyen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdlyhold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdlyovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdlysreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txdlyupdown_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txelecidle_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txelforcestart_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txheader_in : in STD_LOGIC_VECTOR ( 5 downto 0 );
txinhibit_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txlatclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txlfpstreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txlfpsu2lpexit_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txlfpsu3wake_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txmaincursor_in : in STD_LOGIC_VECTOR ( 6 downto 0 );
txmargin_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
txmuxdcdexhold_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txmuxdcdorwren_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txoneszeros_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txoutclksel_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
txpcsreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpd_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
txpdelecidlemode_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txphalign_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txphalignen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txphdlypd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txphdlyreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txphdlytstclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txphinit_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txphovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpippmen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpippmovrden_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpippmpd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpippmsel_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpippmstepsize_in : in STD_LOGIC_VECTOR ( 4 downto 0 );
txpisopd_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpllclksel_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
txpmareset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpolarity_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txpostcursor_in : in STD_LOGIC_VECTOR ( 4 downto 0 );
txpostcursorinv_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txprbsforceerr_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txprbssel_in : in STD_LOGIC_VECTOR ( 3 downto 0 );
txprecursor_in : in STD_LOGIC_VECTOR ( 4 downto 0 );
txprecursorinv_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txprogdivreset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txqpibiasen_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txqpistrongpdown_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txqpiweakpup_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txrate_in : in STD_LOGIC_VECTOR ( 2 downto 0 );
txratemode_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txsequence_in : in STD_LOGIC_VECTOR ( 6 downto 0 );
txswing_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txsyncallin_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txsyncin_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txsyncmode_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txsysclksel_in : in STD_LOGIC_VECTOR ( 1 downto 0 );
txuserrdy_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txusrclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txusrclk2_in : in STD_LOGIC_VECTOR ( 0 to 0 );
bufgtce_out : out STD_LOGIC_VECTOR ( 0 to 0 );
bufgtcemask_out : out STD_LOGIC_VECTOR ( 2 downto 0 );
bufgtdiv_out : out STD_LOGIC_VECTOR ( 8 downto 0 );
bufgtreset_out : out STD_LOGIC_VECTOR ( 0 to 0 );
bufgtrstmask_out : out STD_LOGIC_VECTOR ( 2 downto 0 );
cpllfbclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 );
cplllock_out : out STD_LOGIC_VECTOR ( 0 to 0 );
cpllrefclklost_out : out STD_LOGIC_VECTOR ( 0 to 0 );
dmonitorout_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
dmonitoroutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
drpdo_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
drprdy_out : out STD_LOGIC_VECTOR ( 0 to 0 );
eyescandataerror_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gthtxn_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gthtxp_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtpowergood_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtrefclkmonitor_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtytxn_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtytxp_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pcierategen3_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pcierateidle_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pcierateqpllpd_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
pcierateqpllreset_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
pciesynctxsyncdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pcieusergen3rdy_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pcieuserphystatusrst_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pcieuserratestart_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pcsrsvdout_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
phystatus_out : out STD_LOGIC_VECTOR ( 0 to 0 );
pinrsrvdas_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
powerpresent_out : out STD_LOGIC_VECTOR ( 0 to 0 );
resetexception_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxbufstatus_out : out STD_LOGIC_VECTOR ( 2 downto 0 );
rxbyteisaligned_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxbyterealign_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrlock_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxcdrphdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxchanbondseq_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxchanisaligned_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxchanrealign_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxchbondo_out : out STD_LOGIC_VECTOR ( 4 downto 0 );
rxckcaldone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxclkcorcnt_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxcominitdet_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxcommadet_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxcomsasdet_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxcomwakedet_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxctrl0_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
rxctrl1_out : out STD_LOGIC_VECTOR ( 15 downto 0 );
rxctrl2_out : out STD_LOGIC_VECTOR ( 7 downto 0 );
rxctrl3_out : out STD_LOGIC_VECTOR ( 7 downto 0 );
rxdata_out : out STD_LOGIC_VECTOR ( 127 downto 0 );
rxdataextendrsvd_out : out STD_LOGIC_VECTOR ( 7 downto 0 );
rxdatavalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxdlysresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxelecidle_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxheader_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
rxheadervalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxlfpstresetdet_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxlfpsu2lpexitdet_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxlfpsu3wakedet_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxmonitorout_out : out STD_LOGIC_VECTOR ( 7 downto 0 );
rxosintdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxosintstarted_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxosintstrobedone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxosintstrobestarted_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxoutclkfabric_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxoutclkpcs_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxphaligndone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxphalignerr_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxprbserr_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxprbslocked_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxqpisenn_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxqpisenp_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxratedone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxrecclkout_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxsliderdy_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxslipdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxslipoutclkrdy_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxslippmardy_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxstartofseq_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxstatus_out : out STD_LOGIC_VECTOR ( 2 downto 0 );
rxsyncdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxsyncout_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxvalid_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txbufstatus_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
txcomfinish_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txdccdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txdlysresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txoutclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txoutclkfabric_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txoutclkpcs_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txphaligndone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txphinitdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txqpisenn_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txqpisenp_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txratedone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txsyncdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txsyncout_out : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute C_CHANNEL_ENABLE : string;
attribute C_CHANNEL_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
attribute C_COMMON_SCALING_FACTOR : integer;
attribute C_COMMON_SCALING_FACTOR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_CPLL_VCO_FREQUENCY : string;
attribute C_CPLL_VCO_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "2578.125000";
attribute C_ENABLE_COMMON_USRCLK : integer;
attribute C_ENABLE_COMMON_USRCLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_FORCE_COMMONS : integer;
attribute C_FORCE_COMMONS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_FREERUN_FREQUENCY : string;
attribute C_FREERUN_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "125.000000";
attribute C_GT_REV : integer;
attribute C_GT_REV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 67;
attribute C_GT_TYPE : integer;
attribute C_GT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 3;
attribute C_INCLUDE_CPLL_CAL : integer;
attribute C_INCLUDE_CPLL_CAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 2;
attribute C_LOCATE_COMMON : integer;
attribute C_LOCATE_COMMON of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_LOCATE_IN_SYSTEM_IBERT_CORE : integer;
attribute C_LOCATE_IN_SYSTEM_IBERT_CORE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 2;
attribute C_LOCATE_RESET_CONTROLLER : integer;
attribute C_LOCATE_RESET_CONTROLLER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER : integer;
attribute C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_LOCATE_RX_USER_CLOCKING : integer;
attribute C_LOCATE_RX_USER_CLOCKING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER : integer;
attribute C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_LOCATE_TX_USER_CLOCKING : integer;
attribute C_LOCATE_TX_USER_CLOCKING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_LOCATE_USER_DATA_WIDTH_SIZING : integer;
attribute C_LOCATE_USER_DATA_WIDTH_SIZING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_PCIE_CORECLK_FREQ : integer;
attribute C_PCIE_CORECLK_FREQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 250;
attribute C_PCIE_ENABLE : integer;
attribute C_PCIE_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RESET_CONTROLLER_INSTANCE_CTRL : integer;
attribute C_RESET_CONTROLLER_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RESET_SEQUENCE_INTERVAL : integer;
attribute C_RESET_SEQUENCE_INTERVAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_BUFFBYPASS_MODE : integer;
attribute C_RX_BUFFBYPASS_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_BUFFER_BYPASS_INSTANCE_CTRL : integer;
attribute C_RX_BUFFER_BYPASS_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_BUFFER_MODE : integer;
attribute C_RX_BUFFER_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_RX_CB_DISP : string;
attribute C_RX_CB_DISP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "8'b00000000";
attribute C_RX_CB_K : string;
attribute C_RX_CB_K of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "8'b00000000";
attribute C_RX_CB_LEN_SEQ : integer;
attribute C_RX_CB_LEN_SEQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_RX_CB_MAX_LEVEL : integer;
attribute C_RX_CB_MAX_LEVEL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_RX_CB_NUM_SEQ : integer;
attribute C_RX_CB_NUM_SEQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_CB_VAL : string;
attribute C_RX_CB_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000";
attribute C_RX_CC_DISP : string;
attribute C_RX_CC_DISP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "8'b00000000";
attribute C_RX_CC_ENABLE : integer;
attribute C_RX_CC_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_CC_K : string;
attribute C_RX_CC_K of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "8'b00000000";
attribute C_RX_CC_LEN_SEQ : integer;
attribute C_RX_CC_LEN_SEQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_RX_CC_NUM_SEQ : integer;
attribute C_RX_CC_NUM_SEQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_CC_PERIODICITY : integer;
attribute C_RX_CC_PERIODICITY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 5000;
attribute C_RX_CC_VAL : string;
attribute C_RX_CC_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000";
attribute C_RX_COMMA_M_ENABLE : integer;
attribute C_RX_COMMA_M_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_COMMA_M_VAL : string;
attribute C_RX_COMMA_M_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "10'b1010000011";
attribute C_RX_COMMA_P_ENABLE : integer;
attribute C_RX_COMMA_P_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_COMMA_P_VAL : string;
attribute C_RX_COMMA_P_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "10'b0101111100";
attribute C_RX_DATA_DECODING : integer;
attribute C_RX_DATA_DECODING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 4;
attribute C_RX_ENABLE : integer;
attribute C_RX_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_RX_INT_DATA_WIDTH : integer;
attribute C_RX_INT_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 64;
attribute C_RX_LINE_RATE : string;
attribute C_RX_LINE_RATE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "25.781250";
attribute C_RX_MASTER_CHANNEL_IDX : integer;
attribute C_RX_MASTER_CHANNEL_IDX of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 4;
attribute C_RX_OUTCLK_BUFG_GT_DIV : integer;
attribute C_RX_OUTCLK_BUFG_GT_DIV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_RX_OUTCLK_FREQUENCY : string;
attribute C_RX_OUTCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "390.625000";
attribute C_RX_OUTCLK_SOURCE : integer;
attribute C_RX_OUTCLK_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 4;
attribute C_RX_PLL_TYPE : integer;
attribute C_RX_PLL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_RECCLK_OUTPUT : string;
attribute C_RX_RECCLK_OUTPUT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
attribute C_RX_REFCLK_FREQUENCY : string;
attribute C_RX_REFCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "156.250000";
attribute C_RX_SLIDE_MODE : integer;
attribute C_RX_SLIDE_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_USER_CLOCKING_CONTENTS : integer;
attribute C_RX_USER_CLOCKING_CONTENTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_USER_CLOCKING_INSTANCE_CTRL : integer;
attribute C_RX_USER_CLOCKING_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK : integer;
attribute C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 : integer;
attribute C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_RX_USER_CLOCKING_SOURCE : integer;
attribute C_RX_USER_CLOCKING_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_RX_USER_DATA_WIDTH : integer;
attribute C_RX_USER_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 64;
attribute C_RX_USRCLK2_FREQUENCY : string;
attribute C_RX_USRCLK2_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "390.625000";
attribute C_RX_USRCLK_FREQUENCY : string;
attribute C_RX_USRCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "390.625000";
attribute C_SECONDARY_QPLL_ENABLE : integer;
attribute C_SECONDARY_QPLL_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_SECONDARY_QPLL_REFCLK_FREQUENCY : string;
attribute C_SECONDARY_QPLL_REFCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "257.812500";
attribute C_SIM_CPLL_CAL_BYPASS : integer;
attribute C_SIM_CPLL_CAL_BYPASS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_TOTAL_NUM_CHANNELS : integer;
attribute C_TOTAL_NUM_CHANNELS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_TOTAL_NUM_COMMONS : integer;
attribute C_TOTAL_NUM_COMMONS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TOTAL_NUM_COMMONS_EXAMPLE : integer;
attribute C_TOTAL_NUM_COMMONS_EXAMPLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_TXPROGDIV_FREQ_ENABLE : integer;
attribute C_TXPROGDIV_FREQ_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TXPROGDIV_FREQ_SOURCE : integer;
attribute C_TXPROGDIV_FREQ_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TXPROGDIV_FREQ_VAL : string;
attribute C_TXPROGDIV_FREQ_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "390.625000";
attribute C_TX_BUFFBYPASS_MODE : integer;
attribute C_TX_BUFFBYPASS_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TX_BUFFER_BYPASS_INSTANCE_CTRL : integer;
attribute C_TX_BUFFER_BYPASS_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TX_BUFFER_MODE : integer;
attribute C_TX_BUFFER_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_TX_DATA_ENCODING : integer;
attribute C_TX_DATA_ENCODING of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 4;
attribute C_TX_ENABLE : integer;
attribute C_TX_ENABLE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_TX_INT_DATA_WIDTH : integer;
attribute C_TX_INT_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 64;
attribute C_TX_LINE_RATE : string;
attribute C_TX_LINE_RATE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "25.781250";
attribute C_TX_MASTER_CHANNEL_IDX : integer;
attribute C_TX_MASTER_CHANNEL_IDX of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 4;
attribute C_TX_OUTCLK_BUFG_GT_DIV : integer;
attribute C_TX_OUTCLK_BUFG_GT_DIV of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_TX_OUTCLK_FREQUENCY : string;
attribute C_TX_OUTCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "390.625000";
attribute C_TX_OUTCLK_SOURCE : integer;
attribute C_TX_OUTCLK_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 4;
attribute C_TX_PLL_TYPE : integer;
attribute C_TX_PLL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TX_REFCLK_FREQUENCY : string;
attribute C_TX_REFCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "156.250000";
attribute C_TX_USER_CLOCKING_CONTENTS : integer;
attribute C_TX_USER_CLOCKING_CONTENTS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TX_USER_CLOCKING_INSTANCE_CTRL : integer;
attribute C_TX_USER_CLOCKING_INSTANCE_CTRL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK : integer;
attribute C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 : integer;
attribute C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
attribute C_TX_USER_CLOCKING_SOURCE : integer;
attribute C_TX_USER_CLOCKING_SOURCE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 0;
attribute C_TX_USER_DATA_WIDTH : integer;
attribute C_TX_USER_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 64;
attribute C_TX_USRCLK2_FREQUENCY : string;
attribute C_TX_USRCLK2_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "390.625000";
attribute C_TX_USRCLK_FREQUENCY : string;
attribute C_TX_USRCLK_FREQUENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is "390.625000";
attribute C_USER_GTPOWERGOOD_DELAY_EN : integer;
attribute C_USER_GTPOWERGOOD_DELAY_EN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top : entity is 1;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top is
signal \<const0>\ : STD_LOGIC;
signal \^gtwiz_userclk_rx_usrclk2_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^gtwiz_userclk_tx_usrclk2_out\ : STD_LOGIC_VECTOR ( 0 to 0 );
begin
bufgtce_out(0) <= \<const0>\;
bufgtcemask_out(2) <= \<const0>\;
bufgtcemask_out(1) <= \<const0>\;
bufgtcemask_out(0) <= \<const0>\;
bufgtdiv_out(8) <= \<const0>\;
bufgtdiv_out(7) <= \<const0>\;
bufgtdiv_out(6) <= \<const0>\;
bufgtdiv_out(5) <= \<const0>\;
bufgtdiv_out(4) <= \<const0>\;
bufgtdiv_out(3) <= \<const0>\;
bufgtdiv_out(2) <= \<const0>\;
bufgtdiv_out(1) <= \<const0>\;
bufgtdiv_out(0) <= \<const0>\;
bufgtreset_out(0) <= \<const0>\;
bufgtrstmask_out(2) <= \<const0>\;
bufgtrstmask_out(1) <= \<const0>\;
bufgtrstmask_out(0) <= \<const0>\;
cpllfbclklost_out(0) <= \<const0>\;
cplllock_out(0) <= \<const0>\;
cpllrefclklost_out(0) <= \<const0>\;
dmonitorout_out(15) <= \<const0>\;
dmonitorout_out(14) <= \<const0>\;
dmonitorout_out(13) <= \<const0>\;
dmonitorout_out(12) <= \<const0>\;
dmonitorout_out(11) <= \<const0>\;
dmonitorout_out(10) <= \<const0>\;
dmonitorout_out(9) <= \<const0>\;
dmonitorout_out(8) <= \<const0>\;
dmonitorout_out(7) <= \<const0>\;
dmonitorout_out(6) <= \<const0>\;
dmonitorout_out(5) <= \<const0>\;
dmonitorout_out(4) <= \<const0>\;
dmonitorout_out(3) <= \<const0>\;
dmonitorout_out(2) <= \<const0>\;
dmonitorout_out(1) <= \<const0>\;
dmonitorout_out(0) <= \<const0>\;
dmonitoroutclk_out(0) <= \<const0>\;
drpdo_common_out(15) <= \<const0>\;
drpdo_common_out(14) <= \<const0>\;
drpdo_common_out(13) <= \<const0>\;
drpdo_common_out(12) <= \<const0>\;
drpdo_common_out(11) <= \<const0>\;
drpdo_common_out(10) <= \<const0>\;
drpdo_common_out(9) <= \<const0>\;
drpdo_common_out(8) <= \<const0>\;
drpdo_common_out(7) <= \<const0>\;
drpdo_common_out(6) <= \<const0>\;
drpdo_common_out(5) <= \<const0>\;
drpdo_common_out(4) <= \<const0>\;
drpdo_common_out(3) <= \<const0>\;
drpdo_common_out(2) <= \<const0>\;
drpdo_common_out(1) <= \<const0>\;
drpdo_common_out(0) <= \<const0>\;
drpdo_out(15) <= \<const0>\;
drpdo_out(14) <= \<const0>\;
drpdo_out(13) <= \<const0>\;
drpdo_out(12) <= \<const0>\;
drpdo_out(11) <= \<const0>\;
drpdo_out(10) <= \<const0>\;
drpdo_out(9) <= \<const0>\;
drpdo_out(8) <= \<const0>\;
drpdo_out(7) <= \<const0>\;
drpdo_out(6) <= \<const0>\;
drpdo_out(5) <= \<const0>\;
drpdo_out(4) <= \<const0>\;
drpdo_out(3) <= \<const0>\;
drpdo_out(2) <= \<const0>\;
drpdo_out(1) <= \<const0>\;
drpdo_out(0) <= \<const0>\;
drprdy_common_out(0) <= \<const0>\;
drprdy_out(0) <= \<const0>\;
eyescandataerror_out(0) <= \<const0>\;
gthtxn_out(0) <= \<const0>\;
gthtxp_out(0) <= \<const0>\;
gtrefclkmonitor_out(0) <= \<const0>\;
gtwiz_buffbypass_rx_done_out(0) <= \<const0>\;
gtwiz_buffbypass_rx_error_out(0) <= \<const0>\;
gtwiz_buffbypass_tx_done_out(0) <= \<const0>\;
gtwiz_buffbypass_tx_error_out(0) <= \<const0>\;
gtwiz_reset_qpll1reset_out(0) <= \<const0>\;
gtwiz_userclk_rx_usrclk2_out(0) <= \^gtwiz_userclk_rx_usrclk2_out\(0);
gtwiz_userclk_rx_usrclk_out(0) <= \^gtwiz_userclk_rx_usrclk2_out\(0);
gtwiz_userclk_tx_usrclk2_out(0) <= \^gtwiz_userclk_tx_usrclk2_out\(0);
gtwiz_userclk_tx_usrclk_out(0) <= \^gtwiz_userclk_tx_usrclk2_out\(0);
pcierategen3_out(0) <= \<const0>\;
pcierateidle_out(0) <= \<const0>\;
pcierateqpllpd_out(1) <= \<const0>\;
pcierateqpllpd_out(0) <= \<const0>\;
pcierateqpllreset_out(1) <= \<const0>\;
pcierateqpllreset_out(0) <= \<const0>\;
pciesynctxsyncdone_out(0) <= \<const0>\;
pcieusergen3rdy_out(0) <= \<const0>\;
pcieuserphystatusrst_out(0) <= \<const0>\;
pcieuserratestart_out(0) <= \<const0>\;
pcsrsvdout_out(15) <= \<const0>\;
pcsrsvdout_out(14) <= \<const0>\;
pcsrsvdout_out(13) <= \<const0>\;
pcsrsvdout_out(12) <= \<const0>\;
pcsrsvdout_out(11) <= \<const0>\;
pcsrsvdout_out(10) <= \<const0>\;
pcsrsvdout_out(9) <= \<const0>\;
pcsrsvdout_out(8) <= \<const0>\;
pcsrsvdout_out(7) <= \<const0>\;
pcsrsvdout_out(6) <= \<const0>\;
pcsrsvdout_out(5) <= \<const0>\;
pcsrsvdout_out(4) <= \<const0>\;
pcsrsvdout_out(3) <= \<const0>\;
pcsrsvdout_out(2) <= \<const0>\;
pcsrsvdout_out(1) <= \<const0>\;
pcsrsvdout_out(0) <= \<const0>\;
phystatus_out(0) <= \<const0>\;
pinrsrvdas_out(15) <= \<const0>\;
pinrsrvdas_out(14) <= \<const0>\;
pinrsrvdas_out(13) <= \<const0>\;
pinrsrvdas_out(12) <= \<const0>\;
pinrsrvdas_out(11) <= \<const0>\;
pinrsrvdas_out(10) <= \<const0>\;
pinrsrvdas_out(9) <= \<const0>\;
pinrsrvdas_out(8) <= \<const0>\;
pinrsrvdas_out(7) <= \<const0>\;
pinrsrvdas_out(6) <= \<const0>\;
pinrsrvdas_out(5) <= \<const0>\;
pinrsrvdas_out(4) <= \<const0>\;
pinrsrvdas_out(3) <= \<const0>\;
pinrsrvdas_out(2) <= \<const0>\;
pinrsrvdas_out(1) <= \<const0>\;
pinrsrvdas_out(0) <= \<const0>\;
pmarsvdout0_out(7) <= \<const0>\;
pmarsvdout0_out(6) <= \<const0>\;
pmarsvdout0_out(5) <= \<const0>\;
pmarsvdout0_out(4) <= \<const0>\;
pmarsvdout0_out(3) <= \<const0>\;
pmarsvdout0_out(2) <= \<const0>\;
pmarsvdout0_out(1) <= \<const0>\;
pmarsvdout0_out(0) <= \<const0>\;
pmarsvdout1_out(7) <= \<const0>\;
pmarsvdout1_out(6) <= \<const0>\;
pmarsvdout1_out(5) <= \<const0>\;
pmarsvdout1_out(4) <= \<const0>\;
pmarsvdout1_out(3) <= \<const0>\;
pmarsvdout1_out(2) <= \<const0>\;
pmarsvdout1_out(1) <= \<const0>\;
pmarsvdout1_out(0) <= \<const0>\;
powerpresent_out(0) <= \<const0>\;
qpll0fbclklost_out(0) <= \<const0>\;
qpll0lock_out(0) <= \<const0>\;
qpll0outclk_out(0) <= \<const0>\;
qpll0outrefclk_out(0) <= \<const0>\;
qpll0refclklost_out(0) <= \<const0>\;
qpll1fbclklost_out(0) <= \<const0>\;
qpll1lock_out(0) <= \<const0>\;
qpll1outclk_out(0) <= \<const0>\;
qpll1outrefclk_out(0) <= \<const0>\;
qpll1refclklost_out(0) <= \<const0>\;
qplldmonitor0_out(7) <= \<const0>\;
qplldmonitor0_out(6) <= \<const0>\;
qplldmonitor0_out(5) <= \<const0>\;
qplldmonitor0_out(4) <= \<const0>\;
qplldmonitor0_out(3) <= \<const0>\;
qplldmonitor0_out(2) <= \<const0>\;
qplldmonitor0_out(1) <= \<const0>\;
qplldmonitor0_out(0) <= \<const0>\;
qplldmonitor1_out(7) <= \<const0>\;
qplldmonitor1_out(6) <= \<const0>\;
qplldmonitor1_out(5) <= \<const0>\;
qplldmonitor1_out(4) <= \<const0>\;
qplldmonitor1_out(3) <= \<const0>\;
qplldmonitor1_out(2) <= \<const0>\;
qplldmonitor1_out(1) <= \<const0>\;
qplldmonitor1_out(0) <= \<const0>\;
refclkoutmonitor0_out(0) <= \<const0>\;
refclkoutmonitor1_out(0) <= \<const0>\;
resetexception_out(0) <= \<const0>\;
rxbufstatus_out(2) <= \<const0>\;
rxbufstatus_out(1) <= \<const0>\;
rxbufstatus_out(0) <= \<const0>\;
rxbyteisaligned_out(0) <= \<const0>\;
rxbyterealign_out(0) <= \<const0>\;
rxcdrlock_out(0) <= \<const0>\;
rxcdrphdone_out(0) <= \<const0>\;
rxchanbondseq_out(0) <= \<const0>\;
rxchanisaligned_out(0) <= \<const0>\;
rxchanrealign_out(0) <= \<const0>\;
rxchbondo_out(4) <= \<const0>\;
rxchbondo_out(3) <= \<const0>\;
rxchbondo_out(2) <= \<const0>\;
rxchbondo_out(1) <= \<const0>\;
rxchbondo_out(0) <= \<const0>\;
rxckcaldone_out(0) <= \<const0>\;
rxclkcorcnt_out(1) <= \<const0>\;
rxclkcorcnt_out(0) <= \<const0>\;
rxcominitdet_out(0) <= \<const0>\;
rxcommadet_out(0) <= \<const0>\;
rxcomsasdet_out(0) <= \<const0>\;
rxcomwakedet_out(0) <= \<const0>\;
rxctrl0_out(15) <= \<const0>\;
rxctrl0_out(14) <= \<const0>\;
rxctrl0_out(13) <= \<const0>\;
rxctrl0_out(12) <= \<const0>\;
rxctrl0_out(11) <= \<const0>\;
rxctrl0_out(10) <= \<const0>\;
rxctrl0_out(9) <= \<const0>\;
rxctrl0_out(8) <= \<const0>\;
rxctrl0_out(7) <= \<const0>\;
rxctrl0_out(6) <= \<const0>\;
rxctrl0_out(5) <= \<const0>\;
rxctrl0_out(4) <= \<const0>\;
rxctrl0_out(3) <= \<const0>\;
rxctrl0_out(2) <= \<const0>\;
rxctrl0_out(1) <= \<const0>\;
rxctrl0_out(0) <= \<const0>\;
rxctrl1_out(15) <= \<const0>\;
rxctrl1_out(14) <= \<const0>\;
rxctrl1_out(13) <= \<const0>\;
rxctrl1_out(12) <= \<const0>\;
rxctrl1_out(11) <= \<const0>\;
rxctrl1_out(10) <= \<const0>\;
rxctrl1_out(9) <= \<const0>\;
rxctrl1_out(8) <= \<const0>\;
rxctrl1_out(7) <= \<const0>\;
rxctrl1_out(6) <= \<const0>\;
rxctrl1_out(5) <= \<const0>\;
rxctrl1_out(4) <= \<const0>\;
rxctrl1_out(3) <= \<const0>\;
rxctrl1_out(2) <= \<const0>\;
rxctrl1_out(1) <= \<const0>\;
rxctrl1_out(0) <= \<const0>\;
rxctrl2_out(7) <= \<const0>\;
rxctrl2_out(6) <= \<const0>\;
rxctrl2_out(5) <= \<const0>\;
rxctrl2_out(4) <= \<const0>\;
rxctrl2_out(3) <= \<const0>\;
rxctrl2_out(2) <= \<const0>\;
rxctrl2_out(1) <= \<const0>\;
rxctrl2_out(0) <= \<const0>\;
rxctrl3_out(7) <= \<const0>\;
rxctrl3_out(6) <= \<const0>\;
rxctrl3_out(5) <= \<const0>\;
rxctrl3_out(4) <= \<const0>\;
rxctrl3_out(3) <= \<const0>\;
rxctrl3_out(2) <= \<const0>\;
rxctrl3_out(1) <= \<const0>\;
rxctrl3_out(0) <= \<const0>\;
rxdata_out(127) <= \<const0>\;
rxdata_out(126) <= \<const0>\;
rxdata_out(125) <= \<const0>\;
rxdata_out(124) <= \<const0>\;
rxdata_out(123) <= \<const0>\;
rxdata_out(122) <= \<const0>\;
rxdata_out(121) <= \<const0>\;
rxdata_out(120) <= \<const0>\;
rxdata_out(119) <= \<const0>\;
rxdata_out(118) <= \<const0>\;
rxdata_out(117) <= \<const0>\;
rxdata_out(116) <= \<const0>\;
rxdata_out(115) <= \<const0>\;
rxdata_out(114) <= \<const0>\;
rxdata_out(113) <= \<const0>\;
rxdata_out(112) <= \<const0>\;
rxdata_out(111) <= \<const0>\;
rxdata_out(110) <= \<const0>\;
rxdata_out(109) <= \<const0>\;
rxdata_out(108) <= \<const0>\;
rxdata_out(107) <= \<const0>\;
rxdata_out(106) <= \<const0>\;
rxdata_out(105) <= \<const0>\;
rxdata_out(104) <= \<const0>\;
rxdata_out(103) <= \<const0>\;
rxdata_out(102) <= \<const0>\;
rxdata_out(101) <= \<const0>\;
rxdata_out(100) <= \<const0>\;
rxdata_out(99) <= \<const0>\;
rxdata_out(98) <= \<const0>\;
rxdata_out(97) <= \<const0>\;
rxdata_out(96) <= \<const0>\;
rxdata_out(95) <= \<const0>\;
rxdata_out(94) <= \<const0>\;
rxdata_out(93) <= \<const0>\;
rxdata_out(92) <= \<const0>\;
rxdata_out(91) <= \<const0>\;
rxdata_out(90) <= \<const0>\;
rxdata_out(89) <= \<const0>\;
rxdata_out(88) <= \<const0>\;
rxdata_out(87) <= \<const0>\;
rxdata_out(86) <= \<const0>\;
rxdata_out(85) <= \<const0>\;
rxdata_out(84) <= \<const0>\;
rxdata_out(83) <= \<const0>\;
rxdata_out(82) <= \<const0>\;
rxdata_out(81) <= \<const0>\;
rxdata_out(80) <= \<const0>\;
rxdata_out(79) <= \<const0>\;
rxdata_out(78) <= \<const0>\;
rxdata_out(77) <= \<const0>\;
rxdata_out(76) <= \<const0>\;
rxdata_out(75) <= \<const0>\;
rxdata_out(74) <= \<const0>\;
rxdata_out(73) <= \<const0>\;
rxdata_out(72) <= \<const0>\;
rxdata_out(71) <= \<const0>\;
rxdata_out(70) <= \<const0>\;
rxdata_out(69) <= \<const0>\;
rxdata_out(68) <= \<const0>\;
rxdata_out(67) <= \<const0>\;
rxdata_out(66) <= \<const0>\;
rxdata_out(65) <= \<const0>\;
rxdata_out(64) <= \<const0>\;
rxdata_out(63) <= \<const0>\;
rxdata_out(62) <= \<const0>\;
rxdata_out(61) <= \<const0>\;
rxdata_out(60) <= \<const0>\;
rxdata_out(59) <= \<const0>\;
rxdata_out(58) <= \<const0>\;
rxdata_out(57) <= \<const0>\;
rxdata_out(56) <= \<const0>\;
rxdata_out(55) <= \<const0>\;
rxdata_out(54) <= \<const0>\;
rxdata_out(53) <= \<const0>\;
rxdata_out(52) <= \<const0>\;
rxdata_out(51) <= \<const0>\;
rxdata_out(50) <= \<const0>\;
rxdata_out(49) <= \<const0>\;
rxdata_out(48) <= \<const0>\;
rxdata_out(47) <= \<const0>\;
rxdata_out(46) <= \<const0>\;
rxdata_out(45) <= \<const0>\;
rxdata_out(44) <= \<const0>\;
rxdata_out(43) <= \<const0>\;
rxdata_out(42) <= \<const0>\;
rxdata_out(41) <= \<const0>\;
rxdata_out(40) <= \<const0>\;
rxdata_out(39) <= \<const0>\;
rxdata_out(38) <= \<const0>\;
rxdata_out(37) <= \<const0>\;
rxdata_out(36) <= \<const0>\;
rxdata_out(35) <= \<const0>\;
rxdata_out(34) <= \<const0>\;
rxdata_out(33) <= \<const0>\;
rxdata_out(32) <= \<const0>\;
rxdata_out(31) <= \<const0>\;
rxdata_out(30) <= \<const0>\;
rxdata_out(29) <= \<const0>\;
rxdata_out(28) <= \<const0>\;
rxdata_out(27) <= \<const0>\;
rxdata_out(26) <= \<const0>\;
rxdata_out(25) <= \<const0>\;
rxdata_out(24) <= \<const0>\;
rxdata_out(23) <= \<const0>\;
rxdata_out(22) <= \<const0>\;
rxdata_out(21) <= \<const0>\;
rxdata_out(20) <= \<const0>\;
rxdata_out(19) <= \<const0>\;
rxdata_out(18) <= \<const0>\;
rxdata_out(17) <= \<const0>\;
rxdata_out(16) <= \<const0>\;
rxdata_out(15) <= \<const0>\;
rxdata_out(14) <= \<const0>\;
rxdata_out(13) <= \<const0>\;
rxdata_out(12) <= \<const0>\;
rxdata_out(11) <= \<const0>\;
rxdata_out(10) <= \<const0>\;
rxdata_out(9) <= \<const0>\;
rxdata_out(8) <= \<const0>\;
rxdata_out(7) <= \<const0>\;
rxdata_out(6) <= \<const0>\;
rxdata_out(5) <= \<const0>\;
rxdata_out(4) <= \<const0>\;
rxdata_out(3) <= \<const0>\;
rxdata_out(2) <= \<const0>\;
rxdata_out(1) <= \<const0>\;
rxdata_out(0) <= \<const0>\;
rxdataextendrsvd_out(7) <= \<const0>\;
rxdataextendrsvd_out(6) <= \<const0>\;
rxdataextendrsvd_out(5) <= \<const0>\;
rxdataextendrsvd_out(4) <= \<const0>\;
rxdataextendrsvd_out(3) <= \<const0>\;
rxdataextendrsvd_out(2) <= \<const0>\;
rxdataextendrsvd_out(1) <= \<const0>\;
rxdataextendrsvd_out(0) <= \<const0>\;
rxdlysresetdone_out(0) <= \<const0>\;
rxelecidle_out(0) <= \<const0>\;
rxlfpstresetdet_out(0) <= \<const0>\;
rxlfpsu2lpexitdet_out(0) <= \<const0>\;
rxlfpsu3wakedet_out(0) <= \<const0>\;
rxmonitorout_out(7) <= \<const0>\;
rxmonitorout_out(6) <= \<const0>\;
rxmonitorout_out(5) <= \<const0>\;
rxmonitorout_out(4) <= \<const0>\;
rxmonitorout_out(3) <= \<const0>\;
rxmonitorout_out(2) <= \<const0>\;
rxmonitorout_out(1) <= \<const0>\;
rxmonitorout_out(0) <= \<const0>\;
rxosintdone_out(0) <= \<const0>\;
rxosintstarted_out(0) <= \<const0>\;
rxosintstrobedone_out(0) <= \<const0>\;
rxosintstrobestarted_out(0) <= \<const0>\;
rxoutclk_out(0) <= \<const0>\;
rxoutclkfabric_out(0) <= \<const0>\;
rxoutclkpcs_out(0) <= \<const0>\;
rxphaligndone_out(0) <= \<const0>\;
rxphalignerr_out(0) <= \<const0>\;
rxprbserr_out(0) <= \<const0>\;
rxprbslocked_out(0) <= \<const0>\;
rxqpisenn_out(0) <= \<const0>\;
rxqpisenp_out(0) <= \<const0>\;
rxratedone_out(0) <= \<const0>\;
rxrecclk0_sel_out(0) <= \<const0>\;
rxrecclk0sel_out(1) <= \<const0>\;
rxrecclk0sel_out(0) <= \<const0>\;
rxrecclk1_sel_out(0) <= \<const0>\;
rxrecclk1sel_out(1) <= \<const0>\;
rxrecclk1sel_out(0) <= \<const0>\;
rxrecclkout_out(0) <= \<const0>\;
rxresetdone_out(0) <= \<const0>\;
rxsliderdy_out(0) <= \<const0>\;
rxslipdone_out(0) <= \<const0>\;
rxslipoutclkrdy_out(0) <= \<const0>\;
rxslippmardy_out(0) <= \<const0>\;
rxstatus_out(2) <= \<const0>\;
rxstatus_out(1) <= \<const0>\;
rxstatus_out(0) <= \<const0>\;
rxsyncdone_out(0) <= \<const0>\;
rxsyncout_out(0) <= \<const0>\;
rxvalid_out(0) <= \<const0>\;
sdm0finalout_out(3) <= \<const0>\;
sdm0finalout_out(2) <= \<const0>\;
sdm0finalout_out(1) <= \<const0>\;
sdm0finalout_out(0) <= \<const0>\;
sdm0testdata_out(14) <= \<const0>\;
sdm0testdata_out(13) <= \<const0>\;
sdm0testdata_out(12) <= \<const0>\;
sdm0testdata_out(11) <= \<const0>\;
sdm0testdata_out(10) <= \<const0>\;
sdm0testdata_out(9) <= \<const0>\;
sdm0testdata_out(8) <= \<const0>\;
sdm0testdata_out(7) <= \<const0>\;
sdm0testdata_out(6) <= \<const0>\;
sdm0testdata_out(5) <= \<const0>\;
sdm0testdata_out(4) <= \<const0>\;
sdm0testdata_out(3) <= \<const0>\;
sdm0testdata_out(2) <= \<const0>\;
sdm0testdata_out(1) <= \<const0>\;
sdm0testdata_out(0) <= \<const0>\;
sdm1finalout_out(3) <= \<const0>\;
sdm1finalout_out(2) <= \<const0>\;
sdm1finalout_out(1) <= \<const0>\;
sdm1finalout_out(0) <= \<const0>\;
sdm1testdata_out(14) <= \<const0>\;
sdm1testdata_out(13) <= \<const0>\;
sdm1testdata_out(12) <= \<const0>\;
sdm1testdata_out(11) <= \<const0>\;
sdm1testdata_out(10) <= \<const0>\;
sdm1testdata_out(9) <= \<const0>\;
sdm1testdata_out(8) <= \<const0>\;
sdm1testdata_out(7) <= \<const0>\;
sdm1testdata_out(6) <= \<const0>\;
sdm1testdata_out(5) <= \<const0>\;
sdm1testdata_out(4) <= \<const0>\;
sdm1testdata_out(3) <= \<const0>\;
sdm1testdata_out(2) <= \<const0>\;
sdm1testdata_out(1) <= \<const0>\;
sdm1testdata_out(0) <= \<const0>\;
tcongpo_out(0) <= \<const0>\;
tconrsvdout0_out(0) <= \<const0>\;
txbufstatus_out(1) <= \<const0>\;
txbufstatus_out(0) <= \<const0>\;
txcomfinish_out(0) <= \<const0>\;
txdccdone_out(0) <= \<const0>\;
txdlysresetdone_out(0) <= \<const0>\;
txoutclk_out(0) <= \<const0>\;
txoutclkfabric_out(0) <= \<const0>\;
txoutclkpcs_out(0) <= \<const0>\;
txphaligndone_out(0) <= \<const0>\;
txphinitdone_out(0) <= \<const0>\;
txqpisenn_out(0) <= \<const0>\;
txqpisenp_out(0) <= \<const0>\;
txratedone_out(0) <= \<const0>\;
txresetdone_out(0) <= \<const0>\;
txsyncdone_out(0) <= \<const0>\;
txsyncout_out(0) <= \<const0>\;
ubdaddr_out(15) <= \<const0>\;
ubdaddr_out(14) <= \<const0>\;
ubdaddr_out(13) <= \<const0>\;
ubdaddr_out(12) <= \<const0>\;
ubdaddr_out(11) <= \<const0>\;
ubdaddr_out(10) <= \<const0>\;
ubdaddr_out(9) <= \<const0>\;
ubdaddr_out(8) <= \<const0>\;
ubdaddr_out(7) <= \<const0>\;
ubdaddr_out(6) <= \<const0>\;
ubdaddr_out(5) <= \<const0>\;
ubdaddr_out(4) <= \<const0>\;
ubdaddr_out(3) <= \<const0>\;
ubdaddr_out(2) <= \<const0>\;
ubdaddr_out(1) <= \<const0>\;
ubdaddr_out(0) <= \<const0>\;
ubden_out(0) <= \<const0>\;
ubdi_out(15) <= \<const0>\;
ubdi_out(14) <= \<const0>\;
ubdi_out(13) <= \<const0>\;
ubdi_out(12) <= \<const0>\;
ubdi_out(11) <= \<const0>\;
ubdi_out(10) <= \<const0>\;
ubdi_out(9) <= \<const0>\;
ubdi_out(8) <= \<const0>\;
ubdi_out(7) <= \<const0>\;
ubdi_out(6) <= \<const0>\;
ubdi_out(5) <= \<const0>\;
ubdi_out(4) <= \<const0>\;
ubdi_out(3) <= \<const0>\;
ubdi_out(2) <= \<const0>\;
ubdi_out(1) <= \<const0>\;
ubdi_out(0) <= \<const0>\;
ubdwe_out(0) <= \<const0>\;
ubmdmtdo_out(0) <= \<const0>\;
ubrsvdout_out(0) <= \<const0>\;
ubtxuart_out(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\gen_gtwizard_gtye4_top.eth_xcvr_gt_channel_gtwizard_gtye4_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_gtye4
port map (
gtpowergood_out(0) => gtpowergood_out(0),
gtwiz_reset_all_in(0) => gtwiz_reset_all_in(0),
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_qpll0lock_in(0) => gtwiz_reset_qpll0lock_in(0),
gtwiz_reset_qpll0reset_out(0) => gtwiz_reset_qpll0reset_out(0),
gtwiz_reset_rx_cdr_stable_out(0) => gtwiz_reset_rx_cdr_stable_out(0),
gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0),
gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out(0),
gtwiz_reset_rx_pll_and_datapath_in(0) => gtwiz_reset_rx_pll_and_datapath_in(0),
gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0),
gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out(0),
gtwiz_reset_tx_pll_and_datapath_in(0) => gtwiz_reset_tx_pll_and_datapath_in(0),
gtwiz_userclk_rx_active_out(0) => gtwiz_userclk_rx_active_out(0),
gtwiz_userclk_rx_reset_in(0) => gtwiz_userclk_rx_reset_in(0),
gtwiz_userclk_rx_srcclk_out(0) => gtwiz_userclk_rx_srcclk_out(0),
gtwiz_userclk_rx_usrclk2_out(0) => \^gtwiz_userclk_rx_usrclk2_out\(0),
gtwiz_userclk_tx_active_out(0) => gtwiz_userclk_tx_active_out(0),
gtwiz_userclk_tx_reset_in(0) => gtwiz_userclk_tx_reset_in(0),
gtwiz_userclk_tx_srcclk_out(0) => gtwiz_userclk_tx_srcclk_out(0),
gtwiz_userclk_tx_usrclk2_out(0) => \^gtwiz_userclk_tx_usrclk2_out\(0),
gtwiz_userdata_rx_out(63 downto 0) => gtwiz_userdata_rx_out(63 downto 0),
gtwiz_userdata_tx_in(63 downto 0) => gtwiz_userdata_tx_in(63 downto 0),
gtyrxn_in(0) => gtyrxn_in(0),
gtyrxp_in(0) => gtyrxp_in(0),
gtytxn_out(0) => gtytxn_out(0),
gtytxp_out(0) => gtytxp_out(0),
qpll0clk_in(0) => qpll0clk_in(0),
qpll0refclk_in(0) => qpll0refclk_in(0),
qpll1clk_in(0) => qpll1clk_in(0),
qpll1refclk_in(0) => qpll1refclk_in(0),
rxdatavalid_out(1 downto 0) => rxdatavalid_out(1 downto 0),
rxgearboxslip_in(0) => rxgearboxslip_in(0),
rxheader_out(5 downto 0) => rxheader_out(5 downto 0),
rxheadervalid_out(1 downto 0) => rxheadervalid_out(1 downto 0),
rxpmaresetdone_out(0) => rxpmaresetdone_out(0),
rxprgdivresetdone_out(0) => rxprgdivresetdone_out(0),
rxstartofseq_out(1 downto 0) => rxstartofseq_out(1 downto 0),
txheader_in(5 downto 0) => txheader_in(5 downto 0),
txpmaresetdone_out(0) => txpmaresetdone_out(0),
txprgdivresetdone_out(0) => txprgdivresetdone_out(0),
txsequence_in(6 downto 0) => txsequence_in(6 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
gtwiz_userclk_tx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_usrclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_tx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_reset_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_srcclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_usrclk_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_usrclk2_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userclk_rx_active_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_clk_freerun_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_all_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_pll_and_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_datapath_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll0lock_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_cdr_stable_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_tx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_rx_done_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_reset_qpll0reset_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtwiz_userdata_tx_in : in STD_LOGIC_VECTOR ( 63 downto 0 );
gtwiz_userdata_rx_out : out STD_LOGIC_VECTOR ( 63 downto 0 );
gtyrxn_in : in STD_LOGIC_VECTOR ( 0 to 0 );
gtyrxp_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll0refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1clk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
qpll1refclk_in : in STD_LOGIC_VECTOR ( 0 to 0 );
rxgearboxslip_in : in STD_LOGIC_VECTOR ( 0 to 0 );
txheader_in : in STD_LOGIC_VECTOR ( 5 downto 0 );
txsequence_in : in STD_LOGIC_VECTOR ( 6 downto 0 );
gtpowergood_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtytxn_out : out STD_LOGIC_VECTOR ( 0 to 0 );
gtytxp_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxdatavalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxheader_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
rxheadervalid_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
rxpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
rxstartofseq_out : out STD_LOGIC_VECTOR ( 1 downto 0 );
txpmaresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 );
txprgdivresetdone_out : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "eth_xcvr_gt_channel,eth_xcvr_gt_channel_gtwizard_top,{}";
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "eth_xcvr_gt_channel_gtwizard_top,Vivado 2021.2";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
signal NLW_inst_bufgtce_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_bufgtcemask_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_bufgtdiv_out_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 );
signal NLW_inst_bufgtreset_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_bufgtrstmask_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_cpllfbclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_cplllock_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_cpllrefclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_dmonitorout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_dmonitoroutclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_drpdo_common_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_drpdo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_drprdy_common_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_drprdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_eyescandataerror_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_gthtxn_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_gthtxp_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_gtrefclkmonitor_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_gtwiz_buffbypass_rx_done_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_gtwiz_buffbypass_rx_error_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_gtwiz_buffbypass_tx_done_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_gtwiz_buffbypass_tx_error_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_gtwiz_reset_qpll1reset_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_pcierategen3_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_pcierateidle_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_pcierateqpllpd_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_pcierateqpllreset_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_pciesynctxsyncdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_pcieusergen3rdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_pcieuserphystatusrst_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_pcieuserratestart_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_pcsrsvdout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_phystatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_pinrsrvdas_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_pmarsvdout0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_pmarsvdout1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_powerpresent_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll0fbclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll0lock_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll0outclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll0outrefclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll0refclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll1fbclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll1lock_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll1outclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll1outrefclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qpll1refclklost_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_qplldmonitor0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_qplldmonitor1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_refclkoutmonitor0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_refclkoutmonitor1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_resetexception_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxbufstatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_rxbyteisaligned_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxbyterealign_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxcdrlock_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxcdrphdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxchanbondseq_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxchanisaligned_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxchanrealign_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxchbondo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_inst_rxckcaldone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxclkcorcnt_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_rxcominitdet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxcommadet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxcomsasdet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxcomwakedet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxctrl0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_rxctrl1_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_rxctrl2_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_rxctrl3_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_rxdata_out_UNCONNECTED : STD_LOGIC_VECTOR ( 127 downto 0 );
signal NLW_inst_rxdataextendrsvd_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_rxdlysresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxelecidle_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxlfpstresetdet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxlfpsu2lpexitdet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxlfpsu3wakedet_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxmonitorout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_inst_rxosintdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxosintstarted_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxosintstrobedone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxosintstrobestarted_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxoutclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxoutclkfabric_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxoutclkpcs_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxphaligndone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxphalignerr_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxprbserr_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxprbslocked_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxqpisenn_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxqpisenp_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxratedone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxrecclk0_sel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxrecclk0sel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_rxrecclk1_sel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxrecclk1sel_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_rxrecclkout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxsliderdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxslipdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxslipoutclkrdy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxslippmardy_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxstatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_inst_rxsyncdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxsyncout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_rxvalid_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_sdm0finalout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_sdm0testdata_out_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 0 );
signal NLW_inst_sdm1finalout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_inst_sdm1testdata_out_UNCONNECTED : STD_LOGIC_VECTOR ( 14 downto 0 );
signal NLW_inst_tcongpo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_tconrsvdout0_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txbufstatus_out_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_inst_txcomfinish_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txdccdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txdlysresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txoutclk_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txoutclkfabric_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txoutclkpcs_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txphaligndone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txphinitdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txqpisenn_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txqpisenp_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txratedone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txresetdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txsyncdone_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_txsyncout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_ubdaddr_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_ubden_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_ubdi_out_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
signal NLW_inst_ubdwe_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_ubmdmtdo_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_ubrsvdout_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_inst_ubtxuart_out_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_CHANNEL_ENABLE : string;
attribute C_CHANNEL_ENABLE of inst : label is "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
attribute C_COMMON_SCALING_FACTOR : integer;
attribute C_COMMON_SCALING_FACTOR of inst : label is 1;
attribute C_CPLL_VCO_FREQUENCY : string;
attribute C_CPLL_VCO_FREQUENCY of inst : label is "2578.125000";
attribute C_ENABLE_COMMON_USRCLK : integer;
attribute C_ENABLE_COMMON_USRCLK of inst : label is 0;
attribute C_FORCE_COMMONS : integer;
attribute C_FORCE_COMMONS of inst : label is 0;
attribute C_FREERUN_FREQUENCY : string;
attribute C_FREERUN_FREQUENCY of inst : label is "125.000000";
attribute C_GT_REV : integer;
attribute C_GT_REV of inst : label is 67;
attribute C_GT_TYPE : integer;
attribute C_GT_TYPE of inst : label is 3;
attribute C_INCLUDE_CPLL_CAL : integer;
attribute C_INCLUDE_CPLL_CAL of inst : label is 2;
attribute C_LOCATE_COMMON : integer;
attribute C_LOCATE_COMMON of inst : label is 1;
attribute C_LOCATE_IN_SYSTEM_IBERT_CORE : integer;
attribute C_LOCATE_IN_SYSTEM_IBERT_CORE of inst : label is 2;
attribute C_LOCATE_RESET_CONTROLLER : integer;
attribute C_LOCATE_RESET_CONTROLLER of inst : label is 0;
attribute C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER : integer;
attribute C_LOCATE_RX_BUFFER_BYPASS_CONTROLLER of inst : label is 0;
attribute C_LOCATE_RX_USER_CLOCKING : integer;
attribute C_LOCATE_RX_USER_CLOCKING of inst : label is 0;
attribute C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER : integer;
attribute C_LOCATE_TX_BUFFER_BYPASS_CONTROLLER of inst : label is 0;
attribute C_LOCATE_TX_USER_CLOCKING : integer;
attribute C_LOCATE_TX_USER_CLOCKING of inst : label is 0;
attribute C_LOCATE_USER_DATA_WIDTH_SIZING : integer;
attribute C_LOCATE_USER_DATA_WIDTH_SIZING of inst : label is 0;
attribute C_PCIE_CORECLK_FREQ : integer;
attribute C_PCIE_CORECLK_FREQ of inst : label is 250;
attribute C_PCIE_ENABLE : integer;
attribute C_PCIE_ENABLE of inst : label is 0;
attribute C_RESET_CONTROLLER_INSTANCE_CTRL : integer;
attribute C_RESET_CONTROLLER_INSTANCE_CTRL of inst : label is 0;
attribute C_RESET_SEQUENCE_INTERVAL : integer;
attribute C_RESET_SEQUENCE_INTERVAL of inst : label is 0;
attribute C_RX_BUFFBYPASS_MODE : integer;
attribute C_RX_BUFFBYPASS_MODE of inst : label is 0;
attribute C_RX_BUFFER_BYPASS_INSTANCE_CTRL : integer;
attribute C_RX_BUFFER_BYPASS_INSTANCE_CTRL of inst : label is 0;
attribute C_RX_BUFFER_MODE : integer;
attribute C_RX_BUFFER_MODE of inst : label is 1;
attribute C_RX_CB_DISP : string;
attribute C_RX_CB_DISP of inst : label is "8'b00000000";
attribute C_RX_CB_K : string;
attribute C_RX_CB_K of inst : label is "8'b00000000";
attribute C_RX_CB_LEN_SEQ : integer;
attribute C_RX_CB_LEN_SEQ of inst : label is 1;
attribute C_RX_CB_MAX_LEVEL : integer;
attribute C_RX_CB_MAX_LEVEL of inst : label is 1;
attribute C_RX_CB_NUM_SEQ : integer;
attribute C_RX_CB_NUM_SEQ of inst : label is 0;
attribute C_RX_CB_VAL : string;
attribute C_RX_CB_VAL of inst : label is "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000";
attribute C_RX_CC_DISP : string;
attribute C_RX_CC_DISP of inst : label is "8'b00000000";
attribute C_RX_CC_ENABLE : integer;
attribute C_RX_CC_ENABLE of inst : label is 0;
attribute C_RX_CC_K : string;
attribute C_RX_CC_K of inst : label is "8'b00000000";
attribute C_RX_CC_LEN_SEQ : integer;
attribute C_RX_CC_LEN_SEQ of inst : label is 1;
attribute C_RX_CC_NUM_SEQ : integer;
attribute C_RX_CC_NUM_SEQ of inst : label is 0;
attribute C_RX_CC_PERIODICITY : integer;
attribute C_RX_CC_PERIODICITY of inst : label is 5000;
attribute C_RX_CC_VAL : string;
attribute C_RX_CC_VAL of inst : label is "80'b00000000000000000000000000000000000000000000000000000000000000000000000000000000";
attribute C_RX_COMMA_M_ENABLE : integer;
attribute C_RX_COMMA_M_ENABLE of inst : label is 0;
attribute C_RX_COMMA_M_VAL : string;
attribute C_RX_COMMA_M_VAL of inst : label is "10'b1010000011";
attribute C_RX_COMMA_P_ENABLE : integer;
attribute C_RX_COMMA_P_ENABLE of inst : label is 0;
attribute C_RX_COMMA_P_VAL : string;
attribute C_RX_COMMA_P_VAL of inst : label is "10'b0101111100";
attribute C_RX_DATA_DECODING : integer;
attribute C_RX_DATA_DECODING of inst : label is 4;
attribute C_RX_ENABLE : integer;
attribute C_RX_ENABLE of inst : label is 1;
attribute C_RX_INT_DATA_WIDTH : integer;
attribute C_RX_INT_DATA_WIDTH of inst : label is 64;
attribute C_RX_LINE_RATE : string;
attribute C_RX_LINE_RATE of inst : label is "25.781250";
attribute C_RX_MASTER_CHANNEL_IDX : integer;
attribute C_RX_MASTER_CHANNEL_IDX of inst : label is 4;
attribute C_RX_OUTCLK_BUFG_GT_DIV : integer;
attribute C_RX_OUTCLK_BUFG_GT_DIV of inst : label is 1;
attribute C_RX_OUTCLK_FREQUENCY : string;
attribute C_RX_OUTCLK_FREQUENCY of inst : label is "390.625000";
attribute C_RX_OUTCLK_SOURCE : integer;
attribute C_RX_OUTCLK_SOURCE of inst : label is 4;
attribute C_RX_PLL_TYPE : integer;
attribute C_RX_PLL_TYPE of inst : label is 0;
attribute C_RX_RECCLK_OUTPUT : string;
attribute C_RX_RECCLK_OUTPUT of inst : label is "192'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
attribute C_RX_REFCLK_FREQUENCY : string;
attribute C_RX_REFCLK_FREQUENCY of inst : label is "156.250000";
attribute C_RX_SLIDE_MODE : integer;
attribute C_RX_SLIDE_MODE of inst : label is 0;
attribute C_RX_USER_CLOCKING_CONTENTS : integer;
attribute C_RX_USER_CLOCKING_CONTENTS of inst : label is 0;
attribute C_RX_USER_CLOCKING_INSTANCE_CTRL : integer;
attribute C_RX_USER_CLOCKING_INSTANCE_CTRL of inst : label is 0;
attribute C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK : integer;
attribute C_RX_USER_CLOCKING_RATIO_FSRC_FUSRCLK of inst : label is 1;
attribute C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 : integer;
attribute C_RX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 of inst : label is 1;
attribute C_RX_USER_CLOCKING_SOURCE : integer;
attribute C_RX_USER_CLOCKING_SOURCE of inst : label is 0;
attribute C_RX_USER_DATA_WIDTH : integer;
attribute C_RX_USER_DATA_WIDTH of inst : label is 64;
attribute C_RX_USRCLK2_FREQUENCY : string;
attribute C_RX_USRCLK2_FREQUENCY of inst : label is "390.625000";
attribute C_RX_USRCLK_FREQUENCY : string;
attribute C_RX_USRCLK_FREQUENCY of inst : label is "390.625000";
attribute C_SECONDARY_QPLL_ENABLE : integer;
attribute C_SECONDARY_QPLL_ENABLE of inst : label is 0;
attribute C_SECONDARY_QPLL_REFCLK_FREQUENCY : string;
attribute C_SECONDARY_QPLL_REFCLK_FREQUENCY of inst : label is "257.812500";
attribute C_SIM_CPLL_CAL_BYPASS : integer;
attribute C_SIM_CPLL_CAL_BYPASS of inst : label is 1;
attribute C_TOTAL_NUM_CHANNELS : integer;
attribute C_TOTAL_NUM_CHANNELS of inst : label is 1;
attribute C_TOTAL_NUM_COMMONS : integer;
attribute C_TOTAL_NUM_COMMONS of inst : label is 0;
attribute C_TOTAL_NUM_COMMONS_EXAMPLE : integer;
attribute C_TOTAL_NUM_COMMONS_EXAMPLE of inst : label is 1;
attribute C_TXPROGDIV_FREQ_ENABLE : integer;
attribute C_TXPROGDIV_FREQ_ENABLE of inst : label is 0;
attribute C_TXPROGDIV_FREQ_SOURCE : integer;
attribute C_TXPROGDIV_FREQ_SOURCE of inst : label is 0;
attribute C_TXPROGDIV_FREQ_VAL : string;
attribute C_TXPROGDIV_FREQ_VAL of inst : label is "390.625000";
attribute C_TX_BUFFBYPASS_MODE : integer;
attribute C_TX_BUFFBYPASS_MODE of inst : label is 0;
attribute C_TX_BUFFER_BYPASS_INSTANCE_CTRL : integer;
attribute C_TX_BUFFER_BYPASS_INSTANCE_CTRL of inst : label is 0;
attribute C_TX_BUFFER_MODE : integer;
attribute C_TX_BUFFER_MODE of inst : label is 1;
attribute C_TX_DATA_ENCODING : integer;
attribute C_TX_DATA_ENCODING of inst : label is 4;
attribute C_TX_ENABLE : integer;
attribute C_TX_ENABLE of inst : label is 1;
attribute C_TX_INT_DATA_WIDTH : integer;
attribute C_TX_INT_DATA_WIDTH of inst : label is 64;
attribute C_TX_LINE_RATE : string;
attribute C_TX_LINE_RATE of inst : label is "25.781250";
attribute C_TX_MASTER_CHANNEL_IDX : integer;
attribute C_TX_MASTER_CHANNEL_IDX of inst : label is 4;
attribute C_TX_OUTCLK_BUFG_GT_DIV : integer;
attribute C_TX_OUTCLK_BUFG_GT_DIV of inst : label is 1;
attribute C_TX_OUTCLK_FREQUENCY : string;
attribute C_TX_OUTCLK_FREQUENCY of inst : label is "390.625000";
attribute C_TX_OUTCLK_SOURCE : integer;
attribute C_TX_OUTCLK_SOURCE of inst : label is 4;
attribute C_TX_PLL_TYPE : integer;
attribute C_TX_PLL_TYPE of inst : label is 0;
attribute C_TX_REFCLK_FREQUENCY : string;
attribute C_TX_REFCLK_FREQUENCY of inst : label is "156.250000";
attribute C_TX_USER_CLOCKING_CONTENTS : integer;
attribute C_TX_USER_CLOCKING_CONTENTS of inst : label is 0;
attribute C_TX_USER_CLOCKING_INSTANCE_CTRL : integer;
attribute C_TX_USER_CLOCKING_INSTANCE_CTRL of inst : label is 0;
attribute C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK : integer;
attribute C_TX_USER_CLOCKING_RATIO_FSRC_FUSRCLK of inst : label is 1;
attribute C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 : integer;
attribute C_TX_USER_CLOCKING_RATIO_FUSRCLK_FUSRCLK2 of inst : label is 1;
attribute C_TX_USER_CLOCKING_SOURCE : integer;
attribute C_TX_USER_CLOCKING_SOURCE of inst : label is 0;
attribute C_TX_USER_DATA_WIDTH : integer;
attribute C_TX_USER_DATA_WIDTH of inst : label is 64;
attribute C_TX_USRCLK2_FREQUENCY : string;
attribute C_TX_USRCLK2_FREQUENCY of inst : label is "390.625000";
attribute C_TX_USRCLK_FREQUENCY : string;
attribute C_TX_USRCLK_FREQUENCY of inst : label is "390.625000";
attribute C_USER_GTPOWERGOOD_DELAY_EN : integer;
attribute C_USER_GTPOWERGOOD_DELAY_EN of inst : label is 1;
begin
inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_eth_xcvr_gt_channel_gtwizard_top
port map (
bgbypassb_in(0) => '1',
bgmonitorenb_in(0) => '1',
bgpdb_in(0) => '1',
bgrcalovrd_in(4 downto 0) => B"10000",
bgrcalovrdenb_in(0) => '1',
bufgtce_out(0) => NLW_inst_bufgtce_out_UNCONNECTED(0),
bufgtcemask_out(2 downto 0) => NLW_inst_bufgtcemask_out_UNCONNECTED(2 downto 0),
bufgtdiv_out(8 downto 0) => NLW_inst_bufgtdiv_out_UNCONNECTED(8 downto 0),
bufgtreset_out(0) => NLW_inst_bufgtreset_out_UNCONNECTED(0),
bufgtrstmask_out(2 downto 0) => NLW_inst_bufgtrstmask_out_UNCONNECTED(2 downto 0),
cdrstepdir_in(0) => '0',
cdrstepsq_in(0) => '0',
cdrstepsx_in(0) => '0',
cfgreset_in(0) => '0',
clkrsvd0_in(0) => '0',
clkrsvd1_in(0) => '0',
cpllfbclklost_out(0) => NLW_inst_cpllfbclklost_out_UNCONNECTED(0),
cpllfreqlock_in(0) => '0',
cplllock_out(0) => NLW_inst_cplllock_out_UNCONNECTED(0),
cplllockdetclk_in(0) => '0',
cplllocken_in(0) => '0',
cpllpd_in(0) => '1',
cpllrefclklost_out(0) => NLW_inst_cpllrefclklost_out_UNCONNECTED(0),
cpllrefclksel_in(2 downto 0) => B"001",
cpllreset_in(0) => '1',
dmonfiforeset_in(0) => '0',
dmonitorclk_in(0) => '0',
dmonitorout_out(15 downto 0) => NLW_inst_dmonitorout_out_UNCONNECTED(15 downto 0),
dmonitoroutclk_out(0) => NLW_inst_dmonitoroutclk_out_UNCONNECTED(0),
drpaddr_common_in(15 downto 0) => B"0000000000000000",
drpaddr_in(9 downto 0) => B"0000000000",
drpclk_common_in(0) => '0',
drpclk_in(0) => '0',
drpdi_common_in(15 downto 0) => B"0000000000000000",
drpdi_in(15 downto 0) => B"0000000000000000",
drpdo_common_out(15 downto 0) => NLW_inst_drpdo_common_out_UNCONNECTED(15 downto 0),
drpdo_out(15 downto 0) => NLW_inst_drpdo_out_UNCONNECTED(15 downto 0),
drpen_common_in(0) => '0',
drpen_in(0) => '0',
drprdy_common_out(0) => NLW_inst_drprdy_common_out_UNCONNECTED(0),
drprdy_out(0) => NLW_inst_drprdy_out_UNCONNECTED(0),
drprst_in(0) => '0',
drpwe_common_in(0) => '0',
drpwe_in(0) => '0',
elpcaldvorwren_in(0) => '0',
elpcalpaorwren_in(0) => '0',
evoddphicaldone_in(0) => '0',
evoddphicalstart_in(0) => '0',
evoddphidrden_in(0) => '0',
evoddphidwren_in(0) => '0',
evoddphixrden_in(0) => '0',
evoddphixwren_in(0) => '0',
eyescandataerror_out(0) => NLW_inst_eyescandataerror_out_UNCONNECTED(0),
eyescanmode_in(0) => '0',
eyescanreset_in(0) => '0',
eyescantrigger_in(0) => '0',
freqos_in(0) => '0',
gtgrefclk0_in(0) => '0',
gtgrefclk1_in(0) => '0',
gtgrefclk_in(0) => '0',
gthrxn_in(0) => '0',
gthrxp_in(0) => '0',
gthtxn_out(0) => NLW_inst_gthtxn_out_UNCONNECTED(0),
gthtxp_out(0) => NLW_inst_gthtxp_out_UNCONNECTED(0),
gtnorthrefclk00_in(0) => '0',
gtnorthrefclk01_in(0) => '0',
gtnorthrefclk0_in(0) => '0',
gtnorthrefclk10_in(0) => '0',
gtnorthrefclk11_in(0) => '0',
gtnorthrefclk1_in(0) => '0',
gtpowergood_out(0) => gtpowergood_out(0),
gtrefclk00_in(0) => '0',
gtrefclk01_in(0) => '0',
gtrefclk0_in(0) => '0',
gtrefclk10_in(0) => '0',
gtrefclk11_in(0) => '0',
gtrefclk1_in(0) => '0',
gtrefclkmonitor_out(0) => NLW_inst_gtrefclkmonitor_out_UNCONNECTED(0),
gtresetsel_in(0) => '0',
gtrsvd_in(15 downto 0) => B"0000000000000000",
gtrxreset_in(0) => '0',
gtrxresetsel_in(0) => '0',
gtsouthrefclk00_in(0) => '0',
gtsouthrefclk01_in(0) => '0',
gtsouthrefclk0_in(0) => '0',
gtsouthrefclk10_in(0) => '0',
gtsouthrefclk11_in(0) => '0',
gtsouthrefclk1_in(0) => '0',
gttxreset_in(0) => '0',
gttxresetsel_in(0) => '0',
gtwiz_buffbypass_rx_done_out(0) => NLW_inst_gtwiz_buffbypass_rx_done_out_UNCONNECTED(0),
gtwiz_buffbypass_rx_error_out(0) => NLW_inst_gtwiz_buffbypass_rx_error_out_UNCONNECTED(0),
gtwiz_buffbypass_rx_reset_in(0) => '0',
gtwiz_buffbypass_rx_start_user_in(0) => '0',
gtwiz_buffbypass_tx_done_out(0) => NLW_inst_gtwiz_buffbypass_tx_done_out_UNCONNECTED(0),
gtwiz_buffbypass_tx_error_out(0) => NLW_inst_gtwiz_buffbypass_tx_error_out_UNCONNECTED(0),
gtwiz_buffbypass_tx_reset_in(0) => '0',
gtwiz_buffbypass_tx_start_user_in(0) => '0',
gtwiz_gthe3_cpll_cal_bufg_ce_in(0) => '0',
gtwiz_gthe3_cpll_cal_cnt_tol_in(17 downto 0) => B"000000000000000000",
gtwiz_gthe3_cpll_cal_txoutclk_period_in(17 downto 0) => B"000000000000000000",
gtwiz_gthe4_cpll_cal_bufg_ce_in(0) => '0',
gtwiz_gthe4_cpll_cal_cnt_tol_in(17 downto 0) => B"000000000000000000",
gtwiz_gthe4_cpll_cal_txoutclk_period_in(17 downto 0) => B"000000000000000000",
gtwiz_gtye4_cpll_cal_bufg_ce_in(0) => '0',
gtwiz_gtye4_cpll_cal_cnt_tol_in(17 downto 0) => B"000000000000000000",
gtwiz_gtye4_cpll_cal_txoutclk_period_in(17 downto 0) => B"000000000000000000",
gtwiz_reset_all_in(0) => gtwiz_reset_all_in(0),
gtwiz_reset_clk_freerun_in(0) => gtwiz_reset_clk_freerun_in(0),
gtwiz_reset_qpll0lock_in(0) => gtwiz_reset_qpll0lock_in(0),
gtwiz_reset_qpll0reset_out(0) => gtwiz_reset_qpll0reset_out(0),
gtwiz_reset_qpll1lock_in(0) => '0',
gtwiz_reset_qpll1reset_out(0) => NLW_inst_gtwiz_reset_qpll1reset_out_UNCONNECTED(0),
gtwiz_reset_rx_cdr_stable_out(0) => gtwiz_reset_rx_cdr_stable_out(0),
gtwiz_reset_rx_datapath_in(0) => gtwiz_reset_rx_datapath_in(0),
gtwiz_reset_rx_done_in(0) => '0',
gtwiz_reset_rx_done_out(0) => gtwiz_reset_rx_done_out(0),
gtwiz_reset_rx_pll_and_datapath_in(0) => gtwiz_reset_rx_pll_and_datapath_in(0),
gtwiz_reset_tx_datapath_in(0) => gtwiz_reset_tx_datapath_in(0),
gtwiz_reset_tx_done_in(0) => '0',
gtwiz_reset_tx_done_out(0) => gtwiz_reset_tx_done_out(0),
gtwiz_reset_tx_pll_and_datapath_in(0) => gtwiz_reset_tx_pll_and_datapath_in(0),
gtwiz_userclk_rx_active_in(0) => '0',
gtwiz_userclk_rx_active_out(0) => gtwiz_userclk_rx_active_out(0),
gtwiz_userclk_rx_reset_in(0) => gtwiz_userclk_rx_reset_in(0),
gtwiz_userclk_rx_srcclk_out(0) => gtwiz_userclk_rx_srcclk_out(0),
gtwiz_userclk_rx_usrclk2_out(0) => gtwiz_userclk_rx_usrclk2_out(0),
gtwiz_userclk_rx_usrclk_out(0) => gtwiz_userclk_rx_usrclk_out(0),
gtwiz_userclk_tx_active_in(0) => '0',
gtwiz_userclk_tx_active_out(0) => gtwiz_userclk_tx_active_out(0),
gtwiz_userclk_tx_reset_in(0) => gtwiz_userclk_tx_reset_in(0),
gtwiz_userclk_tx_srcclk_out(0) => gtwiz_userclk_tx_srcclk_out(0),
gtwiz_userclk_tx_usrclk2_out(0) => gtwiz_userclk_tx_usrclk2_out(0),
gtwiz_userclk_tx_usrclk_out(0) => gtwiz_userclk_tx_usrclk_out(0),
gtwiz_userdata_rx_out(63 downto 0) => gtwiz_userdata_rx_out(63 downto 0),
gtwiz_userdata_tx_in(63 downto 0) => gtwiz_userdata_tx_in(63 downto 0),
gtyrxn_in(0) => gtyrxn_in(0),
gtyrxp_in(0) => gtyrxp_in(0),
gtytxn_out(0) => gtytxn_out(0),
gtytxp_out(0) => gtytxp_out(0),
incpctrl_in(0) => '0',
loopback_in(2 downto 0) => B"000",
looprsvd_in(0) => '0',
lpbkrxtxseren_in(0) => '0',
lpbktxrxseren_in(0) => '0',
pcieeqrxeqadaptdone_in(0) => '0',
pcierategen3_out(0) => NLW_inst_pcierategen3_out_UNCONNECTED(0),
pcierateidle_out(0) => NLW_inst_pcierateidle_out_UNCONNECTED(0),
pcierateqpll0_in(2 downto 0) => B"000",
pcierateqpll1_in(2 downto 0) => B"000",
pcierateqpllpd_out(1 downto 0) => NLW_inst_pcierateqpllpd_out_UNCONNECTED(1 downto 0),
pcierateqpllreset_out(1 downto 0) => NLW_inst_pcierateqpllreset_out_UNCONNECTED(1 downto 0),
pcierstidle_in(0) => '0',
pciersttxsyncstart_in(0) => '0',
pciesynctxsyncdone_out(0) => NLW_inst_pciesynctxsyncdone_out_UNCONNECTED(0),
pcieusergen3rdy_out(0) => NLW_inst_pcieusergen3rdy_out_UNCONNECTED(0),
pcieuserphystatusrst_out(0) => NLW_inst_pcieuserphystatusrst_out_UNCONNECTED(0),
pcieuserratedone_in(0) => '0',
pcieuserratestart_out(0) => NLW_inst_pcieuserratestart_out_UNCONNECTED(0),
pcsrsvdin2_in(0) => '0',
pcsrsvdin_in(15 downto 0) => B"0000000000000000",
pcsrsvdout_out(15 downto 0) => NLW_inst_pcsrsvdout_out_UNCONNECTED(15 downto 0),
phystatus_out(0) => NLW_inst_phystatus_out_UNCONNECTED(0),
pinrsrvdas_out(15 downto 0) => NLW_inst_pinrsrvdas_out_UNCONNECTED(15 downto 0),
pmarsvd0_in(7 downto 0) => B"00000000",
pmarsvd1_in(7 downto 0) => B"00000000",
pmarsvdin_in(0) => '0',
pmarsvdout0_out(7 downto 0) => NLW_inst_pmarsvdout0_out_UNCONNECTED(7 downto 0),
pmarsvdout1_out(7 downto 0) => NLW_inst_pmarsvdout1_out_UNCONNECTED(7 downto 0),
powerpresent_out(0) => NLW_inst_powerpresent_out_UNCONNECTED(0),
qpll0clk_in(0) => qpll0clk_in(0),
qpll0clkrsvd0_in(0) => '0',
qpll0clkrsvd1_in(0) => '0',
qpll0fbclklost_out(0) => NLW_inst_qpll0fbclklost_out_UNCONNECTED(0),
qpll0fbdiv_in(7 downto 0) => B"00000000",
qpll0freqlock_in(0) => '0',
qpll0lock_out(0) => NLW_inst_qpll0lock_out_UNCONNECTED(0),
qpll0lockdetclk_in(0) => '0',
qpll0locken_in(0) => '1',
qpll0outclk_out(0) => NLW_inst_qpll0outclk_out_UNCONNECTED(0),
qpll0outrefclk_out(0) => NLW_inst_qpll0outrefclk_out_UNCONNECTED(0),
qpll0pd_in(0) => '0',
qpll0refclk_in(0) => qpll0refclk_in(0),
qpll0refclklost_out(0) => NLW_inst_qpll0refclklost_out_UNCONNECTED(0),
qpll0refclksel_in(2 downto 0) => B"001",
qpll0reset_in(0) => '0',
qpll1clk_in(0) => qpll1clk_in(0),
qpll1clkrsvd0_in(0) => '0',
qpll1clkrsvd1_in(0) => '0',
qpll1fbclklost_out(0) => NLW_inst_qpll1fbclklost_out_UNCONNECTED(0),
qpll1fbdiv_in(7 downto 0) => B"00000000",
qpll1freqlock_in(0) => '0',
qpll1lock_out(0) => NLW_inst_qpll1lock_out_UNCONNECTED(0),
qpll1lockdetclk_in(0) => '0',
qpll1locken_in(0) => '0',
qpll1outclk_out(0) => NLW_inst_qpll1outclk_out_UNCONNECTED(0),
qpll1outrefclk_out(0) => NLW_inst_qpll1outrefclk_out_UNCONNECTED(0),
qpll1pd_in(0) => '1',
qpll1refclk_in(0) => qpll1refclk_in(0),
qpll1refclklost_out(0) => NLW_inst_qpll1refclklost_out_UNCONNECTED(0),
qpll1refclksel_in(2 downto 0) => B"001",
qpll1reset_in(0) => '1',
qplldmonitor0_out(7 downto 0) => NLW_inst_qplldmonitor0_out_UNCONNECTED(7 downto 0),
qplldmonitor1_out(7 downto 0) => NLW_inst_qplldmonitor1_out_UNCONNECTED(7 downto 0),
qpllrsvd1_in(7 downto 0) => B"00000000",
qpllrsvd2_in(4 downto 0) => B"00000",
qpllrsvd3_in(4 downto 0) => B"00000",
qpllrsvd4_in(7 downto 0) => B"00000000",
rcalenb_in(0) => '1',
refclkoutmonitor0_out(0) => NLW_inst_refclkoutmonitor0_out_UNCONNECTED(0),
refclkoutmonitor1_out(0) => NLW_inst_refclkoutmonitor1_out_UNCONNECTED(0),
resetexception_out(0) => NLW_inst_resetexception_out_UNCONNECTED(0),
resetovrd_in(0) => '0',
rstclkentx_in(0) => '0',
rx8b10ben_in(0) => '0',
rxafecfoken_in(0) => '1',
rxbufreset_in(0) => '0',
rxbufstatus_out(2 downto 0) => NLW_inst_rxbufstatus_out_UNCONNECTED(2 downto 0),
rxbyteisaligned_out(0) => NLW_inst_rxbyteisaligned_out_UNCONNECTED(0),
rxbyterealign_out(0) => NLW_inst_rxbyterealign_out_UNCONNECTED(0),
rxcdrfreqreset_in(0) => '0',
rxcdrhold_in(0) => '0',
rxcdrlock_out(0) => NLW_inst_rxcdrlock_out_UNCONNECTED(0),
rxcdrovrden_in(0) => '0',
rxcdrphdone_out(0) => NLW_inst_rxcdrphdone_out_UNCONNECTED(0),
rxcdrreset_in(0) => '0',
rxcdrresetrsv_in(0) => '0',
rxchanbondseq_out(0) => NLW_inst_rxchanbondseq_out_UNCONNECTED(0),
rxchanisaligned_out(0) => NLW_inst_rxchanisaligned_out_UNCONNECTED(0),
rxchanrealign_out(0) => NLW_inst_rxchanrealign_out_UNCONNECTED(0),
rxchbonden_in(0) => '0',
rxchbondi_in(4 downto 0) => B"00000",
rxchbondlevel_in(2 downto 0) => B"000",
rxchbondmaster_in(0) => '0',
rxchbondo_out(4 downto 0) => NLW_inst_rxchbondo_out_UNCONNECTED(4 downto 0),
rxchbondslave_in(0) => '0',
rxckcaldone_out(0) => NLW_inst_rxckcaldone_out_UNCONNECTED(0),
rxckcalreset_in(0) => '0',
rxckcalstart_in(6 downto 0) => B"0000000",
rxclkcorcnt_out(1 downto 0) => NLW_inst_rxclkcorcnt_out_UNCONNECTED(1 downto 0),
rxcominitdet_out(0) => NLW_inst_rxcominitdet_out_UNCONNECTED(0),
rxcommadet_out(0) => NLW_inst_rxcommadet_out_UNCONNECTED(0),
rxcommadeten_in(0) => '0',
rxcomsasdet_out(0) => NLW_inst_rxcomsasdet_out_UNCONNECTED(0),
rxcomwakedet_out(0) => NLW_inst_rxcomwakedet_out_UNCONNECTED(0),
rxctrl0_out(15 downto 0) => NLW_inst_rxctrl0_out_UNCONNECTED(15 downto 0),
rxctrl1_out(15 downto 0) => NLW_inst_rxctrl1_out_UNCONNECTED(15 downto 0),
rxctrl2_out(7 downto 0) => NLW_inst_rxctrl2_out_UNCONNECTED(7 downto 0),
rxctrl3_out(7 downto 0) => NLW_inst_rxctrl3_out_UNCONNECTED(7 downto 0),
rxdata_out(127 downto 0) => NLW_inst_rxdata_out_UNCONNECTED(127 downto 0),
rxdataextendrsvd_out(7 downto 0) => NLW_inst_rxdataextendrsvd_out_UNCONNECTED(7 downto 0),
rxdatavalid_out(1 downto 0) => rxdatavalid_out(1 downto 0),
rxdccforcestart_in(0) => '0',
rxdfeagcctrl_in(0) => '0',
rxdfeagchold_in(0) => '0',
rxdfeagcovrden_in(0) => '0',
rxdfecfokfcnum_in(3 downto 0) => B"1101",
rxdfecfokfen_in(0) => '0',
rxdfecfokfpulse_in(0) => '0',
rxdfecfokhold_in(0) => '0',
rxdfecfokovren_in(0) => '0',
rxdfekhhold_in(0) => '0',
rxdfekhovrden_in(0) => '0',
rxdfelfhold_in(0) => '0',
rxdfelfovrden_in(0) => '0',
rxdfelpmreset_in(0) => '0',
rxdfetap10hold_in(0) => '0',
rxdfetap10ovrden_in(0) => '0',
rxdfetap11hold_in(0) => '0',
rxdfetap11ovrden_in(0) => '0',
rxdfetap12hold_in(0) => '0',
rxdfetap12ovrden_in(0) => '0',
rxdfetap13hold_in(0) => '0',
rxdfetap13ovrden_in(0) => '0',
rxdfetap14hold_in(0) => '0',
rxdfetap14ovrden_in(0) => '0',
rxdfetap15hold_in(0) => '0',
rxdfetap15ovrden_in(0) => '0',
rxdfetap2hold_in(0) => '0',
rxdfetap2ovrden_in(0) => '0',
rxdfetap3hold_in(0) => '0',
rxdfetap3ovrden_in(0) => '0',
rxdfetap4hold_in(0) => '0',
rxdfetap4ovrden_in(0) => '0',
rxdfetap5hold_in(0) => '0',
rxdfetap5ovrden_in(0) => '0',
rxdfetap6hold_in(0) => '0',
rxdfetap6ovrden_in(0) => '0',
rxdfetap7hold_in(0) => '0',
rxdfetap7ovrden_in(0) => '0',
rxdfetap8hold_in(0) => '0',
rxdfetap8ovrden_in(0) => '0',
rxdfetap9hold_in(0) => '0',
rxdfetap9ovrden_in(0) => '0',
rxdfeuthold_in(0) => '0',
rxdfeutovrden_in(0) => '0',
rxdfevphold_in(0) => '0',
rxdfevpovrden_in(0) => '0',
rxdfevsen_in(0) => '0',
rxdfexyden_in(0) => '1',
rxdlybypass_in(0) => '1',
rxdlyen_in(0) => '0',
rxdlyovrden_in(0) => '0',
rxdlysreset_in(0) => '0',
rxdlysresetdone_out(0) => NLW_inst_rxdlysresetdone_out_UNCONNECTED(0),
rxelecidle_out(0) => NLW_inst_rxelecidle_out_UNCONNECTED(0),
rxelecidlemode_in(1 downto 0) => B"11",
rxeqtraining_in(0) => '0',
rxgearboxslip_in(0) => rxgearboxslip_in(0),
rxheader_out(5 downto 0) => rxheader_out(5 downto 0),
rxheadervalid_out(1 downto 0) => rxheadervalid_out(1 downto 0),
rxlatclk_in(0) => '0',
rxlfpstresetdet_out(0) => NLW_inst_rxlfpstresetdet_out_UNCONNECTED(0),
rxlfpsu2lpexitdet_out(0) => NLW_inst_rxlfpsu2lpexitdet_out_UNCONNECTED(0),
rxlfpsu3wakedet_out(0) => NLW_inst_rxlfpsu3wakedet_out_UNCONNECTED(0),
rxlpmen_in(0) => '0',
rxlpmgchold_in(0) => '0',
rxlpmgcovrden_in(0) => '0',
rxlpmhfhold_in(0) => '0',
rxlpmhfovrden_in(0) => '0',
rxlpmlfhold_in(0) => '0',
rxlpmlfklovrden_in(0) => '0',
rxlpmoshold_in(0) => '0',
rxlpmosovrden_in(0) => '0',
rxmcommaalignen_in(0) => '0',
rxmonitorout_out(7 downto 0) => NLW_inst_rxmonitorout_out_UNCONNECTED(7 downto 0),
rxmonitorsel_in(1 downto 0) => B"00",
rxoobreset_in(0) => '0',
rxoscalreset_in(0) => '0',
rxoshold_in(0) => '0',
rxosintcfg_in(0) => '0',
rxosintdone_out(0) => NLW_inst_rxosintdone_out_UNCONNECTED(0),
rxosinten_in(0) => '0',
rxosinthold_in(0) => '0',
rxosintovrden_in(0) => '0',
rxosintstarted_out(0) => NLW_inst_rxosintstarted_out_UNCONNECTED(0),
rxosintstrobe_in(0) => '0',
rxosintstrobedone_out(0) => NLW_inst_rxosintstrobedone_out_UNCONNECTED(0),
rxosintstrobestarted_out(0) => NLW_inst_rxosintstrobestarted_out_UNCONNECTED(0),
rxosinttestovrden_in(0) => '0',
rxosovrden_in(0) => '0',
rxoutclk_out(0) => NLW_inst_rxoutclk_out_UNCONNECTED(0),
rxoutclkfabric_out(0) => NLW_inst_rxoutclkfabric_out_UNCONNECTED(0),
rxoutclkpcs_out(0) => NLW_inst_rxoutclkpcs_out_UNCONNECTED(0),
rxoutclksel_in(2 downto 0) => B"101",
rxpcommaalignen_in(0) => '0',
rxpcsreset_in(0) => '0',
rxpd_in(1 downto 0) => B"00",
rxphalign_in(0) => '0',
rxphaligndone_out(0) => NLW_inst_rxphaligndone_out_UNCONNECTED(0),
rxphalignen_in(0) => '0',
rxphalignerr_out(0) => NLW_inst_rxphalignerr_out_UNCONNECTED(0),
rxphdlypd_in(0) => '1',
rxphdlyreset_in(0) => '0',
rxphovrden_in(0) => '0',
rxpllclksel_in(1 downto 0) => B"11",
rxpmareset_in(0) => '0',
rxpmaresetdone_out(0) => rxpmaresetdone_out(0),
rxpolarity_in(0) => '0',
rxprbscntreset_in(0) => '0',
rxprbserr_out(0) => NLW_inst_rxprbserr_out_UNCONNECTED(0),
rxprbslocked_out(0) => NLW_inst_rxprbslocked_out_UNCONNECTED(0),
rxprbssel_in(3 downto 0) => B"0000",
rxprgdivresetdone_out(0) => rxprgdivresetdone_out(0),
rxprogdivreset_in(0) => '0',
rxqpien_in(0) => '0',
rxqpisenn_out(0) => NLW_inst_rxqpisenn_out_UNCONNECTED(0),
rxqpisenp_out(0) => NLW_inst_rxqpisenp_out_UNCONNECTED(0),
rxrate_in(2 downto 0) => B"000",
rxratedone_out(0) => NLW_inst_rxratedone_out_UNCONNECTED(0),
rxratemode_in(0) => '0',
rxrecclk0_sel_out(0) => NLW_inst_rxrecclk0_sel_out_UNCONNECTED(0),
rxrecclk0sel_out(1 downto 0) => NLW_inst_rxrecclk0sel_out_UNCONNECTED(1 downto 0),
rxrecclk1_sel_out(0) => NLW_inst_rxrecclk1_sel_out_UNCONNECTED(0),
rxrecclk1sel_out(1 downto 0) => NLW_inst_rxrecclk1sel_out_UNCONNECTED(1 downto 0),
rxrecclkout_out(0) => NLW_inst_rxrecclkout_out_UNCONNECTED(0),
rxresetdone_out(0) => NLW_inst_rxresetdone_out_UNCONNECTED(0),
rxslide_in(0) => '0',
rxsliderdy_out(0) => NLW_inst_rxsliderdy_out_UNCONNECTED(0),
rxslipdone_out(0) => NLW_inst_rxslipdone_out_UNCONNECTED(0),
rxslipoutclk_in(0) => '0',
rxslipoutclkrdy_out(0) => NLW_inst_rxslipoutclkrdy_out_UNCONNECTED(0),
rxslippma_in(0) => '0',
rxslippmardy_out(0) => NLW_inst_rxslippmardy_out_UNCONNECTED(0),
rxstartofseq_out(1 downto 0) => rxstartofseq_out(1 downto 0),
rxstatus_out(2 downto 0) => NLW_inst_rxstatus_out_UNCONNECTED(2 downto 0),
rxsyncallin_in(0) => '0',
rxsyncdone_out(0) => NLW_inst_rxsyncdone_out_UNCONNECTED(0),
rxsyncin_in(0) => '0',
rxsyncmode_in(0) => '0',
rxsyncout_out(0) => NLW_inst_rxsyncout_out_UNCONNECTED(0),
rxsysclksel_in(1 downto 0) => B"10",
rxtermination_in(0) => '0',
rxuserrdy_in(0) => '1',
rxusrclk2_in(0) => '0',
rxusrclk_in(0) => '0',
rxvalid_out(0) => NLW_inst_rxvalid_out_UNCONNECTED(0),
sdm0data_in(24 downto 0) => B"0100000000000000000000000",
sdm0finalout_out(3 downto 0) => NLW_inst_sdm0finalout_out_UNCONNECTED(3 downto 0),
sdm0reset_in(0) => '0',
sdm0testdata_out(14 downto 0) => NLW_inst_sdm0testdata_out_UNCONNECTED(14 downto 0),
sdm0toggle_in(0) => '0',
sdm0width_in(1 downto 0) => B"00",
sdm1data_in(24 downto 0) => B"0000000000000000000000000",
sdm1finalout_out(3 downto 0) => NLW_inst_sdm1finalout_out_UNCONNECTED(3 downto 0),
sdm1reset_in(0) => '0',
sdm1testdata_out(14 downto 0) => NLW_inst_sdm1testdata_out_UNCONNECTED(14 downto 0),
sdm1toggle_in(0) => '0',
sdm1width_in(1 downto 0) => B"00",
sigvalidclk_in(0) => '0',
tcongpi_in(0) => '0',
tcongpo_out(0) => NLW_inst_tcongpo_out_UNCONNECTED(0),
tconpowerup_in(0) => '0',
tconreset_in(0) => '0',
tconrsvdin1_in(0) => '0',
tconrsvdout0_out(0) => NLW_inst_tconrsvdout0_out_UNCONNECTED(0),
tstin_in(19 downto 0) => B"00000000000000000000",
tx8b10bbypass_in(7 downto 0) => B"00000000",
tx8b10ben_in(0) => '0',
txbufdiffctrl_in(0) => '0',
txbufstatus_out(1 downto 0) => NLW_inst_txbufstatus_out_UNCONNECTED(1 downto 0),
txcomfinish_out(0) => NLW_inst_txcomfinish_out_UNCONNECTED(0),
txcominit_in(0) => '0',
txcomsas_in(0) => '0',
txcomwake_in(0) => '0',
txctrl0_in(15 downto 0) => B"0000000000000000",
txctrl1_in(15 downto 0) => B"0000000000000000",
txctrl2_in(7 downto 0) => B"00000000",
txdata_in(127 downto 0) => B"00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000",
txdataextendrsvd_in(7 downto 0) => B"00000000",
txdccdone_out(0) => NLW_inst_txdccdone_out_UNCONNECTED(0),
txdccforcestart_in(0) => '0',
txdccreset_in(0) => '0',
txdeemph_in(1 downto 0) => B"00",
txdetectrx_in(0) => '0',
txdiffctrl_in(4 downto 0) => B"11000",
txdiffpd_in(0) => '0',
txdlybypass_in(0) => '1',
txdlyen_in(0) => '0',
txdlyhold_in(0) => '0',
txdlyovrden_in(0) => '0',
txdlysreset_in(0) => '0',
txdlysresetdone_out(0) => NLW_inst_txdlysresetdone_out_UNCONNECTED(0),
txdlyupdown_in(0) => '0',
txelecidle_in(0) => '0',
txelforcestart_in(0) => '0',
txheader_in(5 downto 0) => txheader_in(5 downto 0),
txinhibit_in(0) => '0',
txlatclk_in(0) => '0',
txlfpstreset_in(0) => '0',
txlfpsu2lpexit_in(0) => '0',
txlfpsu3wake_in(0) => '0',
txmaincursor_in(6 downto 0) => B"1010000",
txmargin_in(2 downto 0) => B"000",
txmuxdcdexhold_in(0) => '0',
txmuxdcdorwren_in(0) => '0',
txoneszeros_in(0) => '0',
txoutclk_out(0) => NLW_inst_txoutclk_out_UNCONNECTED(0),
txoutclkfabric_out(0) => NLW_inst_txoutclkfabric_out_UNCONNECTED(0),
txoutclkpcs_out(0) => NLW_inst_txoutclkpcs_out_UNCONNECTED(0),
txoutclksel_in(2 downto 0) => B"101",
txpcsreset_in(0) => '0',
txpd_in(1 downto 0) => B"00",
txpdelecidlemode_in(0) => '0',
txphalign_in(0) => '0',
txphaligndone_out(0) => NLW_inst_txphaligndone_out_UNCONNECTED(0),
txphalignen_in(0) => '0',
txphdlypd_in(0) => '1',
txphdlyreset_in(0) => '0',
txphdlytstclk_in(0) => '0',
txphinit_in(0) => '0',
txphinitdone_out(0) => NLW_inst_txphinitdone_out_UNCONNECTED(0),
txphovrden_in(0) => '0',
txpippmen_in(0) => '0',
txpippmovrden_in(0) => '0',
txpippmpd_in(0) => '0',
txpippmsel_in(0) => '1',
txpippmstepsize_in(4 downto 0) => B"00000",
txpisopd_in(0) => '0',
txpllclksel_in(1 downto 0) => B"11",
txpmareset_in(0) => '0',
txpmaresetdone_out(0) => txpmaresetdone_out(0),
txpolarity_in(0) => '0',
txpostcursor_in(4 downto 0) => B"00000",
txpostcursorinv_in(0) => '0',
txprbsforceerr_in(0) => '0',
txprbssel_in(3 downto 0) => B"0000",
txprecursor_in(4 downto 0) => B"00000",
txprecursorinv_in(0) => '0',
txprgdivresetdone_out(0) => txprgdivresetdone_out(0),
txprogdivreset_in(0) => '0',
txqpibiasen_in(0) => '0',
txqpisenn_out(0) => NLW_inst_txqpisenn_out_UNCONNECTED(0),
txqpisenp_out(0) => NLW_inst_txqpisenp_out_UNCONNECTED(0),
txqpistrongpdown_in(0) => '0',
txqpiweakpup_in(0) => '0',
txrate_in(2 downto 0) => B"000",
txratedone_out(0) => NLW_inst_txratedone_out_UNCONNECTED(0),
txratemode_in(0) => '0',
txresetdone_out(0) => NLW_inst_txresetdone_out_UNCONNECTED(0),
txsequence_in(6 downto 0) => txsequence_in(6 downto 0),
txswing_in(0) => '0',
txsyncallin_in(0) => '0',
txsyncdone_out(0) => NLW_inst_txsyncdone_out_UNCONNECTED(0),
txsyncin_in(0) => '0',
txsyncmode_in(0) => '0',
txsyncout_out(0) => NLW_inst_txsyncout_out_UNCONNECTED(0),
txsysclksel_in(1 downto 0) => B"10",
txuserrdy_in(0) => '1',
txusrclk2_in(0) => '0',
txusrclk_in(0) => '0',
ubcfgstreamen_in(0) => '0',
ubdaddr_out(15 downto 0) => NLW_inst_ubdaddr_out_UNCONNECTED(15 downto 0),
ubden_out(0) => NLW_inst_ubden_out_UNCONNECTED(0),
ubdi_out(15 downto 0) => NLW_inst_ubdi_out_UNCONNECTED(15 downto 0),
ubdo_in(15 downto 0) => B"0000000000000000",
ubdrdy_in(0) => '0',
ubdwe_out(0) => NLW_inst_ubdwe_out_UNCONNECTED(0),
ubenable_in(0) => '0',
ubgpi_in(1 downto 0) => B"00",
ubintr_in(1 downto 0) => B"00",
ubiolmbrst_in(0) => '0',
ubmbrst_in(0) => '0',
ubmdmcapture_in(0) => '0',
ubmdmdbgrst_in(0) => '0',
ubmdmdbgupdate_in(0) => '0',
ubmdmregen_in(3 downto 0) => B"0000",
ubmdmshift_in(0) => '0',
ubmdmsysrst_in(0) => '0',
ubmdmtck_in(0) => '0',
ubmdmtdi_in(0) => '0',
ubmdmtdo_out(0) => NLW_inst_ubmdmtdo_out_UNCONNECTED(0),
ubrsvdout_out(0) => NLW_inst_ubrsvdout_out_UNCONNECTED(0),
ubtxuart_out(0) => NLW_inst_ubtxuart_out_UNCONNECTED(0)
);
end STRUCTURE;
|
---------------------------------------------------------------------------------
--
-- Copyright 2017 - <NAME> Laboratory and University of Bristol
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
--
-- - - -
--
-- Additional information about ipbus-firmare and the list of ipbus-firmware
-- contacts are available at
--
-- https://ipbus.web.cern.ch/ipbus
--
---------------------------------------------------------------------------------
-- Simple interface to tx side of transactor...
-- Even simpler, but now multi-buffer RAM!
--
-- <NAME>, September 2012
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity udp_txtransactor_if is
generic(
BUFWIDTH: natural := 0
);
port (
mac_clk: in std_logic;
rst_macclk: in std_logic;
--
pkt_resend: in std_logic;
resend_pkt_id: in std_logic_vector(15 downto 0);
--
ipbus_out_hdr: in std_logic_vector(31 downto 0);
ipbus_out_valid: in std_logic;
tx_read_buffer: in std_logic_vector(BUFWIDTH - 1 downto 0);
--
udpram_busy: in std_logic;
clean_buf: in std_logic_vector(2**BUFWIDTH - 1 downto 0);
--
req_not_found: out std_logic;
req_resend: out std_logic;
resend_buf: out std_logic_vector(BUFWIDTH - 1 downto 0);
udpram_sent: out std_logic
);
end udp_txtransactor_if;
architecture simple of udp_txtransactor_if is
type pktid_buf is array (2**BUFWIDTH - 1 downto 0) of std_logic_vector(15 downto 0);
signal pkt_id_buf: pktid_buf;
begin
pkt_id_block: process (mac_clk)
begin
if rising_edge(mac_clk) then
if rst_macclk = '1' then
pkt_id_buf <= (Others => (Others => '0'));
elsif ipbus_out_valid = '1' then
-- Take byte ordering into account and make packet ID big endian...
if ipbus_out_hdr(31 downto 24) = x"20" then
pkt_id_buf(to_integer(unsigned(tx_read_buffer))) <= ipbus_out_hdr(23 downto 8);
else
pkt_id_buf(to_integer(unsigned(tx_read_buffer))) <=
ipbus_out_hdr(15 downto 8) & ipbus_out_hdr(23 downto 16);
end if;
end if;
end if;
end process;
resend_block: process (mac_clk)
variable req_resend_i, req_not_found_i: std_logic;
variable resend_buf_i: std_logic_vector(BUFWIDTH - 1 downto 0);
begin
if rising_edge(mac_clk) then
req_resend_i := '0';
req_not_found_i := '0';
resend_buf_i := (Others => '0');
if pkt_resend = '1' then
for i in 0 to 2**BUFWIDTH - 1 loop
if pkt_id_buf(i) = resend_pkt_id and clean_buf(i) = '1' then
req_resend_i := '1';
resend_buf_i := std_logic_vector(to_unsigned(i, BUFWIDTH));
end if;
end loop;
req_not_found_i := not req_resend_i;
end if;
req_not_found <= req_not_found_i
-- pragma translate_off
after 4 ns
-- pragma translate_on
;
req_resend <= req_resend_i
-- pragma translate_off
after 4 ns
-- pragma translate_on
;
resend_buf <= resend_buf_i
-- pragma translate_off
after 4 ns
-- pragma translate_on
;
end if;
end process;
sent_block: process (mac_clk)
variable last_busy: std_logic;
begin
if rising_edge(mac_clk) then
udpram_sent <= last_busy and not udpram_busy
-- pragma translate_off
after 4 ns
-- pragma translate_on
;
last_busy := udpram_busy;
end if;
end process;
end simple;
|
<filename>hdl/generic_spi_master.vhd
--************************************************************************
-- @author: <NAME>
-- @copyright: Copyright 2021
-- @credits: AKAE
--
-- @license: BSDv3
-- @maintainer: <NAME>
-- @email: <EMAIL>
--
-- @note: VHDL'93
-- @file: generic_spi_master.vhd
-- @date: 2021-01-23
--
-- @see: https://github.com/akaeba/generic_spi_master
-- @brief: SPI Master
--
-- Generic SPI master with multiple chip select lines
-- and a at compile time adjustable transfer rate.
-- The chip select lines use a round robin arbitration
-- starting with lowest CSN index.
--************************************************************************
--
-- Important Hints:
-- ================
--
-- Key Features
-- ------------
-- * SPI Mode 0-3
-- * Arbitrary number of chip-selects (CSN)
-- * Adjustable shift register width
-- * FSCK,max = FCLK/2
-- * FSCK settable at compile
-- * MISO input filter
-- * round-robin CSN arbitration, starting at low index
-- * no parallel buffer registers for minimal resource footprint
--
-- SPI Mode
-- --------
-- +----------+------+------+
-- | SPI Mode | CPOL | CPHA |
-- +----------+------+------+
-- | 0 | 0 | 0 |
-- | 1 | 0 | 1 |
-- | 2 | 1 | 0 |
-- | 3 | 1 | 1 |
-- +----------+------+------+
--
--
--------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.math_real.log2;
use IEEE.math_real.ceil;
use IEEE.math_real.floor;
--------------------------------------------------------------------------
--------------------------------------------------------------------------
-- Generic SPI Master
entity generic_spi_master is
generic (
SPI_MODE : integer range 0 to 3 := 0; --! used transfer mode
NUM_CS : positive := 1; --! Number of Channels (chip-selects)
DW_SFR : integer := 8; --! data width serial in/out shift register
CLK_HZ : positive := 50_000_000; --! clock frequency
SCK_HZ : positive := 1_000_000; --! Bit clock rate; minimal frequency - can be higher due numeric rounding effects
RST_ACTIVE : bit := '1'; --! Reset active level
MISO_SYNC_STG : natural range 0 to 3 := 0; --! number of MISO sync stages, 0: not implemented
MISO_FILT_STG : natural := 0 --! number of evaluated sample bits for hysteresis, 0/1: not implemented
);
port (
-- Clock/Reset
RST : in std_logic; --! asynchronous reset
CLK : in std_logic; --! clock, rising edge
-- SPI
CSN : out std_logic_vector(NUM_CS-1 downto 0); --! chip select
SCK : out std_logic; --! Shift forward clock
MOSI : out std_logic; --! serial data out; master-out / slave-in
MISO : in std_logic; --! serial data in; master-in / slave-out
-- Parallel
DI : in std_logic_vector(DW_SFR-1 downto 0); --! Parallel data-in, transmitted via MOSI
DO : out std_logic_vector(DW_SFR-1 downto 0); --! Parallel data-out, received via MISO
-- Management
EN : in std_logic; --! if in idle master starts receive and transmission
BSY : out std_logic; --! transmission is active
DI_RD : out std_logic_vector(NUM_CS-1 downto 0); --! DI segment transfered into MOSI shift forward register
DO_WR : out std_logic_vector(NUM_CS-1 downto 0) --! DO segment contents new data
);
end entity generic_spi_master;
--------------------------------------------------------------------------
--------------------------------------------------------------------------
architecture rtl of generic_spi_master is
----------------------------------------------
-- Constants
----------------------------------------------
-- SPI Mode
constant c_spi_mode_slv : std_logic_vector(1 downto 0) := std_logic_vector(to_unsigned(SPI_MODE, 2)); --! spi mode as slv
alias c_cpol : std_logic is c_spi_mode_slv(1); --! clock polarity; 0: clock idle low, 1: clock idle high
alias c_cpha : std_logic is c_spi_mode_slv(0); --! clock phase; 0: latch on first edge 1: latch on second edge
-- SCK Clock Divider
constant c_sck_div_2 : integer := integer(floor(real(CLK_HZ)/(2.0*real(SCK_HZ)))); --! 2.0 cause SCK needs half clocks
constant c_sck_div_width : integer := integer(ceil(log2(real(c_sck_div_2+1)))); --! half lock counter width
constant c_sck_cntr_init : unsigned(c_sck_div_width-1 downto 0) := to_unsigned(c_sck_div_2-1, c_sck_div_width); --! init value of SCK counter
-- Counter
constant c_bit_cntr_width : integer := integer(ceil(log2(real(DW_SFR+1)))); --! bit counter for SFR
constant c_cs_cntr_width : integer := integer(ceil(log2(real(NUM_CS+1)))); --! chip select channel counter
----------------------------------------------
----------------------------------------------
-- SPI state machine
----------------------------------------------
type t_spi_master is
(
IDLE, --! Wait for transfer start
CSN_START, --! CSN at transmission start
SCK_CHG, --! SFR output (MOSI) is changed
SCK_CAP, --! SFR captures input (MISO)
CSN_END, --! CSN at transmission start
CSN_FRC --! ensures wait of half SCK period
);
----------------------------------------------
----------------------------------------------
-- Signals
----------------------------------------------
-- FSM
signal current_state : t_spi_master; --! FSM state
signal next_state : t_spi_master; --! next state
-- Counter
signal sck_cntr_cnt : unsigned(c_sck_div_width-1 downto 0); --! SCK clock generator counter value
signal sck_cntr_ld : std_logic; --! load SCK clock generator
signal sck_cntr_en : std_logic; --! counter decrements
signal sck_cntr_is_zero : std_logic; --! actual count value is zero
signal sck_cntr_is_init : std_logic; --! counter was initialized
signal bit_cntr_cnt : unsigned(c_bit_cntr_width-1 downto 0); --! bit counter, needed for FSMs end of shift
signal bit_cntr_ld : std_logic; --! preload bit counter
signal bit_cntr_is_zero : std_logic; --! has zero count
signal bit_cntr_is_init : std_logic; --! has load count
signal bit_cntr_en : std_logic; --! enable counters decrement
signal cs_cntr_cnt : unsigned(c_cs_cntr_width-1 downto 0); --! CS channel selection counter
signal cs_cntr_zero : std_logic; --! make counter to zero
signal cs_cntr_en : std_logic; --! enable increment
signal cs_cntr_is_zero : std_logic; --! has minimal value, zero
-- SFR
signal sck_tff : std_logic; --! toggle flip-flop for SCK clock generation
signal sck_tff_ld : std_logic; --! preload register
signal sck_tff_en : std_logic; --! enable toggle
signal mosi_sfr : std_logic_vector(DW_SFR-1 downto 0); --! MOSI shift register
signal mosi_load : std_logic; --! load parallel data
signal mosi_shift : std_logic; --! shift on next clock rise edge
signal miso_filt : std_logic; --! filtered MISO data input
signal miso_sfr : std_logic_vector(DW_SFR-1 downto 0); --! MISO shift register
signal miso_shift : std_logic; --! shift on next clock rise edge
signal miso_shift_in : std_logic; --! shift delayed with filter delay
signal miso_shift_dly1 : std_logic; --! one clock cycle delayed mosi shift
-- Miscellaneous
signal csn_ff : std_logic_vector(CSN'range); --! CSN registered out
signal csn_ff_ld : std_logic;
signal csn_ff_en : std_logic;
----------------------------------------------
begin
----------------------------------------------
-- Synthesis/Simulator Messages
-- general
assert not true
report character(LF) &
"generic_spi_master" & character(LF) &
" SPI Mode : " & integer'image(SPI_MODE) & character(LF) &
" Chip select : " & integer'image(NUM_CS) & character(LF) &
" SFR width : " & integer'image(DW_SFR) & character(LF) &
" FCLK : " & integer'image(CLK_HZ) & "Hz" & character(LF) &
" FSCK : " & integer'image(CLK_HZ/(2*c_sck_div_2)) & "Hz"
severity note;
-- filter not implemented, but requested
assert not ( (c_sck_div_2 <= (MISO_SYNC_STG + MISO_FILT_STG)) and ((0 /= MISO_SYNC_STG) or (0 /= MISO_FILT_STG)) )
report character(LF) &
"MISO Filter" & character(LF) &
" SYNC : " & integer'image(MISO_SYNC_STG) & character(LF) &
" FILTER : " & integer'image(MISO_FILT_STG) & character(LF) &
" CLKDIV2 : " & integer'image(c_sck_div_2) & character(LF) &
"NOT IMPLEMENTED, OVERSAMPLING FACTOR OF SCK TWO LOW; SYNC + FILTER < CLKDIV2"
severity warning;
----------------------------------------------
----------------------------------------------
-- SPI Clock generator & control
----------------------------------------------
--***************************
p_sck : process( RST, CLK )
begin
if ( RST = to_stdulogic(RST_ACTIVE) ) then
sck_tff <= c_cpol;
elsif ( rising_edge(CLK) ) then
if ( '1' = sck_tff_ld ) then
sck_tff <= c_cpol;
elsif ( '1' = sck_tff_en ) then
sck_tff <= not sck_tff;
end if;
end if;
end process p_sck;
--***************************
--***************************
-- toggle control
with current_state select --! preload
sck_tff_ld <= '1' when IDLE, --! init
'1' when CSN_END, --! SPI Mode 0/2 last toggle skipped, bring to idle
'0' when others; --! counter not needed, reload
with current_state select --! enable
sck_tff_en <= ((c_cpha or (not bit_cntr_is_init)) and sck_cntr_is_init) when SCK_CHG, --! SPI Mode 0/2 TFF toggels not on falling edge of CSN
sck_cntr_is_init when SCK_CAP, --! toggle
'0' when others; --! hold
--***************************
--***************************
-- port assignment
SCK <= sck_tff;
--***************************
----------------------------------------------
----------------------------------------------
-- CSN Register & Control
----------------------------------------------
--***************************
p_csn_reg : process( RST, CLK )
begin
if ( RST = to_stdulogic(RST_ACTIVE) ) then
csn_ff <= (others => '1');
elsif ( rising_edge(CLK) ) then
if ( '1' = csn_ff_ld ) then
csn_ff <= (others => '1');
elsif ( '1' = csn_ff_en ) then
csn_ff <= (others => '1'); --! disable all
csn_ff(to_integer(to_01(cs_cntr_cnt))) <= '0'; --! enable selected channel
end if;
end if;
end process p_csn_reg;
--***************************
--***************************
-- Control
with current_state select --! select channel
csn_ff_en <= '1' when CSN_START, --! SPI Mode 1/3,
(((not c_cpha) and bit_cntr_is_init) and sck_cntr_is_init) when SCK_CHG, --! SPI Mode 0/2, CSN is enabled at SCK change
'0' when others; --! hold last value
with current_state select --! deselect all
csn_ff_ld <= '1' when IDLE, --! all slaves disabled
'1' when CSN_FRC, --! deselect all slaves
'0' when others; --! hold last value
--***************************
--***************************
-- port assignment
CSN <= csn_ff;
--***************************
----------------------------------------------
----------------------------------------------
-- MOSI Shift Register & Control
----------------------------------------------
--***************************
-- SFR
p_mosi_sfr : process( RST, CLK )
begin
if ( to_stdulogic(RST_ACTIVE) = RST ) then
mosi_sfr <= (others => '0');
elsif ( rising_edge(CLK) ) then
if ( '1' = mosi_load ) then
mosi_sfr <= DI; --! load SFR
elsif ( '1' = mosi_shift ) then
mosi_sfr <= mosi_sfr(mosi_sfr'left-1 downto mosi_sfr'right) & '0'; --! shift one bit to left
end if;
end if;
end process p_mosi_sfr;
--***************************
--***************************
-- SFR Control
with current_state select --! MOSI load, dominant
mosi_load <= bit_cntr_is_init and sck_cntr_is_init when SCK_CHG, --! new value
'0' when others; --!
with current_state select --! MOSI shift
mosi_shift <= sck_cntr_is_init when SCK_CHG, --! shift
sck_cntr_is_init when CSN_END, --! sets line to zero
'0' when others; --! no shift
--***************************
--***************************
-- Input selection
p_di_sel : process( mosi_load, cs_cntr_cnt )
begin
DI_RD <= (others => '0');
DI_RD(to_integer(to_01(cs_cntr_cnt))) <= mosi_load;
end process p_di_sel;
--***************************
--***************************
-- Output
MOSI <= mosi_sfr(mosi_sfr'left); --! MSB is shifted out first
--***************************
----------------------------------------------
----------------------------------------------
-- MISO Shift Register
----------------------------------------------
--***************************
-- MISO input filtering
-- sample point = sync stages + floor(filter stages/2)
-- for relaxed internal FSM handling some additional clock cycles
g_filter : if c_sck_div_2 > (MISO_SYNC_STG + MISO_FILT_STG) generate
i_generic_spi_master_inp_filter : entity work.generic_spi_master_inp_filter
generic map (
SYNC_STAGES => MISO_SYNC_STG, --! synchronizer stages; 0: not implemented
VOTER_STAGES => MISO_FILT_STG, --! number of ff stages for voter; if all '1' out is '1', if all '0' out '0', otherwise hold; 0: not implemented
RST_STRBO => '0', --! STRBO output in reset
RST_ACTIVE => RST_ACTIVE --! Reset active level
)
port map (
RST => RST, --! asynchronous reset
CLK => CLK, --! clock, rising edge
FILTI => MISO, --! filter input
FILTO => miso_filt, --! filter output
STRBI => miso_shift_in, --! data strobe input
STRBO => miso_shift --! data strobe output, not filtered only delayed like filter delay, strobe is center aligned to filter chain
);
end generate g_filter;
--***************************
--***************************
-- No input filtering
-- filter delay is longer then capturing state of FSM, avoids complex FSM
g_skip_filter : if c_sck_div_2 <= (MISO_SYNC_STG + MISO_FILT_STG) generate
miso_filt <= MISO;
miso_shift <= miso_shift_in;
end generate g_skip_filter;
--***************************
--***************************
-- SFR
p_miso_sfr : process( RST, CLK )
begin
if ( to_stdulogic(RST_ACTIVE) = RST ) then
miso_sfr <= (others => '0');
miso_shift_dly1 <= '0';
elsif ( rising_edge(CLK) ) then
-- SFR
if ( '1' = miso_shift ) then
miso_sfr <= miso_sfr(miso_sfr'left-1 downto miso_sfr'right) & miso_filt; --! shift one bit to left
end if;
-- DFF
miso_shift_dly1 <= miso_shift;
end if;
end process p_MISO_sfr;
--***************************
--***************************
-- SFR Control
with current_state select --! MOSI shift
miso_shift_in <= sck_cntr_is_init when SCK_CAP, --! when SCK_CAP, --! shift
'0' when others; --! no shift
--***************************
--***************************
-- Output Capturing
p_do_sel : process( miso_shift_dly1, cs_cntr_cnt, bit_cntr_is_zero )
begin
DO_WR <= (others => '0');
DO_WR(to_integer(to_01(cs_cntr_cnt))) <= miso_shift_dly1 and bit_cntr_is_zero; --! SFR data complete received
end process p_do_sel;
--***************************
--***************************
-- Output
DO <= miso_sfr; --! Captured Serial data is released
--***************************
----------------------------------------------
----------------------------------------------
-- SCK counter & control
----------------------------------------------
--***************************
-- c_sck_div_2 > 1 -> go in wait state, and count divider down
--
g_sck_cntr : if c_sck_div_2 > 1 generate
-- registered counter
p_sck_cntr : process( RST, CLK )
begin
if ( to_stdulogic(RST_ACTIVE) = RST ) then
-- Reset
sck_cntr_cnt <= (others => '0');
elsif ( rising_edge(CLK) ) then
-- SCK Clock generator
if ( '1' = sck_cntr_ld ) then
-- counter clock divider required?
if ( 1 < c_sck_div_2 ) then --! SCK < CLK/2
sck_cntr_cnt <= c_sck_cntr_init;
else --! SCK = CLK/2
sck_cntr_cnt <= (others => '0');
end if;
elsif ( '1' = sck_cntr_en ) then
sck_cntr_cnt <= sck_cntr_cnt-1;
end if;
end if;
end process p_sck_cntr;
-- control
with current_state select --! reload
sck_cntr_ld <= sck_cntr_is_zero when CSN_START, --! wait for target shift clock generation, and overflow
sck_cntr_is_zero when SCK_CHG, --!
sck_cntr_is_zero when SCK_CAP, --!
sck_cntr_is_zero when CSN_END, --!
sck_cntr_is_zero when CSN_FRC, --!
'1' when others; --! counter not needed, reload
with current_state select --! enable
sck_cntr_en <= '1' when CSN_START, --! count to achieve target clock
'1' when SCK_CHG, --!
'1' when SCK_CAP, --!
'1' when CSN_END, --!
'1' when CSN_FRC, --!
'0' when others; --! no count
end generate g_sck_cntr;
--***************************
--***************************
-- c_sck_div_2 = 1 -> SCK toggles at every CLK rising edge, no wait state required
--
g_skip_sck_cntr : if c_sck_div_2 <= 1 generate
sck_cntr_cnt <= (others => '0');
end generate g_skip_sck_cntr;
--***************************
--***************************
-- Flags
sck_cntr_is_zero <= '1' when ( 0 = to_01(sck_cntr_cnt) ) else '0';
sck_cntr_is_init <= '1' when ( c_sck_cntr_init = to_01(sck_cntr_cnt) ) else '0';
--***************************
----------------------------------------------
----------------------------------------------
-- CSN counter & control
----------------------------------------------
--***************************
-- NUM_CS > 1 -> serve multiple CS in round robin method, starting at low index
--
g_csn_cntr : if NUM_CS > 1 generate
-- registered counter
p_csn_cntr : process( RST, CLK )
begin
if ( to_stdulogic(RST_ACTIVE) = RST ) then
-- Reset
cs_cntr_cnt <= (others => '0');
elsif ( rising_edge(CLK) ) then
-- CS counter
if ( '1' = cs_cntr_zero ) then
cs_cntr_cnt <= (others => '0');
elsif ( '1' = cs_cntr_en ) then
if ( cs_cntr_cnt = NUM_CS-1 ) then --! overflow, always inside CSN vector
cs_cntr_cnt <= (others => '0');
else
cs_cntr_cnt <= cs_cntr_cnt + 1; --! increment
end if;
end if;
end if;
end process p_csn_cntr;
-- control
with current_state select --! clears counter
cs_cntr_zero <= '1' when IDLE, --! clear
'0' when others; --! hold
with current_state select --! enable
cs_cntr_en <= sck_cntr_is_init when CSN_FRC, --! ready to release
'0' when others; --! hold
end generate g_csn_cntr;
--***************************
--***************************
-- NUM_CS = 1 -> no counter necessary
--
g_skip_csn_cntr : if NUM_CS <= 1 generate
cs_cntr_cnt <= (others => '0');
end generate g_skip_csn_cntr;
--***************************
--***************************
-- flags
cs_cntr_is_zero <= '1' when ( 0 = to_01(cs_cntr_cnt) ) else '0';
--***************************
----------------------------------------------
----------------------------------------------
-- Counter registers & Control
----------------------------------------------
--***************************
p_bit_cntr : process( RST, CLK )
begin
if ( to_stdulogic(RST_ACTIVE) = RST ) then
-- Reset
bit_cntr_cnt <= (others => '0');
elsif ( rising_edge(CLK) ) then
-- Bit counter
if ( '1' = bit_cntr_ld ) then
bit_cntr_cnt <= to_unsigned(DW_SFR, bit_cntr_cnt'length);
elsif ( '1' = bit_cntr_en ) then
bit_cntr_cnt <= bit_cntr_cnt-1;
end if;
end if;
end process p_bit_cntr;
--***************************
--***************************
-- Bit counter
with current_state select --! reload
bit_cntr_ld <= '1' when IDLE, --! preload
'1' when CSN_FRC, --! reload counter in SPI Mode 0/2, cause CSN_START is bypassed
'1' when CSN_START, --! preload counter
'0' when others; --! counter not needed, reload
with current_state select --! enable
bit_cntr_en <= sck_cntr_is_init when SCK_CHG, --! decrement counter
'0' when others; --! hold
-- Flags
bit_cntr_is_zero <= '1' when ( 0 = to_01(bit_cntr_cnt) ) else '0';
bit_cntr_is_init <= '1' when ( to_unsigned(DW_SFR, bit_cntr_cnt'length) = to_01(bit_cntr_cnt) ) else '0';
--***************************
----------------------------------------------
----------------------------------------------
-- Miscellaneous
----------------------------------------------
--***************************
-- SPI activity
with current_state select --! SPI active
BSY <= '0' when IDLE, --! idle
'1' when others; --! busy
--***************************
----------------------------------------------
----------------------------------------------
-- FSM state registers
p_fsm_reg : process( RST, CLK )
begin
if ( to_stdulogic(RST_ACTIVE) = RST ) then
current_state <= IDLE;
elsif ( rising_edge(CLK) ) then
current_state <= next_state;
end if;
end process p_fsm_reg;
----------------------------------------------
----------------------------------------------
-- next state calculation
p_next_state : process (
current_state, --! current FSM state
EN, --! module inputs, enables transceiver
sck_cntr_is_zero, --! sck counter expired
bit_cntr_is_zero, --! count shifted times
cs_cntr_is_zero --! cs counter expired
)
begin
-- default assignment
next_state <= current_state; --! default assignment
-- state transitions
case current_state is
--***************************
-- wait for start
when IDLE =>
if ( '1' = EN ) then
if ( '0' = c_cpha ) then --! SPI mode 0/2
next_state <= SCK_CHG;
else
next_state <= CSN_START;
end if;
else
next_state <= IDLE;
end if;
--***************************
--***************************
-- Chip select is asserted
when CSN_START =>
if ( '1' = sck_cntr_is_zero ) then --! wait done
next_state <= SCK_CHG;
else --! clock division
next_state <= CSN_START;
end if;
--***************************
--***************************
-- MOSI changes
when SCK_CHG =>
if ( '1' = sck_cntr_is_zero ) then --! wait done
next_state <= SCK_CAP;
else --! SCK clock division
next_state <= SCK_CHG;
end if;
--***************************
--***************************
-- MISO captured
when SCK_CAP =>
if ( '1' = sck_cntr_is_zero ) then
if ( '1' = bit_cntr_is_zero ) then
if ( '0' = c_cpha ) then --! SPI mode 0/2
next_state <= CSN_END; --! waits half clock SCK cycle before CS disabling
else --! SPI mode 1/3
next_state <= CSN_FRC; --! de-select SPI slave
end if;
else
next_state <= SCK_CHG;
end if;
else --! clock division
next_state <= SCK_CAP;
end if;
--***************************
--***************************
-- Wait for CSN half SCK cycle before deassert
when CSN_END =>
if ( '1' = sck_cntr_is_zero ) then
next_state <= CSN_FRC;
else
next_state <= CSN_END;
end if;
--***************************
--***************************
-- Limits CSN disable/enable to half SCK
when CSN_FRC =>
if ( '1' = sck_cntr_is_zero ) then --! go on
if ( '1' = cs_cntr_is_zero ) then --! all CSN channels served
if ( '1' = EN ) then --! continuous run
if ( '0' = c_cpha ) then
next_state <= SCK_CHG; --! next CS, SPI 0/2
else
next_state <= CSN_START; --! next CS, SPI 1/3
end if;
else
next_state <= IDLE; --! all channels served
end if;
else
if ( '0' = c_cpha ) then
next_state <= SCK_CHG; --! next CS, SPI 0/2
else
next_state <= CSN_START; --! next CS, SPI 1/3
end if;
end if;
else --! clock division
next_state <= CSN_FRC;
end if;
--***************************
--***************************
-- Recovering from illegal state transitions
when others =>
next_state <= IDLE;
--***************************
end case;
end process p_next_state;
----------------------------------------------
end architecture rtl;
--------------------------------------------------------------------------
|
library ieee;
use ieee.std_logic_1164.all;
entity slr is
generic (
m : integer := 6);
port (
din : in std_logic_vector(m-1 downto 0); -- input
clk : in std_logic; -- input
en : in std_logic; -- input
load : in std_logic; -- input
Q : out std_logic); -- output
end entity slr;
architecture slr_arc of slr is
signal temp : std_logic_vector(m-1 downto 0);
begin -- architecture srl_arc
process(clk)
begin
if (clk'event and clk='1') then
if (en='1') then
if (load='1') then
temp<=din;
else
temp<=temp(m-2 downto 0) & temp(m-1);
end if;
end if;
Q<=temp(m-1);
end if;
end process;
end architecture slr_arc;
|
----------------------------------------------------------------------------------------------------
-- Block name: DISCRETE_COMM_SHIELD --
----------------------------------------------------------------------------------------------------
-- Author name: <NAME> --
----------------------------------------------------------------------------------------------------
-- Dependencies: None. --
----------------------------------------------------------------------------------------------------
-- Initial version 1 - 09/08/2017 --
----------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity DISCRETE_COMM_SHIELD is
port (
-- Clock and Reset signals
CLK_50MHZ : in std_logic; -- 50 MHz clock.
nRESET : in std_logic; -- Asynchronous reset (Active LOW).
-- FPGA_MAIN_MODULE ports interface
DISC_IN_1_SH_D2 : in std_logic; -- Arduino Shield digital port D2. Discrete Input 1.
DISC_IN_2_SH_D4 : in std_logic; -- Arduino Shield digital port D4. Discrete Input 2.
DISC_IN_3_SH_D7 : in std_logic; -- Arduino Shield digital port D7. Discrete Input 3.
PWM_1_SH_D3 : out std_logic; -- Arduino Shield digital port D3. PWM port 1.
PWM_2_SH_D5 : out std_logic; -- Arduino Shield digital port D5. PWM port 2.
PWM_3_SH_D6 : out std_logic; -- Arduino Shield digital port D6. PWM port 3.
DISC_OUT_1_SH_D8 : out std_logic; -- Arduino Shield digital port D8. Discrete Ouput 1.
DISC_OUT_2_SH_D12 : out std_logic; -- Arduino Shield digital port D8. Discrete Ouput 1.
DISC_OUT_3_SH_D13 : out std_logic; -- Arduino Shield digital port D8. Discrete Ouput 1.
PWM_4_SH_D9 : out std_logic; -- Arduino Shield digital port D9. PWM port 4.
PWM_5_SH_D10 : out std_logic; -- Arduino Shield digital port D10. PWM port 5.
PWM_6_SH_D11 : out std_logic; -- Arduino Shield digital port D11. PWM port 6.
-- MAIN_PROCESSOR ports interface
DISC_OUT_SH_LEVEL : in std_logic_vector(8 downto 0); -- Commanded level for discrete outputs.
DISC_IN_SH_EDGE : out std_logic_vector(2 downto 0)); -- Edge detected in discrete inputs.
end DISCRETE_COMM_SHIELD;
architecture RTL of DISCRETE_COMM_SHIELD is
----------------------------------------------------------------------------------------------------
-- COMPONENT DECLARATIONS --
----------------------------------------------------------------------------------------------------
-- None.
----------------------------------------------------------------------------------------------------
-- SIGNAL DECLARATIONS --
----------------------------------------------------------------------------------------------------
-- Edge detector
signal DISC_IN_1_SH_D2_FF : std_logic; -- Discrete Input 1 register
signal DISC_IN_2_SH_D4_FF : std_logic; -- Discrete Input 2 register
signal DISC_IN_3_SH_D7_FF : std_logic; -- Discrete Input 3 register
begin
----------------------------------------------------------------------------------------------------
-- COMPONENT INSTANTIATIONS --
----------------------------------------------------------------------------------------------------
-- None.
-- Discrete Input edge detector
DISCRETE_INPUT_EDGE_DET: process(CLK_50MHZ)
begin
if rising_edge(CLK_50MHZ) then
if nRESET = '0' then
DISC_IN_1_SH_D2_FF <= '0';
DISC_IN_2_SH_D4_FF <= '0';
DISC_IN_3_SH_D7_FF <= '0';
DISC_IN_SH_EDGE <= (others => '0');
else
DISC_IN_1_SH_D2_FF <= DISC_IN_1_SH_D2;
DISC_IN_2_SH_D4_FF <= DISC_IN_2_SH_D4;
DISC_IN_3_SH_D7_FF <= DISC_IN_3_SH_D7;
DISC_IN_SH_EDGE(0) <= DISC_IN_1_SH_D2 xor DISC_IN_1_SH_D2_FF;
DISC_IN_SH_EDGE(1) <= DISC_IN_2_SH_D4 xor DISC_IN_2_SH_D4_FF;
DISC_IN_SH_EDGE(2) <= DISC_IN_3_SH_D7 xor DISC_IN_3_SH_D7_FF;
end if;
end if;
end process DISCRETE_INPUT_EDGE_DET;
-- Discrete Output register
DISCRETE_OUTPUT_REG: process(CLK_50MHZ)
begin
if rising_edge(CLK_50MHZ) then
if nRESET = '0' then
PWM_1_SH_D3 <= '0';
PWM_2_SH_D5 <= '0';
PWM_3_SH_D6 <= '0';
DISC_OUT_1_SH_D8 <= '0';
DISC_OUT_2_SH_D12 <= '0';
DISC_OUT_3_SH_D13 <= '0';
PWM_4_SH_D9 <= '0';
PWM_5_SH_D10 <= '0';
PWM_6_SH_D11 <= '0';
else
PWM_1_SH_D3 <= DISC_OUT_SH_LEVEL(0);
PWM_2_SH_D5 <= DISC_OUT_SH_LEVEL(1);
PWM_3_SH_D6 <= DISC_OUT_SH_LEVEL(2);
DISC_OUT_1_SH_D8 <= DISC_OUT_SH_LEVEL(3);
DISC_OUT_2_SH_D12 <= DISC_OUT_SH_LEVEL(4);
DISC_OUT_3_SH_D13 <= DISC_OUT_SH_LEVEL(5);
PWM_4_SH_D9 <= DISC_OUT_SH_LEVEL(6);
PWM_5_SH_D10 <= DISC_OUT_SH_LEVEL(7);
PWM_6_SH_D11 <= DISC_OUT_SH_LEVEL(8);
end if;
end if;
end process DISCRETE_OUTPUT_REG;
end RTL;
|
<reponame>slaclab/smurf-pcie
-------------------------------------------------------------------------------
-- File : EthPhyWrapper.vhd
-- Company : SLAC National Accelerator Laboratory
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- This file is part of 'SLAC Firmware Standard Library'.
-- It is subject to the license terms in the LICENSE.txt file found in the
-- top-level directory of this distribution and at:
-- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
-- No part of 'SLAC Firmware Standard Library', including this file,
-- may be copied, modified, propagated, or distributed except according to
-- the terms contained in the LICENSE.txt file.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library surf;
use surf.StdRtlPkg.all;
use surf.AxiStreamPkg.all;
use surf.AxiLitePkg.all;
use surf.EthMacPkg.all;
use surf.TenGigEthPkg.all;
use work.AppPkg.all;
library unisim;
use unisim.vcomponents.all;
entity EthPhyWrapper is
generic (
TPD_G : time := 1 ns;
AXI_BASE_ADDR_G : slv(31 downto 0));
port (
-- Local Configurations
localMac : out Slv48Array(NUM_RSSI_C-1 downto 0);
localIp : out Slv32Array(NUM_RSSI_C-1 downto 0);
udpToPhyRoute : in Slv8Array(NUM_RSSI_C-1 downto 0);
-- Streaming DMA Interface
udpIbMasters : out AxiStreamMasterArray(NUM_RSSI_C-1 downto 0);
udpIbSlaves : in AxiStreamSlaveArray(NUM_RSSI_C-1 downto 0);
udpObMasters : in AxiStreamMasterArray(NUM_RSSI_C-1 downto 0);
udpObSlaves : out AxiStreamSlaveArray(NUM_RSSI_C-1 downto 0);
-- Slave AXI-Lite Interface
axilClk : in sl;
axilRst : in sl;
axilReadMaster : in AxiLiteReadMasterType;
axilReadSlave : out AxiLiteReadSlaveType;
axilWriteMaster : in AxiLiteWriteMasterType;
axilWriteSlave : out AxiLiteWriteSlaveType;
---------------------
-- Hardware Ports
---------------------
-- QSFP[0] Ports
qsfp0RefClkP : in slv(1 downto 0);
qsfp0RefClkN : in slv(1 downto 0);
qsfp0RxP : in slv(3 downto 0);
qsfp0RxN : in slv(3 downto 0);
qsfp0TxP : out slv(3 downto 0);
qsfp0TxN : out slv(3 downto 0);
-- QSFP[1] Ports
qsfp1RefClkP : in slv(1 downto 0);
qsfp1RefClkN : in slv(1 downto 0);
qsfp1RxP : in slv(3 downto 0);
qsfp1RxN : in slv(3 downto 0);
qsfp1TxP : out slv(3 downto 0);
qsfp1TxN : out slv(3 downto 0));
end EthPhyWrapper;
architecture mapping of EthPhyWrapper is
constant NUM_AXI_MASTERS_C : natural := 16;
constant AXI_CONFIG_C : AxiLiteCrossbarMasterConfigArray(NUM_AXI_MASTERS_C-1 downto 0) := genAxiLiteConfig(NUM_AXI_MASTERS_C, AXI_BASE_ADDR_G, 16, 12);
signal axilWriteMasters : AxiLiteWriteMasterArray(NUM_AXI_MASTERS_C-1 downto 0) := (others => AXI_LITE_WRITE_MASTER_INIT_C);
signal axilWriteSlaves : AxiLiteWriteSlaveArray(NUM_AXI_MASTERS_C-1 downto 0) := (others => AXI_LITE_WRITE_SLAVE_EMPTY_SLVERR_C);
signal axilReadMasters : AxiLiteReadMasterArray(NUM_AXI_MASTERS_C-1 downto 0) := (others => AXI_LITE_READ_MASTER_INIT_C);
signal axilReadSlaves : AxiLiteReadSlaveArray(NUM_AXI_MASTERS_C-1 downto 0) := (others => AXI_LITE_READ_SLAVE_EMPTY_SLVERR_C);
signal phyIbMasters : AxiStreamMasterArray(7 downto 0) := (others => AXI_STREAM_MASTER_INIT_C);
signal phyIbSlaves : AxiStreamSlaveArray(7 downto 0) := (others => AXI_STREAM_SLAVE_FORCE_C);
signal phyObMasters : AxiStreamMasterArray(7 downto 0) := (others => AXI_STREAM_MASTER_INIT_C);
signal phyObSlaves : AxiStreamSlaveArray(7 downto 0) := (others => AXI_STREAM_SLAVE_FORCE_C);
signal mac : Slv48Array(7 downto 0) := (others => (others => '0'));
signal ip : Slv32Array(7 downto 0) := (others => (others => '0'));
signal axilReset : sl;
signal gtTxPreCursor : Slv5Array(7 downto 0);
signal gtTxPostCursor : Slv5Array(7 downto 0);
signal gtTxDiffCtrl : Slv4Array(7 downto 0);
signal phyToUdpRoute : Slv8Array(7 downto 0);
signal dmaClk : slv(7 downto 0);
signal dmaRst : slv(7 downto 0);
signal axiLiteClk : slv(7 downto 0);
signal axiLiteRst : slv(7 downto 0);
signal refClk : slv(1 downto 0);
attribute dont_touch : string;
attribute dont_touch of refClk : signal is "TRUE";
begin
dmaClk <= (others => axilClk);
dmaRst <= (others => axilReset);
axiLiteClk <= (others => axilClk);
axiLiteRst <= (others => axilReset);
-------------------------------
-- TODO: Add routing logic here
-------------------------------
localMac <= mac(NUM_RSSI_C-1 downto 0);
localIp <= ip(NUM_RSSI_C-1 downto 0);
udpIbMasters <= phyObMasters(NUM_RSSI_C-1 downto 0);
phyObSlaves(NUM_RSSI_C-1 downto 0) <= udpIbSlaves;
phyIbMasters(NUM_RSSI_C-1 downto 0) <= udpObMasters;
udpObSlaves <= phyIbSlaves(NUM_RSSI_C-1 downto 0);
-- ROUTE_TABLE : process (udpToPhyRoute) is
-- variable route : Slv8Array(7 downto 0);
-- begin
-- -- Init
-- route := (others => x"FF");
-- -- Create the PHY-to-UDP route table
-- for i in NUM_RSSI_C-1 downto 0 loop
-- route(conv_integer(udpToPhyRoute(i))) := toSlv(i, 8);
-- end loop;
-- -- Outputs
-- phyToUdpRoute <= route;
-- end process;
-- process(axilClk)
-- begin
-- if rising_edge(axilClk) then
-- for i in 7 downto 0 loop
-- if phyToUdpRoute(i) /= x"FF" then
-- localMac(conv_integer(phyToUdpRoute(i))) <= mac(i) after TPD_G;
-- localIp(conv_integer(phyToUdpRoute(i))) <= ip(i) after TPD_G;
-- end if;
-- end loop;
-- end if;
-- end process;
-- U_IbRouter : entity work.AxiStreamRouter
-- generic map (
-- TPD_G => TPD_G,
-- NUM_SLAVES_G => 8,
-- NUM_MASTERS_G => NUM_RSSI_C,
-- SLAVES_PIPE_STAGES_G => 1,
-- MASTERS_PIPE_STAGES_G => 1)
-- port map (
-- -- Clock and reset
-- axisClk => axilClk,
-- axisRst => axilReset,
-- -- Routing Configuration
-- routeConfig => phyToUdpRoute,
-- -- Slave Interfaces
-- sAxisMasters => phyObMasters,
-- sAxisSlaves => phyObSlaves,
-- -- Master Interfaces
-- mAxisMasters => udpIbMasters,
-- mAxisSlaves => udpIbSlaves);
-- U_ObRouter : entity work.AxiStreamRouter
-- generic map (
-- TPD_G => TPD_G,
-- NUM_SLAVES_G => NUM_RSSI_C,
-- NUM_MASTERS_G => 8,
-- SLAVES_PIPE_STAGES_G => 1,
-- MASTERS_PIPE_STAGES_G => 1)
-- port map (
-- -- Clock and reset
-- axisClk => axilClk,
-- axisRst => axilReset,
-- -- Routing Configuration
-- routeConfig => udpToPhyRoute,
-- -- Slave Interfaces
-- sAxisMasters => udpObMasters,
-- sAxisSlaves => udpObSlaves,
-- -- Master Interfaces
-- mAxisMasters => phyIbMasters,
-- mAxisSlaves => phyIbSlaves);
-----------------
-- Reset Pipeline
-----------------
U_axilRst : entity surf.RstPipeline
generic map (
TPD_G => TPD_G)
port map (
clk => axilClk,
rstIn => axilRst,
rstOut => axilReset);
---------------------
-- AXI-Lite Crossbar
---------------------
U_XBAR : entity surf.AxiLiteCrossbar
generic map (
TPD_G => TPD_G,
NUM_SLAVE_SLOTS_G => 1,
NUM_MASTER_SLOTS_G => NUM_AXI_MASTERS_C,
MASTERS_CONFIG_G => AXI_CONFIG_C)
port map (
axiClk => axilClk,
axiClkRst => axilReset,
sAxiWriteMasters(0) => axilWriteMaster,
sAxiWriteSlaves(0) => axilWriteSlave,
sAxiReadMasters(0) => axilReadMaster,
sAxiReadSlaves(0) => axilReadSlave,
mAxiWriteMasters => axilWriteMasters,
mAxiWriteSlaves => axilWriteSlaves,
mAxiReadMasters => axilReadMasters,
mAxiReadSlaves => axilReadSlaves);
----------------
-- 10GigE Module
----------------
U_QSFP0 : entity surf.TenGigEthGthUltraScaleWrapper
generic map (
TPD_G => TPD_G,
NUM_LANE_G => 4,
EN_AXI_REG_G => true,
-- AXI Streaming Configurations
AXIS_CONFIG_G => (others => EMAC_AXIS_CONFIG_C))
port map (
-- Local Configurations
localMac => mac(3 downto 0),
-- Streaming DMA Interface
dmaClk => dmaClk(3 downto 0),
dmaRst => dmaRst(3 downto 0),
dmaIbMasters => phyObMasters(3 downto 0),
dmaIbSlaves => phyObSlaves(3 downto 0),
dmaObMasters => phyIbMasters(3 downto 0),
dmaObSlaves => phyIbSlaves(3 downto 0),
-- Slave AXI-Lite Interface
axiLiteClk => axiLiteClk(3 downto 0),
axiLiteRst => axiLiteRst(3 downto 0),
axiLiteReadMasters => axilReadMasters(3 downto 0),
axiLiteReadSlaves => axilReadSlaves(3 downto 0),
axiLiteWriteMasters => axilWriteMasters(3 downto 0),
axiLiteWriteSlaves => axilWriteSlaves(3 downto 0),
-- Misc. Signals
extRst => axilReset,
-- Transceiver Debug Interface
-- gtTxPreCursor => gtTxPreCursor(3 downto 0),
-- gtTxPostCursor => gtTxPostCursor(3 downto 0),
-- gtTxDiffCtrl => gtTxDiffCtrl(3 downto 0),
-- MGT Clock Port
gtClkP => qsfp0RefClkP(0),
gtClkN => qsfp0RefClkN(0),
-- MGT Ports
gtTxP => qsfp0TxP,
gtTxN => qsfp0TxN,
gtRxP => qsfp0RxP,
gtRxN => qsfp0RxN);
U_QSFP1 : entity surf.TenGigEthGthUltraScaleWrapper
generic map (
TPD_G => TPD_G,
NUM_LANE_G => 4,
EN_AXI_REG_G => true,
-- AXI Streaming Configurations
AXIS_CONFIG_G => (others => EMAC_AXIS_CONFIG_C))
port map (
-- Local Configurations
localMac => mac(7 downto 4),
-- Streaming DMA Interface
dmaClk => dmaClk(7 downto 4),
dmaRst => dmaRst(7 downto 4),
dmaIbMasters => phyObMasters(7 downto 4),
dmaIbSlaves => phyObSlaves(7 downto 4),
dmaObMasters => phyIbMasters(7 downto 4),
dmaObSlaves => phyIbSlaves(7 downto 4),
-- Slave AXI-Lite Interface
axiLiteClk => axiLiteClk(7 downto 4),
axiLiteRst => axiLiteRst(7 downto 4),
axiLiteReadMasters => axilReadMasters(7 downto 4),
axiLiteReadSlaves => axilReadSlaves(7 downto 4),
axiLiteWriteMasters => axilWriteMasters(7 downto 4),
axiLiteWriteSlaves => axilWriteSlaves(7 downto 4),
-- Misc. Signals
extRst => axilReset,
-- Transceiver Debug Interface
-- gtTxPreCursor => gtTxPreCursor(7 downto 4),
-- gtTxPostCursor => gtTxPostCursor(7 downto 4),
-- gtTxDiffCtrl => gtTxDiffCtrl(7 downto 4),
-- MGT Clock Port
gtClkP => qsfp1RefClkP(0),
gtClkN => qsfp1RefClkN(0),
-- MGT Ports
gtTxP => qsfp1TxP,
gtTxN => qsfp1TxN,
gtRxP => qsfp1RxP,
gtRxN => qsfp1RxN);
-- U_QSFP1 : entity surf.TenGigEthGthUltraScaleWrapper
-- generic map (
-- TPD_G => TPD_G,
-- NUM_LANE_G => 2,
-- EN_AXI_REG_G => true,
-- -- AXI Streaming Configurations
-- AXIS_CONFIG_G => (others => EMAC_AXIS_CONFIG_C))
-- port map (
-- -- Local Configurations
-- localMac => mac(5 downto 4),
-- -- Streaming DMA Interface
-- dmaClk => dmaClk(5 downto 4),
-- dmaRst => dmaRst(5 downto 4),
-- dmaIbMasters => phyObMasters(5 downto 4),
-- dmaIbSlaves => phyObSlaves(5 downto 4),
-- dmaObMasters => phyIbMasters(5 downto 4),
-- dmaObSlaves => phyIbSlaves(5 downto 4),
-- -- Slave AXI-Lite Interface
-- axiLiteClk => axiLiteClk(5 downto 4),
-- axiLiteRst => axiLiteRst(5 downto 4),
-- axiLiteReadMasters => axilReadMasters(5 downto 4),
-- axiLiteReadSlaves => axilReadSlaves(5 downto 4),
-- axiLiteWriteMasters => axilWriteMasters(5 downto 4),
-- axiLiteWriteSlaves => axilWriteSlaves(5 downto 4),
-- -- Misc. Signals
-- extRst => axilReset,
-- -- MGT Clock Port
-- gtClkP => qsfp1RefClkP(0),
-- gtClkN => qsfp1RefClkN(0),
-- -- MGT Ports
-- gtTxP => qsfp1TxP(1 downto 0),
-- gtTxN => qsfp1TxN(1 downto 0),
-- gtRxP => qsfp1RxP(1 downto 0),
-- gtRxN => qsfp1RxN(1 downto 0));
-- U_GTH_TERM : entity surf.Gthe3ChannelDummy
-- generic map (
-- TPD_G => TPD_G,
-- WIDTH_G => 2)
-- port map (
-- refClk => axilRst,
-- gtTxP => qsfp1TxP(3 downto 2),
-- gtTxN => qsfp1TxN(3 downto 2),
-- gtRxP => qsfp1RxP(3 downto 2),
-- gtRxN => qsfp1RxN(3 downto 2));
--------------------
-- Unused GTH Clocks
--------------------
U_QsfpRef0 : IBUFDS_GTE3
port map (
I => qsfp0RefClkP(1),
IB => qsfp0RefClkN(1),
CEB => '0',
O => refClk(0));
U_QsfpRef1 : IBUFDS_GTE3
port map (
I => qsfp1RefClkP(1),
IB => qsfp1RefClkN(1),
CEB => '0',
O => refClk(1));
GEN_VEC : for i in 7 downto 0 generate
U_EthConfig : entity work.EthConfig
generic map (
TPD_G => TPD_G)
port map (
localIp => ip(i),
localMac => mac(i),
gtTxPreCursor => gtTxPreCursor(i),
gtTxPostCursor => gtTxPostCursor(i),
gtTxDiffCtrl => gtTxDiffCtrl(i),
-- AXI-Lite Register Interface (axilClk domain)
axilClk => axilClk,
axilRst => axilReset,
axilReadMaster => axilReadMasters(i+8),
axilReadSlave => axilReadSlaves(i+8),
axilWriteMaster => axilWriteMasters(i+8),
axilWriteSlave => axilWriteSlaves(i+8));
end generate GEN_VEC;
end mapping;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
use ieee.std_logic_textio.all;
-- Data memory for DLX
-- Memory filled by a process which reads from a file
-- file name is "data.mem"
entity DRAM is
generic (
RAM_DEPTH : natural := 4096;
I_SIZE : integer := 32);
port (
Clk : in std_logic;
Rst : in std_logic;
Enable : in std_logic;
WR : in std_logic;
Din : in std_logic_vector(I_SIZE - 1 downto 0);
Addr : in std_logic_vector(I_SIZE - 1 downto 0);
Dout : out std_logic_vector(I_SIZE - 1 downto 0)
);
end DRAM;
architecture DRam_Bhe of DRAM is
type RAMtype is array (0 to RAM_DEPTH - 1) of std_logic_vector(I_SIZE - 1 downto 0);-- std_logic_vector(I_SIZE - 1 downto 0);
signal DRAM_mem : RAMtype;
begin -- DRam_Bhe
-- Dout <= DRAM_mem(to_integer(unsigned(Addr))/4) when WR = '0' and Enable = '1' else (others => 'Z');
-- purpose: This process is in charge of filling the Instruction RAM with the firmware
-- type : combinational
-- inputs : Rst
-- outputs: DRAM_mem
FILL_MEM_P: process (Rst,Clk)
file mem_fp2: text;
variable file_line2 : line;
variable index2 : integer := 0;
variable tmp_data_u2 : std_logic_vector(I_SIZE-1 downto 0);
begin -- process FILL_MEM_P
if (Rst = '1') then
file_open(mem_fp2,"DLX_vhd/test_bench/data.mem",READ_MODE);
report "RESETTT:";
while (not endfile(mem_fp2)) loop
readline(mem_fp2,file_line2);
hread(file_line2,tmp_data_u2);
DRAM_mem(index2) <= tmp_data_u2;
index2 := index2 + 1;
end loop;
else
if(clk'event and clk = '0') then
if(Enable = '1' and Wr = '1') then
DRAM_mem(to_integer(unsigned(Addr))/4) <= Din;
report "i = " & integer'image(to_integer(unsigned(Addr)));
elsif ( Enable = '1' and WR='0' ) then
Dout <= DRAM_mem(to_integer(unsigned(Addr))/4);
end if;
end if;
end if;
end process FILL_MEM_P;
end DRam_Bhe;
|
-------------------------------------------------------------------------------
-- Title : Testbench for design "ipbus_ctrl"
-- Project :
-------------------------------------------------------------------------------
-- File : ipbus_ctrl_tb.vhd
-- Author : <NAME> <<EMAIL>>
-- Company :
-- Created : 2016-06-07
-- Last update: 2016-06-07
-- Platform :
-- Standard : VHDL'93/02
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- Copyright (c) 2016
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2016-06-07 1.0 wzab Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
use work.ipbus.all;
library work;
-------------------------------------------------------------------------------
entity ipbus_ctrl_tb is
end entity ipbus_ctrl_tb;
-------------------------------------------------------------------------------
architecture rtl of ipbus_ctrl_tb is
-- component generics
constant rdpipename : string := "/tmp/rdpipe";
constant wrpipename : string := "/tmp/wrpipe";
-- component ports
signal ipb_out : ipb_wbus;
signal ipb_in : ipb_rbus;
signal ipb_clk : std_logic;
signal ipb_rst : std_logic := '1';
signal ipb_addr : std_logic_vector (31 downto 0);
signal ipb_wdata : std_logic_vector (31 downto 0);
signal ipb_strobe : std_logic;
signal ipb_write : std_logic;
signal ipb_rdata : std_logic_vector (31 downto 0);
signal ipb_ack : std_logic;
signal ipb_err : std_logic;
signal leds : std_logic_vector(2 downto 0);
-- clock
signal Clk : std_logic := '1';
begin -- architecture rtl
-- component instantiation
DUT: entity work.ipbus_ctrl
generic map (
rdpipename => rdpipename,
wrpipename => wrpipename)
port map (
ipb_out => ipb_out,
ipb_in => ipb_in,
ipb_clk => ipb_clk);
slaves_1: entity work.slaves
port map (
ipb_clk => ipb_clk,
ipb_rst => ipb_rst,
ipb_addr => ipb_addr,
ipb_wdata => ipb_wdata,
ipb_strobe => ipb_strobe,
ipb_write => ipb_write,
ipb_rdata => ipb_rdata,
ipb_ack => ipb_ack,
ipb_err => ipb_err,
leds => leds);
ipb_clk <= Clk;
-- Mapping of signals from the "flattened" IP-bus implementation
ipb_addr <= ipb_out.ipb_addr;
ipb_wdata <= ipb_out.ipb_wdata;
ipb_strobe <= ipb_out.ipb_strobe;
ipb_write <= ipb_out.ipb_write;
ipb_in.ipb_rdata <= ipb_rdata;
ipb_in.ipb_ack <= ipb_ack;
ipb_in.ipb_err <= ipb_err;
-- clock generation
Clk <= not Clk after 10 ns;
-- waveform generation
WaveGen_Proc: process
begin
-- insert signal assignments here
wait until Clk = '1';
wait for 15 ns;
ipb_rst <= '0';
end process WaveGen_Proc;
end architecture rtl;
-------------------------------------------------------------------------------
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.