repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
open-power/snap
hardware/hdl/core/mmio_register.vhd
1
4,835
---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- -- Copyright 2017 International Business Machines -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions AND -- limitations under the License. -- ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- True dual port, single clocked register LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_misc.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mmio_register_2w2r IS GENERIC ( WIDTH : integer := 16; SIZE : integer := 512; ADDR_WIDTH : integer := 9 ); PORT ( clk : IN std_logic; we_a : IN std_logic; addr_a : IN std_logic_vector(ADDR_WIDTH - 1 DOWNTO 0); din_a : IN std_logic_vector(WIDTH-1 DOWNTO 0); dout_a : OUT std_logic_vector(WIDTH-1 DOWNTO 0); we_b : IN std_logic; addr_b : IN std_logic_vector(ADDR_WIDTH - 1 DOWNTO 0); din_b : IN std_logic_vector(WIDTH-1 DOWNTO 0); dout_b : OUT std_logic_vector(WIDTH-1 DOWNTO 0) ); END mmio_register_2w2r; ARCHITECTURE mmio_register_2w2r OF mmio_register_2w2r IS TYPE ram_t IS ARRAY (SIZE-1 DOWNTO 0) OF std_logic_vector(WIDTH-1 DOWNTO 0); SHARED VARIABLE ram_v : ram_t; BEGIN mmio_register_2w2r_b: PROCESS (clk) BEGIN -- PROCESS mmio_register IF (rising_edge(clk)) THEN IF (we_b = '1') THEN ram_v(to_integer(unsigned(addr_b))) := din_b; END IF; dout_b <= ram_v(to_integer(unsigned(addr_b))); END IF; END PROCESS mmio_register_2w2r_b; mmio_register_2w2r_a: PROCESS (clk) BEGIN -- PROCESS mmio_register IF (rising_edge(clk)) THEN IF (we_a = '1') THEN ram_v(to_integer(unsigned(addr_a))) := din_a; END IF; dout_a <= ram_v(to_integer(unsigned(addr_a))); END IF; END PROCESS mmio_register_2w2r_a; END ARCHITECTURE; -- Single write / dual read port, single clocked register LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_misc.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mmio_register_1w2r IS GENERIC ( WIDTH : integer := 16; SIZE : integer := 512; ADDR_WIDTH : integer := 9 ); PORT ( clk : IN std_logic; we_a : IN std_logic; addr_a : IN std_logic_vector(ADDR_WIDTH - 1 DOWNTO 0); din_a : IN std_logic_vector(WIDTH-1 DOWNTO 0); dout_a : OUT std_logic_vector(WIDTH-1 DOWNTO 0); addr_b : IN std_logic_vector(ADDR_WIDTH - 1 DOWNTO 0); dout_b : OUT std_logic_vector(WIDTH-1 DOWNTO 0) ); END mmio_register_1w2r; ARCHITECTURE mmio_register_1w2r OF mmio_register_1w2r IS TYPE mem_t IS ARRAY (SIZE DOWNTO 0) OF std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL mem : mem_t; BEGIN mmio_register_1w2r: PROCESS (clk) BEGIN -- PROCESS mmio_register IF (rising_edge(clk)) THEN IF (we_a = '1') THEN mem(to_integer(unsigned(addr_a))) <= din_a; END IF; dout_a <= mem(to_integer(unsigned(addr_a))); dout_b <= mem(to_integer(unsigned(addr_b))); END IF; END PROCESS mmio_register_1w2r; END ARCHITECTURE; -- Single port register LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_misc.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; ENTITY mmio_register_1w1r IS GENERIC ( WIDTH : integer := 16; SIZE : integer := 512; ADDR_WIDTH : integer := 9 ); PORT ( clk : IN std_logic; we : IN std_logic; addr : IN std_logic_vector(ADDR_WIDTH - 1 DOWNTO 0); din : IN std_logic_vector(WIDTH-1 DOWNTO 0); dout : OUT std_logic_vector(WIDTH-1 DOWNTO 0) ); END mmio_register_1w1r; ARCHITECTURE mmio_register_1w1r OF mmio_register_1w1r IS TYPE mem_t IS ARRAY (SIZE DOWNTO 0) OF std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL mem : mem_t; BEGIN mmio_register_1w1r: PROCESS (clk) BEGIN -- PROCESS mmio_register IF (rising_edge(clk)) THEN IF (we = '1') THEN mem(to_integer(unsigned(addr))) <= din; END IF; dout <= mem(to_integer(unsigned(addr))); END IF; END PROCESS mmio_register_1w1r; END ARCHITECTURE;
apache-2.0
11142e0a2fcd46c7a99a59d620ad32d9
0.596484
3.309377
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux2x1_8_0_0/RAT_Mux2x1_8_0_0_sim_netlist.vhdl
2
4,463
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Thu Oct 26 22:46:24 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_Mux2x1_8_0_0/RAT_Mux2x1_8_0_0_sim_netlist.vhdl -- Design : RAT_Mux2x1_8_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_Mux2x1_8_0_0_Mux2x1_8 is port ( X : out STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 7 downto 0 ); A : in STD_LOGIC_VECTOR ( 7 downto 0 ); SEL : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_Mux2x1_8_0_0_Mux2x1_8 : entity is "Mux2x1_8"; end RAT_Mux2x1_8_0_0_Mux2x1_8; architecture STRUCTURE of RAT_Mux2x1_8_0_0_Mux2x1_8 is attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \X[0]_INST_0\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \X[1]_INST_0\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \X[2]_INST_0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \X[3]_INST_0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \X[4]_INST_0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \X[5]_INST_0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \X[6]_INST_0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \X[7]_INST_0\ : label is "soft_lutpair3"; begin \X[0]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => B(0), I1 => A(0), I2 => SEL, O => X(0) ); \X[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => B(1), I1 => A(1), I2 => SEL, O => X(1) ); \X[2]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => B(2), I1 => A(2), I2 => SEL, O => X(2) ); \X[3]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => B(3), I1 => A(3), I2 => SEL, O => X(3) ); \X[4]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => B(4), I1 => A(4), I2 => SEL, O => X(4) ); \X[5]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => B(5), I1 => A(5), I2 => SEL, O => X(5) ); \X[6]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => B(6), I1 => A(6), I2 => SEL, O => X(6) ); \X[7]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => B(7), I1 => A(7), I2 => SEL, O => X(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_Mux2x1_8_0_0 is port ( A : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 7 downto 0 ); SEL : in STD_LOGIC; X : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_Mux2x1_8_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_Mux2x1_8_0_0 : entity is "RAT_Mux2x1_8_0_0,Mux2x1_8,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_Mux2x1_8_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_Mux2x1_8_0_0 : entity is "Mux2x1_8,Vivado 2016.4"; end RAT_Mux2x1_8_0_0; architecture STRUCTURE of RAT_Mux2x1_8_0_0 is begin U0: entity work.RAT_Mux2x1_8_0_0_Mux2x1_8 port map ( A(7 downto 0) => A(7 downto 0), B(7 downto 0) => B(7 downto 0), SEL => SEL, X(7 downto 0) => X(7 downto 0) ); end STRUCTURE;
mit
423ee6ce72a73de0864800ff2242dc85
0.56173
2.969395
false
false
false
false
MiddleMan5/233
Experiments/Experiment3-Program_Counter/Testbenches/Exp_3_Prog-ROM_TB.vhd
1
2,982
---------------------------------------------------------------------------------- -- Company: -- Engineer: Justin Nguyen, Quinn Mikelson -- -- Create Date: 09/19/2017 11:39:07 PM -- Design Name: -- Module Name: Exp_3_Prog-ROM_TB - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: Program Counter & Program ROM integration Test Bench -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Exp_3_Prog_ROM_TB is end Exp_3_Prog_ROM_TB; architecture Behavioral of Exp_3_Prog_ROM_TB is COMPONENT Program_Counter PORT( FROM_IMMED : IN std_logic_vector(9 downto 0); FROM_STACK : IN std_logic_vector(9 downto 0); PC_MUX_SEL : IN std_logic_vector(1 downto 0); PC_LD : IN std_logic; PC_INC : IN std_logic; RST : IN std_logic; CLK : IN std_logic; PC_COUNT : OUT std_logic_vector(9 downto 0) ); END COMPONENT; COMPONENT prog_rom port ( ADDRESS : in std_logic_vector(9 downto 0); INSTRUCTION : out std_logic_vector(17 downto 0); CLK : in std_logic); END COMPONENT; --Inputs signal PC_MUX_SEL_tb : std_logic_vector(1 downto 0) := "00"; signal PC_LD_tb : std_logic := '0'; signal PC_INC_tb : std_logic := '1'; signal RST_tb : std_logic := '0'; signal CLK_tb : std_logic := '0'; --Internal signal FROM_IMMED_tb : std_logic_vector(9 downto 0) := "0011001100"; --x0CC signal FROM_STACK_tb : std_logic_vector(9 downto 0) := "0110101010"; --x1AA signal PC_COUNT_tb : std_logic_vector(9 downto 0); --Outputs signal ROM_INSTRUCTION_tb : std_logic_vector(17 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; begin Program_Counter_0: Program_Counter PORT MAP ( FROM_IMMED => FROM_IMMED_tb, FROM_STACK => FROM_STACK_tb, PC_MUX_SEL => PC_MUX_SEL_tb, PC_LD => PC_LD_tb, PC_INC => PC_INC_tb, RST => RST_tb, CLK => CLK_tb, PC_COUNT => PC_COUNT_tb ); Program_ROM_0: prog_rom PORT MAP ( ADDRESS => PC_COUNT_tb, INSTRUCTION => ROM_INSTRUCTION_tb, CLK => CLK_tb ); -- Clock process definitions CLK_process: process begin CLK_tb <= '0'; wait for CLK_period / 2; CLK_tb <= '1'; wait for CLK_period / 2; end process; -- Stimulus process stim_proc: process begin RST_tb <= '1'; wait for 5 ns; RST_tb <= '0'; wait; end process; end Behavioral;
mit
76cc6b7066cc301770f0f022578af5f7
0.502683
3.732165
false
false
false
false
VLSI-EDA/PoC-Examples
src/mem/sdram/memtest_s3esk.vhdl
1
9,991
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Martin Zabel -- -- Module: Memory Controller Test for Spartan-3E Starter Kit -- -- Description: -- ------------------------------------ -- Top-Level of Memory Controller Test for Altera DE0 Board -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library poc; use poc.fifo.all; entity memtest_s3esk is port ( clk_in : in std_logic; sd_ck_fb : in std_logic; btn_south : in std_logic; led : out std_logic_vector(7 downto 0); sd_ck_p : out std_logic; sd_ck_n : out std_logic; sd_cke : out std_logic; sd_cs : out std_logic; sd_ras : out std_logic; sd_cas : out std_logic; sd_we : out std_logic; sd_ba : out std_logic_vector(1 downto 0); sd_a : out std_logic_vector(12 downto 0); sd_ldm : out std_logic; sd_udm : out std_logic; sd_ldqs : out std_logic; sd_udqs : out std_logic; sd_dq : inout std_logic_vector(15 downto 0)); end memtest_s3esk; architecture rtl of memtest_s3esk is signal clk_sys : std_logic; signal clk_mem : std_logic; signal clk_mem_n : std_logic; signal clk_mem90 : std_logic; signal clk_mem90_n : std_logic; signal clk_memfb90 : std_logic; signal clk_memfb90_n : std_logic; signal rst_sys : std_logic; signal rst_mem : std_logic; signal rst_mem90 : std_logic; signal rst_mem180 : std_logic; signal rst_mem270 : std_logic; signal rst_memfb90 : std_logic; signal rst_memfb270 : std_logic; signal locked : std_logic; signal clk_tb : std_logic; signal rst_tb : std_logic; signal cf_put : std_logic; signal cf_full : std_logic; signal cf_din : std_logic_vector(25 downto 0); signal cf_dout : std_logic_vector(25 downto 0); signal cf_valid : std_logic; signal cf_got : std_logic; signal wf_put : std_logic; signal wf_full : std_logic; signal wf_din : std_logic_vector(31 downto 0); signal wf_dout : std_logic_vector(31 downto 0); signal wf_valid : std_logic; signal wf_got : std_logic; signal mem_rdy : std_logic; signal mem_rstb : std_logic; signal mem_rdata : std_logic_vector(31 downto 0); signal mem_req : std_logic; signal mem_write : std_logic; signal mem_addr : unsigned(23 downto 0); signal mem_wdata : std_logic_vector(31 downto 0); signal fsm_status : std_logic_vector(2 downto 0); signal rf_put : std_logic; signal rf_din : std_logic_vector(31 downto 0); -- Component declaration in case a netlist is used. component sdram_ctrl_s3esk is generic ( CLK_PERIOD : real; BL : positive); port ( clk : in std_logic; clk_n : in std_logic; clk90 : in std_logic; clk90_n : in std_logic; rst : in std_logic; rst90 : in std_logic; rst180 : in std_logic; rst270 : in std_logic; clk_fb90 : in std_logic; clk_fb90_n : in std_logic; rst_fb90 : in std_logic; rst_fb270 : in std_logic; user_cmd_valid : in std_logic; user_wdata_valid : in std_logic; user_write : in std_logic; user_addr : in std_logic_vector(24 downto 0); user_wdata : in std_logic_vector(31 downto 0); user_got_cmd : out std_logic; user_got_wdata : out std_logic; user_rdata : out std_logic_vector(31 downto 0); user_rstb : out std_logic; sd_ck_p : out std_logic; sd_ck_n : out std_logic; sd_cke : out std_logic; sd_cs : out std_logic; sd_ras : out std_logic; sd_cas : out std_logic; sd_we : out std_logic; sd_ba : out std_logic_vector(1 downto 0); sd_a : out std_logic_vector(12 downto 0); sd_ldqs : out std_logic; sd_udqs : out std_logic; sd_dq : inout std_logic_vector(15 downto 0)); end component sdram_ctrl_s3esk; begin -- rtl clockgen: entity work.memtest_s3esk_clockgen port map ( clk_in => clk_in, sd_ck_fb => sd_ck_fb, user_rst => btn_south, clk_sys => clk_sys, clk_mem => clk_mem, clk_mem_n => clk_mem_n, clk_mem90 => clk_mem90, clk_mem90_n => clk_mem90_n, clk_memfb90 => clk_memfb90, clk_memfb90_n => clk_memfb90_n, rst_sys => rst_sys, rst_mem => rst_mem, rst_mem90 => rst_mem90, rst_mem180 => rst_mem180, rst_mem270 => rst_mem270, rst_memfb90 => rst_memfb90, rst_memfb270 => rst_memfb270, locked => locked); -- Testbench clock selection -- Also update chipscope configuration. -- clk_tb <= clk_mem; -- rst_tb <= rst_mem; clk_tb <= clk_sys; rst_tb <= rst_sys; -- uses default configuration, see entity declaration mem_ctrl: sdram_ctrl_s3esk generic map ( CLK_PERIOD => 10.0, BL => 2) port map ( clk => clk_mem, clk_n => clk_mem_n, clk90 => clk_mem90, clk90_n => clk_mem90_n, rst => rst_mem, rst90 => rst_mem90, rst180 => rst_mem180, rst270 => rst_mem270, clk_fb90 => clk_memfb90, clk_fb90_n => clk_memfb90_n, rst_fb90 => rst_memfb90, rst_fb270 => rst_memfb270, user_cmd_valid => cf_valid, user_wdata_valid => wf_valid, user_write => cf_dout(25), user_addr => cf_dout(24 downto 0), user_wdata => wf_dout, user_got_cmd => cf_got, user_got_wdata => wf_got, user_rdata => rf_din, user_rstb => rf_put, sd_ck_p => sd_ck_p, sd_ck_n => sd_ck_n, sd_cke => sd_cke, sd_cs => sd_cs, sd_ras => sd_ras, sd_cas => sd_cas, sd_we => sd_we, sd_ba => sd_ba, sd_a => sd_a, sd_ldqs => sd_ldqs, sd_udqs => sd_udqs, sd_dq => sd_dq); sd_ldm <= '0'; sd_udm <= '0'; cmd_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 26, MIN_DEPTH => 8) port map ( clk_wr => clk_tb, rst_wr => rst_tb, put => cf_put, din => cf_din, full => cf_full, clk_rd => clk_mem, rst_rd => rst_mem, got => cf_got, valid => cf_valid, dout => cf_dout); wr_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 32, MIN_DEPTH => 8) port map ( clk_wr => clk_tb, rst_wr => rst_tb, put => wf_put, din => wf_din, full => wf_full, clk_rd => clk_mem, rst_rd => rst_mem, got => wf_got, valid => wf_valid, dout => wf_dout); -- The size fo this FIFO depends on the latency between write and read -- clock domain rd_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 32, MIN_DEPTH => 8) port map ( clk_wr => clk_memfb90_n, rst_wr => rst_memfb270, put => rf_put, din => rf_din, full => open, -- can't stall clk_rd => clk_tb, rst_rd => rst_tb, got => mem_rstb, valid => mem_rstb, dout => mem_rdata); fsm: entity work.memtest_fsm generic map ( A_BITS => 24, D_BITS => 32) port map ( clk => clk_tb, rst => rst_tb, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata, mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, status => fsm_status); -- Signal mem_ctrl ready only if both FIFOs are not full. mem_rdy <= cf_full nor wf_full; -- Word aligned access to memory. -- Parallel "put" to both FIFOs. cf_put <= mem_req and mem_rdy; wf_put <= mem_req and mem_write and mem_rdy; cf_din <= mem_write & std_logic_vector(mem_addr) & '0'; wf_din <= mem_wdata; ----------------------------------------------------------------------------- -- Outputs ----------------------------------------------------------------------------- led(7) <= locked; led(6 downto 3) <= (others => '0'); led(2 downto 0) <= fsm_status; end rtl;
apache-2.0
118a232a2c05aa3de4c1e5bb32445167
0.496147
3.374198
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux4x1_10_0_0/synth/RAT_Mux4x1_10_0_0.vhd
2
3,989
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:Mux4x1_10:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_Mux4x1_10_0_0 IS PORT ( A : IN STD_LOGIC_VECTOR(9 DOWNTO 0); B : IN STD_LOGIC_VECTOR(9 DOWNTO 0); C : IN STD_LOGIC_VECTOR(9 DOWNTO 0); D : IN STD_LOGIC_VECTOR(9 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END RAT_Mux4x1_10_0_0; ARCHITECTURE RAT_Mux4x1_10_0_0_arch OF RAT_Mux4x1_10_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_Mux4x1_10_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT Mux4x1_10 IS PORT ( A : IN STD_LOGIC_VECTOR(9 DOWNTO 0); B : IN STD_LOGIC_VECTOR(9 DOWNTO 0); C : IN STD_LOGIC_VECTOR(9 DOWNTO 0); D : IN STD_LOGIC_VECTOR(9 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT Mux4x1_10; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_Mux4x1_10_0_0_arch: ARCHITECTURE IS "Mux4x1_10,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_Mux4x1_10_0_0_arch : ARCHITECTURE IS "RAT_Mux4x1_10_0_0,Mux4x1_10,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_Mux4x1_10_0_0_arch: ARCHITECTURE IS "RAT_Mux4x1_10_0_0,Mux4x1_10,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=Mux4x1_10,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; BEGIN U0 : Mux4x1_10 PORT MAP ( A => A, B => B, C => C, D => D, SEL => SEL, X => X ); END RAT_Mux4x1_10_0_0_arch;
mit
15c65a1050d09060f8e860d46f8dd360
0.720481
3.548932
false
false
false
false
MiddleMan5/233
Experiments/Experiment7-Its_Alive/IPI-BD/RAT/hdl/RAT_wrapper.vhd
1
1,534
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 --Date : Fri Oct 27 00:01:59 2017 --Host : Juice-Laptop running 64-bit major release (build 9200) --Command : generate_target RAT_wrapper.bd --Design : RAT_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_wrapper is port ( CLK : in STD_LOGIC; INT_IN : in STD_LOGIC_VECTOR ( 0 to 0 ); IN_PORT : in STD_LOGIC_VECTOR ( 7 downto 0 ); OUT_PORT : out STD_LOGIC_VECTOR ( 7 downto 0 ); PORT_ID : out STD_LOGIC_VECTOR ( 7 downto 0 ); RST : in STD_LOGIC ); end RAT_wrapper; architecture STRUCTURE of RAT_wrapper is component RAT is port ( PORT_ID : out STD_LOGIC_VECTOR ( 7 downto 0 ); IN_PORT : in STD_LOGIC_VECTOR ( 7 downto 0 ); CLK : in STD_LOGIC; RST : in STD_LOGIC; OUT_PORT : out STD_LOGIC_VECTOR ( 7 downto 0 ); INT_IN : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end component RAT; begin RAT_i: component RAT port map ( CLK => CLK, INT_IN(0) => INT_IN(0), IN_PORT(7 downto 0) => IN_PORT(7 downto 0), OUT_PORT(7 downto 0) => OUT_PORT(7 downto 0), PORT_ID(7 downto 0) => PORT_ID(7 downto 0), RST => RST ); end STRUCTURE;
mit
8c5748ba1de2f7ea8ced5ddff843aa84
0.556714
3.567442
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/RegisterFile.vhd
1
955
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RegisterFile is Port ( D_IN : in STD_LOGIC_VECTOR (7 downto 0); DX_OUT : out STD_LOGIC_VECTOR (7 downto 0); DY_OUT : out STD_LOGIC_VECTOR (7 downto 0); ADRX : in STD_LOGIC_VECTOR (4 downto 0); ADRY : in STD_LOGIC_VECTOR (4 downto 0); DX_OE : in STD_LOGIC; WE : in STD_LOGIC; CLK : in STD_LOGIC); end RegisterFile; architecture Behavioral of RegisterFile is TYPE memory is array (0 to 31) of std_logic_vector(7 downto 0); SIGNAL REG: memory := (others=>(others=>'0')); begin process(clk) begin if (rising_edge(clk)) then if (WE = '1') then REG(conv_integer(ADRX)) <= D_IN; end if; end if; end process; DX_OUT <= REG(conv_integer(ADRX)) when DX_OE='1' else (others=>'Z'); DY_OUT <= REG(conv_integer(ADRY)); end Behavioral;
mit
57132c292ce79601330d3e22c68ecbea
0.586387
3.141447
false
false
false
false
stefanct/aua
hw/alu/sim/alu_tb.vhd
1
16,171
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity alu_tb is end alu_tb; architecture alu_test of alu_tb is component alu is port ( clk : in std_logic; reset : in std_logic; opcode : in opcode_t; opa : in word_t; opb : in word_t; result : out word_t ); end component; signal clk : std_logic; signal reset : std_logic; signal opa: word_t; signal opb: word_t; signal result: word_t; signal opcode: opcode_t; begin alu1: alu port map(clk, reset, opcode, opa, opb, result); CLKGEN: process begin clk <= '1'; wait for 5 ns; clk <= '0'; wait for 5 ns; end process CLKGEN; TEST: process procedure icwait(cycles : natural) is begin for i in 1 to cycles loop wait until clk = '0' and clk'event; end loop; end; begin -- ldi opcode <= "000000"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = opb report "ldi: load failed - 1"; icwait(5); opcode <= "000111"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = opb report "ldi: load failed - 2"; icwait(5); --jmpl opcode <= "001101"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "jmpl: jmpl not ignored"; icwait(5); --brez opcode <= "001110"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "brez: brez not ignored - 1"; icwait(5); --brnez opcode <= "001111"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "brnez: brnez not ignored - 2"; icwait(5); --brezi opcode <= "010000"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "brezi: brezi not ignored"; icwait(5); --brnezi opcode <= "010100"; opb <= std_logic_vector(to_unsigned(12,word_t'length)); icwait(1); assert result = x"0000" report "brnezi: brnezi not ignored"; icwait(5); --addi opcode <="011000"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(23,word_t'length) report "addi: (+) + (+), (12 + 11 != 23)"; icwait(5); --addi opcode <="011001"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(-1,word_t'length) report "addi: (-) + (+), (-12 + 11 != -1)"; icwait(5); --addi opcode <="011010"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(1,word_t'length) report "addi: (+) + (-), (12 + -11 != 1)"; icwait(5); --addi opcode <="011011"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(-23,word_t'length) report "addi: (-) + (-), (-12 + -11 != -23)"; icwait(5); --muli opcode <= "011100"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(132,word_t'length) report "muli: (+) * (+), (12 * 11 != 132)"; icwait(5); --muli opcode <= "011100"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(-132,word_t'length) report "muli: (-) * (+), (-12 * 11 != -132)"; icwait(5); --muli opcode <= "011100"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(-132,word_t'length) report "muli: (+) * (-), (12 * -11 != -132)"; icwait(5); --muli opcode <= "011100"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(132,word_t'length) report "muli: (-) * (-), (-12 * -11 != 132)"; icwait(5); --add opcode <="100000"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(23,word_t'length) report "add: (+) + (+), (12 + 11 != 23)"; icwait(5); --add opcode <="100000"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(-1,word_t'length) report "add: (-) + (+), (-12 + 11 != -1)"; icwait(5); --add opcode <="100000"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(1,word_t'length) report "add: (+) + (-), (12 + -11 != 1)"; icwait(5); --add opcode <="100000"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(-23,word_t'length) report "add: (-) + (-), (-12 + -11 != -23)"; icwait(5); --addc opcode <= "100000"; opa <= std_logic_vector(to_unsigned(2**16-1,word_t'length)); opb <= std_logic_vector(to_unsigned(2,word_t'length)); icwait(1); assert unsigned(result) = to_unsigned(1,word_t'length) report "add: (+) + (+), (FFFF + 2 != 0001,c=1)"; opcode <= "100001"; opa <= std_logic_vector(to_unsigned(0,word_t'length)); opb <= std_logic_vector(to_unsigned(2,word_t'length)); icwait(1); assert unsigned(result) = to_unsigned(3,word_t'length) report "addc: (+) + (+), (0 + 2 + c(=1) != 3)"; icwait(5); --sub opcode <="100010"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(1,word_t'length) report "sub: (+) - (+), (12 - 11 != 1)"; icwait(5); --sub opcode <="100010"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(11,word_t'length)); icwait(1); assert signed(result) = to_signed(-23,word_t'length) report "sub: (-) - (+), (-12 - 11 != -23)"; icwait(5); --sub opcode <="100010"; opa <= std_logic_vector(to_signed(12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(23,word_t'length) report "sub: (+) - (-), (12 - -11 != 23)"; icwait(5); --sub opcode <="100010"; opa <= std_logic_vector(to_signed(-12,word_t'length)); opb <= std_logic_vector(to_signed(-11,word_t'length)); icwait(1); assert signed(result) = to_signed(-1,word_t'length) report "sub: (-) - (-), (-12 - -11 != -1)"; icwait(5); --subc opcode <= "100010"; opa <= std_logic_vector(to_signed(1,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert signed(result) = to_signed(-2,word_t'length) report "subc: (+) - (+), (1 - 3 != -2,c=1)"; opcode <= "100011"; opa <= std_logic_vector(to_signed(6,word_t'length)); opb <= std_logic_vector(to_signed(2,word_t'length)); icwait(1); assert signed(result) = to_signed(3,word_t'length) report "subc: (+) - (+), (6 - 2 - c(=1) != 3)"; icwait(5); --mul opcode <= "100100"; opa <= x"FFFF"; opb <= x"FFFF"; icwait(1); assert result = x"0001" report "mul: -1 * -1 != 1"; icwait(5); --mulu opcode <= "100101"; opa <= x"FFFF"; opb <= x"FFFF"; icwait(1); assert result = x"0001" report "mulu: 65535 * 65535 != 4294836225 (FFFF * FFFF != FFFE0001)"; icwait(5); --mulh opcode <= "100110"; opa <= x"FFFF"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "mulh: -1 * -1 != 1"; icwait(5); --mulhu opcode <= "100111"; opa <= x"FFFF"; opb <= x"FFFF"; icwait(1); assert result = x"FFFE" report "mulhu: 65535 * 65535 != 4294836225 (FFFF * FFFF != FFFE0001)"; icwait(5); --or opcode <= "101000"; opa <= x"FF00"; opb <= x"00FF"; icwait(1); assert result = x"FFFF" report "or: FF00 or 00FF != FFFF"; icwait(5); --and opcode <= "101001"; opa <= x"FF00"; opb <= x"00FF"; icwait(1); assert result = x"0000" report "and: FF00 and 00FF != 0000"; icwait(5); --xor opcode <= "101010"; opa <= x"F0F0"; opb <= x"FF00"; icwait(1); assert result = x"0FF0" report "or: F0F0 or FF00 != 0FF0"; icwait(5); --not opcode <= "101011"; opb <= x"F0F0"; icwait(1); assert result = x"0F0F" report "not: not F0F0 != 0F0F"; icwait(5); --neg opcode <= "101100"; opb <= x"00FF"; icwait(1); assert result = x"FF01" report "neg: (+) -> (-), 255 !-> -255"; icwait(5); --neg opcode <= "101100"; opb <= x"FF01"; icwait(1); assert result = x"00FF" report "neg: (-) -> (+), -255 !-> +255"; icwait(5); --asr opcode <= "101101"; opb <= x"9999"; icwait(1); assert result = x"CCCC" report "asr: 1 shift, 1001100110011001 !=> 1100110011001100"; icwait(5); --asr opcode <= "101101"; opb <= x"6666"; icwait(1); assert result = x"3333" report "asr: 0 shift, 0110011001100110 !=> 0011001100110011"; icwait(5); --lsl opcode <= "101110"; opb <= x"F0F0"; icwait(1); assert result = x"E1E0" report "lsl: 0 shift, 1111000011110000 !=> 1110000111100000"; icwait(5); --lsr opcode <= "101111"; opb <= x"F0F0"; icwait(1); assert result = x"7878" report "lsr: 0 shift, 1111000011110000 !=> 0111100001111000"; icwait(5); --lsli opcode <= "110000"; opa <= x"0001"; opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"0008" report "lsli: shift right about 3, 0001 !=> 0008"; icwait(5); --lsri opcode <= "110001"; opa <= x"8000"; opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"1000" report "asri: shift right about 3, 8000 !=> 1000"; icwait(5); --scb opcode <= "110010"; opa <= x"FFFF"; opb <= std_logic_vector(to_unsigned(0,word_t'length)); icwait(1); assert result = x"FFFE" report "scb: clear bit 0 error"; icwait(5); --scb opcode <= "110010"; opa <= x"FFFE"; opb <= std_logic_vector(to_unsigned(16,word_t'length)); icwait(1); assert result = x"FFFF" report "scb: set bit 0 error"; icwait(5); --roti -- 0 = links opcode <= "110011"; opa <= x"0001"; opb <= std_logic_vector(to_unsigned(17,word_t'length)); icwait(1); assert result = x"8000" report "roti: roll 1 bit right, 0001 !=> 8000"; icwait(5); --roti opcode <= "110011"; opa <= x"8000"; opb <= std_logic_vector(to_unsigned(1,word_t'length)); icwait(1); assert result = x"0001" report "roti: roll 1 bit left, 0001 !=> 8000"; icwait(5); --cmpv out of isa --opcode <= "110100" --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0000" report "cmplt: 3 < 3"; icwait(5); --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(4,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0000" report "cmplt: 4 !< 3"; icwait(5); --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplt: 3 !< 4"; icwait(5); --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(-3,word_t'length)); opb <= std_logic_vector(to_signed(-4,word_t'length)); icwait(1); assert result = x"0000" report "cmplt: -3 < -4"; icwait(5); --cmplt opcode <= "110101"; opa <= std_logic_vector(to_signed(-4,word_t'length)); opb <= std_logic_vector(to_signed(-3,word_t'length)); icwait(1); assert result = x"0001" report "cmplt: -4 !< -3"; icwait(5); --cmpltu opcode <= "110110"; opa <= std_logic_vector(to_unsigned(3,word_t'length)); opb <= std_logic_vector(to_unsigned(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplt: 3 !< 4"; icwait(5); --cmpltu opcode <= "110110"; opa <= std_logic_vector(to_unsigned(4,word_t'length)); opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"0000" report "cmpltu: 4 < 3"; icwait(5); --cmpltu opcode <= "110110"; opa <= std_logic_vector(to_unsigned(3,word_t'length)); opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"0000" report "cmpltu: 3 < 3"; icwait(5); --cmplte opcode <= "110111"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0001" report "cmplte: 3 !<= 3"; icwait(5); --cmplte opcode <= "110111"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplte: 3 !<= 4"; icwait(5); --cmplte opcode <= "110111"; opa <= std_logic_vector(to_signed(4,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0000" report "cmplte: 4 <= 3"; icwait(5); --cmplte opcode <= "110111"; opa <= std_logic_vector(to_signed(-3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplte: -3 !<= 4"; icwait(5); --cmplteu opcode <= "111000"; opa <= std_logic_vector(to_unsigned(3,word_t'length)); opb <= std_logic_vector(to_unsigned(4,word_t'length)); icwait(1); assert result = x"0001" report "cmplteu: 3 !<= 4"; icwait(5); --cmplteu opcode <= "111000"; opa <= std_logic_vector(to_unsigned(4,word_t'length)); opb <= std_logic_vector(to_unsigned(3,word_t'length)); icwait(1); assert result = x"0000" report "cmplteu: 4 <= 3"; icwait(5); --cmpe opcode <= "111001"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0001" report "cmpe: 3 != 3"; icwait(5); --cmpe opcode <= "111001"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0000" report "cmpe: 3 = 4"; icwait(5); --cmpe opcode <= "111001"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(-4,word_t'length)); icwait(1); assert result = x"0000" report "cmpe: 3 = -4"; icwait(5); --cmpei opcode <= "111010"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(3,word_t'length)); icwait(1); assert result = x"0001" report "cmpei: 3 != 3"; icwait(5); --cmpei opcode <= "111010"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(4,word_t'length)); icwait(1); assert result = x"0000" report "cmpei: 3 = 4"; icwait(5); --cmpei opcode <= "111010"; opa <= std_logic_vector(to_signed(3,word_t'length)); opb <= std_logic_vector(to_signed(-4,word_t'length)); icwait(1); assert result = x"0000" report "cmpei: 3 = -4"; icwait(5); --mov opcode <= "111011"; opb <= x"FFFF"; icwait(1); assert result = x"FFFF" report "mov: FFFF !=> FFFF"; icwait(5); --ld opcode <= "111100"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "ld: load not ignored"; icwait(5); --ldb opcode <= "111101"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "ld: load not ignored"; icwait(5); --st opcode <= "111110"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "st: store not ignored"; icwait(5); --stb opcode <= "111111"; opb <= x"FFFF"; icwait(1); assert result = x"0000" report "st: store not ignored"; assert false report "sim finish" SEVERITY failure; end process TEST; end alu_test;
gpl-3.0
1d0406c8e7a174132d521e4385beb341
0.598108
2.672009
false
false
false
false
David-Estevez/spaceinvaders
src/bullet.vhd
1
2,861
---------------------------------------------------------------------------------- -- Invaders -- Sergio Vilches -- David Estévez Fernández ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity bullet is port (clk : in std_logic; reset : in std_logic; clear : in std_logic; enable : in std_logic; hit : in std_logic; -- '1' when an invader has been hit shoot : in std_logic; -- pushbutton posH : in std_logic_vector(4 downto 0); -- h position of ship flying : out std_logic;-- '1' if there is a bullet moving bullX : out std_logic_vector(4 downto 0); bullY : out std_logic_vector(3 downto 0) ); end bullet; architecture behavioral of bullet is signal tick : std_logic; -- Signal from timer signal intbullX: std_logic_vector( 4 downto 0); signal intbullY: std_logic_vector( 3 downto 0); component timer is generic ( t: integer); port (clk : in std_logic; reset : in std_logic; clear : in std_logic; en : in std_logic; q : out std_logic); end component; begin speedTimer: timer generic map (50) -- Period of movement in ms (5 for a faster simulation) port map ( clk => clk, reset => reset, clear => clear, en => '1', q => tick ); process (reset, clk,intbullX,intbullY) variable intflying: std_logic; begin if reset = '1' then intbullX <= std_logic_vector(to_unsigned(0,5)); intbullY <= std_logic_vector(to_unsigned(14,4)); intflying := '0'; elsif clk'event and clk = '1' then -- Sequential behaviors: if clear = '1' then intbullX <= std_logic_vector(to_unsigned(0,5)); intbullY <= std_logic_vector(to_unsigned(14,4)); intflying := '0'; elsif enable = '1' then -- Shoot the bullet if ((intflying = '0') and (shoot = '1')) then intflying := '1'; -- bullet moving intbullX <= posH; -- starting just over the ship intbullY <= std_logic_vector(to_unsigned(13,4)); end if; -- Check if we have killed any invader if (hit = '1') then intflying := '0'; intbullY <= std_logic_vector(to_unsigned(14,4)); end if; -- Moving up! if (tick = '1') and (intflying = '1') then if intbullY = std_logic_vector(to_unsigned(0,4)) then -- We have reached the top of the screen intflying := '0'; intbullY <= std_logic_vector(to_unsigned(14,4)); else intbullY <= std_logic_vector(unsigned(intbullY) - to_unsigned(1,4)); end if; end if; end if; end if; bullX <= intBullX; bullY <= intBullY; flying <= intFlying; end process; end behavioral;
gpl-3.0
7829b5da498b5b6be674fb439af93084
0.546695
3.387441
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_MUX4_0/sim/design_1_MUX4_0.vhd
2
6,083
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: raphael-frey:user:axis_multiplexer:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY design_1_MUX4_0 IS PORT ( ClkxCI : IN STD_LOGIC; RstxRBI : IN STD_LOGIC; SelectxDI : IN STD_LOGIC_VECTOR(1 DOWNTO 0); Data0xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data1xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data2xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Valid0xSI : IN STD_LOGIC; Valid1xSI : IN STD_LOGIC; Valid2xSI : IN STD_LOGIC; Ready0xSO : OUT STD_LOGIC; Ready1xSO : OUT STD_LOGIC; Ready2xSO : OUT STD_LOGIC; DataxDO : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); ValidxSO : OUT STD_LOGIC; ReadyxSI : IN STD_LOGIC ); END design_1_MUX4_0; ARCHITECTURE design_1_MUX4_0_arch OF design_1_MUX4_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_MUX4_0_arch: ARCHITECTURE IS "yes"; COMPONENT multiplexer IS GENERIC ( C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_NUM_SI_SLOTS : INTEGER ); PORT ( ClkxCI : IN STD_LOGIC; RstxRBI : IN STD_LOGIC; SelectxDI : IN STD_LOGIC_VECTOR(1 DOWNTO 0); Data0xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data1xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data2xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data3xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Valid0xSI : IN STD_LOGIC; Valid1xSI : IN STD_LOGIC; Valid2xSI : IN STD_LOGIC; Valid3xSI : IN STD_LOGIC; Ready0xSO : OUT STD_LOGIC; Ready1xSO : OUT STD_LOGIC; Ready2xSO : OUT STD_LOGIC; Ready3xSO : OUT STD_LOGIC; DataxDO : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); ValidxSO : OUT STD_LOGIC; ReadyxSI : IN STD_LOGIC ); END COMPONENT multiplexer; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF ClkxCI: SIGNAL IS "xilinx.com:signal:clock:1.0 SI_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF RstxRBI: SIGNAL IS "xilinx.com:signal:reset:1.0 SI_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF Data0xDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TDATA"; ATTRIBUTE X_INTERFACE_INFO OF Data1xDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TDATA"; ATTRIBUTE X_INTERFACE_INFO OF Data2xDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI2 TDATA"; ATTRIBUTE X_INTERFACE_INFO OF Valid0xSI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TVALID"; ATTRIBUTE X_INTERFACE_INFO OF Valid1xSI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TVALID"; ATTRIBUTE X_INTERFACE_INFO OF Valid2xSI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI2 TVALID"; ATTRIBUTE X_INTERFACE_INFO OF Ready0xSO: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TREADY"; ATTRIBUTE X_INTERFACE_INFO OF Ready1xSO: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TREADY"; ATTRIBUTE X_INTERFACE_INFO OF Ready2xSO: SIGNAL IS "xilinx.com:interface:axis:1.0 SI2 TREADY"; ATTRIBUTE X_INTERFACE_INFO OF DataxDO: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TDATA"; ATTRIBUTE X_INTERFACE_INFO OF ValidxSO: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TVALID"; ATTRIBUTE X_INTERFACE_INFO OF ReadyxSI: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TREADY"; BEGIN U0 : multiplexer GENERIC MAP ( C_AXIS_TDATA_WIDTH => 24, C_AXIS_NUM_SI_SLOTS => 3 ) PORT MAP ( ClkxCI => ClkxCI, RstxRBI => RstxRBI, SelectxDI => SelectxDI, Data0xDI => Data0xDI, Data1xDI => Data1xDI, Data2xDI => Data2xDI, Data3xDI => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 24)), Valid0xSI => Valid0xSI, Valid1xSI => Valid1xSI, Valid2xSI => Valid2xSI, Valid3xSI => '0', Ready0xSO => Ready0xSO, Ready1xSO => Ready1xSO, Ready2xSO => Ready2xSO, DataxDO => DataxDO, ValidxSO => ValidxSO, ReadyxSI => ReadyxSI ); END design_1_MUX4_0_arch;
mit
3820c9ad2c9da706408634846e01d4d2
0.71034
3.691141
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/dds_compiler_v6_0/hdl/dds_compiler_v6_0.vhd
4
25,746
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BeDk6slWwyJ7dkKWyaZdmI5S1xnQWnB2oiiYkvyYe3ILPohOGwb55RsmeeSbX1QjJu01hxqQuKng /gQKr+nekw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ASzYKVAZmSO0SmC0FWgRspy8UM6oxvcf3jSUzSQ5aTbQcdQEmkCnyOPWPw5rhfBxgGmpUIes9+yb Y1HX9gskfNW1iUc9hvj0/7i23Dl3Awuv9PwzU2qkFTur1xa+VTaDhjRdBkmelm1XEmzy0fVWfN3E JrqrAgqGTQHZ2JkK6Bo= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oJBoHreUf2ZGu1uujJJM+r+7FZbqExapJJyyvy1o9iddxQis4QmRw6/bE0DAY0iOm9OEPedgUYiN HJiQO008872laIEmtmT/BZsMbhdVL80RK/NlqxNSooHOOtA7Q2ooOW5Qroi6pqh15Of2uGz4EX8r QzKai9gyZ1nNfMdTAvc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gXcd8sTNtxVLLLKC4rAjsRNsfX1NVlxv5NlbcoCN3RLErB2fm8TB5dri0TbIQGAb+HGHGVOVAHgx uVooaR3J4n0jcKalCdHupCpw5tdmXAARWsN3+yNMWjktBvDZlREeBk2BplNU4DXuIjpyRlcW28oq fXURF5uCQelaIUMgDwAyoK4ndypdafocPYsPsbB7ZcLdDX4H5Le9tBCnXO/3QcalHHXgUWKcLkyn o62h+Ts9twP03kQwoK/zsw/Mj8ubV//CFoyYXoAsGg33zvV6pCpWjHcIR6qmaj3YFStAb9Gwjq47 yV9Y3uGyv5WU5KKhj3xqBA2tQXCqQY863nIZnQ== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZCOLBlM+DOBMBQ8zvcBqrtqtygwYjI0iydlVEAyokc0UPDasfRQj4taurJsghnxG4bETs5xI8oYV 0HnNJr9QlLNdd6mcJgJqN/c90+zI7I0/hnO/qlv0Pup/OiWbYiiAzYaGPmKRDqi7WYyqSO7I4TS2 AG2Q/zR6LKL+UR1LQcmMcJ4RgLFqPmMasX9iUCz5I9lsv9KntADfsOLwcJl5QoT1i4VZKbohe5Qm MESQHJetAMfbworTVW5vJr8gNUaDSSpP+4845B0JGNCebeUUC8/1KVkOL2aPgIiLRFtWjAGp0OdP Hgc1IPHx2d0B9ihxkm+YRP31ignQS302EQYvBw== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NrFXeDUSk0IEdKSAJNgkeyX3IOnuNIcPQAo5W4y9LavsF2f6Nt+rduqEQbagw39p3ash8XtbR/w2 nbOm3koCj/8C0OoRET7PqvN4QJy3y4VTXAZe0/S0IrLxQsNhhv6J/qZfD8QvZ356rQBjqyRt3tes FKIyW/uL9wD45Iy27+yn385eZ31TEAWa3qUWjlZ4QirRNAT1OkORBDIQDHOOlrRwhcFvBqpmP+bt dB3NdDgt5niwoonBSPDFf2StNdLHNsQCxz9zmE4Hap77op41g4Avc9CdLgPyKBKRlvYKlsU5dB+X 7VzJf8Jl3UhqXRVBX0i7dzEKJTZE1Bhvb5jelg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16928) `protect data_block YzO9pHxtvhOPxb1qQ4BRxhcexAT6MOvoLoMQ6pEsO3MmgUGxKQPyDpDUSAig0CKHe6C7zFS10HIf z1LTktR+WQLgK5puIGhWBRe49G6eXAVk5x4XcJlcq5nbELFKxFMqyE0iC29t69wCWGtbAp+OxoXP 4On/waZTrarFiqYcS4dcxwamx2QIOREyoc2TvFT9vJlLd35QnK8gfmOSp8V+lczxWH432Nxu5ozd dM0Jk7/ynbGqY0lgnaaBSlg2M6wqLqp/u57hgbf9S3UXnExl4b+4FDY9ezdz6zJx3T0yjl/7Ma3l djyLgS5thvuakSPlsHiij8+fV8EpIU3516L51gqy0wUcfr3KM3qyOLB25J9Q3JrTM+4gTH5ebRV4 1nCqR2QBqW7I6JQBszWQ3ANyndExURqPKbWXvUyh4o/8ZVZ519e/XAiX60jWoEydiUFuUUmY6KNV kPy+XHMxMPeYRyRMggwZfWvd/+6FMTWi4JpGz7jNTYEtnNUPP1oK/PudDJVkv4OlAJkz+oUJ0Lt/ Dv+Dje5k/4BxCmlPKf87zwgfN9oFTqKb8zGRFifF56QAj5xrAe5ojhchHTEu3lnWR36tgV+2hJFP EjOgRtn3ISPK+1tQFXmP+N1qpDmyFtawycmDalYK3XK3D/ZvrNZLMv0MpTOoYu9fIE0P/vCVj1qn eKHhuAJ29pZHbqNlZSg8CDxGZ8jaSbF7xHZcHPGBF3FghN4CaFuvnxH2V+Dnh4Xrz1bzD+ZqNZ7F zYk0wERZDDFWmG+0jWRLUS8Fk5C41wqQddsxT02eiVtStv170cBIt/E3hivC+712vPXLpey7jjCK 3YNfKk9tXvmwzUimtuHE4b5MLf07BY3yc/I8CGlt/EOLircGKU+KeSmxK95aBi+2ucY5A6ewXakS 2nPb0e5RtlnBXyH191NkIfmTEKD5aau57JKyCkwEJqKw4CkQ9aWh5JIVRnBMSQT3LpsL8NLHUBMn 7KBREns5og4ZBVCbe3Pg6rnCemET2PKKBeWgbM/0qX6oFR95RpNKBB9KU4Te7KodHRJU0AynrVPH V/gFjmCtsnDCBs3FK4pierSMxYJpD4Tm/j/MMNfR6Vo9tX3dwc3ctkmgK3W24CDll/ZhbiM7qhch bKbZqAt57ZAyzkaxfhvviBmwkJYFD5+ygIAyaA+/5g+s74A3rEtrzLt4hmUc/PrBpgvCZCynJVfI arbmNz5nA889Un4Rj7t8rJGl/1yLZK8CApLnIhhT3Gf+FXaewMXrF0wf61vzUfg1C3hR6o+My+pX 0Sf33c6Gl+2ztdqpDsKNKeyJfOM/qGQ3L7kzLhmGtLVOTB+Wq8Aa1sT5VLaO3psOcOvLkiRBgfM4 wngrb4MMThiNii67oG6LgeaBHisFbmEK3CaZZ5PwPQuoFPpxWp7RdgPT/mij0fkxwG7NPLrpKTos 99yheDl/EDGNX3X031/kmUWAhxgfR7EZpdAdCdMapg/qnAWtusXHNT3waFvKvUlvlQvbn0yX8p8p JAzmfnbvbdCoYsSqlMR4c4F7pkYuKJotCCccCWA7HvLdGcTs4xudLT5wHBauV1FNAXtnthBJQiZu iyIea9cM/qqvRWRyDM84B6k0nRg8LqpIAv1uzIh4Be4BqoY0hKw50rEbRb+964oQdCywJlY/ubPY 2KERfl/yQeofLP1uDXd8lniXOGIE2eIS4ymLNUXvAjy9P07QsfzOVI075T+3kpUN7AncEEoWCbcZ TZsrAc1dccjdlyy/CZtyMGJWX9is/EU8jNpDXgcPErrDvPW2HUYDbzgd4An8zcfGkHmMDAofho6e OOp1YLL+zbm0HysUnjsnAatDyvmsM423hCLWXB3PWlxOXiq9c081jlxYz5Y2YY4q2yZrEl02NxL/ MZ1w2WyT6pH3QlJ/MSRq3A5fZIw7d/4IrJLnnY03d0DH3MdlgkMtOkov8PTiW70NMSEI2CREG8FU eJyZtdskf/K7r4GQMr1eqRx1Y4wIznvvFdB+xIRLp9wiVBnazd+TCptax743Sxwv+Xni12bfrYdJ /gkzdw1JHf1kyG5XpF9lxHMJRzCu/07kE+6qvJDPwl75TLfwVI0yb9bIpIGzDeZximO9bkbY8uOy hirOLeaOWmMXrnLHINkGbwIOOE1ZsLTenT8+T+bNURvadxK8BLbwzAwkfwWvEINInKjvWhK+91E4 PMpi31TQ/hYqCAeD6zk+LZx1Rf185oykNyG8Al4q9bD0HYRprgvFt0dXCsEA57r7QEpvipnTnbv/ k7KTg0Qm9exa1nF3oAYE/P46+sV/pegy8UjzTq9gPAPHpLVN+aThSUdg78KXc2uou9GyQymUinpz Xhao4MKLgBHpJVcTWNM4Y6e0Bbs/qiFjz7h5wM+7mTKfHQZXDaEVTaxw/mpt8yrMN7mziIdvE6a3 jXSjyWi8YA4D3+hQKgrU1PAaTyBVGCv7d9T2RKbMDgymMCeFMICOom2zKxeCm3h29m74KP13Ag3C dDUrGsHltOSnT/k3NPj24mqdHcoo3WUco2kSnAsuCjCOgpP9v35BOdchO/2CoRfX2T57/rVrgh5J usDBCxOV5XS/H7mmU1xHEZQAo8VlEZ8R4SAyupaY2EAl/j/wePsYu7VWU8TyxgEGJy+O52yu38Qu fC+uLrZ/7Dt2nx2HVaqGCguOJE0HYlvW5GKgt9couqwP8omFZGOUQwjW8WQ0MlT38vTf/Qc9exNu V1ozGlMoSRawQ4o1UlZqypiCv/T5Ah0Im+IMfE4Lp9s9l4UOfv57T8P0h6lyJ4Zhm7ZnFwae1hXc OUbwtlF+3BznRGZogQvdNxP7D15z2msDQnBR+Ki73RwJvwXrJ6fO+d9tuZrYBSH61s+DUTyyjlWi 4ofoug6VKFPHLRu48NtCdMwtKbDSwmljH4xHB7QcsTeNq1baW8WORwt4fAelRVzHhoxq7KC9zCAe wAfHETgL9Bh/J1fsp3uih3MEfOF/s18xpSxnqDSs8Z0PRIyY22CEsSmFPbslViQ7XoR1hINO+Q47 Z2ZsQya2/dZ2jgtNLkSfhU6TFc2cdHKakgvWG6banol6Ai+AQQGCV5HIrcftByYt1EgWCAxhVFfV jq4660P3caCs6if6w8r0gmB71+010pLbAFNfv5A2GSTJof/AdJJEzQB5jgBDf2KlgP7ok809dwfL EEEoBpYGtwJp2b8r+xU0eQArkBZXFmi9pbZv9/2MO2w65tgiocVZX97734JOTYJRRdfR0JvkxTOU iNStDMWK55nSYg9DDYI1k29t83ws9qgdYqgi+KrOA1TuLdOkHzzMoVSfO7D4iaCj48xG8afDmT6i 5wGOR5TxQ1u4+Jv5+KBORxgGZXXpmY2G+CG8QbJAlNz6b3GChXDQ6vwfFA0Hiq3+rjBx5Sr+1SQV Ofqgxc6CLGnr/a5B7rqkF7tipPGY7bLHWGhAjpfMUHHZQeA2ud2dp19mCtPFEJrzfgDvrQAaR/5L fnKBylZJb2h5o2X7IroEFT+BMKqTr+tKm2UED2d9SR6aoHxRqyvqhhdJ995UbUMEjlrOE3z5fNw/ REOGM2DrxEqXfzM1tKvb6exgp+35RZY/Bz7AcoZJ7bQyWrEZXDMV7M49VzUfVVosi+dj9sW/4IAY vxRP3tn8x3dghdzhbABE21YiGrBZdj3AsdG32vccx2FPCEroFNSHnwcTYLTDxjso4n2abqjcHjZg cphLGrkehkxYQ8DESFtBYWf6n2D3Ri6/J6jEYoCVRyA/WjNdYvTacUArt6cuuVSEct8RMHmALKkf srr62C/oD9ejQL92M5IcfVQaizZqGx9D+am8uXSqJ+lsWmXne++doIMh2OIPNySHBHgYtDlzQX0U rcUxd7l5sF7gck6lfEBbSZ/zBzcNyRiugVJ8t5I8wkXCEyaAM8MiOjlnErgFW9BnRvuLGP8FUjXX p75ze7DLS32fSGbd+SWzH6lsgk80XbrQFvEoJXZ9/JTI8KSijDq24udcksiYZ5j+Zutn6aUQrs+h 5mC/qd+mSuSfXnA1iOPuUYFTx9R/N/Uu0NSOwW6X0CG8qcFj7YWfgg5fRW8z6VnbJoS/PsP1PY+d HyBWDn5Ud3Wlv71lBe/Dpi1e8LLrDUqCTLZip9gv9DVqMpxRROD04Ut3a7ixXe4Cqbpp68X6e2nW VPDbjsD7kGKB2mJ3Om0hL2119XC0OMnzvRc6zjZ6LmvWKfIY8RE1m+gseBLoKDOFQAUe9Z/zrsgo KISXspHs5AmtQzMoBpRPOvJh3sE8emvZeFKYyyDDjZlDbErfKZokCAePs05P94BDfNq+jFdoxEGM XusTi0+vaXVhLGPTw5mQ8ITjEEGENcAznPOZnPPqcLiZ4+Uu3amMkLoCE3dKLQfn0eU1fpExSxwu aEsHwfe0rgKfTMi68rbRapUEMBNDAivZm1jNzvaJ2ROQDtKyFshosLzjIYIWJ06B9VBqETRdjsMK PzxuDNYWN+l/kpL27EONLWpaaRuN7bKo9W8rvJvhWacelzw1zKt2dobDeaNriWviyMZsyo4NOraW usTds3mYgZFOosjXC5b8NPH4TnrEUuMEuW6V4m0p2Ky8QhxJWqsEjJq3u+IIam9CQKnwAlV0YWH/ //wnsHRBjiAu17UmLPfRfCI2j555NRvpc59uNi2aL6Rv8FbNv+pZE70jFYd8lhqmZSa71BCJY8Ah vel6hPssqEfZZGPRra0PIt6Lu1uJdELNJE6kV1MXS0ivFd5q9lhgyTUmQS2BoxTrD+lle04u+jwt 7PbK4PoTkotIa/oCFyLHFmzQk9i6G+rq7WOCd2oollY3CR0SpF3JW/qwMeW5focQgSDWhl0q9Pnc xcNe1brv+kd7Mn/QQSRoYwPVtFnBItexerRomRVkORVLaFacw/A7oeMpnM6FaMbb8y82nIS6fnqK Z9FROsYh2qWP5x8OA5DQ/tWpBfhIADrmgf/XrRy2+uFwU+e79xglybaOY5bbra6ptptg2Po52b8t B9JKCZftvvc5SarwcGbO/xX33i1Fi3svHP7Uk6mtOkelygGX/fr01E4kCSxxyiI6U/d2+TEBMxXu gwJBBfyFIEy8qSx93Wk8SoB/kKpL+ePj1lF+0BaaNdmZYyZBJnDyz9GQ++5gZZzTOAN1r1hdVuFC sPP1CpFgbraNVEVnc61ojwNhkm1w2NffbvOe7gTiUk/DDgjfXU1+rvwilundhJ1hxdpCe/sAnGxv HTmoEOPRQCpny+BkRdEGUu1Gp6Hg/kdRhME4G7yNr3YdfJrdGQ2TuWBLpoOfzXLx3OMcHeVyAKcR mHo9nR3tKSRsClSB5Nos+DKQRiOf9TJHKP+c/MpTQtxUf34rseEJcXWFenDiGyRZCj+X29GofxbV RduaRG44xLUZuuVOONVWZSweMrR8jOxl+7yEAM0XMRXAw+u0DH6XS3scmLwIJm6b2A7BmuLAjG6s x1tOKDk4tFRgy47H8f4v+9DoXsCgFUhNux8zd73bnLWtGL3zIiPweMaqEe3CHjPWNZ5bEpMT+Iyw ijWBi7nWJEPwj6OVQ69Byu2ZI/MyBU8PfXq/amjk2SgpOh7UVsWPqNe08NtImltRcXlfaLPtNDSB DvmcR7Pz8lj7o16rolsA3w74VN0G+Zi60AVIIt3WQKL/EfRemNm/FG9GLihPouxlmVOUzxzabEuT Kmd1ZxIL6hLfBpFlxa30zKPBidyke+Zgy/8rxpvOe0IxpUuKWVFA9aWLxg5oHy8N/KsUQ1NR80aZ fyiUJDanyoVu5QR0ODwUEwNGZM+YKnKvrwh2BjwFlMdlrR+cbVBFlQojaM4UGet1YGraabmO4P6u 5JJXU9Zfk8DGe7L/u0fwLKoJ/bIgW08rU2ziIOk8uh0VJhEUDMjAZD97pKT/PUGVhFB9m4v6nTRw Tj7cZhO03wg+/IzsSgXkCNRqvGXNLjdVUWurQ8Ywxdqze0C2nELe0MCMAuMzpdbxXjdzjYXXPzsN Cvxo0i7Et+up//NQdW2wL5fd/lNlC+QkwI7BUN8yx2G4yLCax0JQvWfPIMJLmriOHQKmlTovkkHV BsFepNfHHCG6Zyp72MF8h+Ssp977n9zuxmA3GKNagnQajdBgdrI3g/8cGsvGpgioq1qI515iUs3w 8fPP9s46VoE2RvSbxE7gg/mJA1b6ZbKd7I7UGrHIwHcnDtNx9j6JEkuoi+ZCa4yt9G4iEpTOH59v iKSGNmSl1ddd1KlU81GpLwIVU9S6UyBbV6NkhL1JSiDE9OdBEssABf7iP7EjABp9tdiIASyREhEH vZ5M3jPgbNh1Kr7fnfveG5ZxkUKDrEhLU4LHAJAGSObkVM4ApRTGw+MX8gpTEzSPY1KYRCtk/Lij EZV6z5aMkG5JgaS175wXn1mvsH75zNYizONf7Elu+0KVHcK46gOdMe7ZukmcaYyn5UzmiNKxSgWp ADETRzX7QFOPOJ1pbu/IgOWFp+O/KpPOW+vT7O3JLA1DBLC66DBCduEUrTfr+IzckcOwwLbzHlV2 9xZOr1CUHrtE/Rj5vMbZo/b7b0jdzCOiy0DPamWiqOeQc0FsI43RuvyjC5mf3KkWSXiM3JyWbd/c ICkLFwomr67iyl/K1mLoYLap7FWY2MdVmBWwxuLev968l9NpNFvKI9l99cXkuk9pO1tupk+lx1qO DV6JU+ve5KaJfdIHrLq3+sTNXZAn9luMmx2SjffjnDWMugRnKgKtO3xeiRQkBnJEJ86P6VVg1oCh Lhn/I8qRqGEd+ZgqSmONahAm3rNRC185Lge5Irp/70lToFp/s55sAmxMmYMVXJWpY70wzh7znXh6 sYRtEJISUiMCMjgGxWQ+ZU8tYSYuV49j/R3Wx1vAMJDKQOUlRvpLQFFLHGfjVBf+vNl4KHhVfcRm KQ2BHABAYo18zggbjO5vYebkhdie5ffIWg0xszwH9lBjdeY+caNtC2buEYvjPTEOyTzMhIxmgqz7 G3wHv3BYF2sZRE/tGVl6VqPghJMfMnhjn0i+5+UZPfIxWjnA/+kiCTa0w7FNPD0VEu+sxFRpcue9 Bd4T9u4UZ+H2AtuhsydVoVBW/na1j+jg1BXYDy4fXQRAzeFbfnvHCih1NMw0Oylr7bD+v9CqXNIW nRs/wxcFO5ze6IwrG6NvPNh4x0TnJiPCGLMwFbw2Z3jV1F4El7s/AmdM6G3XkVFzmaSFkT8586U5 6nn+YPkjSHe69qYUn5f0w6Jf74TaWZoKroJoDtZ8ZDQo+lhc+/jk1HvGLQgOGrxuG8Q3ZNroxBdV uP1/VgCgDq0AMGMXxoorOGO/FcxlJGv94ZTRX0T6OzNY5tZ/53O24z3tXugqA3CmOJr3BGbv9lJt dk4WkuABSIl7puHmLiFi7hZxV7/lQdMwCx1XT9ZawuQEtSA0hWrQpWazLZ9C9RdWrHOIiJ1buwo1 UKACGc+gD0u0ZHKYSsPaWVGPEbRunwgYFvswO8DMrZ8kAaW9OMCIfkkcvDoz+g80jJMfCS2xK5NB lF2FSodbEMT4qZGjAxOHAvOT2DhfZPkd+NkIL7DiojocXSJDGxosXVoLM37s54o3YWnC4CDmrWbj 1+MwFg7JKxhfWF9tzILkxHccN3Ga9h/wQqTReyouccn3dj0EkbDJLlGZGf3mL4q9QX5wYJIWHWPc CDkZNUDrIzS1OyZN7hYH61nCRsYR7y+xQ9hMqhpFUawR7GqtYkSHv3JSAKtfxA4WmDeEvI/TPoAp Rg/FCaITXRKqit19VF62IUhB6HPd2dNi5qYozv3RVHDip7VGqu8LSjY2p0TpRcpom4kiaIanTkfs 9VVxMtHNFXUBdQOdqffOBs0zd/65MRvP/ZOXhqjDZYPWbM4trqd4xDeXi+JmgMtXP8YvNGlk9/yX LOxxdl+XdEvsp9bRHz4LXiJ3fcGSpw78dNKOnfe3wJ2LZzfVHveZqC9Cd4ZxksxapaQ/LU05iX6f ckoEpspr0ucg7Nhwd0G/qU1ocqfMhwN2yiKbvCf7+2Izw3pqX6T3N+p9aIeTfATSZ9/m6qHlDqNJ bh7eyHe5W7iFvkxPnkygAJ87KDRkmyj/iELBNm4Q0JqXepBvIdF/JWqeBje3YqliTFlM7jM+bGsK f8kIuZdbfj1bQ0p86DraETvd5MetoV/i9BehZBVma0CKwUVVApJAdbm2qjC2xdMBiok2oYczN1uu MNGIuh/EIPFWI5Y/7Nqn7AEz1nzT/XRYaMs0fnTMIip43CMxgCngspA7H1wQncTK6YamlftFxBYR IQlrxulfLQE2Cwp5jJbxmhc39cgqJiu8hm5EgVGxBIgqZt8vDuZqDZVjbYji2x5bS3SMC1g5viL/ EH+ep6bdOv+Nwd1eMPAnhS7a07GkeRqD0GWu0K0HPJZzFvZwdoJgG8X1mkhRGlp5zK5APseQLHtg 40CmesVPwoksuUzDB+CAF3PwDBMraCLgdSLQQHY4BWS+g+eFoU2JNJQci3zeGrf00cHzUEddWbKo 7JkOOUtszEGPPO7qFOYrjToGeK71nDSjG/xeX76wCgMeN68y7e7Bo2MtheBe+Yp3th4WiZzCNUQR ledyWEC0CXIWypNhSMq0jiPujIJeT0IvdWHm5sAPaFB/gw3DlJ1GWGVcYvHJheQHaUP4MWn5QTlO 1ocVQS0tA/tUCfR9MwgrMUXT023bh1Vx+uE6b1wX1c4d/m3WGYzcuD02sesPnRvZVyTSuZa0Piiz 5gimaV+g0sxQ6dRCzQ/Lq2bDYfbCKmiAv+CDOCCXpP1xPFYv3zNBODOA/vz927d816VLPQPrMyHp ZaLyfjDstK/aAAx+xbFMLOgFiB78ZR2VeRsfX1g6FaTHMBMrr89APYXlCsbgjAEW7MEIMeXgBbQU dI5D2/xul8k26lEkjuzQUc6tPY9Z6DTVVnnYtN2KK2fiadyWNf75iEowE/f+jRvJWNhuud+n2tJb rYm+6k3aEBagDPS9jBUk0e6hzeObaWL+Xuu1mjn9QtXoUigaWJCXzXoZbkEKqmRpqCNoazRZSYT5 pCffAjJiYqDuk0mgXFoqzGZKUTEdsBAsScHlC0S5JNRtnUvt7U5dMpFdn7mGt6D7NXME5Tl6rcB5 tZwDJHhcqHo4KlTk3kbLr/W7lh3Huge5JtcW0l84K2ArbFEwnTYBt9r+bEJ+fF/Lz5CQU1Z6YaYn w2RkoTTarpWmlHSxXUmb1qE2/fEM+jU8QTr4QtHFW/O9EoxqBJEBPtOpRid+gPXkxLyVUvDH0i4+ JNfR6qipM66XC4JOAlHgXYBlqfOaixKDxFMeX+TUS51Nhb/Y6K6epxEyittRrxipPwYOL89aAdJ7 u9MQpI0Dsl6YsC69O0hM8yHA9fsNz/s0w0KjNT8pX/cqzeUZIojtqO9961X5r14pF7rc7G4KcB6x i7XcptPi8rfZg6Cy1HwsxRg1IYrVkTHh9XkjuaB6aKmwxFjt8AfyLkrSzdbNNxnRWvfdAX0e8Tsc i47F0h2uv+cagehGF7n7WmxdTo7enJx5u852uWx8w6ez2Or4qHDvSXwT8WvUs6fOkDGzSHnEkXXh LtkdWf3i8xrbIqcYSnO+aoSYVbVIqO9072O8e+eCSCybC+80UbZ6X3XcHuhGuwALhR503Em10rUr sXcFYjk4d+WxTH3Ysm4OwQt8xq3CW3r+8mKGUDqsb/eEzngmEUKHdN+bG23qeLwKBKxpG7Nst4p6 ZvJp9MqQqfW/CVoJFmORM9oaJXcfHBCPXD96upJtf3U/1Sqe1YStYYG6F5zqLMwyb3lZHVCtF0QX HMKKoAGTRhTxDS1Fgqv3ayNdRHhWLWVA/8illEFqNKCiESZ+m+IGJpxlgCCHVtBdmIML/G4n4Zzl bi6yKbzONJalR3/z8kCitZjRgM7KT/XhKQCzDGEZeQKkTQH1h4EzS+3TO6Kg/uWlgGzxAp5yzJ+8 lVE++e159Iw8VMbhexQjNNGr0YrLqiyHneC5bpUE9+/lGRLAJLSazgbrboTQUlq2iDu8/KotHkFA fdWNzS08Fogk6NfdUp8YPF4KRq/OO1j8sE9oEQH/G/AnZvZj6LLQQaVTpytolTx2XuXqBDLYJJHk T61wX6KTfzTgthKehzkmcq4aA6eueRAqCQun4wJiOR4k+d6Ur8nhHRba+KOxZzpXhR2fDBycTlee Uy6SlGAMxYfEEe3KFPY2hUs/NtGPIj5htit9ziv6st/MslYh4okxv2yEMOFu0mGvQysLlVWtJhR9 krW7nnZqR8naqi8hudtbHrO16tbIPPcQmToY7Nc+qOWZJSuAP/sf5YttaE/lCWALv/4aSmZLtHjQ hvHvbtCtiT9USt1MwvQ8gZlP6JklUWKBhuQ2EjuY27dcaNxk7Pe9XlLTJqvtFDnxWChTFixKg8R7 VlP6MXMKoz98RSutVye3J3vShb5+0sP0qtrndBEyWlvdeGoihNhDhXS0MTAZe+9XZ/e51zux0flg K5BHzij9Qs9osug2VUHXeP2ASbbeQ1LBaW9FwAq4lm9VxDW2xSaBNLLqIQKmly2bR7hRBHOycTPP WvQn35C2kvcjTYgdTyVeZrt1hUdxpljy3MH97OzF1Tx5qoD3xGMkKLD+S9wKnjbVnO18f9LBt/0b OrLOAhSUcCSZkJXkR08VHROYQLDwOx/W+RQa08r/89XXJlcw0bJsK2pRYBpuO9S0tPbbHql4oU2z txaionhnbv9nxAQXLpP4/FfpxzXAqQcwY3IVzIBz6/xP/qcaefqfYx9YQqvvou2R0EMwJ1lUQD9a UtgGEm6y7Yjmycx3xamT9sTLPk1Uj/gotzVU1CGH2b9Wux//EPPkSzmd9LfXb8RhideX6wKpZKY7 n7Mzf9yDqPs44v2vBvAMX4PjhNZDuIG4OMYTkIY0k2RWp6L3kpLPr3DKNE+VmREmX5CrBcX+M40B yWCOX5rUqruiTLgrn7v0a66YyHOKkLxpJ8tvH7cNX1S1zHzo3adbz6nUEYTeyTT+swtSCWjOePyn UdQITCvxed3ie/yOmLT4g2am2H+QeyNvVr7FXl2JtzF7OXSQApY9UJSGDzFEbk/xHBcSNa41K6pb VXiI+mwXM0ERg6UuI8SI/clxcKERFEoBRGuuY7mqKF3kNaaZPZWbsMLhyh0MENE28HKrsRnrUKUm HLnbsoQQ6r9xahKCzccESA7QCztIgRO8T0krA2mKcWEwA29g9WQoapKUJduuukYIhZ/DJumu84iV EFO4Xcsbvi38phcxAnzKH7XdWtvZC2O2FyHvo/Ei0yA086rqoUeLKGOqUKDrXhygNHtLAdmbA0I4 dj03RayANvMXIjCJh4ksL3JgGbgqVuvnUsFp2o3W6rqtL6CAc7mpG1Kb9BgBJ8mX31fhF+MZzClt a1thH+kRklECVH7jTKVxzZufuwxV7kZRO0vj5M+HHRcaB29a1z4wv7A/bjnyee5k1+K57K1j6fmK aan7F1O90N3sy80iLX0zG5uGljYuY+2Zyq0X86BURJ36vl/LVMBf++fuXGYkUG1paMXAu5/SCoDi yESqbW8+iEYG7+6isFJGJ0IHIUVygsgpmjGXJsa+HCPvSP4XlQ3mPa8vq6wHWeVRwYhiexQVtzH+ mWRZpNFmzE8ezHkDVLV7Ac1/HmxEtnc/xvt0KHqOUw1fR6W8sKeaGp2pkZxF/fqb3lYvB/76XrRk 8ApHPHCRX24FKAlio0iZGabjed5Un9IfYEI1s3qH7W1BI8yJ6zLdBYzZldf1y9xh9Y2Mm3JkuMzI JaoRyzjA5HWIZUfiiqfITVQTd1rsSuusVuUaJ1IGouPKK3+O5BO/jMqOnKNBks0yj2FGL/wvL3wP zx3LDayd6iOTSKJjR6UjuUEbv4gWVGvqt0HmjRr7yoo4Ena/bEhGaPsEntSbkEUceRi5W100rVwz B3T9Hny2BBhjuE5PeNMno6/2ZMiAoG03RIe5etXv8KrFw0RnW4vsul1ALgcV85oO38TU7u4vs0ts W0J6WVMlE0+Kfit9zIMxaRWGH+0OyoHzAPCE51dzhmtqH9tHPG4hlMQbGAmsAySa1Y3ddXoQokMT REVj01mu8nnS5gXFP2V/V5Lchbm7Ca9MK+U59hixW0deW6RrOKBiI1GJd1je7xquqs+Kvyp4zvmp i6gRqiVCKGLvwihTlMoiI5EPaV7uqYtPbjhaDyxGAMVkHvURKBdrsmUflyyNqt+b775KhbVs+9Nm BjkJeaQiyTE3o1QSCSbSewKdMyYnX9j4+V++Y7Q574sK5M5EiY0PhZh5ObD2FCpVkqg9F3m6H5jy N1Zfi3PqZ4ASH6AxQOF9OFOxAjR9P2J/WBhUCGWHPRz7JuDsBCOC9Cdwt6RYhQMyVMQw1Bx69cGp wTpEz4BpDMXfuDUoA3DuCYAU44uIQ1eTSm3cds7LQkUuz/h2NZZsvRCv9HlOuC0oxHngPuIEsMVm ou8ZsP81CFH9IDiQNojOnwKThgFo8wMXY1erflq44OayEoPnc6IgsTGxbr9R4OcZ0zRzCmYUv5Fx rXPAQoozbXLje0KfuqJTFXzurc1w1iEVmRcv+Lje5UCSY7Nio/rzcy5TEnUw519styZhRRMLNnsA XbBdMAOPUGNNeQzKV2qC/XFumAJwTpN3xz7emhg/cG3FJr0ja41GnJUKaXhTYsVee7B8xehSQlI9 D54IrhN7iMgudyh7nWaEiP07v/XKabjBu9bjzVwO1NCsqaBZAgtEmsAIQ7XOIWBWQ8wM9aONiPhi +/QlEveXiaXmnbFmuURegSXTEiZG2prtGpQpxpLdEgDHM7i/v4H6TE+dQSHfLKYP8avktUJyanqT bicwHJfgt1hG7K0tG4nNWgkUc8W7vAECuarDv1BJiP1+0nriDS9ashv6eZUe5BC/DcoNZHYO0Dp4 UoQZzkvvRxirbBZgXAsX3LtLu08md4YyZ6wkUjpjsfM5BfDSo6Mr+7Qg74aoSXY1FYdCc54yZzI6 XvGbVCmYazQ1K9QHANssQeSHJ3/AfsP8c1tqoF+I8b3v16R0RUD8tlDwo8W5h97Yb30fwoXHLkpC E4U9ZtXIug3mcctdK7aCJxTlGgntztInfF7d1/Y2fJm0t7hIyRqpGHEE2/ZWJoIIl+WK5v5hLu/k 8sb0GwJvt+ovy4htoI/E8b6lYjYsxCNGeZ+MSJ1nT9O2fcEtOULKkYLKeK1349aTobrWTENYkxQz RaLuFqAc92I4LVYGXz1MxcMf/xhXSoDvwkBBd4LIzILUtx7IO8q9zUBYyBlT+lj4tIGredvfj9QF Wyam/IhTJIo09ZrwFErCFXR1Hh4Z6Ehr7O1ghNHJ/fv69R0YOyqobGZEUxh5HuWq23xC6eLCaqe+ +TkHKyP0KM+E6wWQoOiAyVSVL3mRcap+Y9HvX302x7+ElYKwIJvXqdd3BGNnPjFRFFWPNop4Fr9Q E3HsAFHOxSj87Bb4QBIIwQHXVXBx+6Dh+yk4bPvQmYs9SBHT16YxCHgAEK5XiAp/2rYZWuNBdDy0 kNuYj7u0wFVYHr1w6Eh2nZDkmPmumUp0Zp2bYGI9ndioBi1s3L9gW5mcancUvAyisp8OFGIFopaE Q/9R9PI0ojPvzzsojtnZ424IWN/h4HTRUTpHxdzjJVphgjCp48T3CEPHkGwL8rq3tQoLGiQBJBOl fvWVorOX6k+jJtrKzNlLYS/s+0x9QlAKeQigqGE3CQxautr7cQ/FWP9d05dUypdX665aE/1B6NLP xPn0dgvj3BObN5j6ZJQ00u4Tuzf6PnCHcNVJ08cPGtGpDcCZd6RjGABUiMUwW6WDzDy4fF7buUnC 4LNy0tClIw3z0AZ71I3DKOMjWbBeYUARG5s37SDXOV6qB++sXbPUaYTNJo6LghAhlIYnNLNqyARI ent2KWy+/iJ2N9ILcYsR/p93RObs7wwyA47AuG2C0Unqg45aBelrzAm9MP5VBIwLru1jeZqTJymG oIs7OrIqWN/oeDqfBnDNPC0gbXakIJG7SOtfRpQgH+QUquObGxxi0sxeJwOgjEgNkXjnIHFnXIpU 0ytHtKYP/gKVMvM/CpYER6Fwi+ERZxqpErGtE+K5bfp+WBfz/+GdgDosP+I7hsdWsxG58Fgp3KlI onpPyohdIfUOPo76YAs8M2ap0mgWfzNAg5hfbQhqg2bj5TJcsHkZZ/LG6VTrlpRonL+rLEKimUHo 1rN2VY3ZPC4qyycDhthaEQ4rbOX83EzPhtw3pN7HwQgMo0CvVfAMinvNZghTNbWoh8Su3eBCMGqF dNI4nS1Xz1Xcl744vv1kHpZYQd27ZE4Oh0SuriwqQQqSSrfgwbYDv6brywgg/IAjwpLdbqnVZjVp S5IMCyRvMLGdydrp+v7NWSQ6vGob5a9wRcGnZeJdsMXuNt/9uGi5ykt1ucqx/LkuVIR3Qol7dJm3 MuOLD5MCEPUQbGUeiybOPDp8+dje3T0f+dm7q3C7JLtiLB6MsX8+CqN+rgrGAhx1fIA6aO/DuZRx dfGh4lDRHfu1S7JRUGFtwHU7eDQ35oLzSFeXQXkJOerveV9IUwPfskNzZp+d/cfnqD37wlfKZPBq cnA7yGngXfQe0ViN6WSNh6Dq+RGiynfV46Kcyq4tJCaHAlgMQi/ioA2IgggZgkPaaQXEQVANvhx1 tE7UsWK6aJaBRSoZOJjeEhE3vgUe+4ol0KU+ZZzomY27ckge0gearA2dnL++2CD7d8nP6Qdg+mLe I2SPRqVOHy4WYqMh2I4u5j0rQRiUhG8DbkmtqX6wtcUXLxdDtEOE29HmTJcTW3cRJtyc95TsBAQ+ yy6uVJjBRI37o9/P1fsbcMnO30sAxXanFXUyLfkoYA5toq0OUYhpDYHLjct9vt+AJv6PatHPFCVk rdXeUhoZHHqfWH6Iptut/voQKkL88mCMoq3ZZJS8XP6/kYFUnFjoqUcHbJKI8b+K8kHv/QDZOASw VoBdajWxeIYq8zfLePFjmCIfzRGDBQnM67a8n4g9chxd0vFGR3OYwffydpYJHluA7YZ7HWwOXo4y mMjO8Ofm6X5WCbbrcW1flPsr49ULt1yT7LLdiL31yAHiNwGpXwZtkQTExs1bSLQzT/Y1sz5bHJqQ BBKccb0lFqvvS1pkGa4Sls2RwI4uDlZesxFOG1Y+gi1Lze9pQQGuDFhVhcqMlvMXsz8UI68dXOwy aEKNtSS9DQgoZrjpJgR2ibOk4NHUmVemUFQ55x0sJbFjqHYeU2B4z5zBaAReGp63lvLtW0ewTa2h bb3ADAUZGsx26l/uyFtkuhIgN8QNi4yO4uvRgX/qwsWcOY4oBNj0FhXe1PUT7DqBSI8joHB3SbZU mDklqTpa/Ap6rLAu/iQwR55jSBw/Zgsvl7YhEITOG6Vhq1yi7/kVKpyRAt7/pAUvntgnMVaYT1In R6lhc2loXA1GRTktuYZ/A/DqiXq4eCtfJ0HGR2IgKBhtzeuxww8gk5xe1I6K+iBpQSwisM1V/AVe EnzMMdYeb8WEXAofgCdXfGqpnAWjL4xSbYjSEH4jO/S0xNDEcmvQcmr6CeKY2WhN4qEZx91WiLT7 dAgD6LA4xVVvddpUYxSai22d9DLMa7BxKf0NNb9pKjuIC8sv3NC0HBx+2twq1dW/QMoGHj/Vf+Wq dEo7VbO0H97uHR/ILTRtv7J3sNp14UhB4xMYCP0eMUdkNxr1nHN8h8Ue6KfvGmfYUOGgRoKKnxFz IsUet9y85HUxp1jNiVAhCbDCrGp/VPOM1VozgyirDYIwKXNpDjwidF2ItH54Z1O8HMkM7SahBwkA iZxJGlu9xcyTLrnp+KVjAri+KY74DHkk9xm1qDPwc04g3vKQWR7M/ShsWK96VYhhlaiIiH/PtD5F qL5qKUlDtvEUPTqKRepQqUExJ+Fb1p2xHQg/5EyE2JsKePN8Hu/kvjC2v2LJuUmQyhaYsERTr3nU RUg3NhY6ryb6RVF2VFYOwgLMp8Xynrs0L/l+0Wb2AzUxn+ZirL1mTZu+fxjpDcAZ/q13JXgN8hV2 bkAzxNnXwQoq6Qo6nLgrup0D+4lf2Dz8gYdj2ozeMtxAbU4fxtMfvLM5OvBMUm6yukvLuoy9NhsL rWfcl1dzUL+UKXFR5bl633h1H6wJ6rjS5KxnFQS8cqb62LgKbU3zu/ghgejFKRXLxb5uVi8EIfD8 tbMNTsMjj4QHCyxjDSKsWnpVYrMqAvlqbLPNzlXOaO33MhIRF1sb16/iCgV1/CbTuhr6be2XWuxT ngGamqO8ttqNdUOp440TBE5foy+wdrvCwooNcbZIQTGjDbZeh2BQn45FxCL/K3R1MMBJe1gmiSw+ erZtG2PHHoZEsFSaP68sFTuG/zHBjLdkHvxrWGUMK7FxFtN/j75NkfX/OpXm5Yd9/rLUQntAwmyB p4pXzxLak2JovDBjG9vC2FSxFqIIvXQP6eB/OA+2ryJdEdp9Tthw2/xyuF6YYBZpyBgM3xsNPKGO EDrWg40y9CgxAfvyHizKXiKT3fS6hBSMl0rlpw3jQgXGTrx3q+q6efEY5QzIoHOhh64AQz2gf/8v naRLOxNISQPTl8bZ+2LrHhRpb9+Z8Xgl7HgFoMrrBGuWAsnByBVx/VIMAnlEqx9w6ZlJ0xrJz9dg tKHbjzlIpq8l5ic+SERsRFLbdpRck8Lxo2qVuLt+ckPEV9kkxo5gJ61SeGcr33UjP4hcbeB3sarL iQRK2ZqBIVZZIFfArIQgTk8e4lulfjAV8D7ZrOaLz6+RAv8a1P+kW9V2vNqNmt9aey+vuCdCTM22 PWrm3SoSaA3SdPBeaho1NIMBgLjpmVnMlw6Ds+NULmXcHcHvGXVzjcMokql5HBHMgYvEW/KTQMND B1Osr/RY0qZ+aEzWMV/Ztymu832I99tnzhzlGHSAaxKsHi594ZWCi0M6VdBYpD2uJHcQpEYHJq0H 7C9Y/LZ9z8IDxTXjtTXhly+xVG86ExkDE2xbrlLX92dPwlwSvP3sp1qgvHyosG10j5zx82ojk9eD rQYJbKU3jQmKFLeDtR90E06Sn5uhvajJYOalbbkcYIg7iLtlcELEUc/IKV7hYmzre9tZHXC3aTbI aWSxt5QNLd1vPCA68XcPbGhiG3RSVq+EHZX99jKCCHF7pXEqri2feGLHh1V/9F59KwM4AGqAXiFp 4j+AERv1M/i/JN4Amyw3jHEzO75LOexw+6GmY+QPI6almhyWFFZBYr1RYg37x8CcgFp/41wXi8H6 A7ihT+oSGvYLId+9geSpzsH2jkShF09vKNouTos3LBgmpREhXmiZLBra2DypFbqLhXElI/WumfFo E/o23LTsoffHFZ+pRNnoFbDvA4V8D4HLBa8SQeTQcHsqEEiBNFmeqY7dcQobU4dPo6olo3NLs/QE HXWDhhka6q+Lc0QTO7VtNvjzReriwm1myeDAf2sK00QsSkLjS8+kYkg2T8QSy6AVZkL29s/aXgY7 jX9Bj8qJbEhsMuMK5FIwmgxzrJPM3215sH8Rd8OWS1A39rlBQsVN2MCirVvC0vTExwZcCbN+x0m8 YXg+sXpSLCo2hv2s7NAZipUcqCFF2vJ1ppWczYLRyyHI3B+XbZPyTrQX+ANmar+lXslVoOlsQ6wc c0fo++xLnVngod0oiAfuZfwmA2KMLaQnxUZ6GiW1lGUafuQsCTXVze8afguWxVB+czUoQmUIMjqh Wq0xEfz5Btw6HcMBIo7OZ2DE4y3/SbPDn1Idkt9aFw24SZ1wspeEMEFgtgT+9Qg5Yn01SE2cURLb hsuPjPoioYM1InFAZXEPLN9Bn1fkNVadort0WcQGIdPvopiPYnXt+Ai2ImwJJgK/LbOdoz5IjO8Z GhX10s40Et41oeL/ceGF2nT9M7aMeh8aEkj5HwbwU75jP5VHjN1sMPcWl0fqTqGW88B2QfVfQ9CJ UjORcPGJcgdbedUyGOZ4wP2igHABUDTomfeaR5MGt5WmhxE6Jgr3jM4ws0LF61DcdPWm/h8lhpz2 tAU4DQebGeSuQxYMF+UD6439CfTopWo0OTBZ+Ly9DFKwH8F98RQZa/f5P24jn4nBaT0QSZWDaLdd 015+qPwdVD9V79Nn/pq8vtkE10eM36uvEFo2b1q5uIfSBteLaOnh2r3GJVUYYhZsxJAGvhj2hvPv q0Y9ujLhuUjOsuvpR97EL7UdIsEsftY1I1kGzibmLN8Q/YmdhMhnXaQjhchmIS2BPvUqw1XEk9Is zXkUaFo22PG34XnN34GYuOh/qQLqzHw3qTXF4xXbBO22NfnxZ6BJhZC64yj00F86bV5KhowustMk 2M3niIBP9LL0joSycQKnhJDdGTD8lRQ1XRuDHPMG+6e7emJzNI2VRWdHKGoOrBK5qGzZCslW1XJb zqoEOdio09k88CjP+WBM2MPe7H2S6M8xN1BOzrc36aCs7YwrIy599jG89h7dgg7BjhntHLiV6vht e8cBfnvZD8kYXuf2JQsr/GfkvkovYBtC1gUQ6u4fyFyGgqoriQ3nYHoJbhA98zA9PI3Xu5xgWNB+ ImAaBGYzVl/wK5AcIDCy3QQbwI7qnBcXqonBdxgnreOx+O5vdMT2gxMuQMXn+INrCCPostQIgt6s PXW+/WUwy/3k/YKbgxvt7LGhAliHhqDiyDC4vkOSI9VYRtr+/zV/BAqOJ3bsQgbMKEVo+Cso3Gvc xL+rdJ8HLoknuppwr6N6FvJXnJuDm5fUwzxVGrdNnIlH3iMghcCOlrQ8OJBDQ6DGMTASwk9mDgjv ckWP5ul5RfRxEK7upqQM8WFFzfEjOMpr2zzzfkMSFAvqajIIwo9jIURuhTlafZ0Ix1BXYJeFfEpA OLzormqNenP6y2Ur8PVJJDP4QZuKJRSKMYkn/EtLI+cm97G75wVvb+94LPEM2SGV2zhjVRRLTVF0 DCpmAAuEm4asHv6ssZgK3VZj6VEPTai6psOxP6hiKwklQ7wwaSJnvih2HtNFFuvqrA1AwXFKUHTM 0+tvVuShQFJ0XGC4/BwJMk3xUjsTp8byRi2c2Oxj23txmXxz0JyHvNtb1UIBDWlThKnra4jzn2Jq H6hnFsHLAskdmq1mg/5/OccLoABQwBE9TB2n+Z7W9a0VKYP6ZYXtSqOwhTFC0zrgGOcfpFNfJUiA 6asvunXFA2jnZQ4jG5q/D20D8OF0G73/XvFrwZwx4eXpAep9tXoNmBuFUdZS+OSxUnP1S+wivFac 67HZNlO/d5rN9Wkg/e2fZkzaU4cpMdOia9mcz2XoE44C61PaSZHymn8ExPdDF5IFCQ9sf4UnCLF3 AVaSc9u2jejQwe3cbbLlT45vpANVq8RslipLH39At2K9rQat8pnVVYVB5IOtbKhllcY0PnNPRVpX Y9eHk+U1RL3FSiwvj03092NQNnZ1Mln8Rptg2TecjuAjU/92407/9UTdEDSyUsBdgHb9fmLTk1Gy By8vu9y/ev6avlcD+orjXd1AXqpcNkHd/meov9JNMhz5rfbL5e9nCylkl3J5CZqbrOsEGzDRqRvu fkWifWCPapn2ayh8d8LoWHuTiD7KHg1wwMIOlE74eyXCuDQ6wpP7EYIkgrYzPxshUIbWsKm0ORtI lMYkK26m2aVpzXQFqaUthqddr3Oh6v+XYZ9aTbhEYHzfhfxNtrendwuPjbrSxswprzKdZAAtXqqO 9i5dufLOGyp/ITVnquIjidXj68yxGuxkY8h5pndFrhaD0qFf1RxPtYBv2OY+leeBw/6xagMGmmf+ 5Y7yrpp/B1ofJIhPxejquVFjGNHrqIf+N5XQ/hj03WVjiZfgFlsHqXYoNn3TCeaLjuvw9/HvO2eR Y0aSw1WkMAxZzL2B8QFaxzkTj9Owj8WsfZIK+qfq6PQZ+WNqck/zRiRNRIU5yy65wgQoS8p8W8ev 9UxcUq3z43l4AjPZyua0VSh1MOqf5FgdNd3Y2pO2oFitobWcTDaPr8C525f2Nm4iTNKsKDhjYjY+ 6Ddq9AqYinB7dXhDhEGF5e0Fbx0oW4OBGk/KFgxP9PDKSxjMueIa5gVlY++LbMs0senZYUNIozQs 82OBLq6c8vVrVuI6EuyZ7/SUcAoW6mYG+723pIAqP70nExj93IUaMIh5QJk6kT09mPAP5o7BBxy5 G+kmUONI+GKvXFzPDqeTYVhKrbkk1FKsz8rJoCgHxJ1d4ILXoJFeuWvAXxMeb0zjZbwNJ0OgV5fR eaSS3RYeIQNjghbQwGnddT42eA+j8VeKyMOuvjE6UEaClMjAiLF2MOvCW+qCYpTYyo6xzGIZJ4Tl 5LdokgSLwx6ZU59Rtan6QuQCS9loyrpRS4FejGy/jtBCMttbebYFKlXk0ZtU3IzIF5EHaZKLbB3M 0ukCjH0uFo4BRiPZzDSxzhKvrE6b6fOuGPd5OJABRW24jpjh6siTYgAWgEJ7Ouu3WRiRoUzygmdh P7kCMNxx/pWDfyGuLNfXMPDxC9dGOtTRjqu+sa/lPoHmdCppKl6C+GSuqs1I9M+mM+0/qA6ODbLr 88/5OFleUVM/yNFSf4qDTbyZM6MbBjYj/3F/vHYrxf1ot0NJyOJvNIjgNJbuIzGMtuHoWmv5zAvE v382FbGkNWxAussZqUuptFhamAfe2aMGg/TJBRXV0bjxDSMZswwIhv2FNyfgOCkS2RF0h3fck5y5 WFEkga+q3jiDL/jr6OL8KEhUo6o++15t1mrRILoNjia+8dCuwaNvq2wemCrC9PTG/Nzs0/fBd29I ah+/MuXF/gLW9phUVpB5oFap2ZLqw5DEiAFzMK++dVPBIxSfUCNf+VybZj8ljKTNPc6pTTMxFxvF 0UGc7db8A+JGDvJJWq/d2BVZYxyKCdG1oAtzRCOgrLSM5pGatmPWlH6X5x3wdu5dF7Ja0ptOfUXh bgBPygOlfDDIi4PglrMV0+Ci+zF+bWC9ROQpZpfiq3T6icmevcVu/svievQYxDz7tsWUJC/xsYRK kCRW3Z6tpzrhErrUu8WHdEYDX938a3SKG5F26dr7M9apFPK8xYroLzkohndkSoYesWsUdKgfEuxv sVvoEkwZhJONC6+E4adKG6G9Lk6b8ZwGwwB232iwxAoY8LetCbNWJ3T6eCxogg8j7/aYUthUzQur rJpBMoowXZPryVysuVk8xs0d0KSzNWMSQj2x6o/S5tlaasn2n7xFFLSLpiJBiiS3b9audnDucftU blf3sLsYoaeEMPPkPAMqxohOUPIZ2K38u/Toyo0EW+yi1sUh3aV52cXO4Zb0jt3C71BzS/xC2Ws8 JNl0g82Ey1HOfvQeCUH6huDT75UePoIe1vWJ2Ol6Kdxn7aywiI0Th+xC86mlgxIRcGtAoBupdwgH HHurE5YbigtEfHY8dLTYu8u/RQOe4dcBX+kP1z36jiMcLlXMTD1wSkfn4Fqa/6zNV+5NWP+Zm1An iehhj6mhwAzKrIzU5LMfVjtGQN/2QQQKJnxRPEyVTLbq8q1VZdivhPvLvEdivL6lL8kSWUTi10mj dLI7XAUiGRGwhVbKHvvaJP9eOp0mYIybbLn248RzFhEZvBZePnL5XPdQnOFQHwu05eNriJEa43VH Fii6IGM2U7N0EkMbLCEkgdB0zuLcVZ0zKn1tb78urdt6Q6wvFBW1mijxoRy0ylXdEILiHhxAktAh cYW8MBE9THvlAlIHaUTkX+DHuvSBKrD/+HhfW6XEge8RqtSNKje3SFQ+1mSqOc85aOMtOjYPrK0s dMXQxihdaexXpBf9c6f2XXhyxGosZuU6YpaYo0wI7noeeaXhv8i8uvVQL/+1waMh3aQFxZlzMPL6 j/upyLJpiNGxFi5Jm3o87Gxj5ZOz3Yf4wBfA8jrqp1biMLS3WiAXdUspWo4rSWzRdfRSG5pOibNt yu+cozOxoIYxzR1CVhvx8DPqnb+/srRgoq6N9NFwK1KqSwvH1IxJS2aqzGdcEYYAKH2CLuWAKDDP +88FyVMJTvRl3NkGLCMXkyBwBQfzJz8UMVnvSpWWZBH83Yhue7X0BSOHSw1n9L8tAhLfetAOJukF Vfs3yIEfkanXnoth0OFBBNyUs+a3IrAXvD5CfHD5bxz0KQ2lrHEM8eyfLkDBd9dloFuiGS3eWpyn znmYmWN2pgkzuEqGDtkLo/B2dHCRVS0vt/acTyQCrQ59i90OF6xkbRHu99Bij/ouFVb4W566Lydv 0+8cNSwTCoDvStaE2VGAlhfsVB0k9RkkUzxaB3tjDKbz0EQ/nwdubUgWLFdhxZ710gW0FwUfuF0C c0bmAlZu5IrGgkpFB0wa6vPHh1mzytP3ztGdt2wBoeh8jmGCuqej9qKH4yGSRFXhaQVC0PX/MBcL 8vTt2d+JVFGPqrgg3HDZZUUXuL0k1Z7a9SR0BscHSxbYjYrbAuGVFpANQy0Qze38lgrxZO22TX8W onb4ZuO2QPXg7n54s1JIKRqsMVFPO3D6alHOEi39LdjboAX6ksK4fbDprQEFcRNyzqK7mV/hunMj NulM8BQJ8E17PwEnup/ofDlqIDsCRblqlVIULBPbcWjGtFM+AYIcKf80XRf96sOszVyA2n1Dit4= `protect end_protected
mit
4b92dc63ae05be9a0c108c0c45978003
0.941428
1.864031
false
false
false
false
marcoep/MusicBoxNano
ip/SongROM.vhd
1
5,889
-- megafunction wizard: %ROM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: SongROM.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 16.0.0 Build 211 04/27/2016 SJ Lite Edition -- ************************************************************ --Copyright (C) 1991-2016 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus Prime License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY SongROM IS PORT ( address : IN STD_LOGIC_VECTOR (10 DOWNTO 0); clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (16 DOWNTO 0) ); END SongROM; ARCHITECTURE SYN OF songrom IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (16 DOWNTO 0); BEGIN q <= sub_wire0(16 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_aclr_a => "NONE", clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "../../../GITROOT/MusicBoxNano/matlab/for_elise_by_beethoven.mid-musicbox.mif", intended_device_family => "Cyclone IV E", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 1068, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK0", widthad_a => 11, width_a => 17, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "../../../GITROOT/MusicBoxNano/matlab/for_elise_by_beethoven.mid-musicbox.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "1068" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "11" -- Retrieval info: PRIVATE: WidthData NUMERIC "17" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "../../../GITROOT/MusicBoxNano/matlab/for_elise_by_beethoven.mid-musicbox.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1068" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "17" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 11 0 INPUT NODEFVAL "address[10..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: q 0 0 17 0 OUTPUT NODEFVAL "q[16..0]" -- Retrieval info: CONNECT: @address_a 0 0 11 0 address 0 0 11 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 17 0 @q_a 0 0 17 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL SongROM.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL SongROM.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL SongROM.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL SongROM.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL SongROM_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-3.0
f9be75665114272791663ac885e53142
0.676855
3.727215
false
false
false
false
blytkerchan/BrainF
SPISlave.vhdl
1
9,365
-- Generic SPI Slave -- sets the output data bit on the rising edge of the clock, reads the -- data input bit on the falling edge. -- To use, read the data_O output on the rising edge of -- (data_ready_O and new_data_byte_O), set data_I to something you want to send and -- wait for a rising edge on data_ack_O before putting another one in. -- Data sent by the slave will be aligned to 8-bit boundaries, so if you don't -- have any data ready to send (data_ready_I is set) when a byte starts to be sent, -- the slave will pull its output low for the duration of the byte. You have between -- the rising edge of the SPI clock for the last bit of a byte and the next rising -- edge to provide new data. -- the spi_clock_I, spi_slave_select_NI and spi_mosi_I signals should be debounced -- before being fed to this component -- you know better how much noise to expect -- than I do. -- Version: 20141019 -- Author: Ronald Landheer-Cieslak -- Copyright (c) 2014 Vlinder Software -- License: LGPL-3.0 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity SPISlave is port( clock : in std_logic ; resetN : in std_logic -- bus to the outside ; spi_clock_I : in std_logic ; spi_slave_select_NI : in std_logic ; spi_mosi_I : in std_logic ; spi_miso_O : out std_logic -- internal bus: -- signal to this component that data_I contains something ; data_ready_I : in std_logic -- data to send ; data_I : in std_logic_vector(7 downto 0) -- acknowledge we've copied the byte, so you can provide another one ; data_ack_O : out std_logic -- indicate data_O contains valid data from the master ; data_ready_O : out std_logic -- signal that we've changed the byte (can be used to push into a FIFO or set an SR flip-flop or something) ; new_data_byte_O : out std_logic -- byte from the master ; data_O : out std_logic_vector(7 downto 0) ); end entity; architecture behavior of SPISlave is type BitCounter is range 0 to 7; -- driven by a SR flip-flop signal internal_data_ready_O : std_logic := '0'; signal internal_data_ready_NO : std_logic := '1'; -- driven by p_decoder signal set_internal_data_ready_O : std_logic := '0'; signal clear_internal_data_ready_O : std_logic := '1'; signal prev_spi_clock_I : std_logic := 'X'; signal prev_spi_slave_select_NI : std_logic := 'X'; signal internal_spi_miso_O : std_logic := 'Z'; signal input_bit_count : BitCounter := 0; signal output_bit_count : BitCounter := 7; signal current_input_byte : std_logic_vector(7 downto 0) := (others => 'X'); signal outputting_data : std_logic := '0'; signal current_output_byte : std_logic_vector(7 downto 0) := (others => '0'); signal current_output_byte_valid : std_logic := '0'; signal prev_data_ready_I : std_logic := 'X'; signal data_ack_on_first_seen : std_logic := '0'; signal data_ack_on_byte_change : std_logic := '0'; signal read_select : std_logic := '0'; begin -- flip-flop for the data-ready output signal internal_data_ready_O <= not internal_data_ready_NO or set_internal_data_ready_O; internal_data_ready_NO <= not internal_data_ready_O or clear_internal_data_ready_O; data_ready_O <= internal_data_ready_O; -- let the client code know we produced a new byte new_data_byte_O <= set_internal_data_ready_O; -- wire-through for the MISO output spi_miso_O <= internal_spi_miso_O; -- acknowledge consuming a byte data_ack_O <= data_ack_on_byte_change or data_ack_on_first_seen; p_decoder : process(clock, resetN) begin if resetN = '0' then prev_spi_clock_I <= 'X'; prev_spi_slave_select_NI <= 'X'; clear_internal_data_ready_O <= '1'; data_O <= (others => 'X'); internal_spi_miso_O <= 'Z'; set_internal_data_ready_O <= '0'; current_input_byte <= (others => 'X'); input_bit_count <= 0; output_bit_count <= 7; outputting_data <= '0'; current_output_byte <= (others => '0'); current_output_byte_valid <= '0'; prev_data_ready_I <= 'X'; data_ack_on_first_seen <= '0'; data_ack_on_byte_change <= '0'; read_select <= '0'; else if rising_edge(clock) then -- detect a falling edge of the spi_slave_select_NI input if prev_spi_slave_select_NI = '1' and spi_slave_select_NI = '0' then clear_internal_data_ready_O <= '1'; -- counters should already be OK at this point: either because we're coming out of a complete reset or because we have previously been deselected -- on a rising edge (when we're deselected) reset the counters so we can't get desynchronized if we get deselected in the middle of a byte elsif prev_spi_slave_select_NI = '0' and spi_slave_select_NI = '1' then output_bit_count <= 7; input_bit_count <= 0; read_select <= '0'; else clear_internal_data_ready_O <= '0'; end if; prev_spi_slave_select_NI <= spi_slave_select_NI; -- detect new output data if prev_data_ready_I = '0'and data_ready_I = '1' then current_output_byte <= data_I; current_output_byte_valid <= '1'; data_ack_on_first_seen <= '1'; else data_ack_on_first_seen <= '0'; end if; prev_data_ready_I <= data_ready_I; -- detect edges of the input clock if spi_slave_select_NI = '0' then -- we are selected if prev_spi_clock_I = '0' and spi_clock_I = '1' then -- rising edge of the clock - write a bit if we have any -- start outputting data if we are at the start of a byte boundary, or if we were already outputting a byte if current_output_byte_valid = '1' and (outputting_data = '1' or output_bit_count = 7) then internal_spi_miso_O <= current_output_byte(7); outputting_data <= '1'; else internal_spi_miso_O <= '0'; outputting_data <= '0'; end if; -- if we just decided to output the last bit of the byte, load the next byte if we have one, or invalidate the current byte if we don't. -- if we do load a new byte, we should acknowledge it. -- if we're not at the last bit, just shift a bit out of the register if (output_bit_count = 0) then -- we should, of course, only take the byte if we've output the current one. Otherwise, we should leave it there until we do. if outputting_data = '1' then current_output_byte <= data_I; current_output_byte_valid <= data_ready_I; data_ack_on_byte_change <= '1'; else data_ack_on_byte_change <= '0'; end if; output_bit_count <= 7; else -- shift out a bit data_ack_on_byte_change <= '0'; output_bit_count <= output_bit_count - 1; current_output_byte <= current_output_byte(6 downto 0) & '0'; end if; set_internal_data_ready_O <= '0'; read_select <= '1'; elsif read_select = '1' and prev_spi_clock_I = '1' and spi_clock_I = '0' then -- falling edge of the clock - read a bit if input_bit_count = 7 then set_internal_data_ready_O <= '1'; data_O <= current_input_byte(6 downto 0) & spi_mosi_I; input_bit_count <= 0; else set_internal_data_ready_O <= '0'; input_bit_count <= input_bit_count + 1; end if; current_input_byte <= current_input_byte(6 downto 0) & spi_mosi_I; else set_internal_data_ready_O <= '0'; end if; else internal_spi_miso_O <= 'Z'; set_internal_data_ready_O <= '0'; end if; prev_spi_clock_I <= spi_clock_I; end if; end if; end process; end architecture;
lgpl-3.0
aa6caf5027b31fd17f6cc594b70e0ca3
0.514042
4.047105
false
false
false
false
open-power/snap
actions/hdl_nvme_example/hw/action_wrapper.vhd
1
35,038
---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- -- Copyright 2016,2017 International Business Machines -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions AND -- limitations under the License. -- ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_misc.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; USE work.psl_accel_types.ALL; USE work.action_types.ALL; ENTITY action_wrapper IS PORT ( ap_clk : IN STD_LOGIC; ap_rst_n : IN STD_LOGIC; interrupt : OUT STD_LOGIC; interrupt_src : OUT STD_LOGIC_VECTOR(INT_BITS-2 DOWNTO 0); interrupt_ctx : OUT STD_LOGIC_VECTOR(CONTEXT_BITS-1 DOWNTO 0); interrupt_ack : IN STD_LOGIC; -- -- AXI SDRAM Interface m_axi_card_mem0_araddr : OUT STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_ADDR_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_arburst : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_card_mem0_arcache : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_card_mem0_arid : OUT STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_ID_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_arlen : OUT STD_LOGIC_VECTOR ( 7 DOWNTO 0 ); m_axi_card_mem0_arlock : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_card_mem0_arprot : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_card_mem0_arqos : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_card_mem0_arready : IN STD_LOGIC; m_axi_card_mem0_arregion : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_card_mem0_arsize : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_card_mem0_aruser : OUT STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_ARUSER_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_arvalid : OUT STD_LOGIC; m_axi_card_mem0_awaddr : OUT STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_ADDR_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_awburst : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_card_mem0_awcache : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_card_mem0_awid : OUT STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_ID_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_awlen : OUT STD_LOGIC_VECTOR ( 7 DOWNTO 0 ); m_axi_card_mem0_awlock : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_card_mem0_awprot : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_card_mem0_awqos : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_card_mem0_awready : IN STD_LOGIC; m_axi_card_mem0_awregion : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_card_mem0_awsize : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_card_mem0_awuser : OUT STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_AWUSER_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_awvalid : OUT STD_LOGIC; m_axi_card_mem0_bid : IN STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_ID_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_bready : OUT STD_LOGIC; m_axi_card_mem0_bresp : IN STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_card_mem0_buser : IN STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_BUSER_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_bvalid : IN STD_LOGIC; m_axi_card_mem0_rdata : IN STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_DATA_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_rid : IN STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_ID_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_rlast : IN STD_LOGIC; m_axi_card_mem0_rready : OUT STD_LOGIC; m_axi_card_mem0_rresp : IN STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_card_mem0_ruser : IN STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_RUSER_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_rvalid : IN STD_LOGIC; m_axi_card_mem0_wdata : OUT STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_DATA_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_wlast : OUT STD_LOGIC; m_axi_card_mem0_wready : IN STD_LOGIC; m_axi_card_mem0_wstrb : OUT STD_LOGIC_VECTOR ( (C_M_AXI_CARD_MEM0_DATA_WIDTH/8)-1 DOWNTO 0 ); m_axi_card_mem0_wuser : OUT STD_LOGIC_VECTOR ( C_M_AXI_CARD_MEM0_WUSER_WIDTH-1 DOWNTO 0 ); m_axi_card_mem0_wvalid : OUT STD_LOGIC; -- -- AXI NVME Interface m_axi_nvme_araddr : OUT STD_LOGIC_VECTOR ( C_M_AXI_NVME_ADDR_WIDTH -1 DOWNTO 0 ); m_axi_nvme_arburst : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_nvme_arcache : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_nvme_arid : OUT STD_LOGIC_VECTOR ( C_M_AXI_NVME_ID_WIDTH -1 DOWNTO 0 ); m_axi_nvme_arlen : OUT STD_LOGIC_VECTOR ( 7 DOWNTO 0 ); m_axi_nvme_arlock : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_nvme_arprot : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_nvme_arqos : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_nvme_arready : IN STD_LOGIC; m_axi_nvme_arregion : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_nvme_arsize : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_nvme_aruser : OUT STD_LOGIC_VECTOR ( C_M_AXI_NVME_ARUSER_WIDTH -1 DOWNTO 0 ); m_axi_nvme_arvalid : OUT STD_LOGIC; m_axi_nvme_awaddr : OUT STD_LOGIC_VECTOR ( C_M_AXI_NVME_ADDR_WIDTH -1 DOWNTO 0 ); m_axi_nvme_awburst : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_nvme_awcache : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_nvme_awid : OUT STD_LOGIC_VECTOR ( C_M_AXI_NVME_ID_WIDTH -1 DOWNTO 0 ); m_axi_nvme_awlen : OUT STD_LOGIC_VECTOR ( 7 DOWNTO 0 ); m_axi_nvme_awlock : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_nvme_awprot : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_nvme_awqos : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_nvme_awready : IN STD_LOGIC; m_axi_nvme_awregion : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_nvme_awsize : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_nvme_awuser : OUT STD_LOGIC_VECTOR ( C_M_AXI_NVME_AWUSER_WIDTH -1 DOWNTO 0 ); m_axi_nvme_awvalid : OUT STD_LOGIC; m_axi_nvme_bid : IN STD_LOGIC_VECTOR ( C_M_AXI_NVME_ID_WIDTH -1 DOWNTO 0 ); m_axi_nvme_bready : OUT STD_LOGIC; m_axi_nvme_bresp : IN STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_nvme_buser : IN STD_LOGIC_VECTOR ( C_M_AXI_NVME_BUSER_WIDTH -1 downto 0 ); m_axi_nvme_bvalid : IN STD_LOGIC; m_axi_nvme_rdata : IN STD_LOGIC_VECTOR ( C_M_AXI_NVME_DATA_WIDTH -1 DOWNTO 0 ); m_axi_nvme_rid : IN STD_LOGIC_VECTOR ( C_M_AXI_NVME_ID_WIDTH -1 DOWNTO 0 ); m_axi_nvme_rlast : IN STD_LOGIC; m_axi_nvme_rready : OUT STD_LOGIC; m_axi_nvme_rresp : IN STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_nvme_ruser : IN STD_LOGIC_VECTOR ( C_M_AXI_NVME_RUSER_WIDTH -1 DOWNTO 0 ); m_axi_nvme_rvalid : IN STD_LOGIC; m_axi_nvme_wdata : OUT STD_LOGIC_VECTOR (C_M_AXI_NVME_DATA_WIDTH -1 DOWNTO 0 ); m_axi_nvme_wlast : OUT STD_LOGIC; m_axi_nvme_wready : IN STD_LOGIC; m_axi_nvme_wstrb : OUT STD_LOGIC_VECTOR ((C_M_AXI_NVME_DATA_WIDTH/8) -1 DOWNTO 0 ); m_axi_nvme_wuser : OUT STD_LOGIC_VECTOR (C_M_AXI_NVME_WUSER_WIDTH -1 DOWNTO 0 ); m_axi_nvme_wvalid : OUT STD_LOGIC; -- -- AXI Control Register Interface s_axi_ctrl_reg_araddr : IN STD_LOGIC_VECTOR ( C_S_AXI_CTRL_REG_ADDR_WIDTH-1 DOWNTO 0 ); s_axi_ctrl_reg_arready : OUT STD_LOGIC; s_axi_ctrl_reg_arvalid : IN STD_LOGIC; s_axi_ctrl_reg_awaddr : IN STD_LOGIC_VECTOR ( C_S_AXI_CTRL_REG_ADDR_WIDTH-1 DOWNTO 0 ); s_axi_ctrl_reg_awready : OUT STD_LOGIC; s_axi_ctrl_reg_awvalid : IN STD_LOGIC; s_axi_ctrl_reg_bready : IN STD_LOGIC; s_axi_ctrl_reg_bresp : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); s_axi_ctrl_reg_bvalid : OUT STD_LOGIC; s_axi_ctrl_reg_rdata : OUT STD_LOGIC_VECTOR ( C_S_AXI_CTRL_REG_DATA_WIDTH-1 DOWNTO 0 ); s_axi_ctrl_reg_rready : IN STD_LOGIC; s_axi_ctrl_reg_rresp : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); s_axi_ctrl_reg_rvalid : OUT STD_LOGIC; s_axi_ctrl_reg_wdata : IN STD_LOGIC_VECTOR ( C_S_AXI_CTRL_REG_DATA_WIDTH-1 DOWNTO 0 ); s_axi_ctrl_reg_wready : OUT STD_LOGIC; s_axi_ctrl_reg_wstrb : IN STD_LOGIC_VECTOR ( (C_S_AXI_CTRL_REG_DATA_WIDTH/8)-1 DOWNTO 0 ); s_axi_ctrl_reg_wvalid : IN STD_LOGIC; -- -- AXI Host Memory Interface m_axi_host_mem_araddr : OUT STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_ADDR_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_arburst : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_host_mem_arcache : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_host_mem_arid : OUT STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_ID_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_arlen : OUT STD_LOGIC_VECTOR ( 7 DOWNTO 0 ); m_axi_host_mem_arlock : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_host_mem_arprot : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_host_mem_arqos : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_host_mem_arready : IN STD_LOGIC; m_axi_host_mem_arregion : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_host_mem_arsize : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_host_mem_aruser : OUT STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_ARUSER_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_arvalid : OUT STD_LOGIC; m_axi_host_mem_awaddr : OUT STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_ADDR_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_awburst : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_host_mem_awcache : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_host_mem_awid : OUT STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_ID_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_awlen : OUT STD_LOGIC_VECTOR ( 7 DOWNTO 0 ); m_axi_host_mem_awlock : OUT STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_host_mem_awprot : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_host_mem_awqos : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_host_mem_awready : IN STD_LOGIC; m_axi_host_mem_awregion : OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); m_axi_host_mem_awsize : OUT STD_LOGIC_VECTOR ( 2 DOWNTO 0 ); m_axi_host_mem_awuser : OUT STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_AWUSER_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_awvalid : OUT STD_LOGIC; m_axi_host_mem_bid : IN STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_ID_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_bready : OUT STD_LOGIC; m_axi_host_mem_bresp : IN STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_host_mem_buser : IN STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_BUSER_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_bvalid : IN STD_LOGIC; m_axi_host_mem_rdata : IN STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_DATA_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_rid : IN STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_ID_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_rlast : IN STD_LOGIC; m_axi_host_mem_rready : OUT STD_LOGIC; m_axi_host_mem_rresp : IN STD_LOGIC_VECTOR ( 1 DOWNTO 0 ); m_axi_host_mem_ruser : IN STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_RUSER_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_rvalid : IN STD_LOGIC; m_axi_host_mem_wdata : OUT STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_DATA_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_wlast : OUT STD_LOGIC; m_axi_host_mem_wready : IN STD_LOGIC; m_axi_host_mem_wstrb : OUT STD_LOGIC_VECTOR ( (C_M_AXI_HOST_MEM_DATA_WIDTH/8)-1 DOWNTO 0 ); m_axi_host_mem_wuser : OUT STD_LOGIC_VECTOR ( C_M_AXI_HOST_MEM_WUSER_WIDTH-1 DOWNTO 0 ); m_axi_host_mem_wvalid : OUT STD_LOGIC ); END action_wrapper; ARCHITECTURE STRUCTURE OF action_wrapper IS COMPONENT action_nvme_example IS GENERIC ( -- Parameters for Axi Master Bus Interface AXI_CARD_MEM0 : to on-card SDRAM C_AXI_CARD_MEM0_ID_WIDTH : integer; C_AXI_CARD_MEM0_ADDR_WIDTH : integer; C_AXI_CARD_MEM0_DATA_WIDTH : integer; C_AXI_CARD_MEM0_AWUSER_WIDTH : integer; C_AXI_CARD_MEM0_ARUSER_WIDTH : integer; C_AXI_CARD_MEM0_WUSER_WIDTH : integer; C_AXI_CARD_MEM0_RUSER_WIDTH : integer; C_AXI_CARD_MEM0_BUSER_WIDTH : integer; -- Parameters for Axi Slave Bus Interface AXI_CTRL_REG C_AXI_CTRL_REG_DATA_WIDTH : integer; C_AXI_CTRL_REG_ADDR_WIDTH : integer; -- Parameters for Axi Master Bus Interface AXI_HOST_MEM : to Host memory C_AXI_HOST_MEM_ID_WIDTH : integer; C_AXI_HOST_MEM_ADDR_WIDTH : integer; C_AXI_HOST_MEM_DATA_WIDTH : integer; C_AXI_HOST_MEM_AWUSER_WIDTH : integer; C_AXI_HOST_MEM_ARUSER_WIDTH : integer; C_AXI_HOST_MEM_WUSER_WIDTH : integer; C_AXI_HOST_MEM_RUSER_WIDTH : integer; C_AXI_HOST_MEM_BUSER_WIDTH : integer; INT_BITS : integer; CONTEXT_BITS : integer ); PORT ( action_clk : IN STD_LOGIC; action_rst_n : IN STD_LOGIC; int_req : OUT STD_LOGIC; int_src : OUT STD_LOGIC_VECTOR(INT_BITS-2 DOWNTO 0); int_ctx : OUT STD_LOGIC_VECTOR(CONTEXT_BITS-1 DOWNTO 0); int_req_ack : IN STD_LOGIC; -- Ports of Axi Master Bus Interface AXI_CARD_MEM0 -- to on-card SDRAM axi_card_mem0_awaddr : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_ADDR_WIDTH-1 DOWNTO 0); axi_card_mem0_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); axi_card_mem0_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_card_mem0_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_card_mem0_awlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_card_mem0_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_card_mem0_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_card_mem0_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_card_mem0_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_card_mem0_awvalid : OUT STD_LOGIC; axi_card_mem0_awready : IN STD_LOGIC; axi_card_mem0_wdata : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_DATA_WIDTH-1 DOWNTO 0); axi_card_mem0_wstrb : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_DATA_WIDTH/8-1 DOWNTO 0); axi_card_mem0_wlast : OUT STD_LOGIC; axi_card_mem0_wvalid : OUT STD_LOGIC; axi_card_mem0_wready : IN STD_LOGIC; axi_card_mem0_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); axi_card_mem0_bvalid : IN STD_LOGIC; axi_card_mem0_bready : OUT STD_LOGIC; axi_card_mem0_araddr : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_ADDR_WIDTH-1 DOWNTO 0); axi_card_mem0_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); axi_card_mem0_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_card_mem0_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_card_mem0_arlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_card_mem0_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_card_mem0_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_card_mem0_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_card_mem0_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_card_mem0_arvalid : OUT STD_LOGIC; axi_card_mem0_arready : IN STD_LOGIC; axi_card_mem0_rdata : IN STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_DATA_WIDTH-1 DOWNTO 0); axi_card_mem0_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); axi_card_mem0_rlast : IN STD_LOGIC; axi_card_mem0_rvalid : IN STD_LOGIC; axi_card_mem0_rready : OUT STD_LOGIC; axi_card_mem0_arid : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_ID_WIDTH-1 DOWNTO 0); axi_card_mem0_aruser : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_ARUSER_WIDTH-1 DOWNTO 0); axi_card_mem0_awid : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_ID_WIDTH-1 DOWNTO 0); axi_card_mem0_awuser : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_AWUSER_WIDTH-1 DOWNTO 0); axi_card_mem0_bid : IN STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_ID_WIDTH-1 DOWNTO 0); axi_card_mem0_buser : IN STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_BUSER_WIDTH-1 DOWNTO 0); axi_card_mem0_rid : IN STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_ID_WIDTH-1 DOWNTO 0); axi_card_mem0_ruser : IN STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_RUSER_WIDTH-1 DOWNTO 0); axi_card_mem0_wuser : OUT STD_LOGIC_VECTOR(C_M_AXI_CARD_MEM0_WUSER_WIDTH-1 DOWNTO 0); -- -- Ports of Axi Master Bus Interface AXI_NVME -- to NVME axi_nvme_awaddr : OUT STD_LOGIC_VECTOR(C_M_AXI_NVME_ADDR_WIDTH-1 DOWNTO 0); axi_nvme_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); axi_nvme_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_nvme_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_nvme_awlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_nvme_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_nvme_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_nvme_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_nvme_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_nvme_awvalid : OUT STD_LOGIC; axi_nvme_awready : IN STD_LOGIC; axi_nvme_wdata : OUT STD_LOGIC_VECTOR(C_M_AXI_NVME_DATA_WIDTH-1 downto 0); axi_nvme_wstrb : OUT STD_LOGIC_VECTOR((C_M_AXI_NVME_DATA_WIDTH/8)-1 DOWNTO 0); axi_nvme_wlast : OUT STD_LOGIC; axi_nvme_wvalid : OUT STD_LOGIC; axi_nvme_wready : IN STD_LOGIC; axi_nvme_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); axi_nvme_bvalid : IN STD_LOGIC; axi_nvme_bready : OUT STD_LOGIC; axi_nvme_araddr : OUT STD_LOGIC_VECTOR(C_M_AXI_NVME_ADDR_WIDTH-1 downto 0); axi_nvme_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); axi_nvme_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_nvme_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_nvme_arlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_nvme_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_nvme_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_nvme_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_nvme_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_nvme_arvalid : OUT STD_LOGIC; axi_nvme_arready : IN STD_LOGIC; axi_nvme_rdata : IN STD_LOGIC_VECTOR(C_M_AXI_NVME_DATA_WIDTH-1 DOWNTO 0); axi_nvme_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); axi_nvme_rlast : IN STD_LOGIC; axi_nvme_rvalid : IN STD_LOGIC; axi_nvme_rready : OUT STD_LOGIC; axi_nvme_arid : OUT STD_LOGIC_VECTOR(C_M_AXI_NVME_ID_WIDTH-1 DOWNTO 0); axi_nvme_aruser : OUT STD_LOGIC_VECTOR(C_M_AXI_NVME_ARUSER_WIDTH-1 DOWNTO 0); axi_nvme_awid : OUT STD_LOGIC_VECTOR(C_M_AXI_NVME_ID_WIDTH-1 DOWNTO 0); axi_nvme_awuser : OUT STD_LOGIC_VECTOR(C_M_AXI_NVME_AWUSER_WIDTH-1 DOWNTO 0); axi_nvme_bid : IN STD_LOGIC_VECTOR(C_M_AXI_NVME_ID_WIDTH-1 DOWNTO 0); axi_nvme_buser : IN STD_LOGIC_VECTOR(C_M_AXI_NVME_BUSER_WIDTH-1 DOWNTO 0); axi_nvme_rid : IN STD_LOGIC_VECTOR(C_M_AXI_NVME_ID_WIDTH-1 DOWNTO 0); axi_nvme_ruser : IN STD_LOGIC_VECTOR(C_M_AXI_NVME_RUSER_WIDTH-1 DOWNTO 0); axi_nvme_wuser : OUT STD_LOGIC_VECTOR(C_M_AXI_NVME_WUSER_WIDTH-1 DOWNTO 0); -- -- Ports of Axi Slave Bus Interface AXI_CTRL_REG axi_ctrl_reg_awaddr : IN STD_LOGIC_VECTOR(C_S_AXI_CTRL_REG_ADDR_WIDTH-1 DOWNTO 0); axi_ctrl_reg_awvalid : IN STD_LOGIC; axi_ctrl_reg_awready : OUT STD_LOGIC; axi_ctrl_reg_wdata : IN STD_LOGIC_VECTOR(C_S_AXI_CTRL_REG_DATA_WIDTH-1 DOWNTO 0); axi_ctrl_reg_wstrb : IN STD_LOGIC_VECTOR((C_S_AXI_CTRL_REG_DATA_WIDTH/8)-1 DOWNTO 0); axi_ctrl_reg_wvalid : IN STD_LOGIC; axi_ctrl_reg_wready : OUT STD_LOGIC; axi_ctrl_reg_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_ctrl_reg_bvalid : OUT STD_LOGIC; axi_ctrl_reg_bready : IN STD_LOGIC; axi_ctrl_reg_araddr : IN STD_LOGIC_VECTOR(C_S_AXI_CTRL_REG_ADDR_WIDTH-1 DOWNTO 0); axi_ctrl_reg_arvalid : IN STD_LOGIC; axi_ctrl_reg_arready : OUT STD_LOGIC; axi_ctrl_reg_rdata : OUT STD_LOGIC_VECTOR(C_S_AXI_CTRL_REG_DATA_WIDTH-1 DOWNTO 0); axi_ctrl_reg_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_ctrl_reg_rvalid : OUT STD_LOGIC; axi_ctrl_reg_rready : IN STD_LOGIC; -- -- Ports of Axi Master Bus Interface AXI_HOST_MEM -- to HOST memory axi_host_mem_awaddr : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_ADDR_WIDTH-1 DOWNTO 0); axi_host_mem_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); axi_host_mem_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_host_mem_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_host_mem_awlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_host_mem_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_host_mem_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_host_mem_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_host_mem_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_host_mem_awvalid : OUT STD_LOGIC; axi_host_mem_awready : IN STD_LOGIC; axi_host_mem_wdata : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_DATA_WIDTH-1 DOWNTO 0); axi_host_mem_wstrb : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_DATA_WIDTH/8-1 DOWNTO 0); axi_host_mem_wlast : OUT STD_LOGIC; axi_host_mem_wvalid : OUT STD_LOGIC; axi_host_mem_wready : IN STD_LOGIC; axi_host_mem_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); axi_host_mem_bvalid : IN STD_LOGIC; axi_host_mem_bready : OUT STD_LOGIC; axi_host_mem_araddr : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_ADDR_WIDTH-1 DOWNTO 0); axi_host_mem_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); axi_host_mem_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_host_mem_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_host_mem_arlock : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); axi_host_mem_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_host_mem_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); axi_host_mem_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_host_mem_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); axi_host_mem_arvalid : OUT STD_LOGIC; axi_host_mem_arready : IN STD_LOGIC; axi_host_mem_rdata : IN STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_DATA_WIDTH-1 DOWNTO 0); axi_host_mem_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); axi_host_mem_rlast : IN STD_LOGIC; axi_host_mem_rvalid : IN STD_LOGIC; axi_host_mem_rready : OUT STD_LOGIC; axi_host_mem_arid : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_ID_WIDTH-1 DOWNTO 0); axi_host_mem_aruser : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_ARUSER_WIDTH-1 DOWNTO 0); axi_host_mem_awid : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_ID_WIDTH-1 DOWNTO 0); axi_host_mem_awuser : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_AWUSER_WIDTH-1 DOWNTO 0); axi_host_mem_bid : IN STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_ID_WIDTH-1 DOWNTO 0); axi_host_mem_buser : IN STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_BUSER_WIDTH-1 DOWNTO 0); axi_host_mem_rid : IN STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_ID_WIDTH-1 DOWNTO 0); axi_host_mem_ruser : IN STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_RUSER_WIDTH-1 DOWNTO 0); axi_host_mem_wuser : OUT STD_LOGIC_VECTOR(C_M_AXI_HOST_MEM_WUSER_WIDTH-1 DOWNTO 0) ); END COMPONENT action_nvme_example; BEGIN action_0: COMPONENT action_nvme_example GENERIC MAP ( -- Parameters for Axi Master Bus Interface AXI_CARD_MEM0 : to on-card SDRAM C_AXI_CARD_MEM0_ID_WIDTH => C_M_AXI_CARD_MEM0_ID_WIDTH, C_AXI_CARD_MEM0_ADDR_WIDTH => C_M_AXI_CARD_MEM0_ADDR_WIDTH, C_AXI_CARD_MEM0_DATA_WIDTH => C_M_AXI_CARD_MEM0_DATA_WIDTH, C_AXI_CARD_MEM0_AWUSER_WIDTH => C_M_AXI_CARD_MEM0_AWUSER_WIDTH, C_AXI_CARD_MEM0_ARUSER_WIDTH => C_M_AXI_CARD_MEM0_ARUSER_WIDTH, C_AXI_CARD_MEM0_WUSER_WIDTH => C_M_AXI_CARD_MEM0_WUSER_WIDTH, C_AXI_CARD_MEM0_RUSER_WIDTH => C_M_AXI_CARD_MEM0_RUSER_WIDTH, C_AXI_CARD_MEM0_BUSER_WIDTH => C_M_AXI_CARD_MEM0_BUSER_WIDTH, -- Parameters for Axi Slave Bus Interface AXI_CTRL_REG C_AXI_CTRL_REG_DATA_WIDTH => C_S_AXI_CTRL_REG_DATA_WIDTH, C_AXI_CTRL_REG_ADDR_WIDTH => C_S_AXI_CTRL_REG_ADDR_WIDTH, -- Parameters for Axi Master Bus Interface AXI_HOST_MEM : to Host memory C_AXI_HOST_MEM_ID_WIDTH => C_M_AXI_HOST_MEM_ID_WIDTH, C_AXI_HOST_MEM_ADDR_WIDTH => C_M_AXI_HOST_MEM_ADDR_WIDTH, C_AXI_HOST_MEM_DATA_WIDTH => C_M_AXI_HOST_MEM_DATA_WIDTH, C_AXI_HOST_MEM_AWUSER_WIDTH => C_M_AXI_HOST_MEM_AWUSER_WIDTH, C_AXI_HOST_MEM_ARUSER_WIDTH => C_M_AXI_HOST_MEM_ARUSER_WIDTH, C_AXI_HOST_MEM_WUSER_WIDTH => C_M_AXI_HOST_MEM_WUSER_WIDTH, C_AXI_HOST_MEM_RUSER_WIDTH => C_M_AXI_HOST_MEM_RUSER_WIDTH, C_AXI_HOST_MEM_BUSER_WIDTH => C_M_AXI_HOST_MEM_BUSER_WIDTH, INT_BITS => INT_BITS, CONTEXT_BITS => CONTEXT_BITS ) PORT MAP ( action_clk => ap_clk, action_rst_n => ap_rst_n, int_req => interrupt, int_src => interrupt_src, int_ctx => interrupt_ctx, int_req_ack => interrupt_ack, axi_card_mem0_araddr => m_axi_card_mem0_araddr, axi_card_mem0_arburst => m_axi_card_mem0_arburst, axi_card_mem0_arcache => m_axi_card_mem0_arcache, axi_card_mem0_arid => m_axi_card_mem0_arid, axi_card_mem0_arlen => m_axi_card_mem0_arlen, axi_card_mem0_arlock => m_axi_card_mem0_arlock, axi_card_mem0_arprot => m_axi_card_mem0_arprot, axi_card_mem0_arqos => m_axi_card_mem0_arqos, axi_card_mem0_arready => m_axi_card_mem0_arready, axi_card_mem0_arregion => m_axi_card_mem0_arregion, axi_card_mem0_arsize => m_axi_card_mem0_arsize, axi_card_mem0_aruser => m_axi_card_mem0_aruser, axi_card_mem0_arvalid => m_axi_card_mem0_arvalid, axi_card_mem0_awaddr => m_axi_card_mem0_awaddr, axi_card_mem0_awburst => m_axi_card_mem0_awburst, axi_card_mem0_awcache => m_axi_card_mem0_awcache, axi_card_mem0_awid => m_axi_card_mem0_awid, axi_card_mem0_awlen => m_axi_card_mem0_awlen, axi_card_mem0_awlock => m_axi_card_mem0_awlock, axi_card_mem0_awprot => m_axi_card_mem0_awprot, axi_card_mem0_awqos => m_axi_card_mem0_awqos, axi_card_mem0_awready => m_axi_card_mem0_awready, axi_card_mem0_awregion => m_axi_card_mem0_awregion, axi_card_mem0_awsize => m_axi_card_mem0_awsize, axi_card_mem0_awuser => m_axi_card_mem0_awuser, axi_card_mem0_awvalid => m_axi_card_mem0_awvalid, axi_card_mem0_bid => m_axi_card_mem0_bid, axi_card_mem0_bready => m_axi_card_mem0_bready, axi_card_mem0_bresp => m_axi_card_mem0_bresp, axi_card_mem0_buser => m_axi_card_mem0_buser, axi_card_mem0_bvalid => m_axi_card_mem0_bvalid, axi_card_mem0_rdata => m_axi_card_mem0_rdata, axi_card_mem0_rid => m_axi_card_mem0_rid, axi_card_mem0_rlast => m_axi_card_mem0_rlast, axi_card_mem0_rready => m_axi_card_mem0_rready, axi_card_mem0_rresp => m_axi_card_mem0_rresp, axi_card_mem0_ruser => m_axi_card_mem0_ruser, axi_card_mem0_rvalid => m_axi_card_mem0_rvalid, axi_card_mem0_wdata => m_axi_card_mem0_wdata, axi_card_mem0_wlast => m_axi_card_mem0_wlast, axi_card_mem0_wready => m_axi_card_mem0_wready, axi_card_mem0_wstrb => m_axi_card_mem0_wstrb, axi_card_mem0_wuser => m_axi_card_mem0_wuser, axi_card_mem0_wvalid => m_axi_card_mem0_wvalid, axi_nvme_araddr => m_axi_nvme_araddr, axi_nvme_arburst => m_axi_nvme_arburst, axi_nvme_arcache => m_axi_nvme_arcache, axi_nvme_arid => m_axi_nvme_arid, axi_nvme_arlen => m_axi_nvme_arlen, axi_nvme_arlock => m_axi_nvme_arlock, axi_nvme_arprot => m_axi_nvme_arprot, axi_nvme_arqos => m_axi_nvme_arqos, axi_nvme_arready => m_axi_nvme_arready, axi_nvme_arregion => m_axi_nvme_arregion, axi_nvme_arsize => m_axi_nvme_arsize, axi_nvme_aruser => m_axi_nvme_aruser, axi_nvme_arvalid => m_axi_nvme_arvalid, axi_nvme_awaddr => m_axi_nvme_awaddr, axi_nvme_awburst => m_axi_nvme_awburst, axi_nvme_awcache => m_axi_nvme_awcache, axi_nvme_awid => m_axi_nvme_awid, axi_nvme_awlen => m_axi_nvme_awlen, axi_nvme_awlock => m_axi_nvme_awlock, axi_nvme_awprot => m_axi_nvme_awprot, axi_nvme_awqos => m_axi_nvme_awqos, axi_nvme_awready => m_axi_nvme_awready, axi_nvme_awregion => m_axi_nvme_awregion, axi_nvme_awsize => m_axi_nvme_awsize, axi_nvme_awuser => m_axi_nvme_awuser, axi_nvme_awvalid => m_axi_nvme_awvalid, axi_nvme_bid => m_axi_nvme_bid, axi_nvme_bready => m_axi_nvme_bready, axi_nvme_bresp => m_axi_nvme_bresp, axi_nvme_buser => m_axi_nvme_buser, axi_nvme_bvalid => m_axi_nvme_bvalid, axi_nvme_rdata => m_axi_nvme_rdata, axi_nvme_rid => m_axi_nvme_rid, axi_nvme_rlast => m_axi_nvme_rlast, axi_nvme_rready => m_axi_nvme_rready, axi_nvme_rresp => m_axi_nvme_rresp, axi_nvme_ruser => m_axi_nvme_ruser, axi_nvme_rvalid => m_axi_nvme_rvalid, axi_nvme_wdata => m_axi_nvme_wdata, axi_nvme_wlast => m_axi_nvme_wlast, axi_nvme_wready => m_axi_nvme_wready, axi_nvme_wstrb => m_axi_nvme_wstrb, axi_nvme_wuser => m_axi_nvme_wuser, axi_nvme_wvalid => m_axi_nvme_wvalid, axi_ctrl_reg_araddr => s_axi_ctrl_reg_araddr, axi_ctrl_reg_arready => s_axi_ctrl_reg_arready, axi_ctrl_reg_arvalid => s_axi_ctrl_reg_arvalid, axi_ctrl_reg_awaddr => s_axi_ctrl_reg_awaddr, axi_ctrl_reg_awready => s_axi_ctrl_reg_awready, axi_ctrl_reg_awvalid => s_axi_ctrl_reg_awvalid, axi_ctrl_reg_bready => s_axi_ctrl_reg_bready, axi_ctrl_reg_bresp => s_axi_ctrl_reg_bresp, axi_ctrl_reg_bvalid => s_axi_ctrl_reg_bvalid, axi_ctrl_reg_rdata => s_axi_ctrl_reg_rdata, axi_ctrl_reg_rready => s_axi_ctrl_reg_rready, axi_ctrl_reg_rresp => s_axi_ctrl_reg_rresp, axi_ctrl_reg_rvalid => s_axi_ctrl_reg_rvalid, axi_ctrl_reg_wdata => s_axi_ctrl_reg_wdata, axi_ctrl_reg_wready => s_axi_ctrl_reg_wready, axi_ctrl_reg_wstrb => s_axi_ctrl_reg_wstrb, axi_ctrl_reg_wvalid => s_axi_ctrl_reg_wvalid, axi_host_mem_araddr => m_axi_host_mem_araddr, axi_host_mem_arburst => m_axi_host_mem_arburst, axi_host_mem_arcache => m_axi_host_mem_arcache, axi_host_mem_arid => m_axi_host_mem_arid, axi_host_mem_arlen => m_axi_host_mem_arlen, axi_host_mem_arlock => m_axi_host_mem_arlock, axi_host_mem_arprot => m_axi_host_mem_arprot, axi_host_mem_arqos => m_axi_host_mem_arqos, axi_host_mem_arready => m_axi_host_mem_arready, axi_host_mem_arregion => m_axi_host_mem_arregion, axi_host_mem_arsize => m_axi_host_mem_arsize, axi_host_mem_aruser => m_axi_host_mem_aruser, axi_host_mem_arvalid => m_axi_host_mem_arvalid, axi_host_mem_awaddr => m_axi_host_mem_awaddr, axi_host_mem_awburst => m_axi_host_mem_awburst, axi_host_mem_awcache => m_axi_host_mem_awcache, axi_host_mem_awid => m_axi_host_mem_awid, axi_host_mem_awlen => m_axi_host_mem_awlen, axi_host_mem_awlock => m_axi_host_mem_awlock, axi_host_mem_awprot => m_axi_host_mem_awprot, axi_host_mem_awqos => m_axi_host_mem_awqos, axi_host_mem_awready => m_axi_host_mem_awready, axi_host_mem_awregion => m_axi_host_mem_awregion, axi_host_mem_awsize => m_axi_host_mem_awsize, axi_host_mem_awuser => m_axi_host_mem_awuser, axi_host_mem_awvalid => m_axi_host_mem_awvalid, axi_host_mem_bid => m_axi_host_mem_bid, axi_host_mem_bready => m_axi_host_mem_bready, axi_host_mem_bresp => m_axi_host_mem_bresp, axi_host_mem_buser => m_axi_host_mem_buser, axi_host_mem_bvalid => m_axi_host_mem_bvalid, axi_host_mem_rdata => m_axi_host_mem_rdata, axi_host_mem_rid => m_axi_host_mem_rid, axi_host_mem_rlast => m_axi_host_mem_rlast, axi_host_mem_rready => m_axi_host_mem_rready, axi_host_mem_rresp => m_axi_host_mem_rresp, axi_host_mem_ruser => m_axi_host_mem_ruser, axi_host_mem_rvalid => m_axi_host_mem_rvalid, axi_host_mem_wdata => m_axi_host_mem_wdata, axi_host_mem_wlast => m_axi_host_mem_wlast, axi_host_mem_wready => m_axi_host_mem_wready, axi_host_mem_wstrb => m_axi_host_mem_wstrb, axi_host_mem_wuser => m_axi_host_mem_wuser, axi_host_mem_wvalid => m_axi_host_mem_wvalid ); END STRUCTURE;
apache-2.0
fcd02c4ff16c77ca1b7728d858fa62c8
0.584651
2.964799
false
false
false
false
VLSI-EDA/PoC-Examples
src/mem/ddr2/memtest_Nexys4DDR.vhdl
1
9,406
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Martin Zabel -- -- Module: Memory tester for Nexys4 DDR board using Xilinx MIG. -- -- Description: -- ------------------------------------ -- -- License: -- ============================================================================= -- Copyright 2007-2016 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- Copyrigth 2018 Martin Zabel -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; library poc; use poc.utils.all; entity memtest_Nexys4DDR is generic ( -- Must match configuration of generated mig_Nexys4DDR ADDR_WIDTH : integer := 27; BANK_WIDTH : integer := 3; CK_WIDTH : integer := 1; nCK_PER_CLK : integer := 4; CS_WIDTH : integer := 1; nCS_PER_RANK : integer := 1; CKE_WIDTH : integer := 1; DM_WIDTH : integer := 2; DQ_WIDTH : integer := 16; DQS_WIDTH : integer := 2; PAYLOAD_WIDTH : integer := 16; ROW_WIDTH : integer := 13; ODT_WIDTH : integer := 1); port ( sys_clk_i : in std_logic; led : out std_logic_vector(7 downto 0); ddr2_dq : inout std_logic_vector(DQ_WIDTH-1 downto 0); ddr2_dqs_p : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr2_dqs_n : inout std_logic_vector(DQS_WIDTH-1 downto 0); ddr2_addr : out std_logic_vector(ROW_WIDTH-1 downto 0); ddr2_ba : out std_logic_vector(BANK_WIDTH-1 downto 0); ddr2_ras_n : out std_logic; ddr2_cas_n : out std_logic; ddr2_we_n : out std_logic; ddr2_ck_p : out std_logic_vector(CK_WIDTH-1 downto 0); ddr2_ck_n : out std_logic_vector(CK_WIDTH-1 downto 0); ddr2_cke : out std_logic_vector(CKE_WIDTH-1 downto 0); ddr2_cs_n : out std_logic_vector(CS_WIDTH*nCS_PER_RANK-1 downto 0); ddr2_dm : out std_logic_vector(DM_WIDTH-1 downto 0); ddr2_odt : out std_logic_vector(ODT_WIDTH-1 downto 0)); end entity memtest_Nexys4DDR; architecture rtl of memtest_Nexys4DDR is signal sys_clk_unbuf : std_logic; signal clk_ref : std_logic; signal ref_clk_locked : std_logic; signal memtest0_status : std_logic_vector(2 downto 0); -- Memory Controller signals signal app_addr : std_logic_vector(ADDR_WIDTH-1 downto 0); signal app_cmd : std_logic_vector(2 downto 0); signal app_en : std_logic; signal app_wdf_data : std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0); signal app_wdf_end : std_logic; signal app_wdf_mask : std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)/8-1 downto 0); signal app_wdf_wren : std_logic; signal app_rd_data : std_logic_vector((nCK_PER_CLK*2*PAYLOAD_WIDTH)-1 downto 0); signal app_rd_data_end : std_logic; signal app_rd_data_valid : std_logic; signal app_rdy : std_logic; signal app_wdf_rdy : std_logic; signal ui_clk : std_logic; signal ui_clk_sync_rst : std_logic; signal init_calib_complete : std_logic; begin -- architecture rtl ---------------------------------------------------------------------------- -- Clocking ---------------------------------------------------------------------------- -- This system clock is used two-fold: -- -- 1) It is used as the system clock for the memory controllers -- (MIG). There it feeds only PLLs, so that, dedicated routing can be -- used and no BUFG is required. -- -- 2) It is also used to generate a 200 MHz reference clock used for the -- IDELAYCTRL and temperature monitor logic. -- This requires a BUFG, but could also be driven by another 200 MHz -- clock source. If this other clock is not free-runnning, then -- IDELAYCTRL and the temperature monitor must be hold in reset until -- this other clock is stable. sys_clk_ibufg : IBUFG port map ( I => sys_clk_i, O => sys_clk_unbuf); ref_clk_pll : entity work.pll_ref_clk port map ( CLK_IN1 => sys_clk_unbuf, CLK_OUT1 => clk_ref, -- 200 MHz reference clock driven by BUFG LOCKED => ref_clk_locked); -- will hold IDELAYCTRL in reset by -- by driving sys_rst of 'mig' ----------------------------------------------------------------------------- -- MemoryTester for Port 0 ----------------------------------------------------------------------------- MemoryTester0 : block constant BYTE_ADDR_BITS : natural := 4; -- 16 Byte / Word constant WORD_ADDR_BITS : natural := ite(SIMULATION, 15, -- 32 KByte = 2 rows 27) -- 128 MB = 1 GBit -BYTE_ADDR_BITS; signal mem_rdy : std_logic; signal mem_req : std_logic; signal mem_write : std_logic; signal mem_addr : unsigned(WORD_ADDR_BITS-1 downto 0); signal mem_wdata : std_logic_vector(127 downto 0); signal mem_rstb : std_logic; signal mem_rdata : std_logic_vector(127 downto 0); begin -- block MemoryTester0 fsm: entity work.memtest_fsm generic map ( A_BITS => WORD_ADDR_BITS, D_BITS => 128) port map ( clk => ui_clk, rst => ui_clk_sync_rst, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata, mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, status => memtest0_status); adapter : entity poc.ddr3_mem2mig_adapter_Series7 generic map ( D_BITS => 128, DQ_BITS => DQ_WIDTH, MEM_A_BITS => WORD_ADDR_BITS, APP_A_BITS => app_addr'length) port map ( mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata, init_calib_complete => init_calib_complete, app_rd_data => app_rd_data, app_rd_data_end => app_rd_data_end, app_rd_data_valid => app_rd_data_valid, app_rdy => app_rdy, app_wdf_rdy => app_wdf_rdy, app_addr => app_addr, app_cmd => app_cmd, app_en => app_en, app_wdf_data => app_wdf_data, app_wdf_end => app_wdf_end, app_wdf_mask => app_wdf_mask, app_wdf_wren => app_wdf_wren); end block MemoryTester0; ----------------------------------------------------------------------------- -- Memory Controller Instantiation ----------------------------------------------------------------------------- mig : entity work.mig_Nexys4DDR port map ( ddr2_dq => ddr2_dq, ddr2_dqs_p => ddr2_dqs_p, ddr2_dqs_n => ddr2_dqs_n, ddr2_addr => ddr2_addr, ddr2_ba => ddr2_ba, ddr2_ras_n => ddr2_ras_n, ddr2_cas_n => ddr2_cas_n, ddr2_we_n => ddr2_we_n, ddr2_ck_p => ddr2_ck_p, ddr2_ck_n => ddr2_ck_n, ddr2_cke => ddr2_cke, ddr2_cs_n => ddr2_cs_n, ddr2_dm => ddr2_dm, ddr2_odt => ddr2_odt, sys_clk_i => sys_clk_unbuf, clk_ref_i => clk_ref, app_addr => app_addr, app_cmd => app_cmd, app_en => app_en, app_wdf_data => app_wdf_data, app_wdf_end => app_wdf_end, app_wdf_mask => app_wdf_mask, app_wdf_wren => app_wdf_wren, app_rd_data => app_rd_data, app_rd_data_end => app_rd_data_end, app_rd_data_valid => app_rd_data_valid, app_rdy => app_rdy, app_wdf_rdy => app_wdf_rdy, app_sr_req => '0', app_sr_active => open, app_ref_req => '0', app_ref_ack => open, app_zq_req => '0', app_zq_ack => open, ui_clk => ui_clk, ui_clk_sync_rst => ui_clk_sync_rst, init_calib_complete => init_calib_complete, sys_rst => ref_clk_locked); -- active low ----------------------------------------------------------------------------- -- Status outputs ----------------------------------------------------------------------------- led(7) <= ui_clk_sync_rst; led(6) <= ref_clk_locked; led(5) <= '0'; led(4) <= '0'; led(3) <= init_calib_complete; led(2 downto 0) <= memtest0_status; end architecture rtl;
apache-2.0
c8db683e59513314f96b50d5b7ec21bb
0.520838
3.416636
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Principal/slice_number.vhd
1
2,229
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity slice_number is Generic(n :natural := 7); Port ( integerNumber : in std_logic_vector(n downto 0); -- recebe um vetor de bits da interface receives_input : in std_logic; MDDreset : in std_logic; clk : in std_logic; finish : out std_logic; unity : out STD_LOGIC_vector(9 downto 0); --retorna o codigo do display do digito presente na unidade dicker : out STD_LOGIC_vector(9 downto 0); -- retorna o codigo do display do digito presente na dezena hundred : out STD_LOGIC_vector(9 downto 0) -- retorna o codigo do display do digito presente na centena ); end slice_number; architecture Behavioral of slice_number is ----------- #### SINAIS #### -------- signal dividendo : std_logic_vector(n downto 0); signal dez,cem : std_logic_vector(n downto 0); signal enable : std_logic; signal finishDez, finishCem : std_logic; signal quocienteCem, quocienteDez : std_logic_vector(n downto 0); signal restoCem, restoDez : std_logic_vector(n downto 0); ----------- #### COMPONENTES #### -------- begin dividendo <= integerNumber; enable <= receives_input; MaquinaDeDividirCentena: entity work.MaquinaDeDividir port map (clk, dividendo, cem, restoCem, quocienteCem, enable, finishDez, MDDreset); MaquinaDeDividirDezena : entity work.MaquinaDeDividir port map (clk, restoCem, dez, restoDez, quocienteDez, finishDez, finishCem, MDDreset); Dez <= "00001010"; Cem <= "01100100"; finish <= (finishCem and finishDez); -- quocienteCem <= std_logic_vector(unsigned(quocienteDez)-unsigned(quocienteDez)*10); process(clk,quocienteCem, quocienteDez, restoDez) begin if (rising_edge(clk) and receives_input = '1') then hundred(9 downto 4) <= "100011"; hundred(3 downto 0) <= quocienteCem(3 downto 0); dicker(9 downto 4) <= "100011"; dicker(3 downto 0) <= quocienteDez(3 downto 0); unity(9 downto 4) <= "100011"; unity(3 downto 0) <= restoDez(3 downto 0); -- hundred <= "100011" & quocienteCem(3 downto 0); -- dicker <= "100011" & quocienteDez(3 downto 0); -- unity <= "100011" & restoDez(3 downto 0); end if; end process; end Behavioral;
gpl-3.0
db1c0ce5290d0eba72781263fba22446
0.666667
3.249271
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_ScratchRam_0_0/sim/RAT_ScratchRam_0_0.vhd
1
3,423
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:ScratchRam:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_ScratchRam_0_0 IS PORT ( DATA_IN : IN STD_LOGIC_VECTOR(9 DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); ADDR : IN STD_LOGIC_VECTOR(7 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END RAT_ScratchRam_0_0; ARCHITECTURE RAT_ScratchRam_0_0_arch OF RAT_ScratchRam_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_ScratchRam_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT ScratchRam IS PORT ( DATA_IN : IN STD_LOGIC_VECTOR(9 DOWNTO 0); DATA_OUT : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); ADDR : IN STD_LOGIC_VECTOR(7 DOWNTO 0); WE : IN STD_LOGIC; CLK : IN STD_LOGIC ); END COMPONENT ScratchRam; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : ScratchRam PORT MAP ( DATA_IN => DATA_IN, DATA_OUT => DATA_OUT, ADDR => ADDR, WE => WE, CLK => CLK ); END RAT_ScratchRam_0_0_arch;
mit
4c0032c5ad42f30bf2c8e7ca41c95b2f
0.726264
4.046099
false
false
false
false
VLSI-EDA/PoC-Examples
src/xil/clknet/clknet_ClockNetwork_ML605.vhdl
1
12,618
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Package: TODO -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library UNISIM; use UNISIM.VCOMPONENTS.all; library PoC; use PoC.utils.all; use PoC.physical.all; use PoC.components.all; use PoC.io.all; entity clknet_ClockNetwork_ML605 is generic ( DEBUG : BOOLEAN := FALSE; CLOCK_IN_FREQ : FREQ := 200 MHz ); port ( ClockIn_200MHz : in STD_LOGIC; ClockNetwork_Reset : in STD_LOGIC; ClockNetwork_ResetDone : out STD_LOGIC; Control_Clock_200MHz : out STD_LOGIC; Clock_250MHz : out STD_LOGIC; Clock_200MHz : out STD_LOGIC; Clock_125MHz : out STD_LOGIC; Clock_100MHz : out STD_LOGIC; Clock_10MHz : out STD_LOGIC; Clock_Stable_250MHz : out STD_LOGIC; Clock_Stable_200MHz : out STD_LOGIC; Clock_Stable_125MHz : out STD_LOGIC; Clock_Stable_100MHz : out STD_LOGIC; Clock_Stable_10MHz : out STD_LOGIC ); end entity; -- DCM - clock wizard report -- -- Output Output Phase Duty Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------- -- CLK_OUT0 200.000 0.000 50.0 -- CLK_OUT1 100.000 0.000 50.0 -- CLK_OUT2 125.000 0.000 50.0 -- CLK_OUT3 250.000 0.000 50.0 -- CLK_OUT4 10.000 0.000 50.0 -- architecture rtl of clknet_ClockNetwork_ML605 is attribute KEEP : BOOLEAN; -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low -- control clock: 200 MHz -- slowest output clock: 10 MHz -- worst case delay: (Control_Clock freq / slowest clock in MHz) * register stages + safety -- => 44 (200 MHz / 10 MHz) * 2 register stages + 4 constant CMB_DELAY_CYCLES : POSITIVE := integer(real(CLOCK_IN_FREQ / 10 MHz) * 2.0 + 4.0); signal ClkNet_Reset : STD_LOGIC; signal MMCM_Reset : STD_LOGIC; signal MMCM_Reset_clr : STD_LOGIC; signal MMCM_ResetState : STD_LOGIC := '0'; signal MMCM_Reset_delayed : STD_LOGIC_VECTOR(CMB_DELAY_CYCLES - 1 downto 0); signal MMCM_Locked_async : STD_LOGIC; signal MMCM_Locked : STD_LOGIC; signal MMCM_Locked_d : STD_LOGIC := '0'; signal MMCM_Locked_re : STD_LOGIC; signal MMCM_LockedState : STD_LOGIC := '0'; signal Locked : STD_LOGIC; signal Reset : STD_LOGIC; signal Control_Clock : STD_LOGIC; signal Control_Clock_BUFR : STD_LOGIC; signal MMCM_Clock_10MHz : STD_LOGIC; signal MMCM_Clock_100MHz : STD_LOGIC; signal MMCM_Clock_125MHz : STD_LOGIC; signal MMCM_Clock_200MHz : STD_LOGIC; signal MMCM_Clock_250MHz : STD_LOGIC; signal MMCM_Clock_10MHz_BUFG : STD_LOGIC; signal MMCM_Clock_100MHz_BUFG : STD_LOGIC; signal MMCM_Clock_125MHz_BUFG : STD_LOGIC; signal MMCM_Clock_200MHz_BUFG : STD_LOGIC; signal MMCM_Clock_250MHz_BUFG : STD_LOGIC; attribute KEEP of MMCM_Clock_10MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_100MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_125MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_200MHz_BUFG : signal is DEBUG; attribute KEEP of MMCM_Clock_250MHz_BUFG : signal is DEBUG; begin -- ================================================================== -- ResetControl -- ================================================================== -- synchronize external (async) ClockNetwork_Reset and internal (but async) MMCM_Locked signals to "Control_Clock" domain syncControlClock: entity PoC.sync_Bits_Xilinx generic map ( BITS => 2 -- number of BITS to synchronize ) port map ( Clock => Control_Clock, -- Clock to be synchronized to Input(0) => ClockNetwork_Reset, -- Data to be synchronized Input(1) => MMCM_Locked_async, -- Output(0) => ClkNet_Reset, -- synchronized data Output(1) => MMCM_Locked -- ); -- clear reset signals, if external Reset is low and CMB (clock modifying block) noticed reset -> locked = low MMCM_Reset_clr <= ClkNet_Reset nor MMCM_Locked; -- detect rising edge on CMB locked signals MMCM_Locked_d <= MMCM_Locked when rising_edge(Control_Clock); MMCM_Locked_re <= not MMCM_Locked_d and MMCM_Locked; -- RS-FF Q RST SET CLK -- hold reset until external reset goes low and CMB noticed reset MMCM_ResetState <= ffrs(q => MMCM_ResetState, rst => MMCM_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); -- deassert *_LockedState, if CMBs are going to be reseted; assert it if *_Locked is high again MMCM_LockedState <= ffrs(q => MMCM_LockedState, rst => MMCM_Reset, set => MMCM_Locked_re) when rising_edge(Control_Clock); -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low MMCM_Reset_delayed <= shreg_left(MMCM_Reset_delayed, MMCM_ResetState) when rising_edge(Control_Clock); MMCM_Reset <= MMCM_Reset_delayed(MMCM_Reset_delayed'high); Locked <= MMCM_LockedState; ClockNetwork_ResetDone <= Locked; -- ================================================================== -- ClockBuffers -- ================================================================== -- Control_Clock BUFR_Control_Clock : BUFR generic map ( SIM_DEVICE => "VIRTEX6" ) port map ( CE => '1', CLR => '0', I => ClockIn_200MHz, O => Control_Clock_BUFR ); Control_Clock <= Control_Clock_BUFR; -- 10 MHz BUFG BUFG_Clock_10MHz : BUFG port map ( I => MMCM_Clock_10MHz, O => MMCM_Clock_10MHz_BUFG ); -- 100 MHz BUFG BUFG_Clock_100MHz : BUFG port map ( I => MMCM_Clock_100MHz, O => MMCM_Clock_100MHz_BUFG ); -- 125 MHz BUFG BUFG_Clock_125MHz : BUFG port map ( I => MMCM_Clock_125MHz, O => MMCM_Clock_125MHz_BUFG ); -- 200 MHz BUFG BUFG_Clock_200MHz : BUFG port map ( I => MMCM_Clock_200MHz, O => MMCM_Clock_200MHz_BUFG ); -- 250 MHz BUFG BUFG_Clock_250MHz : BUFG port map ( I => MMCM_Clock_250MHz, O => MMCM_Clock_250MHz_BUFG ); -- ================================================================== -- Mixed-Mode Clock Manager (MMCM) -- ================================================================== System_MMCM : MMCM_ADV generic map ( CLOCK_HOLD => FALSE, STARTUP_WAIT => FALSE, BANDWIDTH => "LOW", -- LOW = Jitter Filter COMPENSATION => "BUF_IN", --"ZHOLD", CLKIN1_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), CLKIN2_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), -- Not used REF_JITTER1 => 0.00048, REF_JITTER2 => 0.00048, -- Not used CLKFBOUT_MULT_F => 5.0, CLKFBOUT_PHASE => 0.0, CLKFBOUT_USE_FINE_PS => FALSE, DIVCLK_DIVIDE => 1, CLKOUT0_DIVIDE_F => 5.0, CLKOUT0_PHASE => 0.0, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => 10, CLKOUT1_PHASE => 0.0, CLKOUT1_DUTY_CYCLE => 0.500, CLKOUT1_USE_FINE_PS => FALSE, CLKOUT2_DIVIDE => 8, CLKOUT2_PHASE => 0.0, CLKOUT2_DUTY_CYCLE => 0.500, CLKOUT2_USE_FINE_PS => FALSE, CLKOUT3_DIVIDE => 4, CLKOUT3_PHASE => 0.0, CLKOUT3_DUTY_CYCLE => 0.500, CLKOUT3_USE_FINE_PS => FALSE, CLKOUT4_CASCADE => FALSE, CLKOUT4_DIVIDE => 100, CLKOUT4_PHASE => 0.0, CLKOUT4_DUTY_CYCLE => 0.500, CLKOUT4_USE_FINE_PS => FALSE ) port map ( RST => MMCM_Reset, CLKIN1 => ClockIn_200MHz, CLKIN2 => ClockIn_200MHz, CLKINSEL => '1', CLKINSTOPPED => open, CLKFBOUT => open, CLKFBOUTB => open, CLKFBIN => MMCM_Clock_200MHz_BUFG, CLKFBSTOPPED => open, CLKOUT0 => MMCM_Clock_200MHz, CLKOUT0B => open, CLKOUT1 => MMCM_Clock_100MHz, CLKOUT1B => open, CLKOUT2 => MMCM_Clock_125MHz, CLKOUT2B => open, CLKOUT3 => MMCM_Clock_250MHz, CLKOUT3B => open, CLKOUT4 => MMCM_Clock_10MHz, CLKOUT5 => open, CLKOUT6 => open, -- Dynamic Reconfiguration Port DO => open, DRDY => open, DADDR => "0000000", DCLK => '0', DEN => '0', DI => x"0000", DWE => '0', PWRDWN => '0', LOCKED => MMCM_Locked_async, PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open ); Control_Clock_200MHz <= Control_Clock_BUFR; Clock_250MHz <= MMCM_Clock_250MHz_BUFG; Clock_200MHz <= MMCM_Clock_200MHz_BUFG; Clock_125MHz <= MMCM_Clock_125MHz_BUFG; Clock_100MHz <= MMCM_Clock_100MHz_BUFG; Clock_10MHz <= MMCM_Clock_10MHz_BUFG; -- synchronize internal Locked signal to ouput clock domains syncLocked250MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_250MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_250MHz -- synchronized data ); syncLocked200MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_200MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_200MHz -- synchronized data ); syncLocked125MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_125MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_125MHz -- synchronized data ); syncLocked100MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_100MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_100MHz -- synchronized data ); syncLocked10MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => MMCM_Clock_10MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_10MHz -- synchronized data ); end architecture;
apache-2.0
e0a0bd0791309ff5436f8a118d9e5ef3
0.522032
3.589758
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 1/Somador1bit.vhd
1
1,256
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:31:38 03/28/2017 -- Design Name: -- Module Name: Somador1bit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Somador1bit is Port ( x : in STD_LOGIC; y : in STD_LOGIC; cin : in STD_LOGIC; cout : out STD_LOGIC; z : out STD_LOGIC; p : out STD_LOGIC; g : out STD_LOGIC); end Somador1bit; architecture Behavioral of Somador1bit is signal a: std_logic; signal b: std_logic; begin a <= x and y; b <= x xor y; z <= x xor y xor cin; cout <= a or (b and cin); p <= b; g <= a; end Behavioral;
gpl-3.0
894646021a76db403df5b3d80a5f4454
0.557325
3.64058
false
false
false
false
BBN-Q/VHDL-Components
src/DownCounter.vhd
1
881
---- -- Original author: Blake Johnson -- Copyright 2015,2016 Raytheon BBN Technologies -- -- A basic down counter. ---- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity DownCounter is generic ( nbits : natural := 8 ); port ( clk : in std_logic; rst : in std_logic; en : in std_logic; load_value : in std_logic_vector(nbits-1 downto 0); load : in std_logic; Q : out std_logic_vector(nbits-1 downto 0) ); end DownCounter; architecture arch of DownCounter is signal value : std_logic_vector(nbits-1 downto 0) := (others => '0'); begin Q <= value; main: process ( clk ) begin if rising_edge(clk) then if rst = '1' then value <= (others => '0'); else if load = '1' then value <= load_value; elsif en = '1' then value <= std_logic_vector(unsigned(value) - 1); end if; end if; end if; end process; end arch;
mpl-2.0
fb7955b84065215e0121593919e7a670
0.643587
2.823718
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux2x1_8_0_0/synth/RAT_Mux2x1_8_0_0.vhd
2
3,736
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:Mux2x1_8:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_Mux2x1_8_0_0 IS PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); SEL : IN STD_LOGIC; X : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END RAT_Mux2x1_8_0_0; ARCHITECTURE RAT_Mux2x1_8_0_0_arch OF RAT_Mux2x1_8_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_Mux2x1_8_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT Mux2x1_8 IS PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); SEL : IN STD_LOGIC; X : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT Mux2x1_8; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_Mux2x1_8_0_0_arch: ARCHITECTURE IS "Mux2x1_8,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_Mux2x1_8_0_0_arch : ARCHITECTURE IS "RAT_Mux2x1_8_0_0,Mux2x1_8,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_Mux2x1_8_0_0_arch: ARCHITECTURE IS "RAT_Mux2x1_8_0_0,Mux2x1_8,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=Mux2x1_8,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; BEGIN U0 : Mux2x1_8 PORT MAP ( A => A, B => B, SEL => SEL, X => X ); END RAT_Mux2x1_8_0_0_arch;
mit
f16364e7996b398b92c1d343c8727f58
0.728854
3.571702
false
false
false
false
VLSI-EDA/PoC-Examples
src/io/FanControl/top_FanControl_ML605.vhdl
1
7,206
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- Thomas B. Preusser -- -- Top-Module: FanControl example design for a ML605 board -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library PoC; use PoC.physical.all; entity top_FanControl_ML605 is port ( ML605_SystemClock_200MHz_p : in STD_LOGIC; ML605_SystemClock_200MHz_n : in STD_LOGIC; ML605_GPIO_LED : out STD_LOGIC_VECTOR(7 downto 0); ML605_FanControl_PWM : out STD_LOGIC; ML605_FanControl_Tacho : in STD_LOGIC ); end entity; architecture top of top_FanControl_ML605 is attribute KEEP : BOOLEAN; -- =========================================================================== -- configurations -- =========================================================================== -- common configuration constant DEBUG : BOOLEAN := TRUE; constant SYS_CLOCK_FREQ : FREQ := 200 MHz; -- ClockNetwork configuration -- =========================================================================== constant SYSTEM_CLOCK_FREQ : FREQ := SYS_CLOCK_FREQ / 2; -- =========================================================================== -- signal declarations -- =========================================================================== -- clock and reset signals signal System_RefClock_200MHz : STD_LOGIC; signal ClkNet_Reset : STD_LOGIC; signal ClkNet_ResetDone : STD_LOGIC; signal SystemClock_200MHz : STD_LOGIC; signal SystemClock_100MHz : STD_LOGIC; signal SystemClock_Stable_200MHz : STD_LOGIC; signal SystemClock_Stable_100MHz : STD_LOGIC; signal System_Clock : STD_LOGIC; signal System_Reset : STD_LOGIC; attribute KEEP of System_Clock : signal is TRUE; attribute KEEP of System_Reset : signal is TRUE; begin -- =========================================================================== -- assert statements -- =========================================================================== assert FALSE report "FanControl configuration:" severity NOTE; assert FALSE report " SYS_CLOCK_FREQ: " & to_string(SYS_CLOCK_FREQ, 3) severity note; -- =========================================================================== -- Input/output buffers -- =========================================================================== IBUFGDS_SystemClock : IBUFGDS port map ( I => ML605_SystemClock_200MHz_p, IB => ML605_SystemClock_200MHz_n, O => System_RefClock_200MHz ); -- ========================================================================================================================================================== -- ClockNetwork -- ========================================================================================================================================================== ClkNet_Reset <= '0'; ClkNet : entity PoC.clknet_ClockNetwork_ML605 generic map ( CLOCK_IN_FREQ => SYS_CLOCK_FREQ ) port map ( ClockIn_200MHz => System_RefClock_200MHz, ClockNetwork_Reset => ClkNet_Reset, ClockNetwork_ResetDone => ClkNet_ResetDone, Control_Clock_200MHz => open, Clock_250MHz => open, Clock_200MHz => SystemClock_200MHz, Clock_125MHz => open, Clock_100MHz => SystemClock_100MHz, Clock_10MHz => open, Clock_Stable_250MHz => open, Clock_Stable_200MHz => SystemClock_Stable_200MHz, Clock_Stable_125MHz => open, Clock_Stable_100MHz => SystemClock_Stable_100MHz, Clock_Stable_10MHz => open ); -- system signals System_Clock <= SystemClock_100MHz; System_Reset <= not SystemClock_Stable_100MHz; -- ========================================================================================================================================================== -- General Purpose I/O -- ========================================================================================================================================================== blkGPIO : block signal GPIO_LED : STD_LOGIC_VECTOR(7 downto 0); signal GPIO_LED_d : STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); begin GPIO_LED <= "0000000" & ClkNet_ResetDone; GPIO_LED_d <= GPIO_LED when rising_edge(System_Clock); ML605_GPIO_LED <= GPIO_LED_d; end block; -- ========================================================================================================================================================== -- Fan Control -- ========================================================================================================================================================== blkFanControl : block signal FanControl_PWM : STD_LOGIC; signal FanControl_PWM_d : STD_LOGIC := '0'; signal FanControl_Tacho_async : STD_LOGIC; signal FanControl_Tacho_sync : STD_LOGIC; begin FanControl_Tacho_async <= ML605_FanControl_Tacho; sync : entity PoC.sync_Bits port map ( Clock => System_Clock, -- Clock to be synchronized to Input(0) => FanControl_Tacho_async, -- Data to be synchronized Output(0) => FanControl_Tacho_sync -- synchronized data ); Fan : entity PoC.io_FanControl generic map ( CLOCK_FREQ => SYSTEM_CLOCK_FREQ -- 100 MHz ) port map ( Clock => System_Clock, Reset => System_Reset, Fan_PWM => FanControl_PWM, Fan_Tacho => FanControl_Tacho_sync, TachoFrequency => open ); -- IOB-FF FanControl_PWM_d <= FanControl_PWM when rising_edge(System_Clock); ML605_FanControl_PWM <= FanControl_PWM_d; end block; end architecture;
apache-2.0
5659fa89a44e5261df532bfdf2029d2c
0.451568
4.706728
false
false
false
false
stefanct/aua
hw/io/sc_uart/src/fifo.vhd
1
3,525
-- -- -- This file is a part of JOP, the Java Optimized Processor -- -- Copyright (C) 2001-2008, Martin Schoeberl ([email protected]) -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- fifo.vhd -- -- simple fifo -- -- uses FF and every rd or wr has to 'bubble' through the hole fifo. -- -- Author: Martin Schoeberl [email protected] -- -- -- resources on ACEX1K -- -- (width+2)*depth-1 LCs -- -- -- 2002-01-06 first working version -- 2002-11-03 a signal for reaching threshold -- 2005-02-20 change entity order for modelsim vcom -- library ieee; use ieee.std_logic_1164.all; entity fifo_elem is generic (width : integer); port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(width-1 downto 0); dout : out std_logic_vector(width-1 downto 0); rd : in std_logic; wr : in std_logic; rd_prev : out std_logic; full : out std_logic ); end fifo_elem; architecture rtl of fifo_elem is signal buf : std_logic_vector(width-1 downto 0); signal f : std_logic; begin dout <= buf; process(clk, reset, f) begin full <= f; if (reset='1') then buf <= (others => '0'); f <= '0'; rd_prev <= '0'; elsif rising_edge(clk) then rd_prev <= '0'; if f='0' then if wr='1' then rd_prev <= '1'; buf <= din; f <= '1'; end if; else if rd='1' then f <= '0'; end if; end if; end if; end process; end rtl; library ieee; use ieee.std_logic_1164.all; entity fifo is generic (width : integer := 8; depth : integer := 4; thres : integer := 2); port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(width-1 downto 0); dout : out std_logic_vector(width-1 downto 0); rd : in std_logic; wr : in std_logic; empty : out std_logic; full : out std_logic; half : out std_logic ); end fifo ; architecture rtl of fifo is component fifo_elem is generic (width : integer); port ( clk : in std_logic; reset : in std_logic; din : in std_logic_vector(width-1 downto 0); dout : out std_logic_vector(width-1 downto 0); rd : in std_logic; wr : in std_logic; rd_prev : out std_logic; full : out std_logic ); end component; signal r, w, rp, f : std_logic_vector(depth-1 downto 0); type d_array is array (0 to depth-1) of std_logic_vector(width-1 downto 0); signal di, do : d_array; begin g1: for i in 0 to depth-1 generate f1: fifo_elem generic map (width) port map (clk, reset, di(i), do(i), r(i), w(i), rp(i), f(i)); x: if i<depth-1 generate r(i) <= rp(i+1); w(i+1) <= f(i); di(i+1) <= do(i); end generate; end generate; di(0) <= din; dout <= do(depth-1); w(0) <= wr; r(depth-1) <= rd; full <= f(0); half <= f(depth-thres); empty <= not f(depth-1); end rtl;
gpl-3.0
7e38832d7150452b107cb93edf3dbc31
0.605957
2.709454
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Principal/MultBcd_1xNDig.vhd
2
1,994
library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; entity MultBcd_1xNDig is Port ( A : in unsigned (3 downto 0); B : in unsigned (19 downto 0); Z : out unsigned (23 downto 0)); end MultBcd_1xNDig; architecture Behavioral of MultBcd_1xNDig is component MultBcd_1Dig is port ( a_bcd_1dig : in unsigned (3 downto 0); b_bcd_1dig : in unsigned (3 downto 0); cin_bcd_1dig : in unsigned (3 downto 0); z_bcd_1dig : out unsigned (3 downto 0); cout_bcd_1dig : out unsigned (3 downto 0) ); end component; signal Zaux: unsigned(19 downto 0) := (others => '0'); signal CarryOut: unsigned(19 downto 0); begin MULT1: MultBcd_1Dig port map ( a_bcd_1dig => A, b_bcd_1dig => B(3 downto 0), cin_bcd_1dig => "0000", z_bcd_1dig => Zaux(3 downto 0), cout_bcd_1dig => CarryOut(3 downto 0) ); MULT2: MultBcd_1Dig port map ( a_bcd_1dig => A, b_bcd_1dig => B(7 downto 4), cin_bcd_1dig => CarryOut(3 downto 0), z_bcd_1dig => Zaux(7 downto 4), cout_bcd_1dig => CarryOut(7 downto 4) ); MULT3: MultBcd_1Dig port map ( a_bcd_1dig => A, b_bcd_1dig => B(11 downto 8), cin_bcd_1dig => CarryOut(7 downto 4), z_bcd_1dig => Zaux(11 downto 8), cout_bcd_1dig => CarryOut(11 downto 8) ); MULT4: MultBcd_1Dig port map ( a_bcd_1dig => A, b_bcd_1dig => B(15 downto 12), cin_bcd_1dig => CarryOut(11 downto 8), z_bcd_1dig => Zaux(15 downto 12), cout_bcd_1dig => CarryOut(15 downto 12) ); MULT5: MultBcd_1Dig port map ( a_bcd_1dig => A, b_bcd_1dig => B(19 downto 16), cin_bcd_1dig => CarryOut(15 downto 12), z_bcd_1dig => Zaux(19 downto 16), cout_bcd_1dig => CarryOut(19 downto 16) ); Z(23 downto 20) <= CarryOut(19 downto 16); Z(19 downto 0) <= Zaux(19 downto 0); end Behavioral;
gpl-3.0
92c2c0de334e909f964a90c6396df5e7
0.561184
2.954074
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/ALU.vhd
1
2,746
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 01/25/2016 10:40:54 AM -- Design Name: -- Module Name: ALU - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity alu is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); C_IN : in STD_LOGIC; Sel : in STD_LOGIC_VECTOR (3 downto 0); SUM : out STD_LOGIC_VECTOR (7 downto 0); C_FLAG : out STD_LOGIC; Z_FLAG : out STD_LOGIC); end alu; architecture Behavioral of alu is signal temp_s : STD_LOGIC_VECTOR (8 downto 0); begin process (A, B, C_IN, SEL) begin case SEL is when "0000" => temp_s <= ('0' & A) + B; -- add when "0001" => temp_s <= ('0' & A) + B + C_IN; -- addc when "0010" => temp_s <= ('0' & A) - B; -- sub when "0011" => temp_s <= ('0' & A) - B - C_IN; -- subc when "0100" => temp_s <= ('0' & A) - B; -- cmp when "0101" => temp_s <= ('0' & A) and ('0' & B); -- and when "0110" => temp_s <= ('0' & A) or ('0' & B); -- or when "0111" => temp_s <= ('0' & A) xor ('0' & B); -- exor when "1000" => temp_s <= ('0' & A) and ('0' & B); -- test when "1001" => temp_s <= A & C_IN; -- lsl when "1010" => temp_s <= A(0) & C_IN & A (7 downto 1); -- lsr when "1011" => temp_s <= A(7 downto 0) & A(7); -- rol when "1100" => temp_s <= A(0) & A(0) & A(7 downto 1); -- ror when "1101" => temp_s <= A(0) & A(7) & A(7 downto 1); -- asr when "1110" => temp_s <= '0' & B; -- mov when "1111" => temp_s <= "000000000"; -- unused when others => temp_s <= "000000000"; end case; end process; -- account for overflow during subtraction SUM <= not(temp_s (7 downto 0)) + 1 when ((SEL = "0010" or SEL = "0011") and temp_s(8) = '1') else temp_s(7 downto 0); Z_FLAG <= '1' when (temp_s = "000000000" or temp_s = "100000000") else '0'; C_FLAG <= temp_s(8); end Behavioral;
mit
99146c6f55041540c028d983cc121b46
0.482156
3.312425
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/ControlUnit.vhd
1
19,313
---------------------------------------------------------------------------------- -- Company: CPE 233 -- Engineer: -- ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ControlUnit is Port ( CLK : in STD_LOGIC; C : in STD_LOGIC; Z : in STD_LOGIC; INT : in STD_LOGIC; RST : in STD_LOGIC; OPCODE_HI_5 : in STD_LOGIC_VECTOR (4 downto 0); OPCODE_LO_2 : in STD_LOGIC_VECTOR (1 downto 0); PC_LD : out STD_LOGIC; PC_INC : out STD_LOGIC; PC_RESET : out STD_LOGIC; PC_OE : out STD_LOGIC; PC_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0); SP_LD : out STD_LOGIC; SP_MUX_SEL : out STD_LOGIC_VECTOR (1 downto 0); SP_RESET : out STD_LOGIC; RF_WR : out STD_LOGIC; RF_WR_SEL : out STD_LOGIC_VECTOR (1 downto 0); RF_OE : out STD_LOGIC; REG_IMMED_SEL : out STD_LOGIC; ALU_SEL : out STD_LOGIC_VECTOR (3 downto 0); ALU_OPY_SEL : out STD_LOGIC; -- DOESN'T DO ANYTHING RIGHT NOW!! CHECK ME!! SCR_WR : out STD_LOGIC; SCR_OE : out STD_LOGIC; SCR_ADDR_SEL : out STD_LOGIC_VECTOR (1 downto 0); C_FLAG_SEL : out STD_LOGIC; C_FLAG_LD : out STD_LOGIC; C_FLAG_SET : out STD_LOGIC; C_FLAG_CLR : out STD_LOGIC; SHAD_C_LD : out STD_LOGIC; Z_FLAG_SEL : out STD_LOGIC; Z_FLAG_LD : out STD_LOGIC; Z_FLAG_SET : out STD_LOGIC; Z_FLAG_CLR : out STD_LOGIC; SHAD_Z_LD : out STD_LOGIC; I_FLAG_SET : out STD_LOGIC; I_FLAG_CLR : out STD_LOGIC; IO_OE : out STD_LOGIC); end ControlUnit; architecture Behavioral of ControlUnit is -- State machine signals type state_type is (ST_init, ST_fet, ST_exec, ST_int); signal PS,NS : state_type; -- Opcode signal sig_OPCODE_7: std_logic_vector (6 downto 0); begin -- Assign next state sync_p: process (CLK, NS, RST) begin if (RST = '1') then PS <= ST_init; elsif (rising_edge(CLK)) then PS <= NS; end if; end process sync_p; -- Translate instruction to signals comb_p: process (OPCODE_HI_5, OPCODE_LO_2, sig_OPCODE_7, C, Z, PS, NS, INT) begin sig_OPCODE_7 <= OPCODE_HI_5 & OPCODE_LO_2; case PS is -- STATE: the init cycle ------------------------------------ when ST_init => NS <= ST_fet; -- Initialize all control outputs to non-active states and reset the PC and SP to all zeros. PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '1'; PC_OE <= '0'; PC_INC <= '0'; SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '1'; RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0'; REG_IMMED_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00"; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; -- STATE: the fetch cycle ----------------------------------- when ST_fet => NS <= ST_exec; PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_OE <= '0'; PC_INC <= '1'; SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0'; REG_IMMED_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00"; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; -- STATE: the execute cycle --------------------------------- when ST_exec => if (INT = '1') then NS <= ST_int; else NS <= ST_fet; end if; -- Repeat the default block for all variables here, noting that any output values desired to be different -- from init values shown below will be assigned in the following case statements for each opcode. PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_OE <= '0'; PC_INC <= '0'; SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0'; REG_IMMED_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00"; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; if (sig_OPCODE_7 = "0000100") then -- ADD reg-reg RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0000"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10100" ) then -- ADD reg-immed REG_IMMED_SEL <= '1'; RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0000"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000101") then -- ADDC reg-reg RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0001"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10101" ) then -- ADDC reg-immed REG_IMMED_SEL <= '1'; RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0001"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000000") then -- AND reg-reg RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0101"; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10000" ) then -- AND reg-immed REG_IMMED_SEL <= '1'; RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0101"; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0100100") then -- ASR reg-reg RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "1101"; Z_FLAG_LD <= '1'; C_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0010101") then -- BRCC if (c = '0') then PC_LD <= '1'; end if; elsif (sig_OPCODE_7 = "0010100") then -- BRCS if (c = '1') then PC_LD <= '1'; end if; elsif (sig_OPCODE_7 = "0010010") then -- BREQ if (Z = '1') then PC_LD <= '1'; end if; elsif (sig_OPCODE_7 = "0010000") then -- BRN PC_LD <= '1'; elsif (sig_OPCODE_7 = "0010011") then -- BRNE if (Z = '0') then PC_LD <= '1'; end if; elsif (sig_OPCODE_7 = "0010001") then -- CALL PC_LD <= '1'; PC_OE <= '1'; SP_LD <= '1'; SP_MUX_SEL <= "10"; SCR_ADDR_SEL <= "11"; SCR_WR <= '1'; elsif (sig_OPCODE_7 = "0110000") then -- CLC C_FLAG_CLR <= '1'; elsif (sig_OPCODE_7 = "0110101") then -- CLI (INT) I_FLAG_CLR <= '1'; elsif (sig_OPCODE_7 = "0001000") then -- CMP reg-reg RF_OE <= '1'; ALU_SEL <= "0100"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "11000" ) then -- CMP reg-immed RF_OE <= '1'; ALU_SEL <= "0100"; REG_IMMED_SEL <= '1'; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000010") then -- EXOR reg-reg RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0111"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10010" ) then -- EXOR reg-immed RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0111"; REG_IMMED_SEL <= '1'; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "11001" ) then -- IN RF_WR <= '1'; RF_WR_SEL <= "11"; elsif (sig_OPCODE_7 = "0001010") then -- LD reg-reg SCR_OE <= '1'; SCR_ADDR_SEL <= "00"; RF_WR <= '1'; RF_WR_SEL <= "01"; elsif (OPCODE_HI_5 = "11100" ) then -- LD reg-immed SCR_OE <= '1'; SCR_ADDR_SEL <= "01"; RF_WR <= '1'; RF_WR_SEL <= "01"; elsif (sig_OPCODE_7 = "0100000") then -- LSL RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "1001"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0100001") then -- LSR RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "1010"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0001001") then -- MOV reg-reg RF_WR <= '1'; RF_OE <= '0'; ALU_SEL <= "1110"; elsif (OPCODE_HI_5 = "11011" ) then -- MOV reg-immed RF_WR <= '1'; ALU_SEL <= "1110"; REG_IMMED_SEL <= '1'; elsif (sig_OPCODE_7 = "0000001") then -- OR reg-reg RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0110"; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10001" ) then -- OR reg-immed RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0110"; REG_IMMED_SEL <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "11010" ) then -- OUT RF_OE <= '1'; IO_OE <= '1'; elsif (sig_OPCODE_7 = "0100110") then -- POP SCR_ADDR_SEL <= "10"; SCR_OE <= '1'; RF_WR <= '1'; RF_WR_SEL <= "01"; SP_MUX_SEL <= "11"; SP_LD <= '1'; elsif (sig_OPCODE_7 = "0100101") then -- PUSH RF_OE <= '1'; SCR_WR <= '1'; SCR_ADDR_SEL <= "11"; SP_MUX_SEL <= "10"; SP_LD <= '1'; elsif (sig_OPCODE_7 = "0110010") then -- RET SCR_ADDR_SEL <= "10"; SCR_OE <= '1'; PC_MUX_SEL <= "01"; PC_LD <= '1'; SP_MUX_SEL <= "11"; SP_LD <= '1'; elsif (sig_OPCODE_7 = "0110110") then -- RETID (INT) SCR_ADDR_SEL <= "10"; SCR_OE <= '1'; PC_MUX_SEL <= "01"; PC_LD <= '1'; SP_MUX_SEL <= "11"; SP_LD <= '1'; I_FLAG_CLR <= '1'; elsif (sig_OPCODE_7 = "0110111") then -- RETIE (INT) SCR_ADDR_SEL <= "10"; SCR_OE <= '1'; PC_MUX_SEL <= "01"; PC_LD <= '1'; SP_MUX_SEL <= "11"; SP_LD <= '1'; I_FLAG_SET <= '1'; elsif (sig_OPCODE_7 = "0100010") then -- ROL RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "1011"; Z_FLAG_LD <= '1'; C_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0100011") then -- ROR RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "1100"; Z_FLAG_LD <= '1'; C_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0110001") then -- SEC C_FLAG_SET <= '1'; elsif (sig_OPCODE_7 = "0110100") then -- SEI (INT) I_FLAG_SET <= '1'; elsif (sig_OPCODE_7 = "0001011") then -- ST reg-reg RF_OE <= '1'; SCR_WR <= '1'; elsif (OPCODE_HI_5 = "11101" ) then -- ST reg-immed RF_OE <= '1'; SCR_WR <= '1'; SCR_ADDR_SEL <= "01"; elsif (sig_OPCODE_7 = "0000110") then -- SUB reg-reg RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0010"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10110" ) then -- SUB reg-immed RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0010"; REG_IMMED_SEL <= '1'; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000111") then -- SUBC reg-reg RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0011"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10111" ) then -- SUBC reg-immed REG_IMMED_SEL <= '1'; RF_WR <= '1'; RF_OE <= '1'; ALU_SEL <= "0011"; C_FLAG_LD <= '1'; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0000011") then -- TEST reg-reg RF_OE <= '1'; ALU_SEL <= "1000"; Z_FLAG_LD <= '1'; elsif (OPCODE_HI_5 = "10011" ) then -- TEST reg-immed REG_IMMED_SEL <= '1'; RF_OE <= '1'; ALU_SEL <= "1000"; Z_FLAG_LD <= '1'; elsif (sig_OPCODE_7 = "0101000") then -- WSP RF_OE <= '1'; SP_MUX_SEL <= "00"; SP_LD <= '1'; else -- repeat the default block here to avoid incompletely specified outputs and hence avoid -- the problem of inadvertently created latches within the synthesized system. PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_OE <= '0'; PC_INC <= '0'; SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0'; REG_IMMED_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00"; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; end if; when ST_int => NS <= ST_fet; -- Repeat the default block for all variables here, noting that any output values desired to be different -- from init values shown below will be assigned in the following case statements for each opcode. PC_LD <= '1'; PC_MUX_SEL <= "10"; PC_RESET <= '0'; PC_OE <= '1'; PC_INC <= '0'; SP_LD <= '1'; SP_MUX_SEL <= "10"; SP_RESET <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0'; REG_IMMED_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '1'; SCR_OE <= '0'; SCR_ADDR_SEL <= "11"; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '1'; IO_OE <= '0'; when others => NS <= ST_fet; -- repeat the default block here to avoid incompletely specified outputs and hence avoid -- the problem of inadvertently created latches within the synthesized system. PC_LD <= '0'; PC_MUX_SEL <= "00"; PC_RESET <= '0'; PC_OE <= '0'; PC_INC <= '0'; SP_LD <= '0'; SP_MUX_SEL <= "00"; SP_RESET <= '0'; RF_WR <= '0'; RF_WR_SEL <= "00"; RF_OE <= '0'; REG_IMMED_SEL <= '0'; ALU_SEL <= "0000"; SCR_WR <= '0'; SCR_OE <= '0'; SCR_ADDR_SEL <= "00"; C_FLAG_SEL <= '0'; C_FLAG_LD <= '0'; C_FLAG_SET <= '0'; C_FLAG_CLR <= '0'; SHAD_C_LD <= '0'; Z_FLAG_SEL <= '0'; Z_FLAG_LD <= '0'; Z_FLAG_SET <= '0'; Z_FLAG_CLR <= '0'; SHAD_Z_LD <= '0'; I_FLAG_SET <= '0'; I_FLAG_CLR <= '0'; IO_OE <= '0'; --WRITE_STROBE <= '0'; READ_STROBE <= '0'; end case; end process comb_p; end Behavioral;
mit
246bc9678e9fd1d660deb6211a7417cd
0.353544
3.51465
false
false
false
false
open-power/snap
hardware/hdl/core/job_manager.vhd
1
32,743
---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- -- Copyright 2017 International Business Machines -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions AND -- limitations under the License. -- ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_misc.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; USE work.psl_accel_types.ALL; USE work.snap_core_types.all; ENTITY job_manager IS PORT ( -- -- pervasive ha_pclock : IN std_logic; afu_reset : IN std_logic; -- -- MMIO Interface mmj_c_i : IN MMJ_C_T; mmj_d_i : IN MMJ_D_T; jmm_c_o : OUT JMM_C_T; jmm_d_o : OUT JMM_D_T; -- -- DMA Interface (via AXI-DMA shim) sj_c_i : IN SJ_C_T; js_c_o : OUT JS_C_T; -- -- AXI MASTER Interface xj_c_i : IN XJ_C_T; jx_c_o : OUT JX_C_T ); END job_manager; ARCHITECTURE job_manager OF job_manager IS -- -- CONSTANT -- -- TYPE TYPE ASSIGN_ACTION_FSM_T IS (ST_RESET, ST_WAIT_FREE_ACTION, ST_WAIT_CONTEXT, ST_REQUEST_MMIO, ST_WAIT_MMIO_GRANT, ST_RETURN_MMIO_LOCK); TYPE COMPLETE_ACTION_FSM_T IS (ST_WAIT_COMPLETION, ST_REQUEST_MMIO, ST_WAIT_MMIO_GRANT, ST_PUSH_CTX, ST_RETURN_MMIO_LOCK, ST_INIT_ACTIONS); TYPE REQUEST_MMIO_INTERFACE_FSM_T IS (ST_WAIT_GRANT, ST_ASSIGN_MMIO_GRANTED, ST_COMPLETE_MMIO_GRANTED, ST_RETURN_GRANT); TYPE INTERRUPTS_FSM_T IS (ST_IDLE, ST_REQUEST_INT, ST_WAIT_ACK); -- -- ATTRIBUTE ATTRIBUTE syn_encoding : string; ATTRIBUTE syn_encoding OF ASSIGN_ACTION_FSM_T : TYPE IS "safe"; ATTRIBUTE syn_encoding OF COMPLETE_ACTION_FSM_T : TYPE IS "safe"; ATTRIBUTE syn_encoding OF REQUEST_MMIO_INTERFACE_FSM_T : TYPE IS "safe"; ATTRIBUTE syn_encoding OF INTERRUPTS_FSM_T : TYPE IS "safe"; -- -- SIGNAL SIGNAL grant_mmio_interface_q : integer RANGE 0 TO NUM_OF_ACTION_TYPES-1; SIGNAL wait_lock_q : std_logic; SIGNAL lock_mmio_interface_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL mmio_ctx_q : CONTEXT_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL assign_grant_mmio_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL assign_action_id_q : ACTION_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL assign_context_active_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL assign_status_we_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL complete_grant_mmio_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL complete_next_seqno_q : SEQNO_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL complete_next_jqidx_q : JQIDX_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL complete_seqno_we_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL complete_context_active_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL complete_status_we_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL check_for_idle_q : std_logic_vector(ACTION_BITS-1 DOWNTO 0); SIGNAL enable_check_for_idle_q : ACTION_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL job_queue_mode_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_active_q : std_logic_vector(NUM_OF_ACTIONS-1 DOWNTO 0); SIGNAL ctx_fifo_we : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_fifo_re : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_fifo_empty : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_fifo_full : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_fifo_din : CONTEXT_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_fifo_dout : CONTEXT_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_fifo_wrb : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_fifo_rrb : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_fifo_we : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_fifo_re : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_fifo_empty : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_fifo_full : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_fifo_din : ACTION_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_fifo_dout : ACTION_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_fifo_wrb : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_fifo_rrb : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_attach_q : ACTION_MASK_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_completed_fifo_we : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_completed_fifo_re : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_completed_fifo_empty : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_completed_fifo_full : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_completed_fifo_din : ACTION_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_completed_fifo_dout : ACTION_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_completed_fifo_wrb : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_completed_fifo_rrb : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL action_detach_q : ACTION_MASK_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_completed_fifo_we : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_completed_fifo_re : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_completed_fifo_empty : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_completed_fifo_full : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_completed_fifo_din : ACTION_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_completed_fifo_dout : ACTION_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_completed_fifo_wrb : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL ctx_completed_fifo_rrb : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL int_fifo_we_q : std_logic; SIGNAL int_fifo_re_q : std_logic; SIGNAL int_fifo_empty : std_logic; SIGNAL int_fifo_full : std_logic; SIGNAL int_fifo_din_q : std_logic_vector(CONTEXT_BITS + INT_BITS - 2 DOWNTO 0); SIGNAL int_fifo_dout : std_logic_vector(CONTEXT_BITS + INT_BITS - 2 DOWNTO 0); SIGNAL int_fifo_wrb : std_logic; SIGNAL int_fifo_rrb : std_logic; SIGNAL int_src_id_array_q : INTSRC_ID_ARRAY(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL int_fifo_assign_we_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL int_fifo_complete_we_q : std_logic_vector(NUM_OF_ACTION_TYPES-1 DOWNTO 0); SIGNAL int_req_q : std_logic; SIGNAL interrupts_fsm_q : INTERRUPTS_FSM_T; -- -- COMPONENT COMPONENT fifo_10x512 PORT ( clk : IN std_logic; srst : IN std_logic; din : IN std_logic_vector(CONTEXT_BITS+INT_BITS-2 DOWNTO 0); wr_en : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_vector(CONTEXT_BITS+INT_BITS-2 DOWNTO 0); full : OUT std_logic; empty : OUT std_logic; wr_rst_busy : OUT std_logic; rd_rst_busy : OUT std_logic ); END COMPONENT; -- -- COMPONENT COMPONENT fifo_8x512 PORT ( clk : IN std_logic; srst : IN std_logic; din : IN std_logic_vector(CONTEXT_BITS-1 DOWNTO 0); wr_en : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_vector(CONTEXT_BITS-1 DOWNTO 0); full : OUT std_logic; empty : OUT std_logic; wr_rst_busy : OUT std_logic; rd_rst_busy : OUT std_logic ); END COMPONENT; -- -- COMPONENT COMPONENT fifo_4x512 PORT ( clk : IN std_logic; srst : IN std_logic; din : IN std_logic_vector(ACTION_BITS-1 DOWNTO 0); wr_en : IN std_logic; rd_en : IN std_logic; dout : OUT std_logic_vector(ACTION_BITS-1 DOWNTO 0); full : OUT std_logic; empty : OUT std_logic; wr_rst_busy : OUT std_logic; rd_rst_busy : OUT std_logic ); END COMPONENT; BEGIN int_fifo: fifo_10x512 PORT MAP ( clk => ha_pclock, srst => afu_reset, din => int_fifo_din_q, wr_en => int_fifo_we_q, rd_en => int_fifo_re_q, dout => int_fifo_dout, full => int_fifo_full, empty => int_fifo_empty, wr_rst_busy => int_fifo_wrb, rd_rst_busy => int_fifo_rrb ); action_type_handling: FOR sat_id IN 0 TO NUM_OF_ACTION_TYPES-1 GENERATE SIGNAL assign_action_fsm_q : ASSIGN_ACTION_FSM_T; SIGNAL complete_action_fsm_q : COMPLETE_ACTION_FSM_T; SIGNAL request_mmio_interface_fsm_q : REQUEST_MMIO_INTERFACE_FSM_T; SIGNAL assign_require_mmio_q : std_logic; SIGNAL complete_ctx_q : std_logic_vector(CONTEXT_BITS-1 DOWNTO 0); SIGNAL ctx_completed_fifo_busy_q : std_logic; SIGNAL complete_require_mmio_q : std_logic; SIGNAL current_contexts_q : CONTEXT_ID_ARRAY(NUM_OF_ACTIONS-1 DOWNTO 0); -- Keeping the current context for each action SIGNAL exploration_done_q : std_logic; SIGNAL init_action_counter_q : std_logic_vector(ACTION_BITS-1 DOWNTO 0); BEGIN ctx_fifo: fifo_8x512 PORT MAP ( clk => ha_pclock, srst => afu_reset, din => ctx_fifo_din(sat_id), wr_en => ctx_fifo_we(sat_id), rd_en => ctx_fifo_re(sat_id), dout => ctx_fifo_dout(sat_id), full => ctx_fifo_full(sat_id), empty => ctx_fifo_empty(sat_id), wr_rst_busy => ctx_fifo_wrb(sat_id), rd_rst_busy => ctx_fifo_rrb(sat_id) ); action_fifo: fifo_4x512 PORT MAP ( clk => ha_pclock, srst => afu_reset, din => action_fifo_din(sat_id), wr_en => action_fifo_we(sat_id), rd_en => action_fifo_re(sat_id), dout => action_fifo_dout(sat_id), full => action_fifo_full(sat_id), empty => action_fifo_empty(sat_id), wr_rst_busy => action_fifo_wrb(sat_id), rd_rst_busy => action_fifo_rrb(sat_id) ); action_completed_fifo: fifo_4x512 PORT MAP ( clk => ha_pclock, srst => afu_reset, din => action_completed_fifo_din(sat_id), wr_en => action_completed_fifo_we(sat_id), rd_en => action_completed_fifo_re(sat_id), dout => action_completed_fifo_dout(sat_id), full => action_completed_fifo_full(sat_id), empty => action_completed_fifo_empty(sat_id), wr_rst_busy => action_completed_fifo_wrb(sat_id), rd_rst_busy => action_completed_fifo_rrb(sat_id) ); ctx_completed_fifo: fifo_4x512 PORT MAP ( clk => ha_pclock, srst => afu_reset, din => ctx_completed_fifo_din(sat_id), wr_en => ctx_completed_fifo_we(sat_id), rd_en => ctx_completed_fifo_re(sat_id), dout => ctx_completed_fifo_dout(sat_id), full => ctx_completed_fifo_full(sat_id), empty => ctx_completed_fifo_empty(sat_id), wr_rst_busy => ctx_completed_fifo_wrb(sat_id), rd_rst_busy => ctx_completed_fifo_rrb(sat_id) ); assign_action_fsm : PROCESS (ha_pclock) BEGIN -- PROCESS IF rising_edge(ha_pclock) THEN -- defaults ctx_fifo_re(sat_id) <= '0'; action_fifo_re(sat_id) <= '0'; action_attach_q(sat_id) <= (OTHERS => '0'); assign_action_id_q(sat_id) <= assign_action_id_q(sat_id); assign_context_active_q(sat_id) <= '0'; assign_status_we_q(sat_id) <= '0'; assign_require_mmio_q <= assign_require_mmio_q; assign_action_fsm_q <= assign_action_fsm_q; current_contexts_q <= current_contexts_q; enable_check_for_idle_q(sat_id) <= (OTHERS => '0'); job_queue_mode_q(sat_id) <= job_queue_mode_q(sat_id); int_fifo_assign_we_q(sat_id) <= '0'; -- -- F S M -- CASE assign_action_fsm_q IS WHEN ST_RESET => IF NOT (ctx_fifo_wrb(sat_id) OR ctx_fifo_rrb(sat_id) OR action_fifo_wrb(sat_id) OR action_fifo_rrb(sat_id) OR ctx_completed_fifo_wrb(sat_id) OR ctx_completed_fifo_rrb(sat_id) OR action_completed_fifo_wrb(sat_id) OR action_completed_fifo_rrb(sat_id) OR int_fifo_wrb OR int_fifo_rrb) = '1' THEN assign_action_fsm_q <= ST_WAIT_FREE_ACTION; END IF; WHEN ST_WAIT_FREE_ACTION => IF action_fifo_empty(sat_id) = '0' THEN action_fifo_re(sat_id) <= '1'; IF ctx_fifo_empty(sat_id) = '1' THEN assign_action_fsm_q <= ST_WAIT_CONTEXT; ELSE ctx_fifo_re(sat_id) <= '1'; assign_action_fsm_q <= ST_REQUEST_MMIO; END IF; END IF; WHEN ST_WAIT_CONTEXT => IF ctx_fifo_empty(sat_id) = '0' THEN ctx_fifo_re(sat_id) <= '1'; assign_action_fsm_q <= ST_REQUEST_MMIO; END IF; WHEN ST_REQUEST_MMIO => assign_require_mmio_q <= '1'; assign_action_fsm_q <= ST_WAIT_MMIO_GRANT; WHEN ST_WAIT_MMIO_GRANT => current_contexts_q(to_integer(unsigned(assign_action_id_q(sat_id)))) <= ctx_fifo_dout(sat_id); assign_action_id_q(sat_id) <= action_fifo_dout(sat_id); IF assign_grant_mmio_q(sat_id) = '1' THEN assign_context_active_q(sat_id) <= '1'; assign_status_we_q(sat_id) <= '1'; action_attach_q(sat_id)(to_integer(unsigned(action_fifo_dout(sat_id)))) <= '1'; int_fifo_assign_we_q(sat_id) <= mmj_d_i.assign_int_enable; assign_action_fsm_q <= ST_RETURN_MMIO_LOCK; END IF; WHEN ST_RETURN_MMIO_LOCK => enable_check_for_idle_q(sat_id)(to_integer(unsigned(assign_action_id_q(sat_id)))) <= mmj_d_i.job_queue_mode OR mmj_d_i.cpl_int_enable; job_queue_mode_q(sat_id) <= mmj_d_i.job_queue_mode; IF mmj_c_i.action_ack = '1' THEN assign_require_mmio_q <= '0'; assign_action_fsm_q <= ST_WAIT_FREE_ACTION; END IF; WHEN OTHERS => NULL; END CASE; -- assign_action_fsm_q IF afu_reset = '1' THEN ctx_fifo_re(sat_id) <= '0'; action_fifo_re(sat_id) <= '0'; assign_action_id_q(sat_id) <= (OTHERS => '0'); assign_status_we_q(sat_id) <= '0'; assign_require_mmio_q <= '0'; assign_action_fsm_q <= ST_RESET; current_contexts_q <= (OTHERS => (OTHERS => '0')); job_queue_mode_q(sat_id) <= '0'; int_fifo_assign_we_q(sat_id) <= '0'; END IF; -- afu_reset END IF; -- rising_edge(ha_pclock) END PROCESS assign_action_fsm; complete_action_fsm : PROCESS (ha_pclock) VARIABLE action_completed_v : std_logic; BEGIN -- PROCESS IF rising_edge(ha_pclock) THEN -- defaults complete_require_mmio_q <= complete_require_mmio_q; ctx_fifo_we(sat_id) <= mmj_c_i.ctx_fifo_we(sat_id); ctx_fifo_din(sat_id) <= mmj_d_i.context_id; action_fifo_we(sat_id) <= '0'; action_fifo_din(sat_id) <= action_completed_fifo_dout(sat_id); action_completed_fifo_re(sat_id) <= '0'; action_completed_fifo_we(sat_id) <= '0'; action_completed_v := '0'; IF (job_queue_mode_q(sat_id) = '1') AND (unsigned(mmj_d_i.sat(to_integer(unsigned(xj_c_i.action)))) = to_unsigned(sat_id, ACTION_BITS)) THEN action_completed_fifo_we(sat_id) <= xj_c_i.valid; action_completed_fifo_din(sat_id) <= xj_c_i.action; action_completed_v := xj_c_i.valid; END IF; action_detach_q(sat_id) <= (OTHERS => '0'); ctx_completed_fifo_re(sat_id) <= '0'; ctx_completed_fifo_we(sat_id) <= '0'; ctx_completed_fifo_busy_q <= ctx_completed_fifo_busy_q; IF mmj_c_i.ctx_stop(sat_id) = '1' THEN ctx_completed_fifo_we(sat_id) <= '1'; ctx_completed_fifo_din(sat_id) <= mmj_d_i.action_id; END IF; IF (ctx_completed_fifo_busy_q OR ctx_completed_fifo_empty(sat_id)) = '0' THEN ctx_completed_fifo_re(sat_id) <= '1'; ctx_completed_fifo_busy_q <= '1'; END IF; IF (ctx_completed_fifo_busy_q AND NOT (ctx_completed_fifo_re(sat_id) OR action_completed_v)) = '1' THEN -- Wait until action reset is completed IF mmj_c_i.action_reset_vector(to_integer(unsigned(ctx_completed_fifo_dout(sat_id)))) = '0' THEN action_completed_fifo_we(sat_id) <= '1'; action_completed_fifo_din(sat_id) <= ctx_completed_fifo_dout(sat_id); ctx_completed_fifo_busy_q <= '0'; END IF; END IF; complete_ctx_q <= complete_ctx_q; complete_next_seqno_q(sat_id) <= complete_next_seqno_q(sat_id); complete_next_jqidx_q(sat_id) <= complete_next_jqidx_q(sat_id); complete_seqno_we_q(sat_id) <= '0'; complete_context_active_q(sat_id) <= '0'; complete_status_we_q(sat_id) <= '0'; complete_action_fsm_q <= complete_action_fsm_q; int_fifo_complete_we_q(sat_id) <= '0'; exploration_done_q <= exploration_done_q OR mmj_c_i.exploration_done; init_action_counter_q <= init_action_counter_q; -- -- F S M -- CASE complete_action_fsm_q IS WHEN ST_WAIT_COMPLETION => IF action_completed_fifo_empty(sat_id) = '0' THEN action_completed_fifo_re(sat_id) <= '1'; complete_action_fsm_q <= ST_REQUEST_MMIO; ELSIF (exploration_done_q AND action_fifo_empty(sat_id)) = '1' THEN exploration_done_q <= '0'; init_action_counter_q <= (OTHERS => '0'); complete_action_fsm_q <= ST_INIT_ACTIONS; END IF; WHEN ST_REQUEST_MMIO => complete_require_mmio_q <= '1'; complete_action_fsm_q <= ST_WAIT_MMIO_GRANT; WHEN ST_WAIT_MMIO_GRANT => complete_ctx_q <= current_contexts_q(to_integer(unsigned(action_completed_fifo_dout(sat_id)))); IF complete_grant_mmio_q(sat_id) = '1' THEN action_fifo_we(sat_id) <= '1'; complete_next_seqno_q(sat_id) <= mmj_d_i.current_seqno + 1; complete_next_jqidx_q(sat_id) <= mmj_d_i.current_jqidx + 1; complete_seqno_we_q(sat_id) <= '1'; complete_status_we_q(sat_id) <= '1'; int_fifo_complete_we_q(sat_id) <= mmj_d_i.cpl_int_enable; action_detach_q(sat_id)(to_integer(unsigned(action_completed_fifo_dout(sat_id)))) <= '1'; IF mmj_c_i.last_seqno = '0' THEN complete_context_active_q(sat_id) <= '1'; complete_action_fsm_q <= ST_PUSH_CTX; ELSE complete_action_fsm_q <= ST_RETURN_MMIO_LOCK; END IF; END IF; WHEN ST_PUSH_CTX => complete_require_mmio_q <= '0'; IF mmj_c_i.ctx_fifo_we(sat_id) = '0' THEN ctx_fifo_we(sat_id) <= '1'; ctx_fifo_din(sat_id) <= complete_ctx_q; complete_action_fsm_q <= ST_WAIT_COMPLETION; END IF; WHEN ST_RETURN_MMIO_LOCK => complete_require_mmio_q <= '0'; complete_action_fsm_q <= ST_WAIT_COMPLETION; WHEN ST_INIT_ACTIONS => IF unsigned(mmj_d_i.sat(to_integer(unsigned(init_action_counter_q)))) = to_unsigned(sat_id, ACTION_BITS) THEN action_fifo_we(sat_id) <= '1'; action_fifo_din(sat_id) <= init_action_counter_q; END IF; IF to_integer(unsigned(init_action_counter_q)) = NUM_OF_ACTIONS-1 THEN complete_action_fsm_q <= ST_WAIT_COMPLETION; ELSE init_action_counter_q <= init_action_counter_q + 1; END IF; WHEN OTHERS => NULL; END CASE; -- complete_action_fsm_q IF afu_reset = '1' THEN complete_require_mmio_q <= '0'; ctx_fifo_we(sat_id) <= '0'; action_fifo_we(sat_id) <= '0'; action_completed_fifo_re(sat_id) <= '0'; action_completed_fifo_we(sat_id) <= '0'; ctx_completed_fifo_re(sat_id) <= '0'; ctx_completed_fifo_we(sat_id) <= '0'; ctx_completed_fifo_busy_q <= '0'; complete_ctx_q <= (OTHERS => '0'); complete_next_seqno_q(sat_id) <= (OTHERS => '0'); complete_next_jqidx_q(sat_id) <= (OTHERS => '0'); complete_seqno_we_q(sat_id) <= '0'; complete_status_we_q(sat_id) <= '0'; int_fifo_complete_we_q(sat_id) <= '0'; exploration_done_q <= '0'; init_action_counter_q <= (OTHERS => '0'); complete_action_fsm_q <= ST_WAIT_COMPLETION; END IF; -- afu_reset END IF; -- rising_edge(ha_pclock) END PROCESS complete_action_fsm; request_mmio_interface_fsm : PROCESS (ha_pclock) BEGIN -- PROCESS IF rising_edge(ha_pclock) THEN -- defaults lock_mmio_interface_q(sat_id) <= lock_mmio_interface_q(sat_id); mmio_ctx_q(sat_id) <= mmio_ctx_q(sat_id); int_src_id_array_q(sat_id) <= int_src_id_array_q(sat_id); assign_grant_mmio_q(sat_id) <= assign_grant_mmio_q(sat_id); complete_grant_mmio_q(sat_id) <= complete_grant_mmio_q(sat_id); request_mmio_interface_fsm_q <= request_mmio_interface_fsm_q; -- -- F S M -- CASE request_mmio_interface_fsm_q IS WHEN ST_WAIT_GRANT => IF grant_mmio_interface_q = sat_id THEN IF assign_require_mmio_q = '1' THEN lock_mmio_interface_q(sat_id) <= '1'; mmio_ctx_q(sat_id) <= ctx_fifo_dout(sat_id); int_src_id_array_q(sat_id) <= CTX_ASSIGN_INT_SRC_ID; assign_grant_mmio_q(sat_id) <= '1'; request_mmio_interface_fsm_q <= ST_ASSIGN_MMIO_GRANTED; ELSIF complete_require_mmio_q = '1' THEN lock_mmio_interface_q(sat_id) <= '1'; mmio_ctx_q(sat_id) <= current_contexts_q(to_integer(unsigned(action_completed_fifo_dout(sat_id)))); int_src_id_array_q(sat_id) <= CTX_COMPLETE_INT_SRC_ID; complete_grant_mmio_q(sat_id) <= '1'; request_mmio_interface_fsm_q <= ST_COMPLETE_MMIO_GRANTED; ELSE request_mmio_interface_fsm_q <= ST_RETURN_GRANT; END IF; END IF; WHEN ST_ASSIGN_MMIO_GRANTED => IF assign_require_mmio_q = '0' THEN assign_grant_mmio_q(sat_id) <= '0'; IF complete_require_mmio_q = '1' THEN lock_mmio_interface_q(sat_id) <= '1'; mmio_ctx_q(sat_id) <= current_contexts_q(to_integer(unsigned(action_completed_fifo_dout(sat_id)))); int_src_id_array_q(sat_id) <= CTX_COMPLETE_INT_SRC_ID; complete_grant_mmio_q(sat_id) <= '1'; request_mmio_interface_fsm_q <= ST_COMPLETE_MMIO_GRANTED; ELSE lock_mmio_interface_q(sat_id) <= '0'; request_mmio_interface_fsm_q <= ST_RETURN_GRANT; END IF; END IF; WHEN ST_COMPLETE_MMIO_GRANTED => IF complete_require_mmio_q = '0' THEN complete_grant_mmio_q(sat_id) <= '0'; lock_mmio_interface_q(sat_id) <= '0'; request_mmio_interface_fsm_q <= ST_RETURN_GRANT; END IF; WHEN ST_RETURN_GRANT => request_mmio_interface_fsm_q <= ST_WAIT_GRANT; WHEN OTHERS => NULL; END CASE; -- request_mmio_interface_fsm_q IF afu_reset = '1' THEN lock_mmio_interface_q(sat_id) <= '0'; mmio_ctx_q(sat_id) <= (OTHERS => '0'); int_src_id_array_q(sat_id) <= (OTHERS => '0'); assign_grant_mmio_q(sat_id) <= '0'; complete_grant_mmio_q(sat_id) <= '0'; request_mmio_interface_fsm_q <= ST_WAIT_GRANT; END IF; -- afu_reset END IF; -- rising_edge(ha_pclock) END PROCESS request_mmio_interface_fsm; END GENERATE action_type_handling; grant_mmio_access: PROCESS (ha_pclock) VARIABLE sat_v : integer RANGE 0 TO NUM_OF_ACTION_TYPES-1; BEGIN -- PROCESS grant_mmio_access IF rising_edge(ha_pclock) THEN sat_v := grant_mmio_interface_q; wait_lock_q <= '0'; IF (lock_mmio_interface_q(sat_v) OR wait_lock_q) = '0' THEN wait_lock_q <= '1'; IF sat_v = mmj_c_i.max_sat THEN sat_v := 0; ELSE sat_v := sat_v + 1; END IF; END IF; grant_mmio_interface_q <= sat_v; IF afu_reset = '1' THEN grant_mmio_interface_q <= 0; wait_lock_q <= '1'; END IF; -- afu_reset END IF; -- rising_edge(ha_pclock) END PROCESS grant_mmio_access; action_active: PROCESS (ha_pclock) VARIABLE action_active_v : std_logic_vector(NUM_OF_ACTIONS-1 DOWNTO 0); BEGIN -- PROCESS action_active IF rising_edge(ha_pclock) THEN action_active_v := action_active_q; FOR sat_id IN 0 TO NUM_OF_ACTION_TYPES-1 LOOP action_active_v := (action_active_v OR action_attach_q(sat_id)) AND NOT action_detach_q(sat_id); END LOOP; -- sat_id action_active_q <= action_active_v; IF afu_reset = '1' THEN action_active_q <= (OTHERS => '0'); END IF; -- afu_reset END IF; -- rising_edge(ha_pclock) END PROCESS action_active; set_check_for_idle: PROCESS (ha_pclock) VARIABLE check_for_idle_v : std_logic_vector(ACTION_BITS-1 DOWNTO 0); BEGIN -- PROCESS check_for_idle IF rising_edge(ha_pclock) THEN check_for_idle_v := check_for_idle_q; FOR sat_id IN 0 TO NUM_OF_ACTION_TYPES-1 LOOP check_for_idle_v := check_for_idle_v OR enable_check_for_idle_q(sat_id); END LOOP; -- sat_id check_for_idle_v(to_integer(unsigned(xj_c_i.action))) := check_for_idle_v(to_integer(unsigned(xj_c_i.action))) AND NOT xj_c_i.valid; check_for_idle_q <= check_for_idle_v; IF afu_reset = '1' THEN check_for_idle_q <= (OTHERS => '0'); END IF; -- afu_reset END IF; -- rising_edge(ha_pclock) END PROCESS set_check_for_idle; interrupts: PROCESS (ha_pclock) BEGIN -- PROCESS int_fifo IF rising_edge(ha_pclock) THEN -- defaults int_fifo_we_q <= int_fifo_assign_we_q(grant_mmio_interface_q) OR int_fifo_complete_we_q(grant_mmio_interface_q); int_fifo_din_q <= mmio_ctx_q(grant_mmio_interface_q) & int_src_id_array_q(grant_mmio_interface_q); int_fifo_re_q <= '0'; int_req_q <= '0'; interrupts_fsm_q <= interrupts_fsm_q; -- -- F S M -- CASE interrupts_fsm_q IS WHEN ST_IDLE => IF int_fifo_empty = '0' THEN int_fifo_re_q <= '1'; interrupts_fsm_q <= ST_REQUEST_INT; END IF; WHEN ST_REQUEST_INT => int_req_q <= '1'; interrupts_fsm_q <= ST_WAIT_ACK; WHEN ST_WAIT_ACK => IF sj_c_i.int_ack = '1' THEN interrupts_fsm_q <= ST_IDLE; END IF; WHEN OTHERS => NULL; END CASE; IF afu_reset = '1' THEN int_fifo_we_q <= '0'; int_fifo_re_q <= '0'; int_req_q <= '0'; interrupts_fsm_q <= ST_IDLE; END IF; -- afu_reset END IF; -- rising_edge(ha_pclock) END PROCESS interrupts; ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- Interfaces ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- to MMIO jmm_c_o.seqno_we <= complete_seqno_we_q(grant_mmio_interface_q); jmm_c_o.status_we <= assign_status_we_q(grant_mmio_interface_q) OR complete_status_we_q(grant_mmio_interface_q); jmm_c_o.assign_action <= assign_status_we_q(grant_mmio_interface_q); jmm_d_o.seqno <= complete_next_seqno_q(grant_mmio_interface_q); jmm_d_o.jqidx <= complete_next_jqidx_q(grant_mmio_interface_q); jmm_d_o.action_id <= assign_action_id_q(grant_mmio_interface_q) WHEN (assign_grant_mmio_q(grant_mmio_interface_q) = '1') ELSE action_completed_fifo_dout(grant_mmio_interface_q); jmm_d_o.action_active <= action_active_q; jmm_d_o.attached_to_action <= assign_status_we_q(grant_mmio_interface_q); jmm_d_o.context_id <= mmio_ctx_q(grant_mmio_interface_q); jmm_d_o.context_active <= assign_context_active_q(grant_mmio_interface_q) WHEN (assign_grant_mmio_q(grant_mmio_interface_q) = '1') ELSE complete_context_active_q(grant_mmio_interface_q); -- to AXI MASTER jx_c_o.check_for_idle <= check_for_idle_q; -- to AXI-DMA shim js_c_o.int_req <= int_req_q; js_c_o.int_src <= int_fifo_dout(INT_BITS-2 DOWNTO 0); js_c_o.int_ctx <= int_fifo_dout(CONTEXT_BITS + INT_BITS - 2 DOWNTO INT_BITS - 1); END ARCHITECTURE;
apache-2.0
2b2ca85c3ba011539b38dc5e1a67edda
0.52741
3.358601
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_xlslice_0_1/synth/RAT_xlslice_0_1.vhd
1
3,804
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlslice:1.0 -- IP Revision: 0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlslice; ENTITY RAT_xlslice_0_1 IS PORT ( Din : IN STD_LOGIC_VECTOR(9 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END RAT_xlslice_0_1; ARCHITECTURE RAT_xlslice_0_1_arch OF RAT_xlslice_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_xlslice_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT xlslice IS GENERIC ( DIN_WIDTH : INTEGER; DIN_FROM : INTEGER; DIN_TO : INTEGER ); PORT ( Din : IN STD_LOGIC_VECTOR(9 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT xlslice; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_xlslice_0_1_arch: ARCHITECTURE IS "xlslice,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_xlslice_0_1_arch : ARCHITECTURE IS "RAT_xlslice_0_1,xlslice,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_xlslice_0_1_arch: ARCHITECTURE IS "RAT_xlslice_0_1,xlslice,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlslice,x_ipVersion=1.0,x_ipCoreRevision=0,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,DIN_WIDTH=10,DIN_FROM=7,DIN_TO=0}"; BEGIN U0 : xlslice GENERIC MAP ( DIN_WIDTH => 10, DIN_FROM => 7, DIN_TO => 0 ) PORT MAP ( Din => Din, Dout => Dout ); END RAT_xlslice_0_1_arch;
mit
6c353909f39729422801af9896ea40a3
0.729758
3.909558
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/Testbenches/prog_rom.vhd
1
19,877
----------------------------------------------------------------------------- -- Definition of a single port ROM for RATASM defined by prog_rom.psm -- -- Generated by RATASM Assembler -- -- Standard IEEE libraries -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library unisim; use unisim.vcomponents.all; ----------------------------------------------------------------------------- entity prog_rom is port ( ADDRESS : in std_logic_vector(9 downto 0); INSTRUCTION : out std_logic_vector(17 downto 0); CLK : in std_logic); end prog_rom; architecture low_level_definition of prog_rom is ----------------------------------------------------------------------------- -- Attributes to define ROM contents during implementation synthesis. -- The information is repeated in the generic map for functional simulation. ----------------------------------------------------------------------------- attribute INIT_00 : string; attribute INIT_01 : string; attribute INIT_02 : string; attribute INIT_03 : string; attribute INIT_04 : string; attribute INIT_05 : string; attribute INIT_06 : string; attribute INIT_07 : string; attribute INIT_08 : string; attribute INIT_09 : string; attribute INIT_0A : string; attribute INIT_0B : string; attribute INIT_0C : string; attribute INIT_0D : string; attribute INIT_0E : string; attribute INIT_0F : string; attribute INIT_10 : string; attribute INIT_11 : string; attribute INIT_12 : string; attribute INIT_13 : string; attribute INIT_14 : string; attribute INIT_15 : string; attribute INIT_16 : string; attribute INIT_17 : string; attribute INIT_18 : string; attribute INIT_19 : string; attribute INIT_1A : string; attribute INIT_1B : string; attribute INIT_1C : string; attribute INIT_1D : string; attribute INIT_1E : string; attribute INIT_1F : string; attribute INIT_20 : string; attribute INIT_21 : string; attribute INIT_22 : string; attribute INIT_23 : string; attribute INIT_24 : string; attribute INIT_25 : string; attribute INIT_26 : string; attribute INIT_27 : string; attribute INIT_28 : string; attribute INIT_29 : string; attribute INIT_2A : string; attribute INIT_2B : string; attribute INIT_2C : string; attribute INIT_2D : string; attribute INIT_2E : string; attribute INIT_2F : string; attribute INIT_30 : string; attribute INIT_31 : string; attribute INIT_32 : string; attribute INIT_33 : string; attribute INIT_34 : string; attribute INIT_35 : string; attribute INIT_36 : string; attribute INIT_37 : string; attribute INIT_38 : string; attribute INIT_39 : string; attribute INIT_3A : string; attribute INIT_3B : string; attribute INIT_3C : string; attribute INIT_3D : string; attribute INIT_3E : string; attribute INIT_3F : string; attribute INITP_00 : string; attribute INITP_01 : string; attribute INITP_02 : string; attribute INITP_03 : string; attribute INITP_04 : string; attribute INITP_05 : string; attribute INITP_06 : string; attribute INITP_07 : string; ---------------------------------------------------------------------- -- Attributes to define ROM contents during implementation synthesis. ---------------------------------------------------------------------- attribute INIT_00 of ram_1024_x_18 : label is "44098BA154403401806B030A0310430962AA610F6B016A0074005E817E010000"; attribute INIT_01 of ram_1024_x_18 : label is "06004A5846098BA15440340480DB05A5051245098BA15440340280A304AF0411"; attribute INIT_02 of ram_1024_x_18 : label is "8C01544034E08BA154403410815B078707014A5847098BA154403408811B061F"; attribute INIT_03 of ram_1024_x_18 : label is "6AAB8BA15F403F0281EB0AFF2AAA6A558BA15F403F0181B30A110ADB6A357F00"; attribute INIT_04 of ram_1024_x_18 : label is "0A7F0A036AFE8BA15F403F08825B0AFE0A026A7F8BA15F403F0482230AFF4A54"; attribute INIT_05 of ram_1024_x_18 : label is "047764778BA1810161008C015F403FE082CB0ADF2A006ABE8BA15F403F108293"; attribute INIT_06 of ram_1024_x_18 : label is "8380C10483808BA1810441408350C102835304668BA1810241408318C101831A"; attribute INIT_07 of ram_1024_x_18 : label is "8400C110A401841164778BA18110414083C0C108A3C0845564FF8BA181084140"; attribute INIT_08 of ram_1024_x_18 : label is "8480C140A4808001C4118BA1814041408440C120A441800084FF8BA181204140"; attribute INIT_09 of ram_1024_x_18 : label is "6011410061D18BA15F403F0184DB015081FF200160505F407F008C0141408180"; attribute INIT_0A of ram_1024_x_18 : label is "5F403F04857B01222102A0FF6022410061FF8BA15F403F02852B011181D02001"; attribute INIT_0B of ram_1024_x_18 : label is "603320016022200160118BA15F403F0885CB0142210220016042410061008BA1"; attribute INIT_0C of ram_1024_x_18 : label is "0122210286AB0133210286AB0144210286AB0155210220016055200160442001"; attribute INIT_0D of ram_1024_x_18 : label is "870B01888B118BA15F403F2086DB01778B018BA15F403F1086AB0111210286AB"; attribute INIT_0E of ram_1024_x_18 : label is "8BA15440340187730A016A0174008C015F403F80873B00598B398BA15F403F40"; attribute INIT_0F of ram_1024_x_18 : label is "4DAA7502AA028BA15440340487DB0C014C5A4A5B8BA15440340287A30B014B51"; attribute INIT_10 of ram_1024_x_18 : label is "0C018F04AA048BA154403410885B0E018E034AB376038BA154403408881B0D01"; attribute INIT_11 of ram_1024_x_18 : label is "800124028BA14140012188E30203820262012401640061008C01544034E08893"; attribute INIT_12 of ram_1024_x_18 : label is "E203800124088BA141400121895B0205C20224048BA14140012189230207A203"; attribute INIT_13 of ram_1024_x_18 : label is "80018BA14F402F0189EB4C506C092A586B046A058C01414021F0012189A30201"; attribute INIT_14 of ram_1024_x_18 : label is "2F048A834C506C012A5A6B046A058BA14F402F028A3B4C506C0A2A596B046A05"; attribute INIT_15 of ram_1024_x_18 : label is "8AF84F402F802F402F202F102F088AF34C506C022A5B6B026A0580018BA14F40"; attribute INIT_16 of ram_1024_x_18 : label is "402141014409200880028B61630A610160018002618880028B29613080026177"; attribute INIT_17 of ram_1024_x_18 : label is "80028BAB3D008BBB3C008BCBDB017B5EDC017CFFDD017DFF80028B618B9AC301"; attribute INIT_18 of ram_1024_x_18 : label is "0000000000000000000000000000000080028BA15E819E015F407F008BA18BA1"; attribute INIT_19 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_1F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_20 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_21 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_22 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_23 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_24 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_25 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_26 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_27 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_28 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_29 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_2F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_30 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_31 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_32 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_33 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_34 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_35 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_36 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_37 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_38 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_39 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3A of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3B of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3C of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3D of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3E of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INIT_3F of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_00 of ram_1024_x_18 : label is "22CB22CB20B232C8F2CE3738DCE3738ECE3B38EF38E340E340E3038C0E30FFFC"; attribute INITP_01 of ram_1024_x_18 : label is "3CE3038C38FCE30E30E30E34D34D35DDDDCE35DCE37DCE3DDCE3DFCE218B218B"; attribute INITP_02 of ram_1024_x_18 : label is "488BBB42004FDD373AA833D3833CE0CF4E0CF38398C3A30E630EEF38FCE3CCE3"; attribute INITP_03 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000004EF0"; attribute INITP_04 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_05 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_06 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; attribute INITP_07 of ram_1024_x_18 : label is "0000000000000000000000000000000000000000000000000000000000000000"; begin ---------------------------------------------------------------------- --Instantiate the Xilinx primitive for a block RAM --INIT values repeated to define contents for functional simulation ---------------------------------------------------------------------- ram_1024_x_18: RAMB16_S18 --synthesitranslate_off --INIT values repeated to define contents for functional simulation generic map ( INIT_00 => X"44098BA154403401806B030A0310430962AA610F6B016A0074005E817E010000", INIT_01 => X"06004A5846098BA15440340480DB05A5051245098BA15440340280A304AF0411", INIT_02 => X"8C01544034E08BA154403410815B078707014A5847098BA154403408811B061F", INIT_03 => X"6AAB8BA15F403F0281EB0AFF2AAA6A558BA15F403F0181B30A110ADB6A357F00", INIT_04 => X"0A7F0A036AFE8BA15F403F08825B0AFE0A026A7F8BA15F403F0482230AFF4A54", INIT_05 => X"047764778BA1810161008C015F403FE082CB0ADF2A006ABE8BA15F403F108293", INIT_06 => X"8380C10483808BA1810441408350C102835304668BA1810241408318C101831A", INIT_07 => X"8400C110A401841164778BA18110414083C0C108A3C0845564FF8BA181084140", INIT_08 => X"8480C140A4808001C4118BA1814041408440C120A441800084FF8BA181204140", INIT_09 => X"6011410061D18BA15F403F0184DB015081FF200160505F407F008C0141408180", INIT_0A => X"5F403F04857B01222102A0FF6022410061FF8BA15F403F02852B011181D02001", INIT_0B => X"603320016022200160118BA15F403F0885CB0142210220016042410061008BA1", INIT_0C => X"0122210286AB0133210286AB0144210286AB0155210220016055200160442001", INIT_0D => X"870B01888B118BA15F403F2086DB01778B018BA15F403F1086AB0111210286AB", INIT_0E => X"8BA15440340187730A016A0174008C015F403F80873B00598B398BA15F403F40", INIT_0F => X"4DAA7502AA028BA15440340487DB0C014C5A4A5B8BA15440340287A30B014B51", INIT_10 => X"0C018F04AA048BA154403410885B0E018E034AB376038BA154403408881B0D01", INIT_11 => X"800124028BA14140012188E30203820262012401640061008C01544034E08893", INIT_12 => X"E203800124088BA141400121895B0205C20224048BA14140012189230207A203", INIT_13 => X"80018BA14F402F0189EB4C506C092A586B046A058C01414021F0012189A30201", INIT_14 => X"2F048A834C506C012A5A6B046A058BA14F402F028A3B4C506C0A2A596B046A05", INIT_15 => X"8AF84F402F802F402F202F102F088AF34C506C022A5B6B026A0580018BA14F40", INIT_16 => X"402141014409200880028B61630A610160018002618880028B29613080026177", INIT_17 => X"80028BAB3D008BBB3C008BCBDB017B5EDC017CFFDD017DFF80028B618B9AC301", INIT_18 => X"0000000000000000000000000000000080028BA15E819E015F407F008BA18BA1", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_00 => X"22CB22CB20B232C8F2CE3738DCE3738ECE3B38EF38E340E340E3038C0E30FFFC", INITP_01 => X"3CE3038C38FCE30E30E30E34D34D35DDDDCE35DCE37DCE3DDCE3DFCE218B218B", INITP_02 => X"488BBB42004FDD373AA833D3833CE0CF4E0CF38398C3A30E630EEF38FCE3CCE3", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000004EF0", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000") --synthesis translate_on port map( DI => "0000000000000000", DIP => "00", EN => '1', WE => '0', SSR => '0', CLK => clk, ADDR => address, DO => INSTRUCTION(15 downto 0), DOP => INSTRUCTION(17 downto 16)); -- end low_level_definition; -- ---------------------------------------------------------------------- -- END OF FILE prog_rom.vhd ----------------------------------------------------------------------
mit
2dc5f9a7efb3183fb6ab83024487fd85
0.735725
4.419075
false
false
false
false
stefanct/aua
hw/alu/src/Mux4to1.vhd
1
658
library ieee; use ieee.std_logic_1164.all; entity Mux4to1 is port( i01: in std_logic_vector(15 downto 0); i02: in std_logic_vector(15 downto 0); i03: in std_logic_vector(15 downto 0); i04: in std_logic_vector(15 downto 0); sel: in std_logic_vector(1 downto 0); mux_out: out std_logic_vector(15 downto 0) ); end Mux4to1; architecture rtl of Mux4to1 is begin process(sel, i01, i02, i03, i04) begin case sel is when "00" => mux_out <= i01; when "01" => mux_out <= i02; when "10" => mux_out <= i03; when "11" => mux_out <= i04; when others => mux_out <= x"0000"; end case; end process; end rtl;
gpl-3.0
078b6e9b0b27a24f6adc611433644ff3
0.613982
2.550388
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_FlagReg_0_1/sim/RAT_FlagReg_0_1.vhd
2
3,343
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:FlagReg:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_FlagReg_0_1 IS PORT ( IN_FLAG : IN STD_LOGIC; LD : IN STD_LOGIC; SET : IN STD_LOGIC; CLR : IN STD_LOGIC; CLK : IN STD_LOGIC; OUT_FLAG : OUT STD_LOGIC ); END RAT_FlagReg_0_1; ARCHITECTURE RAT_FlagReg_0_1_arch OF RAT_FlagReg_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_FlagReg_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT FlagReg IS PORT ( IN_FLAG : IN STD_LOGIC; LD : IN STD_LOGIC; SET : IN STD_LOGIC; CLR : IN STD_LOGIC; CLK : IN STD_LOGIC; OUT_FLAG : OUT STD_LOGIC ); END COMPONENT FlagReg; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : FlagReg PORT MAP ( IN_FLAG => IN_FLAG, LD => LD, SET => SET, CLR => CLR, CLK => CLK, OUT_FLAG => OUT_FLAG ); END RAT_FlagReg_0_1_arch;
mit
4ecb5b437c1b5bebc755188e6ac8ec00
0.717918
4.022864
false
false
false
false
stefanct/aua
hw/reg/src/ram.vhd
1
9,565
-- megafunction wizard: %RAM: 2-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: ram.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 8.0 Build 231 07/10/2008 SP 1 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2008 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY ram IS PORT ( clock : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); rdaddress : IN STD_LOGIC_VECTOR (4 DOWNTO 0); wraddress : IN STD_LOGIC_VECTOR (4 DOWNTO 0); wren : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (15 DOWNTO 0) ); END ram; ARCHITECTURE SYN OF ram IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (15 DOWNTO 0); COMPONENT altsyncram GENERIC ( address_reg_b : STRING; clock_enable_input_a : STRING; clock_enable_input_b : STRING; clock_enable_output_a : STRING; clock_enable_output_b : STRING; intended_device_family : STRING; lpm_type : STRING; numwords_a : NATURAL; numwords_b : NATURAL; operation_mode : STRING; outdata_aclr_b : STRING; outdata_reg_b : STRING; power_up_uninitialized : STRING; read_during_write_mode_mixed_ports : STRING; widthad_a : NATURAL; widthad_b : NATURAL; width_a : NATURAL; width_b : NATURAL; width_byteena_a : NATURAL ); PORT ( wren_a : IN STD_LOGIC ; clock0 : IN STD_LOGIC ; address_a : IN STD_LOGIC_VECTOR (4 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (4 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); data_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(15 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK0", clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", intended_device_family => "Cyclone II", lpm_type => "altsyncram", numwords_a => 32, numwords_b => 32, operation_mode => "DUAL_PORT", outdata_aclr_b => "NONE", outdata_reg_b => "UNREGISTERED", power_up_uninitialized => "FALSE", read_during_write_mode_mixed_ports => "OLD_DATA", widthad_a => 5, widthad_b => 5, width_a => 16, width_b => 16, width_byteena_a => 1 ) PORT MAP ( wren_a => wren, clock0 => clock, address_a => wraddress, address_b => rdaddress, data_a => data, q_b => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "1" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLRdata NUMERIC "0" -- Retrieval info: PRIVATE: CLRq NUMERIC "0" -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "0" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: ECC NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_B" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "512" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "" -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "2" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "0" -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "1" -- Retrieval info: PRIVATE: REGrren NUMERIC "1" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" -- Retrieval info: PRIVATE: VarWidth NUMERIC "0" -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "16" -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "16" -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "16" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "16" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "32" -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "32" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "5" -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "5" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "16" -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "16" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock -- Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0] -- Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0] -- Retrieval info: USED_PORT: rdaddress 0 0 5 0 INPUT NODEFVAL rdaddress[4..0] -- Retrieval info: USED_PORT: wraddress 0 0 5 0 INPUT NODEFVAL wraddress[4..0] -- Retrieval info: USED_PORT: wren 0 0 0 0 INPUT VCC wren -- Retrieval info: CONNECT: @data_a 0 0 16 0 data 0 0 16 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 16 0 @q_b 0 0 16 0 -- Retrieval info: CONNECT: @address_a 0 0 5 0 wraddress 0 0 5 0 -- Retrieval info: CONNECT: @address_b 0 0 5 0 rdaddress 0 0 5 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL ram.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_inst.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_waveforms.html FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL ram_wave*.jpg FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-3.0
7885dffbf9652b73c60eecfe0e2afc38
0.666702
3.402704
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 1/DivisorDeClock.vhd
1
1,221
---------------------------------------------------------------------------------- -- Create Date: 14:56:26 05/01/2017 -- Module Name: DivisorDeClock - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity DivisorDeClock is Port ( clockIn : in STD_LOGIC; -- clock gerado pela FPGA na frequência de 50 MHz counter : buffer integer; -- contador para dividir o clock clockOut : out STD_LOGIC); -- clock de 3 em 3 segundos end DivisorDeClock; architecture Behavioral of DivisorDeClock is begin -- A cada 3 segundos tem-se uma contagem de 100 milhões no contador. -- Então a cada 3 segundos dá-se um clock. clockOut <= '1' when counter = 10000000 else '0'; ClockCounter: process(clockIn, counter) -- Processo para que os 'if' possam ocorrer begin if(clockIn'event and clockIn = '1') then counter <= counter + 1; end if; -- Se o contador alcançar 100 milhões + l, volta para a contagem 1 -- e passa a contar novamente até o momento de gerar um novo pulso de clock. if(counter = 10000001) then counter <= 0; end if; end process ClockCounter; end Behavioral;
gpl-3.0
b4bee6108f8464ad7117195116b7b91e
0.595414
4.016447
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 1/BANCADA_TESTE.vhd
1
3,776
---------------------------------------------------------------------------------- -- Create Date: 16:31:16 04/25/2017 -- Module Name: BANCADA_TESTE - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity BANCADA_TESTE is Port ( Controle : in STD_LOGIC_VECTOR (2 downto 0); clockFPGA : in STD_LOGIC; LedSaida : out STD_LOGIC_VECTOR (7 downto 0)); end BANCADA_TESTE; architecture Behavioral of BANCADA_TESTE is signal EntradaA, EntradaB: STD_LOGIC_VECTOR(3 downto 0); --sinal auxiliar signal VetorAB, Saida: STD_LOGIC_VECTOR(7 downto 0); --sinal auxiliar signal contadorClock: integer; --sinal auxiliar signal clockAux: STD_LOGIC ; --sinal auxiliar -- 0 component Divisor de Clocks é chamado para ditar o tempo em que as entradas -- são geradas e tamhém o tempo que cada um dos operandos e que o resultado são impressos nos LEDs adequados. component DivisorDeClock is port(clockIn: in std_LOGIC ; counter : buffer integer range 0 to 100000001; clockOut : out std_LOGIC ); end component; -- O componente Gerador de entrada é chamado para gerar as entradas conforme o sinal de clock que é recebido -- são gerados vetores de 8 bits de 0000 0000 até 1111 1111, onde será assumido que os 4 bits mais significativos -- representam o vetor A e os 4 bits menos significativos representam o vetor B. Dessa forma, podemos mapear todas as -- possíveis entradas de 4 bits. component GeradorDeEntradas is Port ( Saida : out STD_LOGIC_VECTOR (7 downto 0); clock : in STD_LOGIC ); end component; component ULA_MODULO is port ( A: in STD_LOGIC_VECTOR (3 downto 0); -- EntradaA B: in STD_LOGIC_VECTOR (3 downto 0); -- EntradaB Controle : in STD_LOGIC_VECTOR (2 downto 0); -- Vetor de Controle(S2S1S0) Z: out STD_LOGIC_VECTOR(7 downto 0) -- Saída ); end component; begin divisorClock: DivisorDeClock port map(clockIn => clockFPGA, --Chamada dos componentes counter => contadorClock, clockOut => clockAux); escolherEntradas: GeradorDeEntradas port map(VetorAB, clockAux); --Chamada dos componentes EntradaA <= VetorAB(3 downto 0); EntradaB <= VetorAB(7 downto 4); saidas: ULA_MODULO port map(A => EntradaA, --Chamada dos componentes B => EntradaB, Controle => Controle, Z => Saida); imprimir: process(EntradaA, EntradaB, Saida, clockAux) -- lnício do processo de impressão nos LEDs variable processCounter: integer := 2; --variável auxiliar variable contadorA: integer; --variável auxiliar --Primeiro é impresso nos LEDs o operando A, depois o opcrand B e, por ultimo, o resultado. begin if (clockAux'event and clockAux = '1') then if processCounter = 1 then LedSaida(0) <= EntradaA(0); LedSaida(1) <= EntradaA(1); LedSaida(2) <= EntradaA(2); LedSaida(3) <= EntradaA(3); LedSaida(4) <= '0'; LedSaida(5) <= '0'; LedSaida(6) <= '0'; LedSaida(7) <= '1'; processCounter := 2; elsif (processCounter = 2) then LedSaida(0) <= EntradaB(0); LedSaida(1) <= EntradaB(1); LedSaida(2) <= EntradaB(2); LedSaida(3) <= EntradaB(3); LedSaida(4) <= '0'; LedSaida(5) <= '0'; LedSaida(6) <= '1'; LedSaida(7) <= '0'; processCounter := 3; elsif processCounter= 3 then LedSaida(0) <= Saida(0); LedSaida(1) <= Saida(1); LedSaida(2) <= Saida(2); LedSaida(3) <= Saida(3); LedSaida(4) <= '0'; LedSaida(5) <= '1'; LedSaida(6) <= '1'; LedSaida(7 )<= '1'; end if; end if; end process imprimir; end Behavioral;
gpl-3.0
561ded978248240029f98083a1cf0c94
0.612818
3.509294
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/xbip_dsp48_multadd_v3_0/hdl/xbip_dsp48_multadd_v3_0.vhd
5
10,163
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IMfOuVszrCgH0ngu1ouJoowV6ohQv4V3V1+Gazj1q7/NtU/bt/5hbSkxOIH8UY6CuIrvK1LP8d5G dzqe6i5Yqg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Rj3sIfrl5jIc8ouK+xGH9+Vmb8iAA598D71SREywIYt2xeXfaqopcekSzKblJJjcwJfZdPL0dLXy 9kZiO2mtmVgdOmBXAe2YtOT2bcKuxpS6fqwlM2G3v1wW7Q3PIYgy1mQXWjyO2jsud8mSIcZlHuWR 5DtyHA6yt3lm38DHV3k= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qpkKci/TPEjLiZ9i9notBn0cPPd5yWYioHamDNIDovefkaHtyEsXG9ctqMlttCIlQwTB1rgpsB3N uxFWsNGrYh2VAwhBSMzkaSEKPC/4zWWRCf23uU1Dm/QCnGSkybfVmlLVd80F0xn8GQCkhGdubqgl PRwJQoCgttQUmYoIEE0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G/QIk8ccKB0XtXQ6fGfHb+EPAkk6gZMzkFTIZflabNi8KZ9oooI4ZgzE6HKi5upjaTOx0Mr9nkQZ +d2ytByhIiJagHZ07OuS9gpp/bpbXa+8v4rKXSXdl+9wCflZZHkHW3xrVc1RTLpqjqtfZm75tm/5 /TJx36ynWxQO+h9kctxaZd6wweRE+UOPu/xNRSG+6s6N3yb4PAUCs4uRzDlhCRoWcEMXWYU6KnsT oa8KPuXh2LGaD/U1MQFRYl2Iw05SWdpwmFWX+XalxTIPOVfTyDSb4m9WYtIgNW31H/oLWD4gOQPn dy3k8qJ2TkA5fgwhFEmkmycIMmFOaUse+mNywQ== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jZ26NORpmZKspxhxy8E3nuWInS2v8SVmkJW7YbNM5w6seYC6djix60+PuZfxYZ7kYFJ/52hCpUm0 nlkFRVUhh5lOsAXwHOUilGtX6crbX95LdjWJpcaakSXkSao64l///V1aogbquQjrFFMwDZae/Itp GGStYfEAvZZF8v2cuoV7CDCyqdbNflaLJmv8cNY5vmP6WyNlo+r7+YPm1Z/TCSJwjnIdepeTPWy1 kQm3+Xyp30gQq4l15O2XxlnvMSx9hM2Hnkxw3sufl+8Nif2AMcfY+pyhU1SsIVi/GEXBguzhdTXz FC6SYAJGYxKQf0WBT8hclumJaE4zNictG3XzUw== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qcEeIzaptklUrXjzLRqVvKspVtzhkAnZvVXPm+n5SiD2fptgVEbzwyjbN0JRRJVziK3Fx6wqypeb ueCQWnOKML/tC+M1ajDJ22dLNEunTuCLt0abx9vGEyxsoifzV8Dy79WEc6gj5QBZvCssFHNviiJw pJ35EblO+QKdVSQblS1KBaiPQSTQkiyaxz+/Qd3UeWb3mlDNdNal5m8ORG6qevEbY1xRDWMR5LRC 7pIj8KyBHZoF9fJvBpVW0kgh26pl4BE+Ys5l71OADSKmQsPX5UNqg2O8G3/obQR4JfiUUmRME1ze wTI84KKJNC46jimrrZOpzLXBHkFeFpiZnfhkJA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392) `protect data_block Q1YHzuFrv/Sszj+j6NP2B0oxpvgw1c73Lv+qItLLRmmJbEj4BNIW4e+cFNYFsWOXEnnTTU+HGb2d M9wIEgHkiR7/d18rY4oPgGpGh0dGhfbEvmKWWt2KwJOiPrM761JjwQGyiLHCJIHBDAQAHYqeFv5g B7avqSCmtvAewDSi1SHfhM71pXPN2eGIoY1g6YifhlsLjMXqKqL9fJvfMILDwOr40OzEChswIr/I hJDHXEsR5xw6GP7QLRQJTFYtBC9J60quuQT0hmNQ9CeMeA2TD7TfPSchU6MNHrNyHI4AZAuHu8tS 9093cuKsgcZM3/pG3M3mtCOc+Svz73Lp8zSoKAzNylDlyd8YMylrZ54K40h8i3+XUGu7QUHsiRI1 tp/Q/3m9dCh0zm5EEdZJb7gNoyqgvVxYvSh2Q51HUVhU/mo8WpI26rFFq9AySlPHL1P+WJJYHj92 kNY75Hno7/y4V7K4szxQwqJ6vnOn3Dcv1cIveJcRuxCMvrZnT0cC/YV3x/B3RSpq6iB5ujhYMIfd B8dbEc8MjBjttCQ5PfJ341xi3JGXxe4KW/S/ekB1qX857GEribTj1NqY1lnIZ8bDryTxJno5C7mi 36Y2RTWSbv0B1ZGoCh0pi08NrO/5DXU2aJBdp83gmc90agSZjs3s/D355UOzNZrakG09XfN5Cf42 WgsgB3+aDI16OPOq4yRXLic/6qbUPxvateUwBLlwN4tGg4yWO+dSDYY/Q90f85LiHJ8NEC3J5Z70 p3CWOGgQjP90p7JPrV4KPYYHDknnssdOBzMh957L3yR9VYo7v71sYz35AryuMLImH+MfN3qgti1N /TcxvVQm3EYbQQZgLvmsB2l03G1vMiajuhTvoBGa6kP7EKYDEK3z08NJ3Sq7ehN0wtSH1ohEK6ZW MniD1tzZ7S5ukWPLfF5tj3gjtgrPl6EjfRtGfH3Dx0DX36w1/EaHrH6qt3/INV/WeoU/QEKjaeK2 zZPntEMANyffOqonQLBHGu0NZUABED7boibiLdNSioV4msfCodHShhqK1gmOABglf6QfK0Zv6Ja7 aW0Qf0RAaBSRDqbrY3Ybb5nqN0WihE34LyCgAZJqITtbN09EuWPWhyTE3e7L7BMf14uV2F4SP151 m5Eo/w9838NaV/1rdDInPrMmLHvv3cqAXW7jpEOrYcZ0CP1EGJBy1tnCuz+x+z1S0nEWc1Mayndr vrd5CZ/FDtwHMg6TYM2LkiGN+P7xDJix0FOpa/fecvPPz/2tqYWebuz7cStfwAGrfH4Ei78uBwQA FVcb1/iV+tWdT//i7B52jVVYYR9rNiOXitgxKGy8koStRBnTeVkaYaiBjZvtWyKnMNrNwSzTPUia ma0x4gEs04Zp/KQsjOe5svo9L91j9RYZfy2HOlxFYPwCnHLdVuM4j/HBKdp4C5YRSlVPlbUMer7J E2zomEz+cTjZ5I5SNXz7d3stpvpOWSMqwtiJYh6eIRq8WQjIS9rq3hxoGLksUVDcMuxlb0FkM1Qg x/zECkR/M8GJ7fqKGAQ00SsWAdI/sqXIa/j8ZZ/aD3+SEYpTpr03DZldSkRjfidrdjHiiZ0SZwcs WeyDz4t7e4BRk27vdAM9UhZVAfBs6luWzeE1sUT1ej1HBB9k7dnPLxvqFJ6K+pAMCgdCBBNjUSZG XLRLGMhuX91Ew7lQ6YR7XgU34UkPFYjpg9Lo44KsCwVrcerYuhE2Nq+ShSQXj4K3LSnxAkimb4Zj OM1AiOuwtp48uA3tBgqKB91XMFGp6H9Z7ix+6sfFgNhBOyK5r6x90qdTHQB3ahfxCkZvK+XUBcVA saKPn2Vb+r9Wh6HBFeHILBhym0kuuqd9NZ0ZXCTxqlWioINYSZKNTUt6V6HDLHwgcS1PMrzXN2s0 0fRs7MKuz6J4JqzXFhJcYffIxWFWAvprO7BndkTeaJXd+9e03JbQMzi85ZXW2z6OGurpTmG+6S08 8GEtL4KRJPoXdbfm+ayiR/Nb7K68M4z8H8PZtIMcrWsSlNaUCxY2Ppnv1TVPS7LggcZbS3BDwnfs TKDp5LZm+4uXIaSHCV555Q4WNBGq98IlkJqDQ8CDdcs+pzqp19KcgmtYA2BBfmiBFYiKX39FZ+0c 9awflwQxT4g+aNfH/IegrplQcZgaKz7RNMEio4JmX5rq2SEavBhYQ3YU/MQLxWSJAJI12xNWFsXI rH47bI9zKtGAzLpESP54hL8oSao4vJIFSftw2nq5yk1YObHnmeqXaIBf2uqvIMruK0xjGYwqs/Rb LMxSRxI4+WwOdxQ2LMKnzO40VM2akiQQ6fh71iWjNp5h7C8UFf/wI54Gbl3SAB0M6zSTuI72Wtx5 0KClcqItrDwuPeLmFkxBFWKFHR9qYC+2Kw8pYtfOS6bnr7v4aHoMuvROQKrRAO8JCopekw8cSCIS pi4S6lNO1cFpWNr4oeiXoWKv9BcYaXl76TTfEjv7heKxlmApUpRUu2RC4XqVrU+Ra0AXK2486bB9 Jg4UAxzpJnEyfAj8iMgt6Ge7uhrGFW+xvDPGWEnfVn08QrlJ2fgPwA9sLeD5UzqnOxs3wOW5mVgO voE76G4L2cNifnwBdVOPBMpCTSVhZuryEUOViN2NknbyKBWDOmPrKw9eeofjBN4FtCWrQuVmO067 TCTdI1Q9rL2wF63mRAU4pWakKLtE2BOkUgjMGr9Lbgr89qjGMZS2/HjVmSK+lVwBhiAPlCcF+/oJ 52IlpDKTv+xS3cOTIAF4yQBwnl2NH3FEENDt4qgp1EgMqZOOgNB0dVLFZDfZofe+yl9RV8Daxo+X 9FdCgwMOtKnDfFqsaYURNbv/bmY2yHi0jh6mohD8brYp7gzdHxLazOyQ6CrM9aY9odq8c/QRTzCE 9kfJMOX0buf4aIDYf+JOeR3Id/kAu0n06l7bUQSywjaHvzT+/3NPeEE6IrFQgptSGNLMGrrfDt7V l0YS2fxigEiGPr2ARKWh80jvdnxvWDswFAXfUO2ng1WeI7A2f/Nrt1dISxKnrBsgPpyVXqLG3L49 RUq4zQe+qfAvVCKRimLy2poNgMK6ISkQiH4cSSi2149gdYsLmPx8IwTyEw5RVJt4QsOnXOL2iCiJ Ioc/nbZlJP/Y8P2GtUXFX1W5dWy92awE4dydf5yERzThGnlR7S6ldyYkuEaUubBMDU7O5B3xrldR XroR9m6lPy/E4KVvUVGvVNhT2DS/H3hhyP25VP5N4hzSjz5jSU+IR9QhuApVADeXwxDQV0v1LIgU 1Jk42vPIEGARXk0OqtfKcDIaRq7zuVBSDBSzTAAGOr64/kwMyEtngGBb03Sl0v7eEqNjvnv42W8D JOYBTvP56TU1ZVvo4wpTCpx9R3a/fRtV1J07PEdSkltH+PE8VOb4nbBltSQ7HBD9SujiFFS0xmvM 8LLe92xUyXBsXRY6VqAG06OfBI6i7IMd0aZhO4JRK3317W5iQ0Lwy5SNQm6F+DMIIDvE+Kw7xhTG psXl0dMtWfT8aSqKqiPqryECS33zpISkjxIEi1PuBmBIlHP5GIdWlU3QoOcY82k4X/ym8K8tQO3M 2tgOwXFzZsQ1XHQGmxA4FlnXLejf7v7WImKr1/BxE7UmMc7FbRr9FjAh1fFDqB5nGa1r7QoHuRKT IbCYMj2xZUDwzM8Sa5ndQciBBAE5yJ0+BH4W+LIglKQ/4TIQiU4B/psSqpbcVY8Hv8iisJXxNKDD P/bt1QmKNzXV1QzhRk+RRk5f3Kf/g681iVTxMClQmvU9anhkFxxqifb21rUlvv7nHdOBNmV6HpEq 466x7r9EeGFobdQCX0W35BZ8Yf2CdRDr88cOdos9qNgcbCBDkM0bP+QmsyiWpG98HmoZJM5i6kVa oxYu5S0XZhFEsG5HjPXo7cYxiXv0qPbNsmEW+zRrnzHF/TrM3y6QeZ40txSR0Ci1d1pTlCuOqYTe yXl/kAt5Gc/qRUXJ/oswpIOr0Lp+8z3FuMK00+zUtKWftNRczVjs7NDdmgLddinMvv3kOQ+j29qP ex2No+OuV4eVBhymelhufDXu2nytLo8WjiwqHn1QWoOibe6fgPcsjPpgfj/mVK4K0X0+QrEpga/M XVqzqY5FDzZl6kqyHSAhU/huOQuFG4x5Q9akhehc5LPsDPlD5d/aW7U16PKEhVMyClDnlfQrgsbt K1UP5uNUmI0idOEWCzMXlEwFX2gsbPNBYdS64kYRYGC6/10PUGCc3ZGhBTrI5049xXZV5pJUcQB4 rLDYbuKg2mDRZ2qNgpkfeo11wAsmj2MDgtT9V/hkQJXs4juIzqBDDyMEFWoc/049dMlngHfdXSR/ jy1Z7/jmGTK3hJ5TR6p8n/Oq3CSF4ZFlDBIeIDEJT+5XxQ11/iXAq3uV35V5PLk4rWMh1pzdtx/6 TyDZDEh9cAUfAQqDeBYqlWBlvEyL+un1MneSMqNlxNeg4lBeWP6Ai9aYuwfwl4Xq+7xtkPHQYy9+ 09tbx55gpIZUgYY/cKFvKYoPq3uYCLhNeeY215iIeune+qPMN69TWr8gcMz8EZnaJnNPGgEMEo1r H+4AEtvHHUCPNWFNhWOISa8KG+vd9yw1jM70Fd1UPe59c0BguzvXVYyao6DOE6/f0N5CMVJ/bnox LL2PH6/xIEB6PmLiJ9tQOqs+ML/2N51jHyL96jMJEEoqTSHsbU+TUe8Bg5e9djR+9c9KZijKiDcR 9THchzU1JG7PLmYEWlwyD4+hIwhbKQ6Z5/tfUZPs/IZFBhN5s0W6H4zZZS0j2gYc89/fv05srsxf jXLz6wn7jfXIKupcRHCxtP2VKRIN4m93IjX8KtCAqi86bXlwKU/bVaX57zBYZxGX5FaTsJH6DGuW iHKUMFOh1F3gl8hoWvIzzIVx3B4AWnAG1HUBefofMbjx1iIO+WA0yBnBf6KSLKw7eRvwPkVBxKrU Q4+rfAF7iVNimTYHcQPJXnbyPp/hMESuo8d5PNYnpULCe2VrH9o4KK9OJfte9ocCOMP7FJNOFHSd UfwP5N2fZqmFeQ09ROQ9GzaJmfWQIFpD7mPwfczdStHnsmc12UKlFEtU+l6IMi/dyRMmlapD2+cD xskUxta0cs64jV2MNVmdqAXUy81OAOfuDGZ7/DwvhWg4xPnHKprQanDl7DrS9Fbg9cO6tRGrxdp/ aXa/euYkyrIDf/pZ9x53gcgKp99fHN/4TRJw0TysYvwQ3WGkhGm5Q14a/kH5N8trnZ5QWVMN/BN4 rLc7XTCerTGtB4l/U6T8OogO9qlEJHgLTsZ5wttRuVWJwWPqpFD5xtVioPVdlP9AGRwrSiNSVC6v vTuLFDyw9CduWvbhwUU14F++0q4fHM5N8vSpGA7yKrrmj1XCvJ/98VIgR55aa7MW+qNPwlooYgJP 8vjKrH5viulAmp5c6oZ5Bvbdywi+x6c8mjAHeDcf4IQKAzFuJS6YNKPadrpTwYYEfJ4OxqQGBP7N iZ+MwUWoB+tNhGY/EJqTrMt2I5KvM7EPARaVgsAkFYTupH+MUfuSTBfVJIq5dVheUWOG+FCEwoP1 uuVcLlZrbuRmIDmS7EKZChkbBEHw1LZw4S5BxOu1QIMC0TXr0wMMnLIvMkthulW64e6OHAs9pOah udFBp+afpYISGqhI4Sf0eQN7k59Yw6CusQPg7dlVC3VbqvfNj1PDon8CsahcDOUkWIBOlrTvBUWJ 9iU3D4g3rLvjtI4MyAu29KpwIrwmqoblhHhSyh54bGZB61TWyjIq0eMR7jpGbtAmMuH5ZX71Mx03 o/P5rNnGuuYjYyL3IEnQBJmJy479QRj+0o9VmrBG4xwEsikEhLddLty/njrZaZUdYEtSZVffLzFa zujIZibGlqEV0lSaszfLrkI5N/Z70pNJLC7neqjxdzlQLVACRyXS+ZHjvWN8CVXFSh8CixetbuP9 Ficm2gJHkzaa095nWIkA13eYNJtdnN2vNOEdT2FXoELhs/Tz6WDOcth25fYhJajcRZyNfYt1VeUT u0f+8luqrzzP6iZKDDf0Nys6nNBBCiwer46SYtZZCzzc1o0pBekCGjAAy6ZfpPgndwU3m0fXU/Rt snhB3v4kYnL4Eg6eO4v2qMK9CCmxEWfqQG/Nak0KUgTxINaGT+jTL9PG+lQvW36vp69QD+HJqMq4 hkNXbJ6XJ4KsiIPPnVuJwUnYsc7SDs1/4nqejfA/RbvcGLqYOieoMUf0S5AZoILvaXM2t93SrWJr oljzkfSqUq5MBbAk3rWFQ5RPJh+V+3crJkJFvx/t7XxaI/s1RAFc8Boi63K2TgATJAFBEwdRnL8G p7G+sjcCYhy5zxgFP9KHC9JKDSw2uqgvp8Ih3dPzSOZMFrZyQEtjrqjTgY9twaJ9dN4PFobCZXiZ XofPmgyDAzEPkDqkZXhzQYTjhfwuYVsyyMShtrsm7AIC1s3IVx5RLThgtrNG16HhIYTasDfLctby SmSZXLuLf4XaLQsIiyl+IVTutRDmh4tpJpiia7C4n+yUv4F5yYQL+dHoODa8WJ5lt0nhZ9z0dipV q8BMnValSffj1sw/d1qUOs/Onn9PqEySsbiGMLKc71z0/90PEFEXn3gTltrWHhawNpplyQwh6z02 uTm2idbCgjGC/jRd7/2si6ExweBQYDECllHzwJNTn8gzx706psdlClXOGW4zzgCIZou3xksgFCNA KGciQvKGK7X/YE/u1qrmHQDo9iKNnMyYPI8duOT3mxisAz6kaDbN2Js6sSP4vgcc3dFjy1hqd3t6 UrwdriKF1TfoQaC7B4flicyes3a2Hd3a3KNEHJ8AQmr/+OVSPzyvHE4X+PrTU6IIX5TNPwce4EIr 36DloBgxFe9LIHzjVOh61ivCm/r9Ejk0IFJeSAIX9zvFD/Sb/R3XS49w9pKUtwLbUOiDqe5kW67R hiQEKyJKEUKj/wMbrNer47YrrZb1Q0Z9qp6rDkfaMh9Rd1VFJjqcTqfSvkrC31KojX+bKgamrgtU jWKjDr4rlDoW7z15BqRTgEdiwG2TclHo5IjLYlG0gjXxvl3wbjuPaxQqmHNjgfuSyFDOCpewqlfF 57w5cDpfik8fSSeZNfGcumFt8ISI0PZbyYBpem3EmThJy68P+588WWPHvZZfNr+cTlZyZX7cKZxw 5zU+bJvHvsgSni92U5+nDuAuSjxwl4Id/SuZhAdFW1y5bQ== `protect end_protected
mit
513dde0f3fc30134b3dddb8b63022884
0.920693
1.942841
false
false
false
false
MiddleMan5/233
Experiments/IP_Repo/Program Counter/src/Counter10bit.vhd
3
1,391
---------------------------------------------------------------------------------- -- Company: -- Engineer: Justin Nguyen, Quinn Mikelson -- -- Create Date: 09/19/2017 12:16:57 AM -- Design Name: -- Module Name: Counter10bit - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Counter10bit is Port ( Din : in STD_LOGIC_VECTOR (0 to 9); LOAD : in STD_LOGIC; INC : in STD_LOGIC; RESET : in STD_LOGIC; CLK : in STD_LOGIC; COUNT : out STD_LOGIC_VECTOR (0 to 9) ); end Counter10bit; architecture Behavioral of Counter10bit is signal s_COUNT : STD_LOGIC_VECTOR (0 to 9); begin process (CLK, RESET) begin if (RESET = '1') then s_COUNT <= ( others => '0' ); elsif (rising_edge(CLK)) then if (LOAD = '1') then s_COUNT <= Din; else if (INC = '1') then s_COUNT <= s_COUNT + 1; end if; end if; end if; end process; COUNT <= s_COUNT; end Behavioral;
mit
54a00afe641ce50f94b68998c4746458
0.469446
3.962963
false
false
false
false
VLSI-EDA/PoC-Examples
src/xil/clknet/clknet_ClockNetwork_Atlys.vhdl
1
10,333
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Entity: TODO -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2017 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library UNISIM; use UNISIM.VCOMPONENTS.all; library PoC; use PoC.utils.all; use PoC.physical.all; use PoC.components.all; use PoC.io.all; entity clknet_ClockNetwork_Atlys is generic ( DEBUG : BOOLEAN := FALSE; CLOCK_IN_FREQ : FREQ := 100 MHz ); port ( ClockIn_100MHz : in STD_LOGIC; ClockNetwork_Reset : in STD_LOGIC; ClockNetwork_ResetDone : out STD_LOGIC; Control_Clock_100MHz : out STD_LOGIC; Clock_200MHz : out STD_LOGIC; Clock_125MHz : out STD_LOGIC; Clock_100MHz : out STD_LOGIC; Clock_10MHz : out STD_LOGIC; Clock_Stable_200MHz : out STD_LOGIC; Clock_Stable_125MHz : out STD_LOGIC; Clock_Stable_100MHz : out STD_LOGIC; Clock_Stable_10MHz : out STD_LOGIC ); end entity; -- DCM - clock wizard report -- -- Output Output Phase Duty Pk-to-Pk Phase -- Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps) ------------------------------------------------------------------------------- -- CLK_OUT0 100.000 0.000 50.0 200.000 150.000 -- CLK_OUT1 200.000 0.000 50.0 300.000 150.000 -- CLK_OUT2 125.000 0.000 50.0 360.000 150.000 -- CLK_OUT3 10.000 0.000 50.0 300.000 150.000 -- architecture rtl of clknet_ClockNetwork_Atlys is attribute KEEP : BOOLEAN; -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low -- control clock: 100 MHz -- slowest output clock: 10 MHz -- worst case delay: (Control_Clock freq / slowest clock in MHz) * register stages + safety -- => 24 (100 MHz / 10 MHz) * 2 register stages + 4 constant CMB_DELAY_CYCLES : POSITIVE := integer(real(CLOCK_IN_FREQ / 10 MHz) * 2.0 + 4.0); signal ClkNet_Reset : STD_LOGIC; signal DCM_Reset : STD_LOGIC; signal DCM_Reset_clr : STD_LOGIC; signal DCM_ResetState : STD_LOGIC := '0'; signal DCM_Reset_delayed : STD_LOGIC_VECTOR(CMB_DELAY_CYCLES - 1 DOWNTO 0); signal DCM_Locked_async : STD_LOGIC; signal DCM_Locked : STD_LOGIC; signal DCM_Locked_d : STD_LOGIC := '0'; signal DCM_Locked_re : STD_LOGIC; signal DCM_LockedState : STD_LOGIC := '0'; signal Locked : STD_LOGIC; signal Reset : STD_LOGIC; signal Control_Clock : STD_LOGIC; signal Control_Clock_BUFG : STD_LOGIC; signal DCM_Clock_10MHz : STD_LOGIC; signal DCM_Clock_100MHz : STD_LOGIC; signal DCM_Clock_125MHz : STD_LOGIC; signal DCM_Clock_200MHz : STD_LOGIC; signal DCM_Clock_10MHz_BUFG : STD_LOGIC; signal DCM_Clock_100MHz_BUFG : STD_LOGIC; signal DCM_Clock_125MHz_BUFG : STD_LOGIC; signal DCM_Clock_200MHz_BUFG : STD_LOGIC; attribute KEEP of DCM_Clock_10MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_100MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_125MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_200MHz_BUFG : signal is DEBUG; begin -- ================================================================== -- ResetControl -- ================================================================== -- synchronize external (async) ClockNetwork_Reset and internal (but async) DCM_Locked signals to "Control_Clock" domain syncControlClock: entity PoC.sync_Bits_Xilinx generic map ( BITS => 2 -- number of BITS to synchronize ) port map ( Clock => Control_Clock, -- Clock to be synchronized to Input(0) => ClockNetwork_Reset, -- Data to be synchronized Input(1) => DCM_Locked_async, -- Output(0) => ClkNet_Reset, -- synchronized data Output(1) => DCM_Locked -- ); -- clear reset signals, if external Reset is low and CMB (clock modifying block) noticed reset -> locked = low DCM_Reset_clr <= ClkNet_Reset nor DCM_Locked; -- detect rising edge on CMB locked signals DCM_Locked_d <= DCM_Locked when rising_edge(Control_Clock); DCM_Locked_re <= not DCM_Locked_d and DCM_Locked; -- RS-FF Q RST SET CLK -- hold reset until external reset goes low and CMB noticed reset DCM_ResetState <= ffrs(q => DCM_ResetState, rst => DCM_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); -- deassert *_LockedState, if CMBs are going to be reseted; assert it if *_Locked is high again DCM_LockedState <= ffrs(q => DCM_LockedState, rst => DCM_Reset, set => DCM_Locked_re) when rising_edge(Control_Clock); -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low DCM_Reset_delayed <= sr_left(DCM_Reset_delayed, DCM_ResetState) when rising_edge(Control_Clock); DCM_Reset <= DCM_Reset_delayed(DCM_Reset_delayed'high); Locked <= DCM_LockedState and '1'; --PLL_LockedState; ClockNetwork_ResetDone <= Locked; -- ================================================================== -- ClockBuffers -- ================================================================== -- Control_Clock BUFR_Control_Clock : BUFG port map ( I => ClockIn_100MHz, O => Control_Clock_BUFG ); Control_Clock <= Control_Clock_BUFG; -- 10 MHz BUFG BUFG_DCM_Clock_10MHz : BUFG port map ( I => DCM_Clock_10MHz, O => DCM_Clock_10MHz_BUFG ); -- 100 MHz BUFG BUFG_DCM_Clock_100MHz : BUFG port map ( I => DCM_Clock_100MHz, O => DCM_Clock_100MHz_BUFG ); -- 125 MHz BUFG BUFG_DCM_Clock_125MHz : BUFG port map ( I => DCM_Clock_125MHz, O => DCM_Clock_125MHz_BUFG ); -- 200 MHz BUFG BUFG_DCM_Clock_200MHz : BUFG port map ( I => DCM_Clock_200MHz, O => DCM_Clock_200MHz_BUFG ); -- ================================================================== -- Mixed-Mode Clock Manager (DCM) -- ================================================================== System_DCM : DCM_SP generic map ( STARTUP_WAIT => false, DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- "SOURCE_SYNCHRONOUS" PHASE_SHIFT => 0, CLKIN_PERIOD => to_real(to_time(CLOCK_IN_FREQ), 1.0 ns), CLKIN_DIVIDE_BY_2 => FALSE, CLK_FEEDBACK => "1X", CLKOUT_PHASE_SHIFT => "NONE", CLKDV_DIVIDE => 10.0, CLKFX_DIVIDE => 4, CLKFX_MULTIPLY => 5 ) port map ( RST => DCM_Reset, CLKIN => ClockIn_100MHz, CLKFB => DCM_Clock_100MHz_BUFG, CLK0 => DCM_Clock_100MHz, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => DCM_Clock_200MHz, CLK2X180 => open, CLKFX => DCM_Clock_125MHz, CLKFX180 => open, CLKDV => DCM_Clock_10MHz, -- DCM status LOCKED => DCM_Locked_async, STATUS => open, -- Dynamic Phase Shift Port PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, DSSEN => '0' ); Control_Clock_100MHz <= Control_Clock_BUFG; Clock_200MHz <= DCM_Clock_200MHz_BUFG; Clock_125MHz <= DCM_Clock_125MHz_BUFG; Clock_100MHz <= DCM_Clock_100MHz_BUFG; Clock_10MHz <= DCM_Clock_10MHz_BUFG; -- synchronize internal Locked signal to output clock domains syncLocked200MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_200MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_200MHz -- synchronized data ); syncLocked125MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_125MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_125MHz -- synchronized data ); syncLocked100MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_100MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_100MHz -- synchronized data ); syncLocked10MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_10MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_10MHz -- synchronized data ); end architecture;
apache-2.0
cbd4fd160640073d4d5a06540c985c64
0.534017
3.625614
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_util_vector_logic_0_0/sim/RAT_util_vector_logic_0_0.vhd
2
3,409
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:util_vector_logic:2.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY util_vector_logic_v2_0; USE util_vector_logic_v2_0.util_vector_logic; ENTITY RAT_util_vector_logic_0_0 IS PORT ( Op1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Op2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Res : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END RAT_util_vector_logic_0_0; ARCHITECTURE RAT_util_vector_logic_0_0_arch OF RAT_util_vector_logic_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_util_vector_logic_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT util_vector_logic IS GENERIC ( C_OPERATION : STRING; C_SIZE : INTEGER ); PORT ( Op1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Op2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Res : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT util_vector_logic; BEGIN U0 : util_vector_logic GENERIC MAP ( C_OPERATION => "and", C_SIZE => 1 ) PORT MAP ( Op1 => Op1, Op2 => Op2, Res => Res ); END RAT_util_vector_logic_0_0_arch;
mit
ce7640535deafb97113d8a887927b551
0.724259
3.918391
false
false
false
false
MiddleMan5/233
Experiments/Experiment5-Ram_Reg/RTL/RegisterFile.vhd
1
1,639
---------------------------------------------------------------------------------- -- Company: -- Engineer: Justin Nguyen, Quinn Mikelson -- -- Create Date: 09/29/2017 12:31:58 AM -- Design Name: RegisterFile -- Module Name: RegisterFile - Behavioral -- Project Name: RAT CPU -- Target Devices: xc7a50tcsg324-1 -- Tool Versions: -- Description: This is the register component for our RAT CPU. The function of the register is to: -- - Provide register space for the RAT instructions -- The RAM is 32x8 memory module with asyc read and synchronous write. -- -- Dependencies: N/A -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RegisterFile is Port ( D_IN : in STD_LOGIC_VECTOR (7 downto 0); DX_OUT : out STD_LOGIC_VECTOR (7 downto 0); DY_OUT : out STD_LOGIC_VECTOR (7 downto 0); ADRX : in STD_LOGIC_VECTOR (4 downto 0); ADRY : in STD_LOGIC_VECTOR (4 downto 0); WE : in STD_LOGIC; CLK : in STD_LOGIC); end RegisterFile; architecture Behavioral of RegisterFile is TYPE memory is array (0 to 31) of std_logic_vector(7 downto 0); SIGNAL REG: memory := (others=>(others=>'0')); begin process(clk) begin if (rising_edge(clk)) then if (WE = '1') then REG(conv_integer(ADRX)) <= D_IN; end if; end if; end process; DX_OUT <= REG(conv_integer(ADRX)); DY_OUT <= REG(conv_integer(ADRY)); end Behavioral;
mit
393d55fc11661215ed75ba6f1e4342a7
0.57169
3.708145
false
false
false
false
stefanct/aua
hw/alu/src/Mux16to1.vhd
1
2,053
library ieee; use ieee.std_logic_1164.all; entity Mux16to1 is port( i01: in std_logic_vector(15 downto 0); i02: in std_logic_vector(15 downto 0); i03: in std_logic_vector(15 downto 0); i04: in std_logic_vector(15 downto 0); i05: in std_logic_vector(15 downto 0); i06: in std_logic_vector(15 downto 0); i07: in std_logic_vector(15 downto 0); i08: in std_logic_vector(15 downto 0); i09: in std_logic_vector(15 downto 0); i10: in std_logic_vector(15 downto 0); i11: in std_logic_vector(15 downto 0); i12: in std_logic_vector(15 downto 0); i13: in std_logic_vector(15 downto 0); i14: in std_logic_vector(15 downto 0); i15: in std_logic_vector(15 downto 0); i16: in std_logic_vector(15 downto 0); sel: in std_logic_vector(3 downto 0); mux_out: out std_logic_vector(15 downto 0) ); end entity; architecture rtl of Mux16to1 is component Mux4to1 is port( i01: in std_logic_vector(15 downto 0); i02: in std_logic_vector(15 downto 0); i03: in std_logic_vector(15 downto 0); i04: in std_logic_vector(15 downto 0); sel: in std_logic_vector(1 downto 0); mux_out: out std_logic_vector(15 downto 0) ); end component; signal mux_sel: std_logic_vector(1 downto 0); signal mux1_o: std_logic_vector(15 downto 0); signal mux2_o: std_logic_vector(15 downto 0); signal mux3_o: std_logic_vector(15 downto 0); signal mux4_o: std_logic_vector(15 downto 0); begin mux1: Mux4to1 port map(i01, i02, i03, i04, mux_sel, mux1_o); mux2: Mux4to1 port map(i05, i06, i07, i08, mux_sel, mux2_o); mux3: Mux4to1 port map(i09, i10, i11, i12, mux_sel, mux3_o); mux4: Mux4to1 port map(i13, i14, i15, i16, mux_sel, mux4_o); process(sel, mux1_o, mux2_o, mux3_o, mux4_o) begin mux_sel <= sel(1 downto 0); case sel(3 downto 2) is when "00" => mux_out <= mux1_o; when "01" => mux_out <= mux2_o; when "10" => mux_out <= mux3_o; when "11" => mux_out <= mux4_o; when others => mux_out <= x"0000"; end case; end process; end rtl;
gpl-3.0
81aac7ed358c7001e7337532cdb7bf8e
0.643449
2.497567
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_prog_rom_0_0/synth/RAT_prog_rom_0_0.vhd
2
3,829
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:prog_rom:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_prog_rom_0_0 IS PORT ( ADDRESS : IN STD_LOGIC_VECTOR(9 DOWNTO 0); INSTRUCTION : OUT STD_LOGIC_VECTOR(17 DOWNTO 0); CLK : IN STD_LOGIC ); END RAT_prog_rom_0_0; ARCHITECTURE RAT_prog_rom_0_0_arch OF RAT_prog_rom_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_prog_rom_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT prog_rom IS PORT ( ADDRESS : IN STD_LOGIC_VECTOR(9 DOWNTO 0); INSTRUCTION : OUT STD_LOGIC_VECTOR(17 DOWNTO 0); CLK : IN STD_LOGIC ); END COMPONENT prog_rom; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_prog_rom_0_0_arch: ARCHITECTURE IS "prog_rom,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_prog_rom_0_0_arch : ARCHITECTURE IS "RAT_prog_rom_0_0,prog_rom,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_prog_rom_0_0_arch: ARCHITECTURE IS "RAT_prog_rom_0_0,prog_rom,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=prog_rom,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : prog_rom PORT MAP ( ADDRESS => ADDRESS, INSTRUCTION => INSTRUCTION, CLK => CLK ); END RAT_prog_rom_0_0_arch;
mit
253d8a9ddb5484e50722cf54278a71c8
0.739096
3.863774
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_RegisterFile_0_0/RAT_RegisterFile_0_0_sim_netlist.vhdl
2
6,533
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Thu Oct 26 22:46:57 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_RegisterFile_0_0/RAT_RegisterFile_0_0_sim_netlist.vhdl -- Design : RAT_RegisterFile_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_RegisterFile_0_0_RegisterFile is port ( DY_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 ); DX_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 ); CLK : in STD_LOGIC; D_IN : in STD_LOGIC_VECTOR ( 7 downto 0 ); WE : in STD_LOGIC; ADRX : in STD_LOGIC_VECTOR ( 4 downto 0 ); ADRY : in STD_LOGIC_VECTOR ( 4 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_RegisterFile_0_0_RegisterFile : entity is "RegisterFile"; end RAT_RegisterFile_0_0_RegisterFile; architecture STRUCTURE of RAT_RegisterFile_0_0_RegisterFile is begin REG_reg_0_31_0_0: unisim.vcomponents.RAM32X1D generic map( INIT => X"00000000" ) port map ( A0 => ADRX(0), A1 => ADRX(1), A2 => ADRX(2), A3 => ADRX(3), A4 => ADRX(4), D => D_IN(0), DPO => DY_OUT(0), DPRA0 => ADRY(0), DPRA1 => ADRY(1), DPRA2 => ADRY(2), DPRA3 => ADRY(3), DPRA4 => ADRY(4), SPO => DX_OUT(0), WCLK => CLK, WE => WE ); REG_reg_0_31_1_1: unisim.vcomponents.RAM32X1D generic map( INIT => X"00000000" ) port map ( A0 => ADRX(0), A1 => ADRX(1), A2 => ADRX(2), A3 => ADRX(3), A4 => ADRX(4), D => D_IN(1), DPO => DY_OUT(1), DPRA0 => ADRY(0), DPRA1 => ADRY(1), DPRA2 => ADRY(2), DPRA3 => ADRY(3), DPRA4 => ADRY(4), SPO => DX_OUT(1), WCLK => CLK, WE => WE ); REG_reg_0_31_2_2: unisim.vcomponents.RAM32X1D generic map( INIT => X"00000000" ) port map ( A0 => ADRX(0), A1 => ADRX(1), A2 => ADRX(2), A3 => ADRX(3), A4 => ADRX(4), D => D_IN(2), DPO => DY_OUT(2), DPRA0 => ADRY(0), DPRA1 => ADRY(1), DPRA2 => ADRY(2), DPRA3 => ADRY(3), DPRA4 => ADRY(4), SPO => DX_OUT(2), WCLK => CLK, WE => WE ); REG_reg_0_31_3_3: unisim.vcomponents.RAM32X1D generic map( INIT => X"00000000" ) port map ( A0 => ADRX(0), A1 => ADRX(1), A2 => ADRX(2), A3 => ADRX(3), A4 => ADRX(4), D => D_IN(3), DPO => DY_OUT(3), DPRA0 => ADRY(0), DPRA1 => ADRY(1), DPRA2 => ADRY(2), DPRA3 => ADRY(3), DPRA4 => ADRY(4), SPO => DX_OUT(3), WCLK => CLK, WE => WE ); REG_reg_0_31_4_4: unisim.vcomponents.RAM32X1D generic map( INIT => X"00000000" ) port map ( A0 => ADRX(0), A1 => ADRX(1), A2 => ADRX(2), A3 => ADRX(3), A4 => ADRX(4), D => D_IN(4), DPO => DY_OUT(4), DPRA0 => ADRY(0), DPRA1 => ADRY(1), DPRA2 => ADRY(2), DPRA3 => ADRY(3), DPRA4 => ADRY(4), SPO => DX_OUT(4), WCLK => CLK, WE => WE ); REG_reg_0_31_5_5: unisim.vcomponents.RAM32X1D generic map( INIT => X"00000000" ) port map ( A0 => ADRX(0), A1 => ADRX(1), A2 => ADRX(2), A3 => ADRX(3), A4 => ADRX(4), D => D_IN(5), DPO => DY_OUT(5), DPRA0 => ADRY(0), DPRA1 => ADRY(1), DPRA2 => ADRY(2), DPRA3 => ADRY(3), DPRA4 => ADRY(4), SPO => DX_OUT(5), WCLK => CLK, WE => WE ); REG_reg_0_31_6_6: unisim.vcomponents.RAM32X1D generic map( INIT => X"00000000" ) port map ( A0 => ADRX(0), A1 => ADRX(1), A2 => ADRX(2), A3 => ADRX(3), A4 => ADRX(4), D => D_IN(6), DPO => DY_OUT(6), DPRA0 => ADRY(0), DPRA1 => ADRY(1), DPRA2 => ADRY(2), DPRA3 => ADRY(3), DPRA4 => ADRY(4), SPO => DX_OUT(6), WCLK => CLK, WE => WE ); REG_reg_0_31_7_7: unisim.vcomponents.RAM32X1D generic map( INIT => X"00000000" ) port map ( A0 => ADRX(0), A1 => ADRX(1), A2 => ADRX(2), A3 => ADRX(3), A4 => ADRX(4), D => D_IN(7), DPO => DY_OUT(7), DPRA0 => ADRY(0), DPRA1 => ADRY(1), DPRA2 => ADRY(2), DPRA3 => ADRY(3), DPRA4 => ADRY(4), SPO => DX_OUT(7), WCLK => CLK, WE => WE ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_RegisterFile_0_0 is port ( D_IN : in STD_LOGIC_VECTOR ( 7 downto 0 ); DX_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 ); DY_OUT : out STD_LOGIC_VECTOR ( 7 downto 0 ); ADRX : in STD_LOGIC_VECTOR ( 4 downto 0 ); ADRY : in STD_LOGIC_VECTOR ( 4 downto 0 ); WE : in STD_LOGIC; CLK : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_RegisterFile_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_RegisterFile_0_0 : entity is "RAT_RegisterFile_0_0,RegisterFile,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_RegisterFile_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_RegisterFile_0_0 : entity is "RegisterFile,Vivado 2016.4"; end RAT_RegisterFile_0_0; architecture STRUCTURE of RAT_RegisterFile_0_0 is begin U0: entity work.RAT_RegisterFile_0_0_RegisterFile port map ( ADRX(4 downto 0) => ADRX(4 downto 0), ADRY(4 downto 0) => ADRY(4 downto 0), CLK => CLK, DX_OUT(7 downto 0) => DX_OUT(7 downto 0), DY_OUT(7 downto 0) => DY_OUT(7 downto 0), D_IN(7 downto 0) => D_IN(7 downto 0), WE => WE ); end STRUCTURE;
mit
b52fbc737846693861294abb86dd7e39
0.51921
3.179075
false
false
false
false
qynvi/rtl-adders
rcadder_tb.vhd
1
906
-- William Fan -- 2/19/2011 -- RCAdder Testbench library ieee; use ieee.std_logic_1164.all; entity testbench is generic (N: integer := 8); end testbench; architecture tb of testbench is signal input1,input2,sum: std_logic_vector((N-1) downto 0); signal c_in,c_out: std_logic := '0'; begin rcatb: entity work.rcadder port map (input1,input2,c_in,sum,c_out); tb: process begin input1 <= "01111000"; -- =120d wait; input2 <= "00000000"; -- =0d wait for 120 ns; input2 <= "00101000"; -- =40d wait for 140 ns; input2 <= "01011010"; -- =90d wait for 120 ns; input2 <= "01111000"; -- =120d wait for 120 ns; input2 <= "10010110"; -- =150d wait for 120 ns; input2 <= "10110100"; -- =180d wait for 120 ns; input2 <= "11010010"; -- =210d wait for 120 ns; c_in <= '0'; wait for 200 ns; c_in <= '1'; wait for 80 ns; c_in <= '0'; wait; end process tb; end;
mit
0dab1872c5e81cea3a5e038a92ea8fcb
0.613687
2.633721
false
true
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_StackPointer_0_0/RAT_StackPointer_0_0_sim_netlist.vhdl
1
9,544
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Fri Oct 27 10:19:57 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/RATCPU/Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_StackPointer_0_0/RAT_StackPointer_0_0_sim_netlist.vhdl -- Design : RAT_StackPointer_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_StackPointer_0_0_StackPointer is port ( Q : out STD_LOGIC_VECTOR ( 7 downto 0 ); CLK : in STD_LOGIC; RST : in STD_LOGIC; DECR : in STD_LOGIC; INCR : in STD_LOGIC; DATA : in STD_LOGIC_VECTOR ( 7 downto 0 ); LD : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_StackPointer_0_0_StackPointer : entity is "StackPointer"; end RAT_StackPointer_0_0_StackPointer; architecture STRUCTURE of RAT_StackPointer_0_0_StackPointer is signal \^q\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \SP[3]_i_2_n_0\ : STD_LOGIC; signal \SP[4]_i_2_n_0\ : STD_LOGIC; signal \SP[4]_i_3_n_0\ : STD_LOGIC; signal \SP[5]_i_2_n_0\ : STD_LOGIC; signal \SP[5]_i_3_n_0\ : STD_LOGIC; signal \SP[6]_i_2_n_0\ : STD_LOGIC; signal \SP[6]_i_3_n_0\ : STD_LOGIC; signal \SP[7]_i_1_n_0\ : STD_LOGIC; signal \SP[7]_i_3_n_0\ : STD_LOGIC; signal \SP[7]_i_4_n_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \SP[4]_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \SP[4]_i_3\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \SP[5]_i_2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \SP[5]_i_3\ : label is "soft_lutpair0"; begin Q(7 downto 0) <= \^q\(7 downto 0); \SP[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"5754" ) port map ( I0 => \^q\(0), I1 => DECR, I2 => INCR, I3 => DATA(0), O => p_0_in(0) ); \SP[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"CE3232CE" ) port map ( I0 => DATA(1), I1 => DECR, I2 => INCR, I3 => \^q\(0), I4 => \^q\(1), O => p_0_in(1) ); \SP[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"9CC6DDD79CC68882" ) port map ( I0 => DECR, I1 => \^q\(2), I2 => \^q\(0), I3 => \^q\(1), I4 => INCR, I5 => DATA(2), O => p_0_in(2) ); \SP[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA9FFFFAAA90000" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => DECR, I5 => \SP[3]_i_2_n_0\, O => p_0_in(3) ); \SP[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAFFFF6AAA0000" ) port map ( I0 => \^q\(3), I1 => \^q\(2), I2 => \^q\(1), I3 => \^q\(0), I4 => INCR, I5 => DATA(3), O => \SP[3]_i_2_n_0\ ); \SP[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"B487B7B7B4878484" ) port map ( I0 => \SP[4]_i_2_n_0\, I1 => DECR, I2 => \^q\(4), I3 => \SP[4]_i_3_n_0\, I4 => INCR, I5 => DATA(4), O => p_0_in(4) ); \SP[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), O => \SP[4]_i_2_n_0\ ); \SP[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^q\(2), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(3), O => \SP[4]_i_3_n_0\ ); \SP[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"784B7B7B784B4848" ) port map ( I0 => \SP[5]_i_2_n_0\, I1 => DECR, I2 => \^q\(5), I3 => \SP[5]_i_3_n_0\, I4 => INCR, I5 => DATA(5), O => p_0_in(5) ); \SP[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => \^q\(4), I1 => \^q\(2), I2 => \^q\(0), I3 => \^q\(1), I4 => \^q\(3), O => \SP[5]_i_2_n_0\ ); \SP[5]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFFFFFF" ) port map ( I0 => \^q\(3), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(2), I4 => \^q\(4), O => \SP[5]_i_3_n_0\ ); \SP[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4B787B7B4B784848" ) port map ( I0 => \SP[6]_i_2_n_0\, I1 => DECR, I2 => \^q\(6), I3 => \SP[6]_i_3_n_0\, I4 => INCR, I5 => DATA(6), O => p_0_in(6) ); \SP[6]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(4), I5 => \^q\(5), O => \SP[6]_i_2_n_0\ ); \SP[6]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^q\(5), I1 => \^q\(4), I2 => \^q\(2), I3 => \^q\(1), I4 => \^q\(0), I5 => \^q\(3), O => \SP[6]_i_3_n_0\ ); \SP[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => LD, I1 => INCR, I2 => DECR, O => \SP[7]_i_1_n_0\ ); \SP[7]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"6AFF6A00" ) port map ( I0 => \^q\(7), I1 => \SP[6]_i_3_n_0\, I2 => \^q\(6), I3 => INCR, I4 => DATA(7), O => \SP[7]_i_3_n_0\ ); \SP[7]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^q\(7), I1 => \^q\(6), I2 => \SP[6]_i_2_n_0\, O => \SP[7]_i_4_n_0\ ); \SP_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => CLK, CE => \SP[7]_i_1_n_0\, CLR => RST, D => p_0_in(0), Q => \^q\(0) ); \SP_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => CLK, CE => \SP[7]_i_1_n_0\, CLR => RST, D => p_0_in(1), Q => \^q\(1) ); \SP_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => CLK, CE => \SP[7]_i_1_n_0\, CLR => RST, D => p_0_in(2), Q => \^q\(2) ); \SP_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => CLK, CE => \SP[7]_i_1_n_0\, CLR => RST, D => p_0_in(3), Q => \^q\(3) ); \SP_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => CLK, CE => \SP[7]_i_1_n_0\, CLR => RST, D => p_0_in(4), Q => \^q\(4) ); \SP_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => CLK, CE => \SP[7]_i_1_n_0\, CLR => RST, D => p_0_in(5), Q => \^q\(5) ); \SP_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => CLK, CE => \SP[7]_i_1_n_0\, CLR => RST, D => p_0_in(6), Q => \^q\(6) ); \SP_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => CLK, CE => \SP[7]_i_1_n_0\, CLR => RST, D => p_0_in(7), Q => \^q\(7) ); \SP_reg[7]_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \SP[7]_i_3_n_0\, I1 => \SP[7]_i_4_n_0\, O => p_0_in(7), S => DECR ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_StackPointer_0_0 is port ( DATA : in STD_LOGIC_VECTOR ( 7 downto 0 ); RST : in STD_LOGIC; LD : in STD_LOGIC; INCR : in STD_LOGIC; DECR : in STD_LOGIC; CLK : in STD_LOGIC; DOUT : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_StackPointer_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_StackPointer_0_0 : entity is "RAT_StackPointer_0_0,StackPointer,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_StackPointer_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_StackPointer_0_0 : entity is "StackPointer,Vivado 2016.4"; end RAT_StackPointer_0_0; architecture STRUCTURE of RAT_StackPointer_0_0 is begin U0: entity work.RAT_StackPointer_0_0_StackPointer port map ( CLK => CLK, DATA(7 downto 0) => DATA(7 downto 0), DECR => DECR, INCR => INCR, LD => LD, Q(7 downto 0) => DOUT(7 downto 0), RST => RST ); end STRUCTURE;
mit
07a306ff03a7c9f182bfbdfdcd5c0e83
0.47171
2.798827
false
false
false
false
stefanct/aua
hw/alu/src/alu_opt.vhd
1
10,502
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; architecture opt of alu is component Mux32to1 is port( i01: in std_logic_vector(15 downto 0); i02: in std_logic_vector(15 downto 0); i03: in std_logic_vector(15 downto 0); i04: in std_logic_vector(15 downto 0); i05: in std_logic_vector(15 downto 0); i06: in std_logic_vector(15 downto 0); i07: in std_logic_vector(15 downto 0); i08: in std_logic_vector(15 downto 0); i09: in std_logic_vector(15 downto 0); i10: in std_logic_vector(15 downto 0); i11: in std_logic_vector(15 downto 0); i12: in std_logic_vector(15 downto 0); i13: in std_logic_vector(15 downto 0); i14: in std_logic_vector(15 downto 0); i15: in std_logic_vector(15 downto 0); i16: in std_logic_vector(15 downto 0); i17: in std_logic_vector(15 downto 0); i18: in std_logic_vector(15 downto 0); i19: in std_logic_vector(15 downto 0); i20: in std_logic_vector(15 downto 0); i21: in std_logic_vector(15 downto 0); i22: in std_logic_vector(15 downto 0); i23: in std_logic_vector(15 downto 0); i24: in std_logic_vector(15 downto 0); i25: in std_logic_vector(15 downto 0); i26: in std_logic_vector(15 downto 0); i27: in std_logic_vector(15 downto 0); i28: in std_logic_vector(15 downto 0); i29: in std_logic_vector(15 downto 0); i30: in std_logic_vector(15 downto 0); i31: in std_logic_vector(15 downto 0); i32: in std_logic_vector(15 downto 0); sel: in std_logic_vector(4 downto 0); mux_out: out std_logic_vector(15 downto 0) ); end component; signal carry: std_logic; signal carry_nxt: std_logic; signal mux_sel: std_logic_vector(4 downto 0); signal mux1_o: word_t; signal res_ldi: word_t; signal res_addi: word_t; signal res_muli: word_t; signal res_add: word_t; signal res_addc: word_t; signal res_sub: word_t; signal res_subc: word_t; signal res_mul: word_t; signal res_mulu: word_t; signal res_mulh: word_t; signal res_mulhu: word_t; signal res_or: word_t; signal res_and: word_t; signal res_xor: word_t; signal res_not: word_t; signal res_neg: word_t; signal res_asr: word_t; signal res_lsl: word_t; signal res_lsr: word_t; signal res_lsli: word_t; signal res_lsri: word_t; signal res_scb: word_t; signal res_roti: word_t; signal res_cmplt: word_t; signal res_cmpltu: word_t; signal res_cmplte: word_t; signal res_cmplteu: word_t; signal res_cmpe: word_t; signal res_cmpei: word_t; signal res_mov: word_t; signal res_ignore: word_t; begin mux1: Mux32to1 port map ( res_ldi, res_add, res_mul, res_add, res_addc, res_sub, res_subc, res_mul, res_mulu, res_mulh, res_mulhu, res_or, res_and, res_xor, res_not, res_neg, res_asr, res_lsl, res_lsr, res_lsli, res_lsri, res_scb, res_roti, res_cmplt, res_cmpltu, res_cmplte, res_cmplteu, res_cmpe, res_cmpei, res_mov, res_ignore, res_ignore, mux_sel, mux1_o ); sync_carry: process (clk, reset) begin if reset = '1' then carry <= '0'; elsif rising_edge(clk) then carry <= carry_nxt; end if; end process; process(opcode, opa, opb, carry, mux1_o) variable tmp_sel: std_logic_vector(4 downto 0); variable tmp_opa: std_logic_vector(16 downto 0); variable tmp_opb: std_logic_vector(16 downto 0); variable tmp_addc: std_logic_vector(16 downto 0); variable tmp_subc: std_logic_vector(16 downto 0); variable tmp_carry: std_logic_vector(16 downto 0); variable carry_addc:std_logic; variable carry_subc:std_logic; variable tmp_muls: std_logic_vector(31 downto 0); variable tmp_mulu: std_logic_vector(31 downto 0); variable tmp_scb: word_t; variable tmp_sll: word_t; variable tmp_srl: word_t; begin res_ldi <= opa(15 downto 8) & opb(7 downto 0); -------------------------------------------------------------------------------------------------- tmp_opa := std_logic_vector(('0' & opa)); tmp_opb := std_logic_vector(('0' & opb)); if (opcode = "100001") or (opcode = "100011") then tmp_carry := (x"0000"&carry); else tmp_carry := (16 downto 0 => '0'); end if; tmp_addc := std_logic_vector(unsigned(tmp_opa) + unsigned(tmp_opb) + unsigned(tmp_carry)); tmp_subc := std_logic_vector(unsigned(tmp_opa) - unsigned(tmp_opb) - unsigned(tmp_carry)); carry_addc := tmp_addc(16); carry_subc := tmp_subc(16); res_add <= tmp_addc(15 downto 0); res_addc <= tmp_addc(15 downto 0); res_sub <= tmp_subc(15 downto 0); res_subc <= tmp_subc(15 downto 0); if opcode = "100001" or opcode = "011000" or opcode = "100000" then carry_nxt <= carry_addc; elsif opcode = "100011" or opcode = "100010" then carry_nxt <= carry_subc; else carry_nxt <= '0'; end if; -------------------------------------------------------------------------------------------------- -- tmp_add := std_logic_vector(('0' & unsigned(opa)) + ('0' & unsigned(opb))); -- tmp_addc := std_logic_vector(('0' & unsigned(opa)) + ('0' & unsigned(opb)) + (x"0000"&carry)); -- carry_add := tmp_add(16); -- carry_addc := tmp_addc(16); -- -- res_add <= tmp_add(15 downto 0); -- res_addc <= tmp_addc(15 downto 0); -- -- tmp_sub := std_logic_vector(('0' & unsigned(opa)) - ('0' & unsigned(opb))); -- tmp_subc := std_logic_vector(('0' & unsigned(opa)) - ('0' & unsigned(opb)) - (x"0000"&carry)); -- carry_sub := tmp_sub(16); -- carry_subc := tmp_subc(16); -- -- res_sub <= tmp_sub(15 downto 0); -- res_subc <= tmp_subc(15 downto 0); -------------------------------------------------------------------------------------------------- tmp_muls := std_logic_vector(signed(opa) * signed(opb)); tmp_mulu := std_logic_vector(unsigned(opa) * unsigned(opb)); res_mul <= tmp_muls(15 downto 0); res_mulu <= tmp_mulu(15 downto 0); res_mulh <= tmp_muls(31 downto 16); res_mulhu <= tmp_mulu(31 downto 16); res_or <= opa or opb; res_and <= opa and opb; res_xor <= opa xor opb; res_not <= not opb; res_neg <= std_logic_vector(unsigned(not opb) + 1); res_asr <= to_stdlogicvector(to_bitvector(opb) sra 1); res_lsl <= std_logic_vector(unsigned(opb) sll 1); res_lsr <= std_logic_vector(unsigned(opb) srl 1); res_lsli <= std_logic_vector(unsigned(opa) sll to_integer(unsigned(opb(3 downto 0)))); res_lsri <= std_logic_vector(unsigned(opa) srl to_integer(unsigned(opb(3 downto 0)))); tmp_scb := opa; tmp_scb(to_integer(unsigned(opb(3 downto 0)))) := opb(4); res_scb <= tmp_scb; if opb(4) = '0' then -- rotl res_roti <= std_logic_vector(unsigned(opa) rol to_integer(unsigned(opb(3 downto 0)))); else -- rotr res_roti <= std_logic_vector(unsigned(opa) ror to_integer(unsigned(opb(3 downto 0)))); end if; if signed(opa) < signed(opb) then res_cmplt <= x"0001"; else res_cmplt <= x"0000"; end if; if unsigned(opa) < unsigned(opb) then res_cmpltu <= x"0001"; else res_cmpltu <= x"0000"; end if; if signed(opa) <= signed(opb) then res_cmplte <= x"0001"; else res_cmplte <= x"0000"; end if; if unsigned(opa) <= unsigned(opb) then res_cmplteu <= x"0001"; else res_cmplteu <= x"0000"; end if; if opa = opb then res_cmpe <= x"0001"; else res_cmpe <= x"0000"; end if; if opa = ((15 downto 5 => '0')&opb(4 downto 0)) then res_cmpei <= x"0001"; else res_cmpei <= x"0000"; end if; res_mov <= opb; res_ignore <= x"0000"; case opcode(5 downto 3) is when "000" => tmp_sel := "00000"; --ldi --when "001" => tmp_sel := "11110"; branches--ignore --when "010" => tmp_sel := "11110"; --ignore when "011" => case opcode(2 downto 0) is when "000" => tmp_sel := "00001"; --addi when "001" => tmp_sel := "00001"; --addi when "010" => tmp_sel := "00001"; --addi when "011" => tmp_sel := "00001"; --addi when "100" => tmp_sel := "00010"; --muli when "101" => tmp_sel := "00010"; --muli when "110" => tmp_sel := "00010"; --muli when "111" => tmp_sel := "00010"; --muli when others => tmp_sel := "11110"; --ignore end case; when "100" => case opcode(2 downto 0) is when "000" => tmp_sel := "00011"; --add when "001" => tmp_sel := "00100"; --addc when "010" => tmp_sel := "00101"; --sub when "011" => tmp_sel := "00110"; --subc when "100" => tmp_sel := "00111"; --mul when "101" => tmp_sel := "01000"; --mulu when "110" => tmp_sel := "01001"; --mulh when "111" => tmp_sel := "01010"; --mulhu when others => tmp_sel := "11110"; --ignore end case; when "101" => case opcode(2 downto 0) is when "000" => tmp_sel := "01011"; --or when "001" => tmp_sel := "01100"; --and when "010" => tmp_sel := "01101"; --xor when "011" => tmp_sel := "01110"; --not when "100" => tmp_sel := "01111"; --neg when "101" => tmp_sel := "10000"; --asr when "110" => tmp_sel := "10001"; --lsl when "111" => tmp_sel := "10010"; --lsr when others => tmp_sel := "11110"; --ignore end case; when "110" => case opcode(2 downto 0) is when "000" => tmp_sel := "10011"; --lsli when "001" => tmp_sel := "10100"; --lsri when "010" => tmp_sel := "10101"; --scb when "011" => tmp_sel := "10110"; --roti when "100" => tmp_sel := "10111"; --cmplt when "101" => tmp_sel := "11000"; --cmpltu when "110" => tmp_sel := "11001"; --cmplte when "111" => tmp_sel := "11010"; --cmplteu when others => tmp_sel := "11110"; --ignore end case; when "111" => case opcode(2 downto 0) is when "000" => tmp_sel := "11011"; --cmpe when "001" => tmp_sel := "11100"; --cmpei when "010" => tmp_sel := "11110"; --ignore when "011" => tmp_sel := "11101"; --mov when "100" => tmp_sel := "11110"; --ld, ignore when "101" => tmp_sel := "11110"; --ldb, ignore when "110" => tmp_sel := "11110"; --st, ignore when "111" => tmp_sel := "11110"; --stb, ignore when others => tmp_sel := "11110"; --ignore end case; when others => tmp_sel := "11110"; --ignore end case; mux_sel <= tmp_sel; result <= mux1_o; end process; end opt;
gpl-3.0
f457def0ac1e1942ab821d88b0fc6016
0.561036
2.781987
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_slice_17_13_0/synth/RAT_slice_17_13_0.vhd
2
3,828
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:xlslice:1.0 -- IP Revision: 0 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlslice; ENTITY RAT_slice_17_13_0 IS PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END RAT_slice_17_13_0; ARCHITECTURE RAT_slice_17_13_0_arch OF RAT_slice_17_13_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "yes"; COMPONENT xlslice IS GENERIC ( DIN_WIDTH : INTEGER; DIN_FROM : INTEGER; DIN_TO : INTEGER ); PORT ( Din : IN STD_LOGIC_VECTOR(17 DOWNTO 0); Dout : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT xlslice; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "xlslice,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_slice_17_13_0_arch : ARCHITECTURE IS "RAT_slice_17_13_0,xlslice,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_slice_17_13_0_arch: ARCHITECTURE IS "RAT_slice_17_13_0,xlslice,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=xlslice,x_ipVersion=1.0,x_ipCoreRevision=0,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,DIN_WIDTH=18,DIN_FROM=1,DIN_TO=0}"; BEGIN U0 : xlslice GENERIC MAP ( DIN_WIDTH => 18, DIN_FROM => 1, DIN_TO => 0 ) PORT MAP ( Din => Din, Dout => Dout ); END RAT_slice_17_13_0_arch;
mit
5d569c1bb8008b48bc6b96ce79527199
0.728579
3.847236
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_cic_compiler_0_0/sim/design_1_cic_compiler_0_0.vhd
2
7,234
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:cic_compiler:4.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY cic_compiler_v4_0_10; USE cic_compiler_v4_0_10.cic_compiler_v4_0_10; ENTITY design_1_cic_compiler_0_0 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC ); END design_1_cic_compiler_0_0; ARCHITECTURE design_1_cic_compiler_0_0_arch OF design_1_cic_compiler_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_cic_compiler_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT cic_compiler_v4_0_10 IS GENERIC ( C_COMPONENT_NAME : STRING; C_FILTER_TYPE : INTEGER; C_NUM_STAGES : INTEGER; C_DIFF_DELAY : INTEGER; C_RATE : INTEGER; C_INPUT_WIDTH : INTEGER; C_OUTPUT_WIDTH : INTEGER; C_USE_DSP : INTEGER; C_HAS_ROUNDING : INTEGER; C_NUM_CHANNELS : INTEGER; C_RATE_TYPE : INTEGER; C_MIN_RATE : INTEGER; C_MAX_RATE : INTEGER; C_SAMPLE_FREQ : INTEGER; C_CLK_FREQ : INTEGER; C_USE_STREAMING_INTERFACE : INTEGER; C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_C1 : INTEGER; C_C2 : INTEGER; C_C3 : INTEGER; C_C4 : INTEGER; C_C5 : INTEGER; C_C6 : INTEGER; C_I1 : INTEGER; C_I2 : INTEGER; C_I3 : INTEGER; C_I4 : INTEGER; C_I5 : INTEGER; C_I6 : INTEGER; C_S_AXIS_CONFIG_TDATA_WIDTH : INTEGER; C_S_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TDATA_WIDTH : INTEGER; C_M_AXIS_DATA_TUSER_WIDTH : INTEGER; C_HAS_DOUT_TREADY : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(39 DOWNTO 0); m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; event_tlast_unexpected : OUT STD_LOGIC; event_tlast_missing : OUT STD_LOGIC; event_halted : OUT STD_LOGIC ); END COMPONENT cic_compiler_v4_0_10; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; BEGIN U0 : cic_compiler_v4_0_10 GENERIC MAP ( C_COMPONENT_NAME => "design_1_cic_compiler_0_0", C_FILTER_TYPE => 1, C_NUM_STAGES => 4, C_DIFF_DELAY => 1, C_RATE => 25, C_INPUT_WIDTH => 16, C_OUTPUT_WIDTH => 35, C_USE_DSP => 1, C_HAS_ROUNDING => 0, C_NUM_CHANNELS => 1, C_RATE_TYPE => 0, C_MIN_RATE => 25, C_MAX_RATE => 25, C_SAMPLE_FREQ => 1, C_CLK_FREQ => 1, C_USE_STREAMING_INTERFACE => 1, C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_C1 => 35, C_C2 => 35, C_C3 => 35, C_C4 => 35, C_C5 => 0, C_C6 => 0, C_I1 => 35, C_I2 => 35, C_I3 => 35, C_I4 => 35, C_I5 => 0, C_I6 => 0, C_S_AXIS_CONFIG_TDATA_WIDTH => 1, C_S_AXIS_DATA_TDATA_WIDTH => 16, C_M_AXIS_DATA_TDATA_WIDTH => 40, C_M_AXIS_DATA_TUSER_WIDTH => 1, C_HAS_DOUT_TREADY => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0 ) PORT MAP ( aclk => aclk, aclken => '1', aresetn => '1', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_config_tvalid => '0', s_axis_data_tdata => s_axis_data_tdata, s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', m_axis_data_tdata => m_axis_data_tdata, m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '0' ); END design_1_cic_compiler_0_0_arch;
mit
51f4290a82c6e722ffccb6fe0b670ec8
0.651092
3.325977
false
false
false
false
VLSI-EDA/PoC-Examples
src/xil/clknet/clknet_ClockNetwork_ML505.vhdl
1
8,033
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Package: TODO -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library UNISIM; use UNISIM.VCOMPONENTS.all; library PoC; use PoC.utils.all; use PoC.physical.all; use PoC.components.all; use PoC.io.all; entity clknet_ClockNetwork_ML505 is generic ( DEBUG : BOOLEAN := FALSE; CLOCK_IN_FREQ : FREQ := 100 MHz ); port ( ClockIn_100MHz : in STD_LOGIC; ClockNetwork_Reset : in STD_LOGIC; ClockNetwork_ResetDone : out STD_LOGIC; Control_Clock_100MHz : out STD_LOGIC; Clock_200MHz : out STD_LOGIC; Clock_125MHz : out STD_LOGIC; Clock_100MHz : out STD_LOGIC; Clock_10MHz : out STD_LOGIC; Clock_Stable_200MHz : out STD_LOGIC; Clock_Stable_125MHz : out STD_LOGIC; Clock_Stable_100MHz : out STD_LOGIC; Clock_Stable_10MHz : out STD_LOGIC ); end entity; architecture trl of clknet_ClockNetwork_ML505 is attribute KEEP : BOOLEAN; attribute ASYNC_REG : STRING; attribute SHREG_EXTRACT : STRING; signal ClkNet_Reset : STD_LOGIC; signal DCM_Reset : STD_LOGIC; signal DCM_Reset_clr : STD_LOGIC; signal DCM_Locked : STD_LOGIC; signal DCM_Locked_async : STD_LOGIC; signal Locked : STD_LOGIC; signal Reset : STD_LOGIC; signal Control_Clock : STD_LOGIC; signal Control_Clock_BUFR : STD_LOGIC; signal DCM_Clock_10MHz : STD_LOGIC; signal DCM_Clock_100MHz : STD_LOGIC; signal DCM_Clock_125MHz : STD_LOGIC; signal DCM_Clock_200MHz : STD_LOGIC; signal DCM_Clock_10MHz_BUFG : STD_LOGIC; signal DCM_Clock_100MHz_BUFG : STD_LOGIC; signal DCM_Clock_125MHz_BUFG : STD_LOGIC; signal DCM_Clock_200MHz_BUFG : STD_LOGIC; attribute KEEP of DCM_Clock_10MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_100MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_125MHz_BUFG : signal is DEBUG; attribute KEEP of DCM_Clock_200MHz_BUFG : signal is DEBUG; begin -- ================================================================== -- ResetControl -- ================================================================== -- synchronize external (async) ClockNetwork_Reset and internal (but async) DCM_Locked signals to "Control_Clock" domain syncControlClock: entity PoC.sync_Bits_Xilinx generic map ( BITS => 2 -- number of BITS to synchronize ) port map ( Clock => Control_Clock, -- Clock to be synchronized to Input(0) => ClockNetwork_Reset, -- Data to be synchronized Input(1) => DCM_Locked_async, -- Output(0) => ClkNet_Reset, -- synchronized data Output(1) => DCM_Locked -- ); DCM_Reset_clr <= ClkNet_Reset NOR DCM_Locked; -- RS-FF Q RST SET CLK DCM_Reset <= ffrs(q => ClkNet_Reset, rst => DCM_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); Locked <= DCM_Locked; Reset <= NOT Locked; ClockNetwork_ResetDone <= Locked; -- ================================================================== -- ClockBuffers -- ================================================================== -- Control_Clock BUFR_Control_Clock : BUFR -- GENERIC MAP ( -- SIM_DEVICE => "7SERIES" -- ) port map ( CE => '1', CLR => '0', I => ClockIn_100MHz, O => Control_Clock_BUFR ); Control_Clock <= Control_Clock_BUFR; -- 10 MHz BUFG BUFG_Clock_10MHz : BUFG port map ( I => DCM_Clock_10MHz, O => DCM_Clock_10MHz_BUFG ); -- 100 MHz BUFG BUFG_Clock_100MHz : BUFG port map ( I => DCM_Clock_100MHz, O => DCM_Clock_100MHz_BUFG ); -- 125 MHz BUFG BUFG_Clock_125MHz : BUFG port map ( I => DCM_Clock_125MHz, O => DCM_Clock_125MHz_BUFG ); -- 200 MHz BUFG BUFG_Clock_200MHz : BUFG port map ( I => DCM_Clock_200MHz, O => DCM_Clock_200MHz_BUFG ); -- ================================================================== -- Digital Clock Manager (DCM) -- ================================================================== System_DCM : DCM_BASE generic map ( DUTY_CYCLE_CORRECTION => TRUE, FACTORY_JF => x"F0F0", CLKIN_PERIOD => 1.0e9 / real(CLOCK_IN_FREQ / 1 Hz), CLKDV_DIVIDE => 10.0, CLKFX_MULTIPLY => 5, CLKFX_DIVIDE => 4 ) port map ( CLKIN => ClockIn_100MHz, CLKFB => DCM_Clock_100MHz_BUFG, RST => DCM_Reset, CLKDV => DCM_Clock_10MHz, CLK0 => DCM_Clock_100MHz, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => DCM_Clock_200MHz, CLK2X180 => open, CLKFX => DCM_Clock_125MHz, CLKFX180 => open, LOCKED => DCM_Locked_async ); Control_Clock_100MHz <= Control_Clock_BUFR; Clock_200MHz <= DCM_Clock_200MHz_BUFG; Clock_125MHz <= DCM_Clock_125MHz_BUFG; Clock_100MHz <= DCM_Clock_100MHz_BUFG; Clock_10MHz <= DCM_Clock_10MHz_BUFG; -- synchronize internal Locked signal to ouput clock domains syncReset200MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_200MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_200MHz -- synchronized data ); syncReset125MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_125MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_125MHz -- synchronized data ); syncReset100MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_100MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_100MHz -- synchronized data ); syncReset10MHz: entity PoC.sync_Bits_Xilinx port map ( Clock => DCM_Clock_10MHz_BUFG, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_10MHz -- synchronized data ); end architecture;
apache-2.0
ae8b71380ac386a5a56882dfebfcf16e
0.518362
3.70355
false
false
false
false
VLSI-EDA/PoC-Examples
src/common/my_config_KC705.vhdl
1
1,790
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ============================================================================= -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- Configuration file for a Xilinx KC705 board. -- -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= -- -- package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "KC705"; -- KC705 - Xilinx Kintex 7 reference design board: XC7K325T constant MY_DEVICE : string := "None"; -- infer from MY_BOARD -- constant MY_VERBOSE : boolean := FALSE; -- activate detailed report statements in functions and procedures end package;
apache-2.0
7dcf96f3a573c9b32d6e8e9cb2ae7dee
0.570391
4.685864
false
true
false
false
stefanct/aua
hw/src/aua_top.vhd
1
16,083
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity aua is port ( clk_in : in std_logic; reset_pin : in std_logic; switch_pins : in std_logic_vector(15 downto 0); led_pins : out std_logic_vector(15 downto 0); digit0_pins : out std_logic_vector(6 downto 0); digit1_pins : out std_logic_vector(6 downto 0); digit2_pins : out std_logic_vector(6 downto 0); digit3_pins : out std_logic_vector(6 downto 0); digit4_pins : out std_logic_vector(6 downto 0); digit5_pins : out std_logic_vector(6 downto 0); sram_addr : out std_logic_vector(RAM_ADDR_SIZE-1 downto 0); sram_dq : inout word_t; sram_we : out std_logic; -- sram_oe : out std_logic; sram_ub : out std_logic; sram_lb : out std_logic; -- sram_ce : out std_logic txd : out std_logic; rxd : in std_logic --~ ncts : in std_logic; --~ nrts : out std_logic ); end aua; architecture sat1 of aua is component aua_pll is port( areset : in std_logic; inclk0 : in std_logic; c0 : out std_logic ); end component; component ent_if is generic ( INIT_VECTOR : pc_t ); port ( clk : in std_logic; reset : in std_logic; -- pipeline register outputs opcode_out : out opcode_t; dest_out : out reg_t; pc_out : out pc_t; pcnxt_out : out pc_t; rega_out : out reg_t; regb_out : out reg_t; imm_out : out std_logic_vector(7 downto 0); -- asynchron register outputs async_rega : out reg_t; async_regb : out reg_t; -- branches (from ID) pc_in : in pc_t; branch : in std_logic; -- cache instr_addr : out word_t; instr_valid : in std_logic; instr_data : in word_t; -- interlock lock : in std_logic ); end component; component id is port ( clk : in std_logic; reset : in std_logic; -- pipeline register inputs opcode_in : in opcode_t; dest_in : in reg_t; pc_in : in pc_t; pcnxt_in : in pc_t; rega_in : in reg_t; regb_in : in reg_t; imm_in : in std_logic_vector(7 downto 0); -- asynchron register inputs async_rega : in reg_t; async_regb : in reg_t; -- results from wb to reg file regr : in reg_t; valr : in word_t; -- pipeline register outputs opcode_out : out opcode_t; dest_out : out reg_t; opa_out : out word_t; opb_out : out word_t; -- needed for EX forwarding rega_out : out reg_t; regb_out : out reg_t; opb_isfrom_regb : out boolean; -- branch decision pc_out : out pc_t; branch_out : out std_logic; -- interlock lock : in std_logic; id_locks : out std_logic ); end component; component ex is port ( clk : in std_logic; reset : in std_logic; -- pipeline register inputs opcode : in opcode_t; dest_in : in reg_t; opa : in word_t; opb : in word_t; -- pipeline register outputs dest_out : out reg_t; result_out : out word_t; -- interface to MMU mmu_address : out word_t; mmu_result : in word_t; mmu_st_data : out word_t; mmu_enable : out std_logic; mmu_opcode : out std_logic_vector(1 downto 0); mmu_done : in std_logic; -- pipeline interlock ex_locks : out std_ulogic; ex_locks_async : out std_ulogic ); end component; component instr_cache is port ( clk : in std_logic; reset : in std_logic; -- cache/if id_instr_addr : in word_t; id_instr_valid : out std_logic; id_instr : out word_t; -- cache/mmu mmu_instr_addr : out word_t; mmu_enable : out std_logic; mmu_instr_valid : in std_logic; mmu_instr : in word_t ); end component; component mmu is generic ( CLK_FREQ : natural; SRAM_RD_FREQ : natural; SRAM_WR_FREQ : natural ); port ( clk : in std_logic; reset : in std_logic; -- IF stage instr_addr : in word_t; instr_enable: in std_logic; instr_data : out word_t; instr_valid : out std_logic; -- interface to EX stage ex_address : in word_t; ex_rd_data : out word_t; ex_wr_data : in word_t; ex_enable : in std_logic; ex_opcode : in std_logic_vector(1 downto 0); ex_done : out std_logic; -- SimpCon interface to IO devices sc_io_in : in sc_in_t; sc_io_out : out sc_out_t; -- interface to SRAM sram_addr : out std_logic_vector(RAM_ADDR_SIZE-1 downto 0); sram_dq : inout word_t; sram_we : out std_logic; -- write enable, low active, 0=enable, 1=disable -- sram_oe : out std_logic; -- output enable, low active sram_ub : out std_logic; -- upper byte, low active sram_lb : out std_logic -- lower byte, low active -- sram_ce : out std_logic -- chip enable, low active ); end component; component sc_de2_switches is port ( clk : in std_logic; reset : in std_logic; -- SimpCon slave interface to IO ctrl address : in sc_addr_t; wr_data : in sc_data_t; rd : in std_logic; wr : in std_logic; rd_data : out sc_data_t; rdy_cnt : out sc_rdy_cnt_t; -- pins switch_pins : in std_logic_vector(15 downto 0); led_pins : out std_logic_vector(15 downto 0) ); end component; component sc_de2_digits is port ( clk : in std_logic; reset : in std_logic; -- SimpCon slave interface to IO ctrl address : in sc_addr_t; wr_data : in sc_data_t; rd : in std_logic; wr : in std_logic; rd_data : out sc_data_t; rdy_cnt : out sc_rdy_cnt_t; -- pins digit0_pins : out std_logic_vector(6 downto 0); digit1_pins : out std_logic_vector(6 downto 0); digit2_pins : out std_logic_vector(6 downto 0); digit3_pins : out std_logic_vector(6 downto 0); digit4_pins : out std_logic_vector(6 downto 0); digit5_pins : out std_logic_vector(6 downto 0) ); end component; component sc_uart is generic( clk_freq : integer; baud_rate : integer; txf_depth : integer; txf_thres : integer; rxf_depth : integer; rxf_thres : integer ); port ( clk : in std_logic; reset : in std_logic; -- SimpCon slave interface to IO ctrl address : in sc_addr_t; wr_data : in sc_data_t; rd : in std_logic; wr : in std_logic; rd_data : out sc_data_t; rdy_cnt : out sc_rdy_cnt_t; -- pins txd : out std_logic; rxd : in std_logic; ncts : in std_logic; nrts : out std_logic ); end component; component sc_test_slave is port ( clk : in std_logic; reset : in std_logic; -- SimpCon slave interface to IO ctrl address : in sc_addr_t; wr_data : in sc_data_t; rd : in std_logic; wr : in std_logic; rd_data : out sc_data_t; rdy_cnt : out sc_rdy_cnt_t ); end component; signal reset : std_logic; -- clk Signal aus PLL signal clk : std_logic; -- pipeline registers (written by top) -- IF/ID signal ifid_opcode_out : opcode_t; signal ifid_dest_out : reg_t; signal ifid_pc_out : pc_t; signal ifid_pcnxt_out : pc_t; signal ifid_rega_out : reg_t; signal ifid_regb_out : reg_t; signal ifid_async_rega_out : reg_t; signal ifid_async_regb_out : reg_t; signal ifid_imm_out : std_logic_vector(7 downto 0); -- ID/IF signal idif_pc_out : pc_t; signal idif_branch_out : std_logic; -- ID/EX signal idex_opcode_out : opcode_t; signal idex_dest_out : reg_t; signal idex_opa_out : word_t; signal idex_opb_out : word_t; -- EX/ID (for WB) signal exid_dest_out : reg_t; signal exid_result_out : word_t; -- pipeline registers (read by top) -- IF/ID signal ifid_opcode_in : opcode_t; signal ifid_dest_in : reg_t; signal ifid_pc_in : pc_t; signal ifid_pcnxt_in : pc_t; signal ifid_rega_in : reg_t; signal ifid_regb_in : reg_t; signal ifid_async_rega_in : reg_t; signal ifid_async_regb_in : reg_t; signal ifid_imm_in : std_logic_vector(7 downto 0); -- ID/IF signal idif_pc_in : pc_t; signal idif_branch_in : std_logic; -- ID/EX signal idex_opcode_in : opcode_t; signal idex_dest_in : reg_t; signal idex_opa_in : word_t; signal idex_opb_in : word_t; -- EX/ID (for WB) signal exid_dest_in : reg_t; signal exid_result_in : word_t; -- IF/CACHE/MMU signal ifcache_addr : word_t; signal ifcache_data : word_t; signal ifcache_valid : std_logic; signal cachemmu_addr : word_t; signal cachemmu_data : word_t; signal cachemmu_valid : std_logic; signal cachemmu_enable : std_logic; -- MMU interfaces -- EX/MMU signal exmmu_address : word_t; signal exmmu_result_mmu : word_t; signal exmmu_wr_data : word_t; signal exmmu_enable : std_logic; signal exmmu_mmu_opcode : std_logic_vector(1 downto 0); signal exmmu_valid : std_logic; -- MMU/IO signal mmuio_out : sc_out_t; signal mmuio_outa : sc_out_at; signal mmuio_in : sc_in_t; signal mmuio_ina : sc_in_at; --forwarding signal id_rega_in : reg_t; signal id_regb_in : reg_t; signal id_opb_isfrom_regb : boolean; signal exid_dest : reg_t; signal exid_result : word_t; --interlocks signal ex_locks : std_logic; signal ex_locks_async : std_logic; signal lock_if : std_logic; signal lock_id : std_logic; signal id_locks_async : std_logic; -- IO helpers signal sc_sel, sc_sel_reg : integer range 0 to 2**SC_ADDR_BITS; -- one more than needed (for NC) signal sc_addr : sc_addr_t; signal reset_sync : std_logic; -- reset pin is async! so we synchronize it: see sync_reset signal reset_pll : std_logic; begin cmp_pll: aua_pll port map(reset_pll, clk_in, clk); cmp_if: ent_if generic map(RST_VECTOR) port map(clk, reset, ifid_opcode_in, ifid_dest_in, ifid_pc_in, ifid_pcnxt_in, ifid_rega_in, ifid_regb_in, ifid_imm_in, ifid_async_rega_in, ifid_async_regb_in, idif_pc_out, idif_branch_out, ifcache_addr, ifcache_valid, ifcache_data, lock_if); cmp_id: id port map(clk, reset, ifid_opcode_out, ifid_dest_out, ifid_pc_out, ifid_pcnxt_out, ifid_rega_out, ifid_regb_out, ifid_imm_out, ifid_async_rega_out, ifid_async_regb_out, exid_dest_out, exid_result_out, idex_opcode_in, idex_dest_in, idex_opa_in, idex_opb_in, id_rega_in, id_regb_in, id_opb_isfrom_regb, idif_pc_in, idif_branch_in, lock_id, id_locks_async); cmp_ex: ex port map(clk, reset, idex_opcode_out, idex_dest_out, idex_opa_out, idex_opb_out, exid_dest_in, exid_result_in, exmmu_address, exmmu_result_mmu, exmmu_wr_data, exmmu_enable, exmmu_mmu_opcode, exmmu_valid, ex_locks, ex_locks_async); cmp_icache: instr_cache port map(clk, reset, ifcache_addr, ifcache_valid, ifcache_data, cachemmu_addr, cachemmu_enable, cachemmu_valid, cachemmu_data); cmp_mmu: mmu generic map(CLK_FREQ, SRAM_RD_FREQ, SRAM_WR_FREQ) port map(clk, reset, cachemmu_addr, cachemmu_enable, cachemmu_data, cachemmu_valid, exmmu_address, exmmu_result_mmu, exmmu_wr_data, exmmu_enable, exmmu_mmu_opcode, exmmu_valid, mmuio_in, mmuio_out, sram_addr, sram_dq, sram_we, sram_ub, sram_lb); -- taken from http://www.sunburst-design.com/papers/CummingsSNUG2003Boston_Resets.pdf sync_reset: process (clk, reset_pin) begin if (reset_pin = '0') then reset_sync <= '1'; reset <= '1'; elsif rising_edge(clk) then reset_sync <= '0'; reset <= reset_sync; end if; end process; reset_pll <= not reset_pin; -- needs to be async (think about it. :) ifid_opcode_out <= ifid_opcode_in; ifid_dest_out <= ifid_dest_in; ifid_pc_out <= ifid_pc_in; ifid_pcnxt_out <= ifid_pcnxt_in; ifid_rega_out <= ifid_rega_in; ifid_regb_out <= ifid_regb_in; ifid_async_rega_out <= ifid_async_rega_in; ifid_async_regb_out <= ifid_async_regb_in; ifid_imm_out <= ifid_imm_in; idif_pc_out <= idif_pc_in; idif_branch_out <= idif_branch_in; idex_opcode_out <= idex_opcode_in; idex_dest_out <= idex_dest_in; exid_dest_out <= exid_dest_in; exid_result_out <= exid_result_in; lock_if <= ex_locks_async or id_locks_async; lock_id <= ex_locks_async; ex_fw: process(id_rega_in, id_regb_in, exid_dest, exid_result, idex_opa_in, idex_opb_in, id_opb_isfrom_regb, ex_locks) begin if id_rega_in = exid_dest and ex_locks = '0' then idex_opa_out <= exid_result; else idex_opa_out <= idex_opa_in; end if; if id_regb_in = exid_dest and id_opb_isfrom_regb and ex_locks = '0' then idex_opb_out <= exid_result; else idex_opb_out <= idex_opb_in; end if; end process; sync: process (clk, reset) begin if reset = '1' then exid_dest <= (others => '0'); exid_result <= (others => '0'); elsif rising_edge(clk) then exid_dest <= exid_dest_in; exid_result <= exid_result_in; end if; end process; sc_sync: process(clk, reset) begin if (reset='1') then sc_sel_reg <= 0; -- sc_sel_reg <= SC_SLAVE_CNT; -- would be correct, but does not work on all devices; procudes warning elsif rising_edge(clk) then sc_sel_reg <= sc_sel; end if; end process; sc_in_mux: process (mmuio_ina, sc_sel_reg) begin if sc_sel_reg /= SC_SLAVE_CNT then mmuio_in.rd_data <= mmuio_ina(sc_sel_reg).rd_data; mmuio_in.rdy_cnt <= mmuio_ina(sc_sel_reg).rdy_cnt; else mmuio_in.rd_data <= (others => '0'); mmuio_in.rdy_cnt <= (others => '0'); end if; end process; sc_rdwr_mux: for i in 0 to SC_SLAVE_CNT-1 generate mmuio_outa(i).rd <= mmuio_out.rd when i=sc_sel else '0'; mmuio_outa(i).wr <= mmuio_out.wr when i=sc_sel else '0'; end generate; -- 0* --> ram -- 10* --> rom -- 11* --> simcon... -- 1111* --> Blöcke 0xF000/4 -- 11111111 * --> Blöcke 0xFF00/8 (I/O Devices) -- 11111111 0000* --> Switches 0xFF00/12 -- 11111111 0001* --> Digits 0xFF10/12 -- 11111111 0002* --> uart 0XFF20/12 -- -- 11111111 1111111* --> Test 0xFFFE/15 -- FEDCBA98 76543210 sc_addr <= mmuio_out.address; sc_sc_selector: process (mmuio_out, sc_addr) begin if((sc_addr and x"FFF0") = x"FF00") then sc_sel <= 0; elsif((sc_addr and x"FFF0") = x"FF10") then sc_sel <= 1; elsif((sc_addr and x"FFF0") = x"FF20") then sc_sel <= 2; elsif((sc_addr and x"FFFE") = x"FFFE") then sc_sel <= 3; else sc_sel <= SC_SLAVE_CNT; end if; end process; --IO devices below cmp_switches: sc_de2_switches port map(clk, reset, mmuio_out.address, mmuio_out.wr_data, mmuio_outa(0).rd, mmuio_outa(0).wr, mmuio_ina(0).rd_data, mmuio_ina(0).rdy_cnt, switch_pins, led_pins); cmp_digits: sc_de2_digits port map(clk, reset, mmuio_out.address, mmuio_out.wr_data, mmuio_outa(1).rd, mmuio_outa(1).wr, mmuio_ina(1).rd_data, mmuio_ina(1).rdy_cnt, digit0_pins, digit1_pins, digit2_pins, digit3_pins, digit4_pins, digit5_pins); cmp_uart: sc_uart generic map(CLK_FREQ, UART_RATE, 4, 2, 4, 2) port map(clk, reset, mmuio_out.address, mmuio_out.wr_data, mmuio_outa(2).rd, mmuio_outa(2).wr, mmuio_ina(2).rd_data, mmuio_ina(2).rdy_cnt, txd, rxd, '0', open); cmp_test: sc_test_slave port map(clk, reset, mmuio_out.address, mmuio_out.wr_data, mmuio_outa(3).rd, mmuio_outa(3).wr, mmuio_ina(3).rd_data, mmuio_ina(3).rdy_cnt); end sat1; use WORK.all; configuration aua_cache of aua is for sat1 for cmp_icache : instr_cache use entity work.instr_cache(cache_null); --~ use entity work.instr_cache(cache_direct); end for; for cmp_ex: ex use entity work.ex(sat1); for sat1 for cmp_alu: alu use entity work.alu(old); --~ use entity work.alu(opt); end for; end for; end for; -- does not work... why? --~ for cmp_mmu: mmu --~ use entity work.mmu(sat1) --~ generic map(1) -- irq_cnt --~ port map(clk, reset, cachemmu_addr, cachemmu_data, cachemmu_valid, exmmu_address, exmmu_result_mmu, exmmu_wr_data, exmmu_enable, exmmu_mmu_opcode, exmmu_valid, --~ mmuio_address, mmuio_wr_data, mmuio_rd, mmuio_wr, mmuio_rd_data, mmuio_rdy_cnt, --~ sram_addr, sram_dq, sram_we, sram_oe, sram_ub, sram_lb, sram_ce); --~ end for; end for; end aua_cache;
gpl-3.0
387732af4ee39a097262195ae1864dc6
0.620484
2.59371
false
false
false
false
MiddleMan5/233
Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_ControlUnit_0_0/synth/RAT_ControlUnit_0_0.vhd
1
7,370
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:ControlUnit:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_ControlUnit_0_0 IS PORT ( CLK : IN STD_LOGIC; C : IN STD_LOGIC; Z : IN STD_LOGIC; INT : IN STD_LOGIC; RST : IN STD_LOGIC; OPCODE_HI_5 : IN STD_LOGIC_VECTOR(4 DOWNTO 0); OPCODE_LO_2 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); PC_LD : OUT STD_LOGIC; PC_INC : OUT STD_LOGIC; PC_RESET : OUT STD_LOGIC; PC_OE : OUT STD_LOGIC; PC_MUX_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); SP_LD : OUT STD_LOGIC; SP_MUX_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); SP_RESET : OUT STD_LOGIC; RF_WR : OUT STD_LOGIC; RF_WR_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); RF_OE : OUT STD_LOGIC; REG_IMMED_SEL : OUT STD_LOGIC; ALU_SEL : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); ALU_OPY_SEL : OUT STD_LOGIC; SCR_WR : OUT STD_LOGIC; SCR_OE : OUT STD_LOGIC; SCR_ADDR_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); C_FLAG_SEL : OUT STD_LOGIC; C_FLAG_LD : OUT STD_LOGIC; C_FLAG_SET : OUT STD_LOGIC; C_FLAG_CLR : OUT STD_LOGIC; SHAD_C_LD : OUT STD_LOGIC; Z_FLAG_SEL : OUT STD_LOGIC; Z_FLAG_LD : OUT STD_LOGIC; Z_FLAG_SET : OUT STD_LOGIC; Z_FLAG_CLR : OUT STD_LOGIC; SHAD_Z_LD : OUT STD_LOGIC; I_FLAG_SET : OUT STD_LOGIC; I_FLAG_CLR : OUT STD_LOGIC; IO_OE : OUT STD_LOGIC ); END RAT_ControlUnit_0_0; ARCHITECTURE RAT_ControlUnit_0_0_arch OF RAT_ControlUnit_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_ControlUnit_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT ControlUnit IS PORT ( CLK : IN STD_LOGIC; C : IN STD_LOGIC; Z : IN STD_LOGIC; INT : IN STD_LOGIC; RST : IN STD_LOGIC; OPCODE_HI_5 : IN STD_LOGIC_VECTOR(4 DOWNTO 0); OPCODE_LO_2 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); PC_LD : OUT STD_LOGIC; PC_INC : OUT STD_LOGIC; PC_RESET : OUT STD_LOGIC; PC_OE : OUT STD_LOGIC; PC_MUX_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); SP_LD : OUT STD_LOGIC; SP_MUX_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); SP_RESET : OUT STD_LOGIC; RF_WR : OUT STD_LOGIC; RF_WR_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); RF_OE : OUT STD_LOGIC; REG_IMMED_SEL : OUT STD_LOGIC; ALU_SEL : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); ALU_OPY_SEL : OUT STD_LOGIC; SCR_WR : OUT STD_LOGIC; SCR_OE : OUT STD_LOGIC; SCR_ADDR_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); C_FLAG_SEL : OUT STD_LOGIC; C_FLAG_LD : OUT STD_LOGIC; C_FLAG_SET : OUT STD_LOGIC; C_FLAG_CLR : OUT STD_LOGIC; SHAD_C_LD : OUT STD_LOGIC; Z_FLAG_SEL : OUT STD_LOGIC; Z_FLAG_LD : OUT STD_LOGIC; Z_FLAG_SET : OUT STD_LOGIC; Z_FLAG_CLR : OUT STD_LOGIC; SHAD_Z_LD : OUT STD_LOGIC; I_FLAG_SET : OUT STD_LOGIC; I_FLAG_CLR : OUT STD_LOGIC; IO_OE : OUT STD_LOGIC ); END COMPONENT ControlUnit; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_ControlUnit_0_0_arch: ARCHITECTURE IS "ControlUnit,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_ControlUnit_0_0_arch : ARCHITECTURE IS "RAT_ControlUnit_0_0,ControlUnit,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_ControlUnit_0_0_arch: ARCHITECTURE IS "RAT_ControlUnit_0_0,ControlUnit,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=ControlUnit,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF RST: SIGNAL IS "xilinx.com:signal:reset:1.0 RST RST"; ATTRIBUTE X_INTERFACE_INFO OF PC_RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 PC_RESET RST"; ATTRIBUTE X_INTERFACE_INFO OF SP_RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 SP_RESET RST"; BEGIN U0 : ControlUnit PORT MAP ( CLK => CLK, C => C, Z => Z, INT => INT, RST => RST, OPCODE_HI_5 => OPCODE_HI_5, OPCODE_LO_2 => OPCODE_LO_2, PC_LD => PC_LD, PC_INC => PC_INC, PC_RESET => PC_RESET, PC_OE => PC_OE, PC_MUX_SEL => PC_MUX_SEL, SP_LD => SP_LD, SP_MUX_SEL => SP_MUX_SEL, SP_RESET => SP_RESET, RF_WR => RF_WR, RF_WR_SEL => RF_WR_SEL, RF_OE => RF_OE, REG_IMMED_SEL => REG_IMMED_SEL, ALU_SEL => ALU_SEL, ALU_OPY_SEL => ALU_OPY_SEL, SCR_WR => SCR_WR, SCR_OE => SCR_OE, SCR_ADDR_SEL => SCR_ADDR_SEL, C_FLAG_SEL => C_FLAG_SEL, C_FLAG_LD => C_FLAG_LD, C_FLAG_SET => C_FLAG_SET, C_FLAG_CLR => C_FLAG_CLR, SHAD_C_LD => SHAD_C_LD, Z_FLAG_SEL => Z_FLAG_SEL, Z_FLAG_LD => Z_FLAG_LD, Z_FLAG_SET => Z_FLAG_SET, Z_FLAG_CLR => Z_FLAG_CLR, SHAD_Z_LD => SHAD_Z_LD, I_FLAG_SET => I_FLAG_SET, I_FLAG_CLR => I_FLAG_CLR, IO_OE => IO_OE ); END RAT_ControlUnit_0_0_arch;
mit
7576b445362316810df91b84572a8ee2
0.656445
3.301971
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/RTL/StackPointer.vhd
1
925
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity StackPointer is port ( DATA : in STD_LOGIC_VECTOR (7 downto 0); RST : in STD_LOGIC; LD : in STD_LOGIC; INCR : in STD_LOGIC; DECR : in STD_LOGIC; CLK : in STD_LOGIC; DOUT : out STD_LOGIC_VECTOR (7 downto 0) ); end StackPointer; architecture Stack of StackPointer is signal SP : STD_LOGIC_VECTOR (7 downto 0) := x"00"; begin -- Load new value if needed LOAD : process (CLK, LD, SP, INCR, DECR) begin if (rising_edge(CLK)) then if (DECR = '1') then SP <= SP - 1; elsif (INCR = '1') then SP <= SP + 1; elsif ((LD = '1')) then SP <= DATA; end if; end if; if (RST = '1') then SP <= (others => '0'); end if; end process LOAD; -- Output resulting stack pointers DOUT <= SP; end Stack;
mit
1c6128ada1c45f94a89658ec409aeccb
0.545946
3.167808
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 1/AND_BitABit.vhd
1
721
---------------------------------------------------------------------------------- -- Create Date: 21:44:50 04/10/2017 -- Module Name: AND_BitABit - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity AND_BitABit is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); Z : out STD_LOGIC_VECTOR (3 downto 0)); end AND_BitABit; architecture Behavioral of AND_BitABit is signal Zout : STD_LOGIC_VECTOR(3 downto 0); begin Zout(0) <= A(0) AND B(0); Zout(1) <= A(1) AND B(1); Zout(2) <= A(2) AND B(2); Zout(3) <= A(3) AND B(3); Z <= Zout; end Behavioral;
gpl-3.0
6d6163face99fe0caa0be270c1c0f988
0.467406
3.433333
false
false
false
false
marcoep/MusicBoxNano
hdl/MusicBoxDDS.vhd
1
8,481
------------------------------------------------------------------------------- -- Title : Direct Digital Synthesis -- Project : ------------------------------------------------------------------------------- -- File : MusicBoxDDS.vhd -- Author : <Marco@JUDI-WIN10> -- Company : -- Created : 2016-08-01 -- Last update: 2016-08-01 -- Platform : Mentor Graphics ModelSim, Altera Quartus -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Direct Digital Synthesis for the Music Box Project. Takes -- frequency increments and distributes it to DDS address generators. The -- addresses get translated to the waveform one by one, multiplied with the -- envelope, added up, and put out. 16-fold parallelism ------------------------------------------------------------------------------- -- Copyright (c) 2016 Marco Eppenberger ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-08-01 1.0 Marco Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.Helpers_Pkg.all; entity MusicBoxDDS is port ( Clk_CI : in std_logic; Reset_SI : in std_logic; FreqTick_SI : in std_logic; FreqIncrement_D : in std_logic_vector(28 downto 0); FreqIncrValid_SI : in std_logic; Waveform_DO : out std_logic_vector(9 downto 0)); end entity MusicBoxDDS; architecture RTL of MusicBoxDDS is -- distribution signal EnableShift_S : std_logic_vector(15 downto 0) := (0 => '1', others => '0'); -- collection type wave_addr_gen_t is array(0 to 15) of std_logic_vector(11 downto 0); signal WaveAddrGen_D : wave_addr_gen_t := (others => (others => '0')); signal WaveAddr_D : std_logic_vector(11 downto 0) := (others => '0'); type env_addr_gen_t is array(0 to 15) of std_logic_vector(7 downto 0); signal EnvAddrGen_D : env_addr_gen_t := (others => (others => '0')); signal EnvAddr_D : std_logic_vector(7 downto 0) := (others => '0'); signal CollectionCnt_S : unsigned(3 downto 0) := (others => '0'); signal ColCntZero_S : std_logic := '0'; -- multiply accumulate signal WaveformROM_D : std_logic_vector(7 downto 0) := (others => '0'); signal WaveformToMul_D : signed(8 downto 0) := (others => '0'); signal EnvelopeROM_D : std_logic_vector(7 downto 0) := (others => '0'); signal EnvelopeToMul_D : signed(8 downto 0) := (others => '0'); signal WaveformPostMul_D : signed(21 downto 0) := (others => '0'); signal WaveformSum_D : signed(21 downto 0) := (others => '0'); signal WaveformUnsigned_D : signed(21 downto 0) := (others => '0'); begin -- architecture RTL ----------------------------------------------------------------------------- -- Distribute the Enable ----------------------------------------------------------------------------- shift_ena_reg : process (Clk_CI) is begin if Clk_CI'event and Clk_CI = '1' then if Reset_SI = '1' then EnableShift_S <= ("0000000000000001"); else if FreqIncrValid_SI = '1' then EnableShift_S <= EnableShift_S(14 downto 0) & EnableShift_S(15); end if; end if; end if; end process shift_ena_reg; ----------------------------------------------------------------------------- -- Generate the wave address generators ----------------------------------------------------------------------------- wave_addr_gens : for i in 0 to 15 generate DDSAddressGenerator_i : entity work.DDSAddressGenerator generic map ( ENV_DECAY_SPEED => 8192, DDS_COUNTER_WIDTH => 29) port map ( Clk_CI => Clk_CI, Reset_SI => Reset_SI, Increment_DI => FreqIncrement_D, IncrementValid_SI => (FreqIncrValid_SI and EnableShift_S(i)), FreqTick_SI => FreqTick_SI, DDSAddr_DO => WaveAddrGen_D(i), EnvAddr_DO => EnvAddrGen_D(i)); end generate wave_addr_gens; ----------------------------------------------------------------------------- -- Put Outputs through Env and Wave ROM ----------------------------------------------------------------------------- -- counter for collection output_cnt : process (Clk_CI) is begin if Clk_CI'event and Clk_CI = '1' then if Reset_SI = '1' then CollectionCnt_S <= (others => '0'); else CollectionCnt_S <= CollectionCnt_S + 1; end if; end if; end process output_cnt; -- Mux (each latency 2) WaveAddrMux_i : entity work.WaveAddrMux port map ( clock => Clk_CI, data0x => WaveAddrGen_D(0), data1x => WaveAddrGen_D(1), data2x => WaveAddrGen_D(2), data3x => WaveAddrGen_D(3), data4x => WaveAddrGen_D(4), data5x => WaveAddrGen_D(5), data6x => WaveAddrGen_D(6), data7x => WaveAddrGen_D(7), data8x => WaveAddrGen_D(8), data9x => WaveAddrGen_D(9), data10x => WaveAddrGen_D(10), data11x => WaveAddrGen_D(11), data12x => WaveAddrGen_D(12), data13x => WaveAddrGen_D(13), data14x => WaveAddrGen_D(14), data15x => WaveAddrGen_D(15), sel => std_logic_vector(CollectionCnt_S), result => WaveAddr_D); EnvAddrMux_i : entity work.EnvAddrMux port map ( clock => Clk_CI, data0x => EnvAddrGen_D(0), data1x => EnvAddrGen_D(1), data2x => EnvAddrGen_D(2), data3x => EnvAddrGen_D(3), data4x => EnvAddrGen_D(4), data5x => EnvAddrGen_D(5), data6x => EnvAddrGen_D(6), data7x => EnvAddrGen_D(7), data8x => EnvAddrGen_D(8), data9x => EnvAddrGen_D(9), data10x => EnvAddrGen_D(10), data11x => EnvAddrGen_D(11), data12x => EnvAddrGen_D(12), data13x => EnvAddrGen_D(13), data14x => EnvAddrGen_D(14), data15x => EnvAddrGen_D(15), sel => std_logic_vector(CollectionCnt_S), result => EnvAddr_D); -- Wave and Env ROM (each latency 2) WaveformROM_i : entity work.WaveformROM port map ( address => WaveAddr_D, clock => Clk_CI, q => WaveformROM_D); EnvelopeROM_i : entity work.EnvelopeROM port map ( address => EnvAddr_D, clock => Clk_CI, q => EnvelopeROM_D); ----------------------------------------------------------------------------- -- Multiply and Accumulate ----------------------------------------------------------------------------- -- resize words premul_reg : process (Clk_CI) is begin -- process premul_reg if Clk_CI'event and Clk_CI = '1' then -- rising clock edge WaveformToMul_D <= resize(signed(WaveformROM_D), 9); EnvelopeToMul_D <= signed('0' & EnvelopeROM_D); end if; end process premul_reg; -- multiply and resize for accumulation WaveformPostMul_D <= resize(WaveformToMul_D * EnvelopeToMul_D, 22); -- accumulate ColCntZero_S <= bool2sl(CollectionCnt_S = "0000"); accumulate_reg : process (Clk_CI) is begin -- process accumulate_reg if Clk_CI'event and Clk_CI = '1' then if Reset_SI = '1' then WaveformSum_D <= (others => '0'); else if ColCntZero_S = '1' then WaveformSum_D <= WaveformPostMul_D; else WaveformSum_D <= WaveformSum_D + WaveformPostMul_D; end if; end if; end if; end process accumulate_reg; -- calculate unsigned unsigned_reg : process (Clk_CI) is begin -- process unsigned_reg if Clk_CI'event and Clk_CI = '1' then if Reset_SI = '1' then WaveformUnsigned_D <= (others => '0'); else if ColCntZero_S = '1' then WaveformUnsigned_D <= WaveformSum_D + to_signed(2**20, 22); end if; end if; end if; end process unsigned_reg; -- output register output_reg : process (Clk_CI) is begin -- process output_reg if Clk_CI'event and Clk_CI = '1' then if Reset_SI = '1' then Waveform_DO <= (others => '0'); else Waveform_DO <= std_logic_vector(WaveformUnsigned_D(20 downto 11)); end if; end if; end process output_reg; end architecture RTL;
gpl-3.0
69657241e427d2336030a780dd12187e
0.512675
3.877915
false
false
false
false
marcoep/MusicBoxNano
ip/WaveAddrMux.vhd
1
15,362
-- megafunction wizard: %LPM_MUX% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: LPM_MUX -- ============================================================ -- File Name: WaveAddrMux.vhd -- Megafunction Name(s): -- LPM_MUX -- -- Simulation Library Files(s): -- lpm -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 16.0.0 Build 211 04/27/2016 SJ Lite Edition -- ************************************************************ --Copyright (C) 1991-2016 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus Prime License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY lpm; USE lpm.lpm_components.all; ENTITY WaveAddrMux IS PORT ( clock : IN STD_LOGIC ; data0x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data10x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data11x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data12x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data13x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data14x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data15x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data1x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data2x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data3x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data4x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data5x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data6x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data7x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data8x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); data9x : IN STD_LOGIC_VECTOR (11 DOWNTO 0); sel : IN STD_LOGIC_VECTOR (3 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) ); END WaveAddrMux; ARCHITECTURE SYN OF waveaddrmux IS -- type STD_LOGIC_2D is array (NATURAL RANGE <>, NATURAL RANGE <>) of STD_LOGIC; SIGNAL sub_wire0 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_2D (15 DOWNTO 0, 11 DOWNTO 0); SIGNAL sub_wire2 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire3 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire4 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire5 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire6 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire7 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire8 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire9 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire10 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire11 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire12 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire13 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire14 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire15 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire16 : STD_LOGIC_VECTOR (11 DOWNTO 0); SIGNAL sub_wire17 : STD_LOGIC_VECTOR (11 DOWNTO 0); BEGIN sub_wire16 <= data0x(11 DOWNTO 0); sub_wire15 <= data1x(11 DOWNTO 0); sub_wire14 <= data2x(11 DOWNTO 0); sub_wire13 <= data3x(11 DOWNTO 0); sub_wire12 <= data4x(11 DOWNTO 0); sub_wire11 <= data5x(11 DOWNTO 0); sub_wire10 <= data6x(11 DOWNTO 0); sub_wire9 <= data7x(11 DOWNTO 0); sub_wire8 <= data8x(11 DOWNTO 0); sub_wire7 <= data9x(11 DOWNTO 0); sub_wire6 <= data10x(11 DOWNTO 0); sub_wire5 <= data11x(11 DOWNTO 0); sub_wire4 <= data12x(11 DOWNTO 0); sub_wire3 <= data13x(11 DOWNTO 0); sub_wire2 <= data14x(11 DOWNTO 0); sub_wire0 <= data15x(11 DOWNTO 0); sub_wire1(15, 0) <= sub_wire0(0); sub_wire1(15, 1) <= sub_wire0(1); sub_wire1(15, 2) <= sub_wire0(2); sub_wire1(15, 3) <= sub_wire0(3); sub_wire1(15, 4) <= sub_wire0(4); sub_wire1(15, 5) <= sub_wire0(5); sub_wire1(15, 6) <= sub_wire0(6); sub_wire1(15, 7) <= sub_wire0(7); sub_wire1(15, 8) <= sub_wire0(8); sub_wire1(15, 9) <= sub_wire0(9); sub_wire1(15, 10) <= sub_wire0(10); sub_wire1(15, 11) <= sub_wire0(11); sub_wire1(14, 0) <= sub_wire2(0); sub_wire1(14, 1) <= sub_wire2(1); sub_wire1(14, 2) <= sub_wire2(2); sub_wire1(14, 3) <= sub_wire2(3); sub_wire1(14, 4) <= sub_wire2(4); sub_wire1(14, 5) <= sub_wire2(5); sub_wire1(14, 6) <= sub_wire2(6); sub_wire1(14, 7) <= sub_wire2(7); sub_wire1(14, 8) <= sub_wire2(8); sub_wire1(14, 9) <= sub_wire2(9); sub_wire1(14, 10) <= sub_wire2(10); sub_wire1(14, 11) <= sub_wire2(11); sub_wire1(13, 0) <= sub_wire3(0); sub_wire1(13, 1) <= sub_wire3(1); sub_wire1(13, 2) <= sub_wire3(2); sub_wire1(13, 3) <= sub_wire3(3); sub_wire1(13, 4) <= sub_wire3(4); sub_wire1(13, 5) <= sub_wire3(5); sub_wire1(13, 6) <= sub_wire3(6); sub_wire1(13, 7) <= sub_wire3(7); sub_wire1(13, 8) <= sub_wire3(8); sub_wire1(13, 9) <= sub_wire3(9); sub_wire1(13, 10) <= sub_wire3(10); sub_wire1(13, 11) <= sub_wire3(11); sub_wire1(12, 0) <= sub_wire4(0); sub_wire1(12, 1) <= sub_wire4(1); sub_wire1(12, 2) <= sub_wire4(2); sub_wire1(12, 3) <= sub_wire4(3); sub_wire1(12, 4) <= sub_wire4(4); sub_wire1(12, 5) <= sub_wire4(5); sub_wire1(12, 6) <= sub_wire4(6); sub_wire1(12, 7) <= sub_wire4(7); sub_wire1(12, 8) <= sub_wire4(8); sub_wire1(12, 9) <= sub_wire4(9); sub_wire1(12, 10) <= sub_wire4(10); sub_wire1(12, 11) <= sub_wire4(11); sub_wire1(11, 0) <= sub_wire5(0); sub_wire1(11, 1) <= sub_wire5(1); sub_wire1(11, 2) <= sub_wire5(2); sub_wire1(11, 3) <= sub_wire5(3); sub_wire1(11, 4) <= sub_wire5(4); sub_wire1(11, 5) <= sub_wire5(5); sub_wire1(11, 6) <= sub_wire5(6); sub_wire1(11, 7) <= sub_wire5(7); sub_wire1(11, 8) <= sub_wire5(8); sub_wire1(11, 9) <= sub_wire5(9); sub_wire1(11, 10) <= sub_wire5(10); sub_wire1(11, 11) <= sub_wire5(11); sub_wire1(10, 0) <= sub_wire6(0); sub_wire1(10, 1) <= sub_wire6(1); sub_wire1(10, 2) <= sub_wire6(2); sub_wire1(10, 3) <= sub_wire6(3); sub_wire1(10, 4) <= sub_wire6(4); sub_wire1(10, 5) <= sub_wire6(5); sub_wire1(10, 6) <= sub_wire6(6); sub_wire1(10, 7) <= sub_wire6(7); sub_wire1(10, 8) <= sub_wire6(8); sub_wire1(10, 9) <= sub_wire6(9); sub_wire1(10, 10) <= sub_wire6(10); sub_wire1(10, 11) <= sub_wire6(11); sub_wire1(9, 0) <= sub_wire7(0); sub_wire1(9, 1) <= sub_wire7(1); sub_wire1(9, 2) <= sub_wire7(2); sub_wire1(9, 3) <= sub_wire7(3); sub_wire1(9, 4) <= sub_wire7(4); sub_wire1(9, 5) <= sub_wire7(5); sub_wire1(9, 6) <= sub_wire7(6); sub_wire1(9, 7) <= sub_wire7(7); sub_wire1(9, 8) <= sub_wire7(8); sub_wire1(9, 9) <= sub_wire7(9); sub_wire1(9, 10) <= sub_wire7(10); sub_wire1(9, 11) <= sub_wire7(11); sub_wire1(8, 0) <= sub_wire8(0); sub_wire1(8, 1) <= sub_wire8(1); sub_wire1(8, 2) <= sub_wire8(2); sub_wire1(8, 3) <= sub_wire8(3); sub_wire1(8, 4) <= sub_wire8(4); sub_wire1(8, 5) <= sub_wire8(5); sub_wire1(8, 6) <= sub_wire8(6); sub_wire1(8, 7) <= sub_wire8(7); sub_wire1(8, 8) <= sub_wire8(8); sub_wire1(8, 9) <= sub_wire8(9); sub_wire1(8, 10) <= sub_wire8(10); sub_wire1(8, 11) <= sub_wire8(11); sub_wire1(7, 0) <= sub_wire9(0); sub_wire1(7, 1) <= sub_wire9(1); sub_wire1(7, 2) <= sub_wire9(2); sub_wire1(7, 3) <= sub_wire9(3); sub_wire1(7, 4) <= sub_wire9(4); sub_wire1(7, 5) <= sub_wire9(5); sub_wire1(7, 6) <= sub_wire9(6); sub_wire1(7, 7) <= sub_wire9(7); sub_wire1(7, 8) <= sub_wire9(8); sub_wire1(7, 9) <= sub_wire9(9); sub_wire1(7, 10) <= sub_wire9(10); sub_wire1(7, 11) <= sub_wire9(11); sub_wire1(6, 0) <= sub_wire10(0); sub_wire1(6, 1) <= sub_wire10(1); sub_wire1(6, 2) <= sub_wire10(2); sub_wire1(6, 3) <= sub_wire10(3); sub_wire1(6, 4) <= sub_wire10(4); sub_wire1(6, 5) <= sub_wire10(5); sub_wire1(6, 6) <= sub_wire10(6); sub_wire1(6, 7) <= sub_wire10(7); sub_wire1(6, 8) <= sub_wire10(8); sub_wire1(6, 9) <= sub_wire10(9); sub_wire1(6, 10) <= sub_wire10(10); sub_wire1(6, 11) <= sub_wire10(11); sub_wire1(5, 0) <= sub_wire11(0); sub_wire1(5, 1) <= sub_wire11(1); sub_wire1(5, 2) <= sub_wire11(2); sub_wire1(5, 3) <= sub_wire11(3); sub_wire1(5, 4) <= sub_wire11(4); sub_wire1(5, 5) <= sub_wire11(5); sub_wire1(5, 6) <= sub_wire11(6); sub_wire1(5, 7) <= sub_wire11(7); sub_wire1(5, 8) <= sub_wire11(8); sub_wire1(5, 9) <= sub_wire11(9); sub_wire1(5, 10) <= sub_wire11(10); sub_wire1(5, 11) <= sub_wire11(11); sub_wire1(4, 0) <= sub_wire12(0); sub_wire1(4, 1) <= sub_wire12(1); sub_wire1(4, 2) <= sub_wire12(2); sub_wire1(4, 3) <= sub_wire12(3); sub_wire1(4, 4) <= sub_wire12(4); sub_wire1(4, 5) <= sub_wire12(5); sub_wire1(4, 6) <= sub_wire12(6); sub_wire1(4, 7) <= sub_wire12(7); sub_wire1(4, 8) <= sub_wire12(8); sub_wire1(4, 9) <= sub_wire12(9); sub_wire1(4, 10) <= sub_wire12(10); sub_wire1(4, 11) <= sub_wire12(11); sub_wire1(3, 0) <= sub_wire13(0); sub_wire1(3, 1) <= sub_wire13(1); sub_wire1(3, 2) <= sub_wire13(2); sub_wire1(3, 3) <= sub_wire13(3); sub_wire1(3, 4) <= sub_wire13(4); sub_wire1(3, 5) <= sub_wire13(5); sub_wire1(3, 6) <= sub_wire13(6); sub_wire1(3, 7) <= sub_wire13(7); sub_wire1(3, 8) <= sub_wire13(8); sub_wire1(3, 9) <= sub_wire13(9); sub_wire1(3, 10) <= sub_wire13(10); sub_wire1(3, 11) <= sub_wire13(11); sub_wire1(2, 0) <= sub_wire14(0); sub_wire1(2, 1) <= sub_wire14(1); sub_wire1(2, 2) <= sub_wire14(2); sub_wire1(2, 3) <= sub_wire14(3); sub_wire1(2, 4) <= sub_wire14(4); sub_wire1(2, 5) <= sub_wire14(5); sub_wire1(2, 6) <= sub_wire14(6); sub_wire1(2, 7) <= sub_wire14(7); sub_wire1(2, 8) <= sub_wire14(8); sub_wire1(2, 9) <= sub_wire14(9); sub_wire1(2, 10) <= sub_wire14(10); sub_wire1(2, 11) <= sub_wire14(11); sub_wire1(1, 0) <= sub_wire15(0); sub_wire1(1, 1) <= sub_wire15(1); sub_wire1(1, 2) <= sub_wire15(2); sub_wire1(1, 3) <= sub_wire15(3); sub_wire1(1, 4) <= sub_wire15(4); sub_wire1(1, 5) <= sub_wire15(5); sub_wire1(1, 6) <= sub_wire15(6); sub_wire1(1, 7) <= sub_wire15(7); sub_wire1(1, 8) <= sub_wire15(8); sub_wire1(1, 9) <= sub_wire15(9); sub_wire1(1, 10) <= sub_wire15(10); sub_wire1(1, 11) <= sub_wire15(11); sub_wire1(0, 0) <= sub_wire16(0); sub_wire1(0, 1) <= sub_wire16(1); sub_wire1(0, 2) <= sub_wire16(2); sub_wire1(0, 3) <= sub_wire16(3); sub_wire1(0, 4) <= sub_wire16(4); sub_wire1(0, 5) <= sub_wire16(5); sub_wire1(0, 6) <= sub_wire16(6); sub_wire1(0, 7) <= sub_wire16(7); sub_wire1(0, 8) <= sub_wire16(8); sub_wire1(0, 9) <= sub_wire16(9); sub_wire1(0, 10) <= sub_wire16(10); sub_wire1(0, 11) <= sub_wire16(11); result <= sub_wire17(11 DOWNTO 0); LPM_MUX_component : LPM_MUX GENERIC MAP ( lpm_pipeline => 2, lpm_size => 16, lpm_type => "LPM_MUX", lpm_width => 12, lpm_widths => 4 ) PORT MAP ( clock => clock, data => sub_wire1, sel => sel, result => sub_wire17 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: new_diagram STRING "1" -- Retrieval info: LIBRARY: lpm lpm.lpm_components.all -- Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "2" -- Retrieval info: CONSTANT: LPM_SIZE NUMERIC "16" -- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MUX" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "12" -- Retrieval info: CONSTANT: LPM_WIDTHS NUMERIC "4" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" -- Retrieval info: USED_PORT: data0x 0 0 12 0 INPUT NODEFVAL "data0x[11..0]" -- Retrieval info: USED_PORT: data10x 0 0 12 0 INPUT NODEFVAL "data10x[11..0]" -- Retrieval info: USED_PORT: data11x 0 0 12 0 INPUT NODEFVAL "data11x[11..0]" -- Retrieval info: USED_PORT: data12x 0 0 12 0 INPUT NODEFVAL "data12x[11..0]" -- Retrieval info: USED_PORT: data13x 0 0 12 0 INPUT NODEFVAL "data13x[11..0]" -- Retrieval info: USED_PORT: data14x 0 0 12 0 INPUT NODEFVAL "data14x[11..0]" -- Retrieval info: USED_PORT: data15x 0 0 12 0 INPUT NODEFVAL "data15x[11..0]" -- Retrieval info: USED_PORT: data1x 0 0 12 0 INPUT NODEFVAL "data1x[11..0]" -- Retrieval info: USED_PORT: data2x 0 0 12 0 INPUT NODEFVAL "data2x[11..0]" -- Retrieval info: USED_PORT: data3x 0 0 12 0 INPUT NODEFVAL "data3x[11..0]" -- Retrieval info: USED_PORT: data4x 0 0 12 0 INPUT NODEFVAL "data4x[11..0]" -- Retrieval info: USED_PORT: data5x 0 0 12 0 INPUT NODEFVAL "data5x[11..0]" -- Retrieval info: USED_PORT: data6x 0 0 12 0 INPUT NODEFVAL "data6x[11..0]" -- Retrieval info: USED_PORT: data7x 0 0 12 0 INPUT NODEFVAL "data7x[11..0]" -- Retrieval info: USED_PORT: data8x 0 0 12 0 INPUT NODEFVAL "data8x[11..0]" -- Retrieval info: USED_PORT: data9x 0 0 12 0 INPUT NODEFVAL "data9x[11..0]" -- Retrieval info: USED_PORT: result 0 0 12 0 OUTPUT NODEFVAL "result[11..0]" -- Retrieval info: USED_PORT: sel 0 0 4 0 INPUT NODEFVAL "sel[3..0]" -- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data 1 0 12 0 data0x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 10 12 0 data10x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 11 12 0 data11x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 12 12 0 data12x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 13 12 0 data13x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 14 12 0 data14x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 15 12 0 data15x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 1 12 0 data1x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 2 12 0 data2x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 3 12 0 data3x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 4 12 0 data4x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 5 12 0 data5x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 6 12 0 data6x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 7 12 0 data7x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 8 12 0 data8x 0 0 12 0 -- Retrieval info: CONNECT: @data 1 9 12 0 data9x 0 0 12 0 -- Retrieval info: CONNECT: @sel 0 0 4 0 sel 0 0 4 0 -- Retrieval info: CONNECT: result 0 0 12 0 @result 0 0 12 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveAddrMux.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveAddrMux.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveAddrMux.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveAddrMux.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL WaveAddrMux_inst.vhd FALSE -- Retrieval info: LIB_FILE: lpm
gpl-3.0
736e0d69688c68e1d5a4322540e8afd0
0.599401
2.400688
false
false
false
false
David-Estevez/spaceinvaders
src/spaceship_tb.vhd
1
2,195
---------------------------------------------------------------------------------- -- -- Lab session #2: ship control testbench -- -- Control the user spaceship -- -- Authors: -- David Estévez Fernández -- Sergio Vilches Expósito -- ---------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY spaceship_tb IS END spaceship_tb; ARCHITECTURE behavior OF spaceship_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT spaceship PORT( clk : IN std_logic; reset : IN std_logic; left : IN std_logic; right : IN std_logic; enable : IN std_logic; posH : OUT std_logic_vector(4 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal left : std_logic := '0'; signal right : std_logic := '0'; signal enable : std_logic := '0'; --Outputs signal posH : std_logic_vector(4 downto 0); -- Clock period definitions constant clk_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: spaceship PORT MAP ( clk => clk, reset => reset, left => left, right => right, enable => enable, posH => posH ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin reset <= '0'; wait for 100 ns; reset <= '1'; enable <= '1'; -- without this enabled obviously it doesn't work wait for clk_period*2; left <= '1'; wait for clk_period*10; left <= '0'; right <= '1'; wait for clk_period*20; left <= '1'; right <= '0'; wait for clk_period*10; left <= '0'; wait; end process; END;
gpl-3.0
a366e4f27b2fe7c4facc9dbff0151e6a
0.507755
4.036832
false
false
false
false
MiddleMan5/233
Experiments/Experiment6-ALU/Testbenches/ALU_TB.vhd
1
3,923
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY tb_alu IS END tb_alu; ARCHITECTURE tb OF tb_alu IS constant data_width : integer := 8; constant sel_width : integer := 4; COMPONENT alu PORT ( A : IN std_logic_vector (data_width - 1 DOWNTO 0); B : IN std_logic_vector (data_width - 1 DOWNTO 0); C_IN : IN std_logic; Sel : IN std_logic_vector (sel_width - 1 DOWNTO 0); SUM : OUT std_logic_vector (data_width - 1 DOWNTO 0); C_FLAG : OUT std_logic; Z_FLAG : OUT std_logic ); END COMPONENT; SIGNAL A_tb : std_logic_vector (data_width - 1 DOWNTO 0); SIGNAL B_tb : std_logic_vector (data_width - 1 DOWNTO 0); SIGNAL C_IN_tb : std_logic; SIGNAL Sel_tb : std_logic_vector (sel_width - 1 DOWNTO 0); SIGNAL SUM_tb : std_logic_vector (data_width - 1 DOWNTO 0); SIGNAL C_FLAG_tb : std_logic; SIGNAL Z_FLAG_tb : std_logic; CONSTANT TbPeriod : TIME := 1000 ns; -- EDIT Put right period here SIGNAL TbClock : std_logic := '0'; SIGNAL TbSimEnded : std_logic := '0'; BEGIN dut : alu PORT MAP( A => A_tb, B => B_tb, C_IN => C_IN_tb, Sel => Sel_tb, SUM => SUM_tb, C_FLAG => C_FLAG_tb, Z_FLAG => Z_FLAG_tb ); -- Clock generation TbClock <= NOT TbClock AFTER TbPeriod/2 WHEN TbSimEnded /= '1' ELSE '0'; -- EDIT: Replace YOURCLOCKSIGNAL below by the name of your clock as I haven't guessed it -- YOURCLOCKSIGNAL <= TbClock; stimuli : PROCESS BEGIN -- EDIT Adapt initialization as needed A_tb <= (OTHERS => '0'); B_tb <= (OTHERS => '0'); C_IN_tb <= '0'; Sel_tb <= (OTHERS => '0'); --Test Case #1: add WAIT FOR 10ns; Sel_tb <= x"0"; A_tb <= x"AA"; B_tb <= x"AA"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #2: addc WAIT FOR 10ns; Sel_tb <= x"1"; A_tb <= x"C8"; B_tb <= x"37"; C_IN_tb <= '1'; WAIT FOR 10ns; --Test Case #3: sub WAIT FOR 10ns; Sel_tb <= x"2"; A_tb <= x"C8"; B_tb <= x"64"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #4: subc WAIT FOR 10ns; Sel_tb <= x"3"; A_tb <= x"C8"; B_tb <= x"C8"; C_IN_tb <= '1'; WAIT FOR 10ns; --Test Case #5: COMP WAIT FOR 10ns; Sel_tb <= x"4"; A_tb <= x"AA"; B_tb <= x"FF"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #6: COMP WAIT FOR 10ns; Sel_tb <= x"4"; A_tb <= x"AA"; B_tb <= x"AA"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #7: AND WAIT FOR 10ns; Sel_tb <= x"5"; A_tb <= x"AA"; B_tb <= x"CC"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #7: OR WAIT FOR 10ns; Sel_tb <= x"6"; A_tb <= x"AA"; B_tb <= x"AA"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #8: XOR WAIT FOR 10ns; Sel_tb <= x"7"; A_tb <= x"AA"; B_tb <= x"AA"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #9: TEST WAIT FOR 10ns; Sel_tb <= x"8"; A_tb <= x"AA"; B_tb <= x"55"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #10: LSL WAIT FOR 10ns; Sel_tb <= x"9"; A_tb <= x"01"; B_tb <= x"12"; C_IN_tb <= '1'; WAIT FOR 10ns; --Test Case #11: LSR WAIT FOR 10ns; Sel_tb <= x"A"; A_tb <= x"81"; B_tb <= x"33"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #12: ROL WAIT FOR 10ns; Sel_tb <= x"B"; A_tb <= x"01"; B_tb <= x"AB"; C_IN_tb <= '1'; WAIT FOR 10ns; --Test Case #13: ROR WAIT FOR 10ns; Sel_tb <= x"C"; A_tb <= x"81"; B_tb <= x"3C"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #14: ASR WAIT FOR 10ns; Sel_tb <= x"D"; A_tb <= x"81"; B_tb <= x"81"; C_IN_tb <= '0'; WAIT FOR 10ns; --Test Case #15: MOV WAIT FOR 10ns; Sel_tb <= x"E"; A_tb <= x"50"; B_tb <= x"30"; C_IN_tb <= '0'; WAIT FOR 10ns; WAIT FOR 100 * TbPeriod; -- Stop the clock and hence terminate the simulation TbSimEnded <= '1'; WAIT; END PROCESS; END tb;
mit
914198e2813ba6b3b976a1b6811219d6
0.515167
2.30088
false
true
false
false
David-Estevez/spaceinvaders
src/spaceship.vhd
1
1,572
---------------------------------------------------------------------------------- -- -- Lab session #2: spaceship control -- -- Authors: -- David Estévez Fernández -- Sergio Vilches Expósito -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity spaceship is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clear : in STD_LOGIC; left : in STD_LOGIC; right : in STD_LOGIC; enable : in STD_LOGIC; posH : out STD_LOGIC_VECTOR (4 downto 0)); end spaceship; architecture Behavioral of spaceship is begin process( reset, clk ) variable posHAux: integer range 0 to 19; -- To be able to update the ship position begin -- High level reset if reset = '1' then posHAux := 9; posH <= std_logic_vector( to_unsigned( posHAux, 5) ); --"00111"; -- Center the ship -- Synchronous behaviour elsif clk'Event and clk = '1' then -- Clear if Clear = '1' then posHAux := 9; posH <= std_logic_vector( to_unsigned( posHAux, 5) ); --"00111"; -- Center the ship -- When enabled... elsif enable = '1' then -- Move left/right if possible if left = '1' and posHAux /= 0 then posHAux := posHAux - 1; elsif right = '1' and posHAux /= 19 then posHAux := posHAux + 1; end if; end if; end if; -- Update the position posH <= std_logic_vector( to_unsigned( posHAux, 5) ); end process; end Behavioral;
gpl-3.0
cc36222eac2ed2c493c55d9c48a5fe24
0.530911
3.718009
false
false
false
false
odeke-em/hdl-class
learning/gTestBenches-Sequential.vhd
1
711
entity TestAnd2 end entity TestAnd2 architecture test2 of TestAnd2 is signal a, b, c: BIT; begin g1: entity WORK.And2(arch2) port map (x => a, y => b, z => c); process is begin a <= '0'; b <= '0'; wait for 100ns; a <= '1'; wait for 150ns; b <= '1'; wait; -- Suspend the process forever end process; end architecture test2; -- A process without "wait" and without sensitivity list will run forever at time 0; -- process(a) is: (a) a sensitivity list; -- The process is evaluated only when the signals in the sensitivity list change. -- A process can have a sensitivity list or "wait" statements, but not both (and not neither).
mit
7575ff47f632692dba1f1eb9b64e08a9
0.62166
3.664948
false
true
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_utils_v2_0/hdl/axi_utils_v2_0_vh_rfs.vhd
5
292,074
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VbV3K+no6bnPIa+zTPjJm+Za4h+e+mAdgfsol9fh12i5ry1s/9jFxbKNRkpLXaPaKwPx6tUXx2dM rz7eLZ9g0Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kTHC1aaUWUk/RMP8ryE9Otenia3ejjUZ515o8MyC5LPe9Q9HU4j6bokGKsgb9UOn6jCH1yruRDSs b5lYfhLsxwdG5/eDjBvnNCSnM0RpZJbFrI7JmsFggBcbNUey9IsoQpsnxotoGgl++yh2M6dZZxeh M1HjDezNtQIQF/ZYUx8= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block snOGGdaAqd0xCCnl9qpy1A393jt9hiIkPmkASlieApKF1LOzirx0ZtNLBlVn/2B/+8mCYjHiacYR yXR79FQlFUsb7jR6ke5jpzqLDYHrXjsSYlP6XweX9Iba7CTKn3lrruzWWFzPkW4aciBjkat8zMl+ 9yQhqwSY0mgGYoE6xIU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VQtZeHq0wwSVd11a6wGUrcsL8nkp++GpoEJBF7q/cJp1a5TPQvxpDAKpIvxahkyYavXQtD2H32nS gwb37S5yvNwWpKhcI75qsKCgrHwPz0e58zT8OT1nTnN4wbF1FEBlXqVhaSTCc+ruoYfFgLOvq8Vv 3UIMxyu4DNPhA3cgj0i5I/Qu4n9bb5ARKmILDDDRdJH79iOGyfSi4jSRGCnPG4R2jh+afwgHnGWt mNPbEs6smG2ApIULJcWURoCv+u4G6+NuD3qACnBJrJOsa24eMAMLstL4ATkGasikUUNzIj2pEJk9 8hRULYLSgD4dit5Jx+Lh3eUe3LnJ8JB9XVtoZw== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aCN2iFOrSMMrFBC1bx0+qYoAW1mWivPbraxjFsh9goPWGNSJROEmbdaUCrQw+sK5IYVwzj76ptqV hsAbNcAaqw5xKu9/s0kIvO/tlRqx54JykJuHqpzdnuBilOYKpjmnbgm9GNfp/+2BtOw2C5F+WfKd t/aWE78rilJxgDDpFvROYhHeArroRiPDpH4FEpMDsabE/eDN1VYxJ197aihMGaAb8fIZX+lksOX8 SFmUPSICS6CVQ6P8licCLIY/CEHAngVTpNVAiFE+py2fimPTacxjGEoMWvWoZ1i6T6AQTrYMMz+R 1X4TRxNWtAN+GlPoa5SiOGwGxNi7ipB7xDxwaw== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qrTdR5jHfRKLAYgifBWWG6p4Z4xOoCaPlTZeSph/qlRBY+GOLFZqse0DC500mzihUvVh9uqSL0sf QqIVIKXtc/vmkLTVkrTjVWF//xVSppNyDBiDklq4+hMBQ1FTa5kt+FmZnTAwglWAnFB9je3STA7g 1vEddZZb+4GvMNQLT8fmcEvlxiOCwHGS3w1CmsJDrgnj3mXpIWYgCYJussuOzZYHKflNfTUDZBPe cnCqgDCFeSfQaV9rV08HR3U+NRSxKPM+ou7WhrHfBIPk4L59Sk5mI6TtkBzh+VX6GcvtZsnUqyUJ yQju1UjuKFN4rX8QdS8sgdKQohC4pjYIVuoz0A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080) `protect data_block nT6bncpOc6t34NIB5RhqMnLjJwmbqEsAwzjZuT0pnSR+SnFrbSaqKu/8i6SJK4qKpb+2nfcZmLqe 1R1vVcKVToo9pEvRyOVQLsZYDH1bIHTLco/zyaLmjBkP6iqoZ0eqUAl3t+9NRa7AF/ueuzrs7FLP zq233fGdmagypUYylvN9Btg776mYB7x4A7iq4dkY+aap23s542HxL6JaIO1jq/2ffL3pSfX1Zs4N F2Rz0e8FpCLIwB0IkEuFFZ7zaSx53NeeVFnjyOdVdOQpJ30fU//Mi6e8pB6YTNN3qJF/T/P05poQ Cls2BWMKzSeTomB34tFBE/INwmH5DCDzidXH257zPEUIrRGznuqRpJPIH9q+fbVGsc5LNYnHqwBD 55JL55hpBg0vK0dDpmOZxDu81nHBgpVCIz62znBD/o0HQ5MuFORqWYGXa0BHUnzwSlfoq4L8TVCV WLd8OEoB5gv4UEvnlmHNaKKRamfXmCWdx2WRrN9UcXBEFJ2FFVUU/lD4G4vbGgAwVO20pu3T5BVe /mM6LobR/KJvadZ3wQjCGk+IgFJkOAiUgm1lHEr3is+7I9ZYD4p0JAFU1L/XW9Kq0K0NgK+khPJf lwvdE3QvVDVJRrha/+yw6y6GK7zCmCj4TfyV//eZWkopSh1WOi507Pp9E7PC12t/Y9y2d3ZSs8o7 Dx9BGcNyuq7pReATrwi6oVPzeSHiwAn0y1Ih5JkWSpOfH+J4kONtx/5DRDbjsI6nicsqdQiwoiKj nWp75CHupOT0obwIho7k2FFZM9yH/eUGhE104IzMHxZ0202rCh+s9cjZbnp7puPyi3WWlrXX/Hhl KP3H/mAyHDmUas+fxuREID/sNniF3fcY4B2Crlf5rpZzRg8cCrWwaf1zUsTtBiQZL2Q3I0jxzk3I 5BW0W88LvwTMWi71/XQQVCxH+i+wFQqUYtIUBSd+GgTHFkXVufr945bOUWgxQy2j6E8VfGEYm8Gz QQJQgGLoBHh6F/SsODJRF5YTnO4hk7dxh6cDChPxcuVAM6NZfi2tIXgLn3Oceu3SIX3Qe7HDFVQz xMjmuLTHnOfs8TdcIY9nlMJgDFwzvsGnAtGWkVolaqVdFHaNPTLwkk2dhZ9qQvw0NZreN+XDl8Zo bqBJzCt1A51DoTK4WDQQYUyTWY7RcIqSPgGcHwFXKMNHHjKpf32o7DTvuE7cVlQGJ6hgOS/Q0w2P 8ns1qmCMO/xYjnUSJOj0oKQWCYmJb1ZkE+hjl6I02SfHfTB+WKb3XjPeA14q3+irscrCDF4j7XDm 8c6HWV0m629qa5xT3ERnYoo2/RbesvoMgcE7uL5ODsyEvDa1GqkM27WHdCG2FcogQnb081kT4oqt l6C1mRgKlZlWZmStQolb7BAjXPyBHArsEbGgbSk9ipt+J22BMvPrB90OGcHaPv/2eP8CCfalG3wL YDatS/aujfOTfG7ehgFzZWcS8GFcCP+gfcZ9mcIkO2x48/4qpVAVxKvLH79xq5+sZK/IH3CgyVBI cp3q/BomOzkk339suWcaXtdinYhnj1atoFntA+4lz8NjpyLNX9PrAOCPAsVW7pg1Zrzoj76ESAZg 2RHmPGaPcyEXYd8cx94WMiAo09kyonqjDlR7+pZ6XXrj4ywjKmccz3l2htasS11ebOWadxSZhaoi Vb869rR63i9kdru3FVv9dpJAQIA1tf1/UyWB4GV2GIhO8JYQHhCzgf22Egp6QATrl4hLAL05OtZd Qp5m3jlwKUKQ29++yr407aNxTRSyV0bG5IdKCJbpd/EFBkN/fSiUYXPeu/A3lN9cglkylQcyBjOy hTTYCdwpAdot7D7KJ2a/e2Dlm9FInNJ5b/Ggu3WWTFxCHL2Cugzm7/R4F5X1aUXANpRmmTcCvUwe QUTZkbOlNGlSB1wj2J4wlx2sf8fWXom+an9iwZ3OsR4PCnxNHb9V6Nyfx7TWBwNV9nMt4d92oEjn N91q20y9vC6BpEmQZW+jd97j9VILuNBksLK6zu6fAGI8mFQG/xVJE/Wb0H2OCxclqsi9rnH7d4/o J7IgYVRutyUZ8eRWpIkyEF6iHcp7n/S1HOG6aJMhNCLMzhdmxzFxLOJ5xv/aDoxxyLIACvARsF+H WzHBBtnvlvPCH9ER50PTs4vmudzmIDDPUwH3EDQT/szu8nbmOxXqpSb5P62G524G9n8A+NDrAr6t EOVCsdmXAGkS+2JBx5nP4HxTR3dyYz9nlFaivFva9ZGSiOhJZsZYPliyg9xshBBXVOlxxQ5hSwBy GMz+3NqCcjq6YPoNI1OIAi3LGnXTURCOyeubNk38fMVDHsqvuFULi2wIMW59EqolcNjZht0mh+GX qOGO3m98IroveNKTYy7tmYKFeyDQdDyow1Zc0O7I0Me5DESkUwSBaK+Sz3I9NGcnYJaQC+k0Ybve 63avOg4dJMpnNp0Kw/A5BW++4PbZzQ5Tg3VDjkDx4IaPoi41jcLR6jek1HcCQgsvzJ99Xup+3vp7 DZ/NViEdEzwAL1RtJp0YM0ZhWb0EU115+AzPjnsiqVoC8LI942QThRKGPCzDh7NeJlLUsFUvFlwW cP0RpaNb+9O5eIoroVHyWXuHKueD/EhMCzFrt7HXG+yBlCkZ0CLC0VZPgWQ5vOuhsIMg2OkNAgBc u07pz1pmcvihXCDdBIiXQ/iDsMwD7jNM6RX7BMo/SjxQXC9GWKS3/S1lT+GBE/hVpiXyVLDgU5qk SJqnja2KM1XcY4cGHlXXpyJkhmzwQLvPKoeQZTV7eB4bQBmf4MQKWqT2nt1RPHkOv0BAWpirOWlj Y1k6oGURrOSwNzlVxn4C8TcWgY8d+X4jEVKlZSoZNqgkOJMBEsMpUIhshALV2a2+GGxjN3GQql/j IeIZ31usNJokhevOWZLnxUO2GLm4w3dqNGcNOf8NENOUVswVg9NoGpqM1bq6eRg14O6JrTKtTpz1 a3seI/KYRU2RTIaKx5IwnYj4UBq0oM+YBfY+EGz+wGjXBOUjqYY4Mjkjy1h6t1vix/mNE9/YrXp1 SUnJbiGjcT8P+4EfbeS0/bKUXyVJ0AB99iZj7rIAMfhdJnGguJNBtTJqJxJnz9CAtUiDpohNiPUM rseEFhObJYiQzEc8Y2+KLvhnEbfds2HMb96+yEB9sDnWvpzUgPcWpjqK8zzf9dWJext32GkmAiMD 1uaT7CijaCb8hdCnffHPyEK4XIxUnux3SC4KlHWjOuxLeGZk7zddMwcEVJbzCBQpw0zvKzWl6B9N QUd2te4MAezzcW8lci/ykD6F9SjbIu7g+oMdS0dXHv2OfjeMPJviCJ0trQ/eOLOtsSX2mHHlWoLk vHjRuf8tKFHfqHUFbaAhnczcwFCHt8h70autzrHpnZxisVOhqEhdD6tZqRMeKX+wTsZo/0Dvqi9S 9frCReYR6C1S9lotd+BLtQiCnYjReGNMJ6H2fj8vnkIyOOm0MtSLo+esKkVL1VXo2cfqdr87P3Pb /whjKvb9OGEqPMpdtqhbN5ehIGZTvBscNeZNAMUAx4pS/azStbmJT3aLBWtFGeywLQitBf7ZQdqG +sJqf92/rD3Y3AoAxM8DlCol9rc/A7m/IloB5eW0FBP1z3lwWqueJw8J/Fzvj94TyVYKYVSBnVke 1lXZx8M/TPL/SRkXwFfGFZAGbGTkZEORmbUsoe63SDKle6bJYBXMZE1dDaBfw5Eu3F5wEBx4flX4 R7FNb6Md/84Qy7zDrfCpUhSYugcNGM5/W3/Cf+MKENQT7hUKwzlGr216DFM10+ATIz5mPNnIcWUZ ieHpWB1ZKOTsh6SfCWMVhB3aGDNwKnaTC672IdfqMRcA0iQyAExTj0/MsRT5qOs5LayPtXd3izru BpklBQn62gwUFSmNXh9QrQAWgJQTcItN1iOcJ3ZnpwKxKwUUOa17AD2liuXQLoBmIfSW2KOxz5sU uAXPGIr/qD26o4Cyk/RLiyqhMKE8Tm9iAq8cqT5oK5eDHbG7QvRtf5MDdF3Q0KEnQaWD50xhVIOB 0OIErI5qQVkxiRUsnUz2SbwV+uDlVAZB5nwa3YqIOuG/NgGJieJVnibPE7Eo5EtoLwrfJjV6hGI/ KNKk8j7yqv6rKFsNNn6peK9hiX/pEthe0kHQ9TKgkSZ6xheqkTSINhjvzCrBAZANUtNR3vlN/Knq W7ORtYpYMQp080RlrcqFOjJXFjVUeBHybgsDpdLwdf0RKcZVmvhuSt1zec8sL8Oa/jRFzvrkMaf1 PT/Hs5r9F4Q65J7aXK4YyZaIAqIXqwECEm13bPGKfxUNacfRxrkqWmqxI1+TqdKpb9DdxD6R0mXO qozjpW1jMDFiW/Qfsrmp+oTnrWZnivDHv5axSs+9jfqgEuof5Zzr/iPk1QurPZPMeeRYxpSrtQHE IOXJdaQknazhjVKKuZj8FZLqT5hu9PAMYQjz5AVpZUePa84wbcej5dld5s8pWBNM2rMh5uQGzYps UOLLNQ48tbqyBhVz7hrDUpKAoia6y5sVV/+ovkaJQnCO7esW9r22dfMg3oApLU+HRwiip0F9Hdad eovtIoQkZvDAgbsnsur68V823BFFbw4kVjTMBXdkVcFukM5jyaX3dluy3XiT7eAQ/ZaLUSARxoaR gKsxHkMd4HBryL2ynR3/Gv3ICrRmEc+WobdXN7oXt3qUxXZHv0XkKR6W7rE+e8XUjcqIOxiSXcfz KKtWd+HA/GeW3TNbZmKzr/FkTNpXAHSC8KqppcYs3IWB66R54bse+H38E7mFMtYC/OMOzgqYnjiq w4FIi+d9QmOhTO1OK9VSB5vwNsDZLEZCARVMwjhmZI7gPGkCOSpdPNT+QTj3LUKPJeXtDPifCD9l ufbMu29dlUwwmGwGJvXKRt+5zRu4PRwpwarT+RkV9CuqZO7fiIa4P5PDP16lJyTM2VKfN+RvlWd5 +QV/ZPsrK7anKsxPhdM9whDnhysCjUKkfY4NF752IyXWyTwASl+sB+iAUv2l/rtH9zkzi2uc3Kdw hn3OFbenyi+FNLP5Dstwg5AaDlMWGrAzhHOTcCG8Q0ZEeqKkELYVzUmm7UjQPYAXi/vrZQIzPLX3 kPVpCbFBotZLbHMfXhwBd7YVIW6a9fgpIHQnDD5qJi852yG3AhIT3QHLHztPr7ZPvgy9hWmQ5hfO OlPbrIr00MXyL+4eIZJyRpg8doNblOWaw5YioCdlO3U+0EYaZ4+GwrNsgz/TKY8X2UaArtYgHxn/ illgH3i8LBLf2r0BHFqEp7ZLDflqoCT4RdBRZS0uxHspiUOKR1xEpXMRavmNBoE23uGwPXnxyej3 rGjDLJXEb0+Ujmya4+WMUnTeS/7CapolFLB9KRyK5VCI7mBrEbqqIk5/AVq5A8GqyuirDQro+VIw egtqazTsQhZ53k1AdOxZpJWUtPWbxDuSEBKOvIMk83ygKjFif+Xy4103Lw826nT9GwizZv5NEjj9 ME8VXj869bdjJg2ZCAe+Rjto+B2hRAmDInKsQW9EiyDsUEfTBvxETTC4Y4MX+9FYj3FNgnks6jMe e6P6QhTkHyo6eNKxEIIEGe8Zp3LmhQ438azKT+3WjLGgTfq0cM1I0vHkFmeBQO3JhqCNLjJlgSPH PleafaU5vwiQ+w/w3eKytl/rY6qC8UqkYW871hNPDWp7YKOL424xQ/YbdruvPWpf27MAhwaGztIC 72j9IaGzqw56BQtwysspkwBAhGhok790s/lMpFssoCdCfIEzfNEMMHe9XXHSEUsOXH/mw6xy8N5n ipDYqtRfOFOkjVQ0rsLVk1yrnVkNJG403i6kMXeLAAK8Fef67Mbh0EtWnfei5NlYiPf+IRR5cx7l DlcmC8cLG53WP93A+oyzInulA9X9hY3y8CKWAC3BalF5fHY7mafBxXDREgTSphDBsHoVL1qQND38 24uft/IJTbPspafYwdQ97VzoLq7FoYmy7usXjUU2mj3QS4KPOxIGm0DaYyzP5Rp5o7XYnGZl1gax f2iNwFKqGGcmrX1P7NYMdENvOqxxtscUPckzQwzx36WTdYS0ol/1vB2pcGncjpRHptYD33Yva2f8 TrHejVPzjrYwbX1Dp3GD6AhTr64UErId6DI0Js87WacMUNL2VLfnu3Z8n5P86WTLpcFX+zLN+X7r BFGoygd9QYvcWP/TQrTXSGafAUz/EOPmDPpcNe/Jimak7cTbhTy3P19wkFBeL2n/MsT31kkxs3rA Sso0naj02UAy+cIxwYTqFS0jRoq4lbL6XBWuTOxSBfYMyD1NJuIAM5PhtTmZKV/YPrhWJ625HA1e SZdPAz0F8Z/HZ0xJSOF4Ew0y7JL6BFUm8LV3mM1hZvc8pjBW/HEhP/r33xryWJALOVGSVMhLKAk4 CmwnBZ+emm0egUH54cZVOhZNNrxxyX3ZJ9jSEgHne3Q1K2YgSM/sK1N2aaoy42XHKSOspfox5n3A LmVn+YHSu0vKM7yD+NU3UHx8bA6BqLboF29WGoCC5U7d1vStg54lTYhuZbNchUbvH2ylukX+veTw W7Uq7R7kny9eAS+F7o8RKKEjNb5+cvKaE22QCyEYQOrq8C/ckL3NpI2bURzhG9bFeqSIKH924P8y SQn1i6N2K1LCM0WPauYTx5fQy5ke2es7aPbsqlr1FnyV19wYg3zcV1AxrN3t9XRZbbHW0YX7ulfM uDf3X0xP+Fd8JWRXinSqsB8g3k65taS7Tb5ocBC52pwe8IJ3AWY/S1vkSUIc2aagD10MDBYJwWnS 8Lj9pJBkFbQI1m45eIj1qqmlmweRBeFgj9PGsGnqHifAGouE8arCdwKFUZ0yu6P7hONdN+uf8m0i U/n9kiptSfqOoqlphNLY4/X006JuUtmeaKHcCCYyLZfgFrSVPwxuQuIkEj6w6g/fasg0O/AWZuxf EDVXPwRbHlAEuUL1oAU5FUtB5b2v0U5l+SeDWCrWLw5s9RI6+2CyHkwlaefI5PoslqKBsT8zyX9T MPR0AAcVt6yH24e24X+D1NM0jGpJ2z7F/H4FwhWF9YgEKgypaHk/z9uypdLDs7fX3SOcE/18Ab1k AuKC0pe8vVxyUsMG3eJfu6LmImQ8tYBpEDC+sXFfvUGqCU+UkIyxgqRCI2x931NoVwCKmY4oCGHX ynC/GgbjfpZZvFHA5tsWBv9QAtq/1Y35jEHlm9cPsbWRi0RZA1/MfIWTMIdnEbNQrRux4qfA3VWK f2ymz7SBgLAAjHLO34K4RRYzxLcrjDhc1T3kgsqNgLdO5rxekm1pxEKSWACM40N/Vs/kftEjKGkz 67os81NHHDQHzscHcC5uS6gI0WBMvHtQc3f7vVyRQqgvJXLkschkJdG1++rFjdbPePx7YE/+yPxb FsnCsMvsGsM/XCmoIhK1QUwkYJMKQ3OWbhZGFGxg87Zo8I/LkolqbEKbIXHUjrLd0r/0XXdvG8Ty zfUWH3up8cKHYaI8Ta9AKIAhYxUHzr5jg2ciq7QkBhieOVFHDtJpT3LfDU3xeiqTgSuEi3T+ARzv VTsBBIQFb6O5cNM13wB6Td47g4WE3Y0lXcbCBAP7OmYyqQw/jg8WxP26dWHZujtTq3jBRuvYbgkM khLgUAqrqPFIqbv2B0x09zSAvhTDpThCDURtwTpalZoeqw7rpXs7AbwEg7/5A3ZKGmLYN6ArOj4+ yUW7ze/0sRvXrdJ5UPKGE+Zh5P68gW2xwR9fnznzd64HaS3fy6uKcCcCwBYIareXKBSgyevALqbk +U3/ntLxM18+rn4dsnG+ztmsSFemsKADk9eWL6qjPZvk63okw3LXNFDUEsw345g0ihb7u4Y+cWx+ Qvh3eJYvA7yio+MYFDl7/Y5x7NukZnc86IjBf6QdA7liMPTEWu/WSnIFrPEr5McX+mOfQyXm79Fm 3HORffnSdIAnryWjU71BwvohyWW9Dfj48vBJlFgVVnlxeKLiM7KJNxYbx6DNb3xXMtp381EDbeMD gWPzvQdwSP17iN7XiQkEmkBNfB/BiSKWmkwfr28X5VMxyeJSt+loly4xZoeQGtiH8Tamu1ZPSbeP /U+dJQFo0iNODgw/sLD5WRs+8eWeFFAez2MzGFfB/0PX1+6SWttuFPvRv7CLtQCn8jVpnktfasZJ zs2f5p44sVSTbnaICaZb3Ksm4jGRBAu7Z5vV3fmznqGt9NSOhciHdARjmDA5t2v6441KAnbv6nXw Q/ba0R/FfiZMezfYFLDkkFWGxwr9Av7EMQc9EKxa7E4niVd/wxCqztLbi5JhlTWe18E145pft6LS 7jF8KpIK+VxrJkxVWcC406bf10gmg41q7Cv76p53muPdN081WDCzjnpwPGWjct+hrgmTWp0/nnFd upkHDMFC2ic+7By9ULhvrjjPolntdF9WchHyLXyM7m9IKir8isiajlLCZhuk7lWK8RbnPUWgWkI2 bLhg0kk9Ca/bl+dY6qTEpRIgV7lQN3C2uATBS6UznbwqTs0QrXlUhroWpG2z3Qm+iTAQ8TbKEStv V86+jjcu2NcbAvGJU6Fm4p9yyM//aEuUeejziv7MbLtXyRd6x41VZhpopDjmgyoZNOEFfAiQsgqb MqROi6U1mKeeBJGjm5AWK6EIRoroF8nbydPkD4VTz9Jjjtr8qA8APfOl7OrRjAmFhvDXtQGf/Xmn vpJUZ1jzn1BmYGoHZGpxr83MlzmmUdREqZ334tzbpJObkh/uUB6B8EYNZuaFBU2Hs8CQ/DjqjmO1 riL5L1TwtbUTLcO3G1Tfh38et/xjfKYcmzCxO/dVR0CayXGh4nJ27AxnRRkwds+b09zJuI5cTzVk 9n5QLaccto7/Sj9uvdlvXU/cmPu6DfF8IVjkUK1YNhDE0/EJvBY9aO+BwgYOsqCInLlBlM4NwDyM d63RnO5Dh+tB9btyJTcYpXEBOQnStTzoq44bQ40goEx/eYmJbq71jcDDc9skOrPM9DLUMNFSiIb7 ohqQ4QnDunzE0MCibXAmCtuQOqx8eUfRjtsE/0q9nJ/+cqK+gEWFDI4B3tvzmYWU4mblxKrXbLcB ZDm9m9cSEYvJG0hkC16MAMMP8ae7QQgt1wAthuXTu1SguFbR9dnwQsKCtfowcRTb18lQm0EQ2Gy/ 9FL5ySht7/Gv332XAX+LQEDOtGTBmBQ4n67+mOlsJKyg2MOFsEBS82WOfVy1jIb7lI9lBoilczKw Stl9nB2HWeBwG1gJX9Llzl8Px/wLmBnJWSk61ydjT3IRmfZWuUNqUAW8rfxATOvER5itwdoI2hnl xJZBoh9dE6Fi8H6MVrIOMJ3uWV4sUXP493BU0/Fau4OQ9MSXW988p4K701rk8W/OhmtcSS8LBGY2 jn+BirdnN6+PHJXOZ4SyVBlpQMTytfXV2q/AJ6xVKQFGREKgYNfzWSxkBg5G4gVbRoekOPlLaCvy rB1Coqr5Y//pqWU4NyHad68wjETufdYSSleDTAX1s+XIwFk0Nw1SFAWVSHTideTYJSmlcVGCV+hS Hml17pnQ/Kp5VenYexrUFV5JpicpEoLmAnhWzAbI0YJiVW7uxNXr0XAVc8v0E7QbqrBxSxoRBrEW djnxVcLn95YZOF6miOql8OtLn8BEkbwI96TSyF77U5M0ICPVhd8PIfnu3OABGux/0horA3gsgYNM TVEJMXPdz+TOk4MIPd6iOe/oT1vEe1nFLBH/GdpcQCjufKNV+yV/winBdoYcOKhESLJLOux0Qe2e /AQWJlOydZ066aPubd8nrzgu7IGPzLHuGT26pbmUua5AvW/yGuX8U1A525fcMHo1kwLE6FF45x15 oO7jFMDwxD7mVtg3ipkNTxD7OTKRFJ+uobrhxMpHrfYNWz3CL/2lAQQafqoOql1G9nlP9Q+vBF9T PleHT+XTc6hPDQBNx8gx2zA4IMs+dJRB52METrJW2/n2RDlVxwxeB2M+GbPywWQa3E+Y5uYxDHPI VhtNcjChSW79EjNC6UxxABsSzgZlpD3pUtblPckAfOTCeyjtC4eYkfwdhuJENOvUzeBmtVhAbCxe ONwb2ocZK0yN2k8Ag6jcSZn88EbG+0ZFXvf8KGsnf0KMTg3uVfNQaVGKnGlyra34TBEJ4xh/o132 zVR3OOxSkTd9YvCjHl111J6FKj2Stda9ykFFsAGlUmp9BK2/qFmH0JaXocYVzopw9SKfqfPyDiRu cLmAu8slO/Oj7qj2fsvfrlfnm1Na5JssJuVkWruWgpJdt/UNOyH5887dCnHj50JiOPRIPciaWocs 7gHW1KmFIY/uddZrOxDwgzvp4Bg2nhUerYIT7+XZkt7o36rRW32//VHN+0Aw/4FgsgmiVjmisxlH 65U4R0XC7Sa5NnVMDdlGwjGPoSLmV7AzLDBIRYC5Sb1dcSLvZiulYHv1aBbrj++URo2I4hnucDuB 214LrvSQysOpEkS48i64Fz1FtuDz7VB7I1D2y49A4RGiSwvon/oPqipO/zQIH5EIrUjcf1wTyKg9 lKyhtGy7TaT5CO/vw7wZDpMPAQf8gGuQakymek4zexqz+B2+c2YNxKwcQNzfgeE6DcO3KWFREaCq 1wyuDGHv0c5Bre42rLQv+oMTmKSkvbgqA5Rkkc9ikcemId+wPhoCaB1koHl3vwGCA3LKFIJ2psIx 3s72Z4FIm1hnyrMBBERSOrPccq7VSTxBhLV67TdiWCdbLBXBIl5c22403J+VAXuskGlKmUWm1Pj8 VXGW0Q4R6qke//RFRcoqi5qOuF60pA4k/SDLiGxhV3eksIg/OxHZaeqEFU6ThV/d9HUNR18UPaFY ZrDC8Yi7EjjbtBlgzgFrT74KfzFbMfItf0K6ty1Vnu7+HGc4XOp55UfrwkMfLi8VTgBhBJB0Oieb RibGukKxDDnjchkeWHXJjFB0nT0cilT2aQv15ehOob5MIuEawhTe80zyiEnR1YG2Kn3Ku974V284 breqIcwGFQelDVk7jI7naNly7ckk5/wqckGQZjty2Akihk3EwILlftBN+AA7b2ePQi+7fhWfNui9 +0Tz5TgHHdU+/pV1nAKeOuog3UaiSAwV/eR+Vd1nFM/+IvFNg7ndUQJOhpXtYwHkJUNCbSDk5fSG h3r100wNa1ePpbIItqaaHWwGjqk69vNg/RCY3CBBHTdEDv1eVWYvd14MLLWHSNP0v3VPz9wZC6GS W3+I4oU9JK3PAYvTxdLDG9ONBUKabADteq0mkfZObpgw3eSPfGNTgYOYKz1ynT1D2Smpl1hn5Z51 PiLirZQ3zM7IOoioaumgwvCnGogbQOrmxyKxEswGgxySWzlIv8Ho29qMSsfsoF7Uvt/VB1YGC0El Sy0prVrNxlrKJ75HxrPvCyJ9sYECqLmlZKQjfQricl1eFN5ZopEf8P1XFWuE9KQsCCRY+S1v1HpG +ROnncS4xZSDtW3YLnIeJfBvJxlr3TFLOAQDismQp1UAAtLYTcGt0OedDgYSdt4i5+4UmqouTLky 29D28azikLy1aLJie+ohPmYCDoPaFBzjTdrRb/rjU59dAxurKgleSnJqiAfmw2Icnq17XIn3SLG7 c0rwQXWRvnmeN+MZ9x2KLsNxg/IOrUgJWDOR8U1cBnttA/HwG+IDu+ij7DObBFedWRmzOGdUQBtM Z9iL323u0zVs3giEYcoy7ZUV/F9HbEtk5jKrjRirYW6lpa7lSKTQj1jKw+By/SW791eOspnjgi+Q Fo3xEZKcYW3gKK/eyevQk+76nPYLDNg65VS7rFilIa40oI2Tk/ZdswRaHDwkWDbkQyFnthQS9eV9 sn1t0NHq+TTMCe4degyUJiUWdiOCkJiUXDffs5fXjWcYyM2PGJQ9nyoRYZJ5ZKeiZA5fBAtP0Wm4 6usJPehQ0F2CRjxvEZj8RnO1hQVaxUevwljx4urTydQ79R7WMz+P64YcpHXvto31qTwTQq8vYqKu oH8M8UrMPmlzDAs6afaPqb4G/eEh8TzpTV7dwSO2ndDyZpEyvpSNxSmf6KZ2Ig7r1SldaqAImBJm yEPIleOTfzxfQLeaMz60mFIb/8P9/dY6NoIa3Noz3QkyUBo7oDLXS176bK1qUalxJAMQEuNBzMcw /VlYikT0/Qc9I1341EtbhehemS/YFn8E7jDnGk09ThivW4Jt0lna8M4OrJ3K5ZPNTcNeaUcc1zBQ 690NMRY3le+j1mzafq6jooS9Nyl8EF4DRzrcxik/F4iCHBa4oDkwuvQvQf4cBlxzpkYUpfxIfba1 aaX75xeS7TOcCuG31Kwr4BHjIkchUhVgapp2oIs1idxavecj6cyFcnZhno1S2uLjKLfLTZI92i7K yk3mB0zm3M+K59pMLcb3r6HKhKGq0okGcK8V6bXzL20OXq29bzmqSkiunhL2ORBv9A8KiUmDoRC8 1zS89Eur52fra6IfKWS36+mH6VmPapgUVaPeERZjugYTQneZzoFk8YkBEAfssIMRIdiOLQn0LcH/ j+h4V3Q68Dx7XEmsxbnsxAaOTfNOW0uxcGl2mF84mXR6oijz7zRbO2VtKQA8GwOoVWV0a+Sjt/de 1QJrcP37mfpSbAZ2SyjumZtD1BJHrLyE2+mDGzawLRByM/JLiTwD4+/ltuB/Q/8wucK18vTJt0WM VQnOgfDqEy3P9xL6I012wYDXuS+6GoCd0dNWTsVCSNzXat25/yLBzjRXUXbmcnVSnljOeyQOxxCG O086JeRQB9Wkj98YNftIx5ojmwRbav/bxulaNUv3HgJO5cPN00WHA/DwfEi0SOZnHopoKndPC8Kj TBFvDHTlw26PvJHUFhevaYvUtsgqx0wdmWbQ8G+GZXOTbToxwQhHijQyTWX/n0aHDycTK704F9yh 7sAkdDYyOhkPSMo7ak8ocM6NOkoeklFEcORHe8FHFiyDZiskvPWJev+SA/9VJUybwqj/DKr2QWmD E7PYnYVTZ3Dy0yH6sBBtJAxZqdyIjCF5tMVzFA13eWMLzV0RqClBG+kSE12mVpmPAiO1fcP1rDLt R7wBW0q+zuWJAKYyH0e5KcPIOD8n+xRaNQsKDORvDZ2ZxZRTbn9C6MFAQDfrjE/LPqMI3hHAM5bZ RPpC2u/fnl1dRQFx29AUjIhSQXHVasr3oNDOi61oJgLBHpBpC4+inphkQGOO26WqI2CPk+GeSk78 X6ItiFVFOA/TauwbDrlAoDE50yO6zkodWwqN5mvsvadZmL36flFfEaN7dinZbEe+ysNdh0nHa23x 8ESpuN/WG12PVw5TY52UFcSoLonWoKowTNhDqV0lWkHgnb4CAmFlMgS2Kuy7bjB4yXdLdPK8zRPn nx9ELeHm5hyoAVJUP0zAvqLaFZfb8RdlXwy082yeqAw1/Xr1xlPNXV59aiiGDccZaKRBC/OQ26dH +CDp21yliTzkuxHn5Ad7dlIisGZp65shPZmMyKoLsgk9372Jvc+xjkf9MS/TBUctlWR2gQ8cJkgg 6lP8VWyjUZS5X7JwED9U6uTJD+4ZNJNfxc1Y5+w6MWhZSYzq9tlCCZ8G3w6R4yGn/PB9ESSf/5Hr r5Ue/1roILMdZzAzmK5FFMVpoB3CgyLm0Hw/wqin6p7HWO+NRMG0D3R2kY/77stVzd6eqyjB5ulx Wo8c6RIdlgs8UUWs7Vbi530CwWlq+aPXeGCGW5CF4UDqg8DK/n/hLqsgxgcFeNQk/01nTZYVdyZP 6hiaJ81bFz9BJcnTHI34PHDS2alWDIX6hutmD/sOAJamtUezNJ9q2SxfiIeKGEuocx8KFuuD+BKs yh/wXLLiLSECy6V6wzG1AuG1ueN1HiV0m6pAqOWA+OeDjkhgw/kJdAJoInrMDNhfVf9EkLpHdAlt dqcRk4D34wwsYcSVIPU+D3Yb6PzMHb2Ur2JjrypCV32e/mjWYP7Fg5XYh7xrmg2KhSEVCHztzXwA qtpM8w9eo6jEOoS+Dx+/zc3lSbkdAYrCwKpJ3FJbBBrmtbfZqbFqQFCi929QIaUrgUy9AFUmM66L vXe1xxrOMGuusbDc9Iu7odTAxlTDUL0CqUt3SUDfJWCWsyBE2jnTD2RdWum9BLxnZOYo/dqxhiT6 2rAcMZ3aNux4EFWaZo//Th9+LHZ8+ZvHerP5Y552TMZcnzeLZoOzh27MvVIsBhUmXtg/AzNR6KgO Qo6oqODWjHhIFZFl/cbtxgLFJ8KhYvqgEFbdmtUWD7QhQm35GuXFeVUX2Q7j+RGx3GGhl3moh27a l2fDAcEFfvXOTECsa2ekIbn07KyngplArf3vQW0zKugsXYsUPJzAa6TPP8nHy/hHwdH48JvatdI5 pDoBo7wendVsdqkpCwX2PTFZLB342xqAmNspoFlAjDm9lTojNDzAt6TPX37vSp2zQ9YtrwWMfoHg vZioY0CKOUxrC+y5wo7r3RaNho4/L8oiC/tcU7ONfhlovfQ2WIMF/Trdiyooa9srKDF4hOJZYbC2 r4HfCXNUp6yTsiBV0ncZ/3wtLdEh5pYTQyb+c2R2A7DakQ0fkRESUpzM2S7wolG4onwiqdfR/4FC wmjkJC+l6I8amHgY68cEfDmkd+dMWeX/oS+8q9tZgWdU6XFb/Z8PpVGPG1ZUu2TBdy6Zep5QUEci 22VP6ofKUE0EVzxNZ3h1gCd4XVVXNlEAbMRgofn/zaGKYbzGjVXYiD1+D4BqFagDuQgZQfaML6Qx S5nu7v+pgLkH1oYHgy2M2dX2aWoKdKo5iiXN02y1HLsnjGawrauVSpu/Qr81cqJOoHwlhOMC8May SzJkp674tSEn6Qa1iZm6R6UgXam/Aol+3GgIVs3YfrIZ0oNHh0XSa+xNGqAZ7xe1QU3a+OF9CLpK jKicZTtkFnA3rpWYVm6+5zneCjbPVW81PL9VF+yrTqkGkdGqarpEuHVhTjVHfSd5FSi4Nt/duule LJBg/loeiNxBCsHdwUJQRbn4PMA6gX/WS/LUNjzz83EkrEdkypDVA6Bikq4LXwB1suMuY8l4XTvP pG7DZZgnk5CyoBIas8oojUsboJ0TOiB1snCU1kBVMDUewPTHPRVxoz+zPsIA7PzQEFe+Lw/2344G etyDItrA+TBXTNdWiEiEKKigD1IztfMVaTQqxh/lS3jVatPCgNMrvqeLPPhtIh9N27J8Y0GiAAIk nkr88tHToPWNgIiaPoZIR81tOK8bLpdCXKGMKpv8avsAiQNdRaLUPWbrfzfe+2Vnhp75iVEKy2C2 VNANzzAiFA8DrIy1/0gWmads8cwOCL9pTiAKh6CwAM7OvRU4pvq07Wvy9VNpb5nsLi751kc/ZNWY 9hRBInHI3Ern94GzU3yUmeIQHQXl+tL7a+4gOQ8pdwGPNsexJGpkJXMqStY4Q9Oh8P3MAJa+tShZ djlDMrnbZrVdVjQT3dK9K2OwRnjpEC9d2pc0K8pJOYCD9OPXMo1JuxE8KfU4937raauFqIttmwcf bky59pWJ9Hly3IpZiffXi1QJKWFBlmPXNVo9KIqssjmZRd2RRVsj27cBFA8BUkm0StfyjJWsm9d5 KnRYq5sEfTnLRXYfPHZU81Vzq5PqmSYl1SwODQ0NV8mWbKt5vFRYhiv5B1bHBp/LoVY6zMgnIsdL fRazwJ8Uhr2tgIAo0GHuvFZBCJCg3zbkG0/Q/sasb/tU4St09sLZFHGMW1rtawCsPS7wN473jnG/ ygFaQJQ8RpuwXL1lzyhFvfMMwOtqlafXhPKzcCI9zgreFmCUT/zpHMxhhgAgKrIwIhHlo1H7vBG1 LDMSpz9jpifV5sNb4ntVkAvl5zTUwsvQWM1VkQSV1a01JIVt2pxf1Sibc/K7CVbnwKqL3mQzVX4U NLbxwqNsPe1PGgsPDfd3vgMnMZAsk5RbaipJh5SL2EfSzeZ3P6ge1AA6XOqYHpgwh/N8tZSql1pI NEhgU0VKye7CTqhG0sI0JRLjeeY1GU/LySUBlifF3wpS5sKn0hrXZ+E2ls3YUy/uLvZ07GrYeQE3 /eil0q5kD4S8wMigrsO68y9u0+dt3Ke8yN61wHxeCEX6ggnZqelBqA/kIWNVSZ+k5Tzcepze3QDU EINhSFuX2xpYHLMn9ySiqRk6umYNFwGwsfIkxFVxP5IWj9GY4m1u2fKA8nunxhLA+wHyz2WsSP/J 5mGN7y3YRITnGmkryV75wFUp2FX7ceGUdfF+YQ4ipZncqph/1976/O4ftNoH29ZIBIVft5F12Vk6 bxSu/BlYHn2SYAn9tcmSpi1n6qkXajyNprAjYeeBYtrln5x+BRTDIQcWR1dMWNKbNpDhPUJ5fIT5 ACq6d+frk8vzOrcw3pSAJEbPcFZWUZgv+vIjGH8hwH27m5aRpup9yrOXThNbfWBZkzvl2ha7qaS8 mK5tn0zoGPFI5Ac0BXLQi1lpKfwPc6qzBWqAQ+piVEt9XjI0KGLRM5xEm34l59ekV3OdmJi0MYY9 +FTL+8p9GnEUQ1c82xu0PfM7yARIcpbxlddSMLodtfAvw4NAy35S79Wh61zfibGnveHVSgxwObmr /MJ1rrSAWh5YEWbGfNyPrkd+zaiPWnxW7bzKqofYPbhsg7uEyUSfTdBgmDYgH3i9B0kBENO54pDC 32ENX91AAwJYD1eNhBG08I3K4F2t51WWN4ZrcfU1Tf1pRPHFTOgPDFSGNuVauypg8N7W9Jms3HJ8 fTwx2kmszR3ZeH3HgTzAtISBpsye+0m+Gevu9eJn4pnwiegO5x9OTg7C8LiPvKzrzHW63UXCSJU1 ehbcKHMmGTS8eACnJHhQUWidxk+SHXSM8dA/RTx+XyhHngi6Ik+qaClPNNrvHiynMjsd1K0GZQxd xklg+Te+XE6KHb/FcxXsk6LJYVbhvJ7Lx0g4xmVgcpyVt6d6ZpEs9oDAuh1ZexmuBlZUTvpbRdCk RnKS6TnaTkhYN9KXGZujVY/agl3qmLcvvAJ5x25gkh2Ey3shdEkkixA8h8k1FoEef1hHWDdrtC+O xWt5ez+uW6ek4XKd7bDfgEch+Rzkki3bDcuxX/q0oOj7VQLMezBpwxm8TPb7qojkOKzOXNJUHXKY mz4mKwQcptWUhoAm2sFxuDeW2LrUmwmnuz02BVrgsy8NVrbcVxez7kyqZ5DTbgNr/eXI6Ugp0qKm kKKpmj2/yShY9W7RjJocGcrrnIwsw9GuUf3oQmApnmgXWkvZImY2W7tmumhggDOma96xM56i2tG7 4sH2jXe9cQC96FEH67Fha3hqZBD+bJJIWwNxsRuVUxHXP9G0qQcAdNdxcpVxtG+NHlMduRp3zct6 dyB7+Qk0j/pg9nj71dbVoavfogNLOdEkVMUMe4oSjj8OtrNTnwKyTJPV1ziCEZoeuEV2ReGCXAkF PkUELHoWjgUu89zA7lNG8AV0t046hkIpyByEAu+VclHAwvDAITZT/xR6WAMV3Bsuk3kjlZ/yP4EN aHlE9sPShzV0rNyr8Ti4kkQfG7gaS8ofzxrjeuHZ68hn4jUPHgPmOO34mQ+CKaY4gmL5J8FAi7zN 5on0vRtj9oefqw1Y61siolZfSOqSwnVwS4j1Fo7APnjnHljDno+HJhC18UT1qWgOkFelFpSyvCHr zpmK5a27IbSltdzPMaHO95Dmg3GaumeUt+nSjS5U7VBWQHcU6xxiZlRVQCElILUQVY1HdUvcq4kN /76+fo6T8DV+xX4InK4LQiYBaDuuTwTAOtOhsnqtFVP3MrSXL06Ecw2BI+MNd/cLHEHHaS/cAs02 SmOHEiLX3Ebd1sJpt0NN31fOsydG7e2dOjwtgPom6hNmr+98TAus1lEIZZjYM9SOBR/67SGddHPx oi3jvuxOrn2aXzxj7r0wUCHKTL8mS+LkzLHrDs4hNnC2X2hipQvYm9/vK4rVcqyQX+lxF5R4LhDa kjiKpQ4ImRh/ct32SddB5z2AVXoyWG1uNv+ZqvIzcWz9HGQRfvIq68Jxj2p5W2uwsvXr1ZWzSjMS p6eZQJxZ3a4SVpw65ZMSeJ7UqqeZAZ+suFZDCXv60arkLXbMsYqLNUvQTSd73+TtCAp5sZyeA7E1 h0zoGQ5x/fCAEPyMotHemwKfOf1a6yIuiwaN3Y82npXPevEHCAhGN+QlLc8hCqzo7rMKfDewOFs5 5xUBcQpt/nyfQb78D8DmxyvDg/gd9wOIymMrfykIjQSrV6hDLeDdzySK+dQyYcBk4tq8w+qipBD1 8S8MWGjRaJAhbCttaExEuG2X2+FfQFUc9JIDwAKgLyT06WgcDajXGe0e3MmOsoPHRejP54c9CcUb e4G/nu3dXGw+n/QpEOdg704MY5eVTNAgJcuNqaT0qJaDKZiNpoH80bSsw32e94xOLznNZXGm7ZrB 3Nb4AuehHZLnvfa4yf2sS9ota0THGL/iFJTjYT5F2bQjzorJpa5wpwjXZVEndLQWaapAtfpGu/Ax HmwqNl6BzY4+gYyOWQyh+eLg2d8WYcfzTItOmeU03YCb5tEo61aHXdLsLyjSCG35w4f/iA3gLhRg vFED4MvmGZRZLUBeBW7T+Z98PzKY36rh1/5L5P3pyt+4Ff3QZzpQBbNUnws3tjNw/FZ3uuQirqo5 sGKVGWO98zoW0zNO1LSLxaBzLPBc9u27qvhWcJ9egX5dPQ4iZuBrNM1eTrcS9BCPXV61OF3MsGld T6JsiQ0PQnqTY025C42lfdqqYSf+QpIQ44IXaB3ZvYVzF8ozUk1100xyiYNsxYgFdyWlGzJXpr// I8R+8Ze5ntj7ez/3q90vyxhzba7/1W4jDs53rmpbUePbjoaXmFvgxDCXyiOAg5ceILmxzZvWmrWt Vsm9BJXStmkM4qhrxRO3fjFjX9tQQRaYq/G0VXxboX7bisqkeuNQbwfhF45rEaw2wFiaK74KgB2T WnW3Npmxl4n1S+gc3+JJQtUzDA6dN7eKT7IKfW06oYr8WPZB6A5nyrxyIrwE66xbXyuw0v9uHfeF LrG+IxORH5PD76kTq219pHg0BL7VQ8Q8lb5vvl6IxO2VneiMo75prtvObUo3QM8VbjBJeeVB5WM6 7cASs+1n/J+JEMHFD8SGWd+wQwSpyuGtayNRA+BoK5xOnOyAiqYSwjoAuE1+Iw7OucQH7tGBtBLo 4Dt35RrUxB8/uw7oF1Zp7EFHsJEH36dhnbeic3VEWKGMdEXaWI0KP4QUmzcPnA2XZkQovQ0Co7ek JW8JXn12VKzWDY5AsNb0T8947s+JhA2hthb6nmYFNY+g/kFzK4fPhtLmcKqv8EEAzoOZp2NkIAeL XQodOc31bBj9CDr6BFyFRu16RueTtQmDOovc8CsOmPl/eFXOLDkU0ZyHWP1MBiPlzKPgFFNAUKUb vspLtLOs77tL0Fg2lz9YzgL7YgcCAbrbHpmyVb6SRHiofF6nLr373nhQWsFlrkmG7LLKWqi6DUvd FBuOLIoR89cY4YtLVAWYqliRiK3o9po0iq/WJj7D702n6VqgOdoNTMfxsD0MYi+nCgJlaTbbNdwv GiNCXP9CuCgAoP0t6V51L6DWxGCCV26dZP2tYgr6OM26TUKqS5lMk4YJt4Bsba/4xmx/0JO8OJUM NNu5z5X4d1UrwO2mHZ3waZvgbxoxPiq8kVy5JQGl9heMfXBJZ0ds+PcQu+B2dMpFGgQZUxqQhnm2 Y0ckriiPBQDEBc2Ypo4eyFrvY+zhK1Nr8LZWrprXGOE0BfmSi+U4gXEq7V+gtCrjiSSELCEv5mjO lR9OMFz678tZ5wjNxrvVCcprK+KdOpWoYXqYfGpgvDFQ6CJM50r7v2D9oxeafROlwi5PZCMPqn7b HrKazXQfwG1P+9GT6yI8GIAx55XAivxCnNYTzjG+PT7RTMVAhB83OYa+0grO/qYLipv78U1X8ug5 wAd7rHUfxHmQH4Zv8Vcw8aF1JbNE9BiixmkZKS54BoN9ovztwIbK1c9ke2/FVIu0+2J7tSnIS+S9 a62H/rTtCp61j3VykIqhNmXSDc8yleIljH+FU4hJGER7AcvY7wj2FSuiJMN12JIOi5L1yXMt3cbX S5/INew5sU+FaZBl5Bhy/mUiLd7zp4aFdtXINrUTxMTWmCy0ycg1MhWnq8XcbHi4LrTSQ5ffTg7/ x029HqtwGtbaoW8MkUCB6cK+QId59DSps5XUh/KMi9GupXXFX7cGoOn2kHoqhDamN2wzQOzup4XU 9QcuYQvVgGxTFv/gL/RN1QcvwsLlPEH+toGU1VzmxV1AjZ/d2PK5GOk0IW2w3bpbmtgXGTnqWBk1 K8fAxwGV1g/XDTD+L2wVEgD5GqA/dPhLdPi/K39w8LAl6Gjbxy/jAUcmuDkv0dFkF5WqjtQvO1dU RHSx7Y2HkN5oGQQFJP5zb1+xBSzMr7qy6/uOdx+s55YhA6SWC0+bIFFs1Vevi6N29nz3bMEhDl2G ekIb3VuxNyF1lOpQdlvakI1lyj9KoQxOMcdDrDGO9UR6VmWWHioQzg3TkpYHcAfmMLmTrbqqCqhb tvrF2Ua4c04O2XCx2HTIHvQg9jsvG+Zlo08TmaX3Ug1gAKLRj3flHpPG9HrzWPvIA7CL10VVm/f4 R4rXiOKvnZx+MmozTIQ9tnrVWDnceu6Yo0SpeDIVNhOQueqChnyqqhS/DJ89yubZ0tOHaoltlCPe 37yKX/67fxcFg9O7+kPqk/9D/0TT++dLOdKmn9uHn6YxeUDdcUJHr/NDb1B2An3FHYeGHQ7CD1CR 5I3cffYoey0RqaNz6vBfBaF5UWpKgbnL7gS8qqV7MYtxizJEryGnaPxzaS7aAGP8AXfQ4HEgBgEf Fr/f7rQxiX5mJFNmEPvPyL0yU+rduQzGcIk/rgg8U1RssmXII1OVteEQ7rouWAPMLn8gwSApvrA6 JOSNq1YTPmwN4L5FwyHmvj9d3f0ZSi6wWDc+jGNrP7BUnHu1f5gjcqkUXuDfgWtlU79keh655Dma NOUlGgAnNq1rez3Ha7OPJ4wIffVuLWz9X0n/M1jHlS5cv+nSscw94DLaierstv6K3E1mR8BoEw9I h7B9tff1Elz2LZ1vssAkaA8zSCvWsH2CSQjZTX9h2s2JI3RD6y/gnHQqU7JRaYdrFgUmM/PRAq4p sR0QTSoq1FS05AN9OImEKjmY21+xn7qJYoxOQeYv9Sq6b3nJUxT0fww9Kdy2HbVVaHT0njkfLloj amkBoAA+dlEDenEvG905dSIzW9UzWSwaL2jy3nBJav8MQJ7YLAXOGmfIkSsJ12PZhBZdcPOtZYwL hUPXOfdZDiTD/U85PNLUlSdPLGhAuDrGn3jESB0vmO98GeBA3R+7f3v2Kx6S2VTXKil1Nw3UJ1Ga aoPWCW7gaoFKC7l33P4c3PAkYJtISfTIjScCyaX3eVrGho57joKU6IdhOR+I+yDJzy0eervnSM5e S9Ty+gvSXlTgu8GQmZMphHblnuCL5t01ECy+bf5D2J9ciQufUxDk20faU0HaKspcamKyy1bbliqa PuKLzLT/43zQDf8Bm9TZctQNO1jr8QW/SCoX8OeG9qZr2b+u8r27Z206JsLE9VDA2moL1X31LMlo nyFQ2O44gyfKD4w/Gj3fNdiCzBue086Yzt9xf2wqTtN0+1X6/euBtDTxeBf5yRyVZNMYCyaTgM6h H0A+azXKVP5TDnvUstFEXANrxdb0pEACCGT4bVjZnygCg5TgGtLmtzRjfgLEZp7ggBJqBUCdpM+r kxr/zsfSxM/HZiOdecticVTUmlsT529PywplznTIZlqxwU6DvR3EBkHPvNyFHDbx0fijInJlpGI1 kPlOoHpMj840U5yDavQursCF99EAbb/TyZn7enwr4vpcq1GWtkumzzdqCxD8vmXCNhxHbPLYktIV +/UymU45jO53B83bIc0AK9Y3l6YFkI53NPNpSdMehtNsB/grRnMcO6PBRakND08y8P9wCgzB7tYl HKgOrpfyP/KoHo7yi+o4XkuN8TFZ1NwUPTLu5KhFWdTbR6tdMEufLf2cIa+5xS4m2iu0ehCn4VZ/ KRcHbDxWN5PPgLtatVxNOpfuoRYkWOe5FdDFdN1kienYciKZH4YP3Cnh/gPSJDc0rBBhuK0xHio3 ZGKfSnVMWBAtZkAkCrCXenNhQdnD5a0MqfBq3/lUpbFxyJOg3XxVwqK66tQUmpixNjoyuEv7hvCS NbXrlmkrL8U4IBFNPcHyteCHBYH2kH8sExWW65SylkPHU3AIUiQFsqq92h5yAclW3WdM6BRfUQcw h9RL7xtK/qh9ZS1ZrE7wubs4L+mXDwtK0cGY/+zX/jwoAzuBd/9NPlC0r5xIO4q7tv+LHpJz8ueD 2ncs+eFTGIf/F0QtwK8XkhC0cKDU7hQT0f7wYn4ScdebMRJc3t6yH+Lp1gb2CTiJT1Gti54cQDu8 la9UlQYLEC3ljH0aixNInLcB+jaW2vzpBztfP4MjdrqDPU2V8/o6oysgbJZVix4RCMdEANoLPbda yw5/BdUGuQ96xMV3tOcvuYDtTMFuFkVysdTR2kG55I82qtQ+ay5eM2KISanYq4+MzqGg7cXU2Cf3 9TCH9gPvVsjwCJDkhNbRCLT7zVj2wXslnMGLMkaGl1rzO8HSaWt7CnCaIEmloBJRrw9tm6p9Nkmz btuc030V909i58CWUjaxqvcVSNBRi9UnIMTidcnWrz+yYIOl51obpKNxjWYRFipbKWjSmWwQg1kR VmgfoQKJdb0Reb9KLnIR3pvRUMGHpM/y7gaXKyZ2ic9FcyVe5rrbMM7B8A0QrS1jQCNswwnW21u1 uT8W6yyyaqQLre5YICGyMJ4e7cmL1E6f0K7CtCL6p9n9bMnX351UVvpCCnbSJIRXTUktoCtCFuyr Fd7lfNbwB+OxItXyODTNOhuRGXMmjAo8ZLmSK0+BsedWoKuERV9oik/IUo5caeEaEZUViMc745fN QB+5QaADiE96DbCQHyec+uxuU/135Yr6YQ2sR2L/nx0UPCLllFZ3NUWuKhPQlTbUYj7apIe3a09W 6+TsCIoBc7piHbu0og9ccS+MoJL6jotoGiyhsbtzIluVt5vZmR1QSA0ZrrLue7xwk4hJSbeSuhgV QRv7MVTDFuSvvUjOMWkCZGKbRoF25jsT9Qa+kqsnnMGx8zGn8kh5Y5cRK3vyPbmKbO+aDMLcNEJk CVpfNTfROg8IUfWWOj+nYfIwL3NF3cmB4njb60QrzQIL/yHe8SwFgocJXs58RtxQjMtgeUAUKv0q yZOzTKH0fUKFsw+fqcOF/JYDIYT7zP2OO6VAHhsRwjPUu8rF0o9UPx/ae/J4OF6hPAC55q7NvHXG k2SChTbonv8t2Xd/Azd8YHkN0A1kMW/NCNZilY4fHprce30LhfqmKLRfqiZD8YEWuCgxLKbaEhFq apSWFLc4usGuvuITM1gxtNPQXenie+lIiGdYpx7cZG/OpaIdAWGPGIIxE3bHY2PuT7idppcO3L6J 5ytZZC5CMhVDlzWbfER4LG7ZJWnQFjvxxkvkE198l9sojcut5rmKhdtfZlhseR4Pjutbb7W4/5kE nPLTahff2X4kbJInskZaEl1r3jFqqkXHO+nva9GOi4no2OnJ9bAI1PJpQRiXjaijJwry7MOqgjko jsR4fQbckPsiz9CUcehYzp70NNVw929PZsjfpn+fB5/WORC2St150lfTaPsXxaOJwwsz3H4wLNcq 7jO97Pd+Iovla2C/c3Oll1wg+gtKF8HRM8yF9ZYg72sNVfkON6NeWOAEupGDDuXJcsulMF/3VgbE NdVqlsyk2Mo3eh+QaL5ZBlohGeqC7AJut68oMavsGYh7Oof7e+BzM3cCinyCAYqgPmqv9OItx3hA Pt7qNR+SQgBrq9der0MOLW7+2R3jz142ugLILWDdm9tqjITW8e2h1yJlbQAcsZ1QathAkC9WyMju NfjiKTx+zXS+c+jTex9n+zh7VDMt89V0s4zWWqWaMLpVSsMI0m52Z6xBQl8JMX8BKYJEuZjCG78X Ds59BYUPRHnajQOKTjE3HUoAGECGDHKbpmKPZaXu8J8ZkTCKLfKwylpmArdYXlUV5iCmUdMEDLCL 7R1MjdLyLVC8jdN8YIzXPGO7GqvWoP5DIvBXi+vm1DV/zTRc3DCsv6CGo/aTGcUbxrLxFNK24TSM gj7mEyqMA0bGnWdv39GLrwcv3o4/j1/wng2+Ho1AERKMuotbSaqg2EeoBeI/C200Z3al7WsD7LZ2 O1ZPMAyukUiyhgZH/s/sWR2rm7Ec4ZNRpOgEB4TMC7xdvytxhQ5qZLj+PgjyZxrmvASaokmwD4Qa SHnQ6hPFDTngbFkc8gvy2uzG3wLTxOg1d1d2XTxcHSpkzMk6xo88qGBB/nHgkP6uGnvrPULSO/E6 +77hKcOByFv72IW0Mov/0+TIzB4wxFgnAjSpucI436G2rRVbPszIi3ZWA+I6wSXrbgQdNDP2hiZn G0TWSBiB/1dsRMzEDpkImo2zVBdQOnQG4zFP/1Tqwow7sssFAEXdzrtOk5Q2FcH+yFtSzw1Yp2YX e6nWzhtPhhuwkUX60QYB4LocU8mNcapOzPsKZjuOnatr2sB0hirXVrO+GGYa20cTdclVNVYPKENp K/BIJOHcpnxJXi5IBmIWWAJDsVRuGN8aP6aGid3ZANiX+tAlZphwQbA3hTIT0CX7VIJRQCppmRCp A/Axtmk/2pKjCGwMGImsZXwhmFNbWeXsQlME9104ZabB/3gRWyn9fcScMHcHsD7A8qhRG7fnEw79 QUjf+j9/Qvxqy/JJnQ2Ahoud4NSR2EUvCCL5gNK66RmQcyEw3sL5OBRZ1DtFcSN9khK10b/TyrQ4 SDNdBI1hGVIUATeaJ9B75wYnzTujqBhjsy5hgmfPK9m5cRrumMNlHAK/QhvVoYTBBYieizWZw+wH PUzZ3Yf4w30vYsjsq2AtwKeybA8/KzaNpbdR3+j/lx91+kfmK25+NwDGvsiODYLKxwtfLm++ggHZ YwjYCH6/TsakVD1F86iD8LNAdV+R7gIHH6VgAnJiwjmYk9wbrKBY4f7PKWFUgyB8EM6wLVKK8Jzi 1UYTsmE1mWzwDx8JqHAauq44qmqU8Sbw0ZWCcJtA2qtHmjQ3PDbwLY7abSTOHdcZptiiev9k8hXj UT1RnEuvQrxTWMCnFVAiFr/JjEVJxOA07shlJpOCV1BMKQ6dZT0oGgUTOdDLbWUvbA6AQ8mY8/z6 MZurcyxd5rndR7nhMaKuWgMJjM6x+KE/3sR0lShkqNN3+MPNbXy2HTEnfIrNBRk8fgErPwEYc+WE gsvFbZRyY/jaF5O3YrwlIF3KhODMszASL5e47Pd1DLrCo4lWPZnSE5D9yiejFnn//d6WuzKCcuvx qdyDNSmjLVICMZBNdz7C9DL9NApDZ++FiLtBk6KMOJh33OmIIsDtpp8QFPd7RBC8UZ8IEZrde+q6 eFtK4zzSeXhYHCMFm9ygBSrPpIkOOc0kL/PSWvXLrrLU6wGoD22yd2dVFsKreNdjgwcmqMmNWPIY CrFjswKQUWZtIXnJzrSkVcjhevpOboy6mr60Q01UJrAEkNyCUbNROnRPYPWqJ6LEh8IWGXrXCxE0 5eXBS17Kpu9+HXVkOwuYRbZLRPkhhf8/UEifZJbejvYpQZVQGQ83esk3RtdSHTFi6pro8oA6X9dA v+hUUKtjHPoRoumOZdMGTKjEK57yECyL1g8Z/BBAdNrQTIsGiQeEaESrdfZ1CUKX3a7CajGevrf0 K88d7/5QU68X2gl7eFVHdZ9L8h58Du2lyMRQ+0P8W6L9f5WdsH60S7xewK84HnZSwZAu7Lluf59h sssxmQHTMaJJ2HTeifcX8gs2q3TJ6+KFinOUH16LROBczsYr1u3+qJNdLxt1/6UeHHTHUTiNDkcT UufjtHsxp5bwU815ExYoQ4+ZsEQv9b/DHi/plCoF5mc7IEdYZ1br9IhHs2jJyAYLVfsY/h5sF29O NqV+1B7Cf6ixO+LjjolDo3TWnTNF3Y41cPBh2jcvZZJZY+3Xq5q6qlH0q6uWNA2z0bQIsO4ngGhD 2TuDVzjmw9scO9tx1XiYAonS4xiMOMP64s5eRhdSCCzzNXqEJ5UVpVBDopo5fvBhil50y3poTrjc FxSYgEkCkrm01rL9awzauzwCsJ65t4401DROT8ZKX7B+x9j+seAOtE0T97LIqD8VXOzKA8/km3+8 B9vVAg8u6ipsXM2qlc0jQYsyHJ+od11spxl7EJCLK1gHAxgEp+tPeYmUc9ir00A4N84M54qlj1Q2 WPxbtTyU82T8u8yPH700zbaVxl0X607BPEItEVZArr4tzOC0HB4RB+mQ4wUdINWXYOar243/fQqr oTKTzsaeBgVTW2+ODZkdYDnYDLgf44Qx9g6muDppdvojxsj+mNgslUVi+nftNZa6LQP07k4FoKLQ zz/tpJnb3f8jG+QAHrgBpTByMl5GcLUH/ZEfx890NPbXbcOHkAkOR8JWULyMB2HYmszI/+K7SzA+ vnRUTOXjyP1E4Jcu+f3/BYJnFIvmpOcG4mUfci1u6BI7TCaRZ6/JN6HKuPfCs3eiJQ641orKSjhu 3fWGGpxnAhebpdVlKjuyTBNDxuor78Lu7OppNTYHwhMDkUWVkxawXY5kEb4EutIJVTPPtxFnlU7M mIJ3bGoWjXyYcz7jiusenKJGg+0fdG19tYNJk5/0MXPzaR2lD1JqPdeDqXZnvqTIFMT6wXF+lzSb Ib2x4PTUeZLuwm9i0KASYhpASUeiqa/n8N2ggxdhdwqyWVqg/gGnhNFaQwAfgS039KO0+cmYdSKR sc/IUh2dkHcDYF+/EEvzXiB9mv3V3MrrfVJ2uiSKsGA8BvhAS+u0lrpw40JHfBLZSVe01fEdKiIY EdJ7hoxRXavokOA/Td3ZEkaMiBM5C5qlYXWnVYfBDmf+c2ilwwxlB1yw+llWAhd7gw2VaF7Y5vsQ zYZjt+OoL7NvImwrDXo6PKXaK6Dtsa8bf3LWGHSdc3KmWpvnbwndau00jveIpMFMcmtcvms3H8sV rTHEIn2vqGjBl4nubOWDsYEzg3VygKrQOv5InKpJlqBsTPZRbCt7fxFRmt30se20B8XRZOY0lvAS IEVGKEQj7wrhGI0hvYNSGmr/Yt6L7aOZVF4zm1T5rJV3LEbdrcS0pVNcyutW5zwI+IocBqzMxNZp DhvftjJ1Y4AW3PZFRgGP1p/O8DbHN0VFLim8ICKuxQMw+2oYkArs6RzzNkTuYjfka9+0qscBt1PG vN5E1UvRB67B70+UcR8Rk4xUiuWFiRAdVOpjdSkAGbY1L13optt2Fg0vt1PVyccwK1UHUnvYhgdy Sdqyq+yIiyhiVhA9+guSZrDkkib9/Yv5dKWNOUyP24Y7cHRoA6Df2oCX8ZtfibWTLra8kX2KVTA+ vBLAh7z9PRsLD3ms4LDk2WL5abVtBaCZUsKQSz7Vg6upGOLgHs1F9cnAgRD0S6rxfXz70iEjxEBm 2eMZCtKibpeoLbwtGaQZEr8zj0ZOnBnxtK+hgiiSIVJCr3lCNapKb9nYzu5upnN3OMG9aUGMaEhB MGL1jQX+kFmqOi3ugN9IZVwMYS4Rkhg+AkSZ1X0qoTeJ4N4S2JpVYw9KUBvRj+gkzT1LmwHERMr8 nfN9ewzyYlSRW45/4ngmGyuwls8Itv7shzCg1s6+6YhJWuXeYNdZ46NiIvoshX79tsvyKcOrPvap lPgK24K0RieYFdFd/EnRBPYH2ixmvD16pLWIBj7LwLWi2KEINP3z6AKM/EIhSZBmjmQoUcg0XQXT HoqLfFJm8n48wCukHiKqcSwBZAf4NFlmtWPqAB+QA4LFUlYCMbEUzKsFAxVd3yn5c1WzD0kIytiJ b77MKQDepkp6EnPHGfz9JNAyB8767Pt+Ks6MxBsW2gUOCQ8IJvU/O05C2lpom0QMEkwOm2gimlwp gEYKaHJsAmQ3ZfZuzc46pSDkuWs8mD5J+bcS8YZsdzVMltcOIFIu2lDD6gxdV+aBKa/6l8rH2mj7 c7rb5RpJeyHeKkWBx+4PnTmxYzzVpEnhbPk1+GHcV59DwO/pAQExI7H7stqX23JDfXp4ZBFgWP1h KhvKbUtxFNG091kkVwVQX8vG04w8SPpeHrHYfVwQdYzDE+00ZnvrdBwGNevR8Qn2kLdi4MtTBiQW f7FlbNNwJKuTAzSgGcRidnojarj9ZW3IomjgFdzM4TvSBQvppEjQ54AWZ+S6yZkqnc+eBZQdw3VG 8dUnKKb9tlr9UOogqE0r5tQAIQS9XwQIKP2nc35ocsxu0ozzFmATGFcAe7MltseJ34TuNMslVTWi GwGeupJBZQ7ObSPHZtZD9N8ddfphFbgjE387kZx2sp6vjuU61yv61iNBQ415dvg05MMXFRlv3vz5 xT4qRd7YytBDfNYBLghxzVD6iDooPTNzLKHyw0kDWXl4XeEzOqvwIac7Ig05D0TX1LaFXcgWspiW aGnwTwpuxyy7OA8BZqz7+p6YqJQCQwzJYq1g0dkaQ3chlfYrNs6DSV2HUNsN7uExV8gmQVPDdi4i XpGGg1ayekxltsLJGgUAH8GG9jjTiPacBJG362jx2KIiQNU3DtC0b2BXH3paQR0kJMaEckCnOhCx t9NiraTW9U2XEXo8yuRnSHV+mEHM/VGM6YMP+cSqI/EE0xvQlj4zGAk0GQ28YLu9SYQbs9sUYrPV EAq59/EI65nW/qtbuS7WxuI3YNVai94F2GvtKy0u+iiKGPdtK2FRuMCKACxMVshICdCtTx673sGY inR2jI1v9eA72x30cvF7Wq3G0S1o1K3ImV+qv9OujG+YQ1uTdJw0oKAnfyHukUZJ6FnbxARYPb2D 0rC94VMfNMrpjD6NvcZ9gUbUtzBuqgXPW6XOi+QWw3qmvB16AXtrXtY+nXjtS4ddhvHhRUZIBhjt CHWB0kjn2xPUT/VcYuQ45xis0fLZaDs3SiQExESLZeuoJPeZzOo9Z7qhyDKUwbIzku4BcT2cITJL LZY8ulziJZ2BpjoLGb1CT/wZeBCOXmFBCht7m2XMpUQ/CLHy5MbeLd1d4u/DP9wlfF3wPaGDa/Wu U9rzEOWOGO+zCcA1IIOK7VeUPI0kkiD6lIjDTcW1Tzh1ofCWBBOu1DDv/qmwDp5OMTUF2NQg3ko4 /Fw1WfakcCnwgkLrBzCGQu6Z2/KHBOhH5Vx7s8pglhY8GVc2SclCLmrZklupZmA9zguAtf3PGQxF Mfs3m1/veU7amDBUWZhzLgQdfDj0TYp7At1W4s4hE71EclB2kuvyGhy96Ba55x97oGdsgoM9+ph5 sMXKTThdG5HcPKaeU75djQBI82jXyS7/5XkyN0j3yzlve5Lf63IXVxbb+k0Hxnu1xKLxIEy+Nthx oN9BJzKNORNUxq6TsvtxDCQLZdq5VR7tY/Wai+BtkDnBTOMpuLxfxUy4E9O1yGT9yCEoKDUzlXVc l7TVPIsjweyUfYs1wFb+76D4LvEFHyZVwYtBa/1jomkiOFHJ+lUbss4nJ94hr9MHoQ/MU0qs09BZ jsUCIokkvDiGqAw9uOz9Ta6CoUXmT/rhFYHyk2s2i9opEPkwb8D44I6J7EJ7mCLm5ew0WWvwcjvm MR0LuZB9ElMw5SI1qkHzQ9GjgZu8AwDtQBMztdKl6ACiqs/GAsaXB7BiR8ChkSfh8TXTq6aOJEbS 45b5qnFgLvZiUeg904F1daIz8iReiHfwq0pndrjtYzDrKO0e7GgO2ta4bI5spOs2Ay5cAA96zvzq tfIwKKGEopbb3CRwZ4BZbt9Dg6mem4A4ARSi/FMXpG0iwtNbz/Kv0I28a4174j3gOcbyviy2tPah n8u2oBCdV53dQbDQNcpO0Q7zfz0wo9qrPEgknVQFN/vuN/WuzvXcB3H7PdXuUZsjy1+8bGRcxmen DbntSYOIvY6BfZ8tjaHRfIDmJ04t+wvejfbc19WmjQoZXNdrBOfGKVFBX6+ldE+k/WC2AIn3C5g4 uO42sonhckvwOt4T9jav0cg/Bybz5Z90yE0WRUjuNpvnXQjfNO9QCSrP8t9jHyhS8+JEixqKoa/J HQlLlCQpYG3aZvl1BOv36RNzyrSP1ktP80DEmPjC4v/6vUPj+37S38LlSNHsMa3BHRSob8CS4QDJ kJYsVxmweomc5D9UrX1sTeMOY3GycXdiTkFa5iUxwrKulXLh1SEEk4C6ho34ZHtwvHGYTJndsLtq FWjRhbUCC7J54O7Xxx2M8ydTgoDpFyP7ta/L5Bhq6aHpH8GtwaPDLsadl0WMZ3u1Tz7pLHki3zAa 22WXfBcVoCmIhtbCUo83aIZszELfF2+e6+/Zt/dX7TocflhtGJPxxsrGzTDcE8/BGKNHBwlXdwRi oPq9eVpsu5QwpdjYhlIJ3zgIGRjjcbTT2OdbhLKFj/yBqgFrZhDyrNaZW4AqUXkOJWS6O2HREiRQ bGTcd4tz/n9VFR2+UKbaRbB+0ks4sGoGT8KVwMToqLSS+CJTjxNI2HPVksoKH1p+ahdGvQNNK62Z qNRGQFM2YYGMZ1Em0pS4uHWLfwV3eNX393megnbGS0V8Bq0TomBt29hJz6+arrB/0vf5uP7UdwfN C51MLbmxxNLz1s1p5+lGJTTF2O8smOhD7wu3Km6WhzdOCLpitHek07gQN40KlYvt08sQLeayHUbw upEz4boaPVYVAJOLDw3lsJWHcuw3YBSAHaWcjN70mo9wSEJo2Z2WuuDr8F6+1TDaQIL+gGDznFKq W8JGuLibWsykq7E2QowhYB8vdAdvG6PB6HsxkY6saOoV+LGY9v1H8qTLeaxSN1BHRqRghNnZZ7bM dl3I758NEsfK3fGCbtMCNQ4QZLe/S89GgrAuSuM7/bLcn5TjZa2RigNxhHT2hEsTzTQK+d2vyLw6 YRBfIsqyxmqW6m4ADpHwQjwjBdBE7+4HEcjVi0GaqqNs9fUhloBI04n1tKorUpNkL9f9m11vjR8e chk7E8YIJSOc87aRL/mGAxV47JV2cUcNzP/b7q9qPvVRsmKJoWuxOrQD92LlCmz4BBUYrQVrxLui 47+LHAQstXazMXG5cn7vENDoLMSJDilHY/y+87p1xJb4cp+21sz9gXeSVpVMmOuON2v8QNnjnXB5 mlrTgH29R/YKPKtUaRtfB6Oj+FrfzdAN9quT7HT4jyTuwJk25TvZtTeLPdFxIwErbUTD6dNp3+kH L55gySZcgzmQlbyttBmuRuHwaLm1ttzFYASITbYx7F7CMmlOAyw8rIJEJhHLHAfAf3VwI3EbXsg+ fa6ZIG60nJNT/+XgggDdkrxM6CBZ+IV1GoSrosnp6yz7l1kY5kYEvpjZIdIyi2YVFOgQwhjUy4hu mmvITmvEzRV5jKILBdvvZGyvWMSoGWfdVxwxhuyH+s966jlNUZaJn/QAdQSe3wjOkk8oHnehrBk2 nG+vtd9zdDjxK/h9kOEcb5oQ7dJZf7ZmDdRgWDfrvhXJ+TLairdXzQWvWD7hObTRJoUvBTNHt7dk 0AWVtBZdRG4yiTzRy4ld+6KxejiCElHCtn57GFGcYvn74EPTta7zEIHtyZQcwtKOGpcUVZuYFqo2 6urr4NcIib34oTBT2JBOLhdxTpzsKYHnJ551LisPqpaVZsMJ1GnyQ1DDLIfsR0wFuhsX0OGkXQ91 E5DyZinkEOhrZHXMnqcKAGr6tF4+mYMHx4rcK+yA+rKzTTQjvi5yQF9y3/GkF2wAJPDwjQZkpRp4 pho5bU+q8YD1ZPtMkytBop4cxZLwV7YPrjMX+UDbp4EJtdHSy2YXJb+MwqmuKtxVOrbn0eLBYtT9 zxyHnPWwtrFOEsXpppKHBacLnakzoMT+ZAvvQE4aEQlvGw+Iyu8zczb9Fg/txLgBUcsO1ZZijcDX hz4GVfzfvB0MNvWtxOmkZTraaMhsbijx+TVrf/vKgQ6QAkHK2dAoTSSvVtyA1Y/4iHC+VcrOH3dP m3mYvFpFyeHE9TmK/JOmr1zHKXiQqPS0FJlvd4Oh4qgS6+AYbCZihCtC9s1j25++sOnKdCn7NcJk eoNQin2x5aal6d/xF75rE6fcQtfiU5BZ5HQaA1rTFe3U1Eo7d6jN9aZbreZWQa/fHI6gH1/eDE3Z NqDJkOeERjVCmvq7mXvkDA+wR5VUtv1BKcIYx62AaJqgvnz0EIV1oNwmTOrfKFCfkdFL2naCVNgy pQ5Jd1Yd5Fog3Z5lgC3KMcKCHZKsBBWwKnU6ivhMylNk/te2QTnIzofnZVGIDjiimX5qY7Mv04eO 2LuOE4hZTGtOhRlk8iQd0MC5AqpT5EyZ6SQ4HE8ewaDPSRPlwUJJr8gxzzHBgFOXqKynUGYX7aG4 u+cXVe3UGo235PI8Z+rtx1PYjJdIQQNz11xoVe5EbohjstGT1+/eKJEi3qHgYOuZzJL5vwzSGmy7 rxqwqPd+tSAmP4hSb9NHUnzjJgwF391o/uGjn543bm+uAf9oS3IS1za1yZGE0marTm2YElzBh4LD H+xht4s7xEHMlaxUfHzyz2zIbRNENSzyNf7d/esS5iHFEyC2y/NX92DyDe+PuNjxNg5VE+ylJKp7 9AZN+sLp7jNY2IgSYOTQhuORWlnxTzyICK/Uq0NERzij6NSfaTKFZ4F8NtUyPxOA4qyoD1dXssX7 si2jBaYGAV3G7flED+AYX78cbGqwwf9a9iCK/Z2o8pbpEMvgbHETQCsfRjq1QbVJleLPaCRN4EVg 2qmomSX+/Nyc2upTlmw/9jDo25oirDniVpi3nCiJGnnEBte0rRBE/1MIrOTlJZSD+Y6XjcykY27a 8jzk4zjOsdtbjI3Ubdl2bK+0W4DLeRHzZKE0I0363So2iEtdaNjEzVjjeVW6iUNzvYrVHd9fbblY Vx8YTxj8ANCxV0RHh1id2+QiXpFsGzNv6BUw0iq/2vnk4TZ4gkLOPlJQHeU+pKWpEje85iKdmL2Q lCikMsdSVc9tKlgCQLzbEj/srS0EKeyLukZNCuYNIJbgWeQSYz1hsZGG3995VeAZY3wLs7CWlbMQ ygw0c2cXg8hI/A0oIUz1zERc7/62HMTDDnGsG2WNuAQe8UhW5gFN0GQm/5rcgRuZAub3SX7mVroA jazsDBhbMxFNRMMTJQZADLexsXVVIq9CbeBwDDDDd2UNJlKbI4wkCTJc4iiC0MIE92k/0jBX/8fm bc5cJeFTeWe3DTyPqSKdu4I0Awo2BTI2Q0cDGt0jqnMMdVjXQjl0ZjSNpyp4UcJ4rvMiQEx2l2OO qBT0N3A3A7/Yyeex6GY44+AE9PwRL+2FL05XvU3inJoy0QfeZjNtI335b2J3mvxqzw8NWPt8JlRb g/xLyEbsY/B+2UnEYZqLNDu4//y0ejUzrq3XiFSiqcKF1nzcNam7akyMZOugV/OKUB8pISY0HxDl bYFs10MWEWPEqWCWMKlESjagOnpgnmgHlyEnowe2cLhD9hIlrWv5lnIrRkYdRPow6WlzVzjJLn3V r3ugypDFTBOM2ZByFSGueuJ557gK8mYdok1RFdwuC8u/Ox4VvutdcuDMrOvPdqOzrwLLUhNafRes Jv5FkJsP5gQQzdCtCu7odcYHwLpR/Z7DJAMt6UyKj2mLGaf+9Wr4vY90Rj1e1ktsHez4iWKCy2T1 EnYfMLnFJiO6+TCtCIt8xLBsWsfBLnXwh7K8F05RpXr8k/UaTq9oXB/uy3nCbLNGgJs05xQwaxsr OlnSzuPAY5+far7GciBT31u6R1Fm/ThTZOICpb/4zheRD9ruo7WetuNKizce920ISYQuKfmj31Bs /V9YjzGChRhBEVxyvnIJXUawKlJyi5h6lUg5pX1vNhjF6G4UpgaH/pZvnnWlu7cN++y0BQmn/qWB rYkZL5/fCJ5d+fI+xSy5AMWGou5lDHHR9RcqlLv9k/COjx695uLg05TdeRMGeT7JqyLCz8UgZsOv mbGMr+XawipuefhRuPCx4FyW1SzFahJypoCZDJvo3uDhJccDABCp3sVNd5VY3ca0BqQeJGOOws+/ FU2vTjES6fQBVVTy1XLwJM0qHD+T82RUTaO5TRT03Hk3IYAiQzWYPjoK2YYeMKyFdSjkOwludllD 5N5s0G9p7784jhACarBnjjsEqGu2aoy3O7QfUhpQsxrkoNCGZbkBAeANsjPX7ouSrwGn5SRz5xSi p6nHGYz8KOzHyv63VaBx0suYPyZEgpmjZaTgfmV9NBfSRDF8/wKhDSy9ZNGBAum/m624Bp6qI59n fXap/f0Jmyi3cpgW4ppywgIm0DPANx4uRTVceHZ9J+BMGgw3PtPft30br1j+w44wbpifEXPZp5lw RcAg3fPIcaXnLb9YPaPiVQe8dopqvp/ktaLiD3Ku9cGWgzsGFs3u3s9np435CxJN2Y0mvMkZDv19 a3VEaVlZf1OogoDRzyFzRQ/E59kk9OJkGNDe43n478l+7LsMPE/r3sxem7KRBgtyqT5XHQGvVM5Q iY7eQxqXgmKwDAXbn6ajPjOXrTmoLO3J/uhS5ljXFBhLoNO1gCFJXdD4a+b8fPGUAZTVB578A3ru hoqGDvuxgj7zG6jTUXo+DK59bvtzgqJLFvr1JI/DWDKrL3A4JlSKfQrAgBi8GaFAIgqkG3botk78 dhMqW5Et7D3EDA2fSwgGj9dlNLiEIaoxhWLu6V+K79QIRXI+JBAa+M2petXEal7M4bEey2+WNhY/ KatE4Cb3UpLEsQ8MCn493DxICSBTBCz5j3v23qRnsFlhOTIsDNbYNGZsEILNG2sDhpu2e2niABFZ FjfZ9IFJmQizkb4yQ5RnCtXQlmNa2JXTuL0517d8nGwNLfRc2dBuV5BIzY4mFYmlcIR5mUGQiKT7 VQCwy56Qtabio9TfAq/lkLK3hC9bQoSIp1V2Zpf2P7PqSQm5AZwkn7udL7tSpCGhYzs8o3aPjd0Z 8vsCO56uBpxKyownVHsLM7v5ClnlUKtOCCzLJZdWIIoPNQRrIuBIpcimRNlHMHpyCC3N3xA5FhB/ O8v912U41H+jsmfL8nrKqru9CoiE972ugjozM6VYjWZHhWK3aiPk7KmVjAZ6FSko1Qx3LgG9dbK/ vmGw4+pkjmVzD52GKHztdrdHxwfgvAT3mvdYo8GAIAYxsVWKP7IE4kZNSFTJCPnUDNne5Y1JgGR9 VuAdebePU92qynu2M5EQLu7DWqRakK0/XUHxODj7JOD87hIz2iLADMGAGgGJc+13jTSCEIBxhneU wXpe2gjIZMmvZL8XE0AINs1rfND1Z3IkJbg9/iIthTW697uUvBdRlZNBg9XM5gT617/KRGB3bKO2 LC3vb8pB3I0l4nj1mT83r74wyehoRre8g9FVKG25N/1GDzxYrxy3zAF1qY18Pv/X9OD8HoW0W4uE 1Q/GoYbtoihQTsu5Mo9OncOwNgHsD479jOSAinJWe4zBhJXNC5b2ndKsTc+KWSYIyGTIvM1m/gz3 umQu4VjP64tJnAVJAW8OAgs5YooPcZs1QJn6TfES1m3b78Xtbxp8wu2Zav+bi4FFPzHcrMaDh2IX /EWPR65yJmU5dF3NN/x13Ov9odpHLQFGLYtOVymAnt3xII9d7vhwqRpNTUu7lWaTRkdwEjwWYYrf CK6nGeaJTDv+CnnkCjWhpCPNiHoMx40y01Y69KMtez286vkmBvmULz5Lqyj6VpRdRTXdxRwMhNXJ zkXm6aJ9nX0/f0BqG+qOUZzQv9IGvWijXxWmLQA0ME4qz7Dawx2A7/ZanuWK4l2SaiKKSl35xFIt RcNQKqwV2RjFuGl8KU/BegNAj7WCp0mBLVXXHDp1CvKYuU4C/vddkvWZ26tQRT24BSt6Zjrloftp Qzp8tlwNXsU2sg+gJG9+++N7iVTdeamByl6rIZjdbrBn3XSsuqO+0eW9rqyIelu4uKl57HMtDISk qyOpNLGr9EhRH6r7+BcKTnQq7tksQ45/28R2rIJftiwzAb/HfVg2I7lzoT4b9/hMBDskLzmjhyRe AtAc32mayEhF6WXwiYdslfiaXrSPAUenGq6Zo8KCA/DQ34U8JabY0rTn63yP84m/bAZ1n+zCdvy4 j83v44sNLCg71p6vIE15PmusB6mcnn7LkBr8HVwOAKyBp2iiimgVITEV4lZywNVhh1nBiH10vSGX HDXZo2480K1TdJ14e2JpSWictIrPmzHHnXIOUuCw70oQNXKLk09EK65BID+dTn1CS//lvj6CUU/n nJKVIQhKXn5mMvdAAwIteN7KZZzQRfP3SAgWe6lMwDd3+Lfo+Gdaqh69ZkaVlgSy7dIYrzlbJ8ol nh5vHKAurGRUl9iEEKGX5+Sml//NXI1PgcVJ8G0PZ4POcmWKt/WBV4qnLT9i3lbMsMNbhcMOgAdz qQckWzN1k7mNslOHTspSSzu2o9O3sKuR8/pZLfgW7xXbLEfE9lO2Edaaw1K10+1c90Kis6sdG+c0 1wl/ppplArv7fxmwqMnmWorQOVpXXBgEMfkWqbInyScq8Nefh7FPROtCb9OXeO/I9DzmkwkTxaEI 6LFxpFFL4WgW+GahsuerVe8lgWB5Vt1xuWJlA3QCWxHZ4nJ8K8Sx/uRxNDeFqa4S2p1AKPwA5RAr DePFu1YaM+YPuwIDqgYVjfw6HgmCknu7SUMlSDKaq9PD0AVUGJx04vRh04gtr6H0SvfZQDR9wZPN DJq8Bhb+CKnBbY1Vhsx5wzooeSw1eAYOVs7+KmVCYfJQHeazoe0s7uxj7QPMrFf6m/dmwESo02XS mYavhIUlAtZwW5IaYKMh1Gyku4JUBfBaClQyoF8GJ/jl3YfkcbVcRFPhOH7e8Kgd1iBnGGSaNJFx qZJTfvt8fZiYych/JfTWt37+E2/l9UQVJzdhhiDM+Lu89CKAhcwwFtWrw232j6c6vGVrHxA6dV8D c5BPl3bc+GRvdUsHDSW46jqizu1KKz55OLTAQxV433h9IABBBd4of681lEheH4QfSZdIbHpKkjLu BaLBQ12JpJ3amnuAq13sgzj/N/DEK3YfWIjJU1SzXH63DOhxImmhqK55y7aVN7PgGr1qFsdjzboW wSNNYjNHJeb9HsEmvm/oPU/k6hDnH6z/wj+KOWA7O1U3W8FEE9FD59kiro5zItkbayatFpFizOWG Jhd4j0ijrKz2uAjx/U1yIBLJB3U8h/VMTByqHwogyg5cypTeWsOtUGA755AvLXGVEyZUY96Ci7bw mkNOcPLmZaDqy9zdOb1Chz+MGutxBXoAaBiDD08JpkjnVf5N0quczYtGF8prWNpAp0jrOgZVt6TS mDlzwO2YgznZCeVBVOh0d9faY4tJ2r6xiF+ZcGbSlgjEGfFVGPNrZVCxZ56CiRBH7fbMzw8kbdnp LtGOX0WjYgeQcA4gqU6yh8F+18oQ7iETs4RO3chImL8EPGUKtbjJvmj9P0+obeaGMuSeXR3bnI8P 1JRYLzemjhxh6cgG5mUZHrIvIDOOj+LoP+ObVIOSzKfiUASXZ4lYFyVrCTXPCkE3SFdvJ95Qpcc0 MyTYV5z0UD37J05rZwiOo7pQKxcEYxXLLV6DtUZCK7hhpYyNdthMvf/US1sBY8g38ewR6QZSTHOP 6BUkAKo4EpdO6Cm+7MnZQNJz86Fyd/W6H03ySYSxJArcVeoDZEzcWPNxJcGlmqdTuMpPbZvov4Y/ ZnC4aMbzu+Cw93z9shmCy4x21wWD24HQyqCBjH94zss3Um8RdCyl5MtpLbgG0GtvPxhZag3nDZME pO5uPPnnJhFLmYusSsbcTV048DjpI/m84c8eJMvGrYZUFXhDRH/j5t2UKGGXErkdlQcpy7xlS3QL 6aUVUvU0VNnX21OCdw2TPgdDJOgfG6bA1sNDSc/PYFC8kW7GIhLNlwprVS+n4mkpmVcDQb0hkN1g o/VhbIMb0/km5Op/JlSUFjJGDpXt67EOELZhoOs2vBcQHFRSM3SN5Ax+z5QfaTZpQIcJHMRYyLHw +7W2gh0VhGHcCxtDH0Exo8vFlGG27RUvg4/7Da0c+PbEVdXG9VOJzwyP4U6SIjqOzeMIuiUkL/0y c4Bt32K/dEIJESYR/jvJsrU9kz3tNLs7Lnmcs+GggNLecVHOuDmvHvQxoALG5EdpPk4XdCa2W6Jj PCFixDL1qI0k2FOfHNZDZDQbZUgjKyoaWjqYkmGEg2p9K2d8qixLSkCEKtlwYa3QcoT1oZ50qesV dQMX6yNSVDysdB9R7A+zIaIojfUAxUzkxj8wmNgEiNMGjqbGzDmxGcEyxMopje3jiAzUBUlbZYn3 wULvenptjV3seAAld2dCajsv/JR6Th1zvt0GYU4MfJVTFokrW4JuyBDR9Tp8gOFNt21z/B26v0A0 JEnQ2/pyuWERSuoPn2gnJc6bNstEiaRag421Or1eMRB/EzVXyNpl5/apQhfaWymH08BR75tI5pdQ LVxtk5tJKrRTxwnkUBr++BkDZ/hKKqhrH2NOxrL9jJfNWDIVFkNAyUpRYgvDVgPuUtd+EQfIYJuJ KF3o84XKfId459FIxhtKSQUEghsjWN6QPrzXTV616KjiVjxedwQ+4D0KXfNRC3MU361IsdfXs7xB IhEC/4VjFwLXON3rceUsDU5xti9/IgplRIFA00zTOBFrz1oExahy0cC3RuG7vXI75Aq3gUecDlO8 wx/Oyvn2uZVNoAXb48BrcAbXeB1fwFnOSdUseDOoMfOY+DePubgjRd2OE9tXY9FggPLRVDo9+tRd mhzV/ElIEb8X9Ve7OKSg7RMjME4Xp/pL8k1BOdQLBhgEaRox1sn39L/GnrMDssC7A39CpNz9LvhX bi6rdDMljMNaEAn3dMjj25gpjkRrYnhzPHParwzmHN5d2WOfZ6G3Jrg9u0KgYFu0Oi7ghBWlZA19 tWKXNI654Lpvyd87z1bvYHrR1UWztvD792vxppYcsCJp4ZXm1OU9obu8fMViAaL4I7pZacgFT1YR qeePTzmvhWXIMxym8IjCt9sNiiwYri2IbdZcDZIMYOF6Zq70MdONcOx967QpMPVDqdoAXHtqJ1lh EUISoF5L/60HSvNB3bPkizTyFqxyIW00NB/NyemxCAGVl8bR7WbjEm1+ic9YoFyJZ+L3zvq1HbaA vtS+dKdkejFICBBl5dqYAfNo3WNmDr1PefMrqYdfxwyctAZ4c3XmHUErsd7hmn1sV2cgOYvIsL7b JgVk+dYySqLEPzNEM68ZtGTYPFpdgtzUBW5s75m/SRIHaEw1ymLF7I7EGyvJWM4WdtMjo4/eYyZb 6dd5vCpwuxWmz/0jaeaF2/wRM7mwQ/WuPfpjAorLv41Q2+SX2h33eU2t0IwlF5LnZ01WHRMQHbM5 lPAPMylxcD0YN3dt3X6rxikQ/uMas8hQcKhkyYx20vsnuGwm5aa9CFJehIUxrIeVCYxJsqaoSQHv s9bL5TDXzgdZizct4WKtUHv5I8DwtnDesrFGPLicOOz/oG3ZculoUC9V2YlDLXJZhehLZTNHq5oA oS0GYAAjozrQxvbP221SQQuE2MTRqHXFCwSSKyJ6kulUl3oUBy18ss5vVPnnsGz26JYH2baPTC9O DIYAUqLsDktOfXcAp5tbKoxUMTInZhpt0XJkh1t/XMuy7liRY3siQbQrNWV2BOjyGHKM9eLJ8aLu 4WlOTp70H47Ci/GkxNzQ+nDBNuQLW+ioEH0XGVusp76XTCj7jEj3RBaPpgKyMFH0r0ex2D6H/wzW hllRNTEQDhr7NygXYJZqEzYL/wczBJzNHfDfVuRWnnPMCUD1YjpN+/yqFpblnepYtuZ9pJclBJ7K 8/YbifI41MJPrElzAMRRin+Oyho4ptrj1lxxJRBVreCp/JkEfGMhDX1eJk8QdUF3eC74YBJXXowT f1WfdOnhvTbHfNsc4cCI5avluLf/FVDCf9Dws2/iu7sXiHs42OfAn82doLBJlyyTpzRct+3q3g1J s5qHd3RcxYVZA2OmIdsMWhPuIxz0B8C38ALPIlT+HnBf1xvStmfUNDjOwEW2ZNxNqd4a2XSH3ElB b3tuy1Tw0fmeAxvdlHAsVsbUypUZJouZroz2+OwDw5wfWVpBZzlpoPgwgMUcq95s88jgZEmewP0e wpvPYGK8WSDF4kYFZKivBF7tHy44gSW2svgVJzd6vw3p+FmPnpabGpwiHfinINS9J4LZ9GOKA6DN QHHjciKEjp7kiYADKx7EwIK9qOQnj/x7vfQELxPRTx94OvAbJm597CTt0EJRLn/X1/KnAukW7K26 EX2DoxxzeTY7iqgQzY4RVLKC+K/TZ1nr20cqiNffUMs8jqINmARPGA6qfN8kdGtqaHXTSbNjIsvD yJeCDQNukos0Cd6484G1AI5YjMzd9gT2z4tr/uih//nsEtfpYruqpLbeLk6+L9y1h19QceGMNf/O dvnoTfRmGhd4P9R75voKmQ/m4bWAUOHPArrnWJCNUMDhJ4ot9Z3e4DyZ2RSIPr3Ba3BbrBpK3sgK TzRvd9Nin5SaiGArP8qFXQkwxkDPcJJlXfsQMVhIJ3UXpUyzCFIikJ0srrcU5Ii62VVRebCrLSf1 oZ9wPbFbtKDp9JhfmbJ7IjOQlZdWnyBI+EhH7PqgIDgcWxyuMd5Cn3oGsh+GosHu+EmLjXW7D+sL naTfW/Q2TgVwATCHxlis9V4ufxTYRUxJgp+js0mUeedehAafpRLGxu5B6wKg5DTdGXxHsJTLY9nC /gXk0CNzYiu6Hs0Kh5bve++qIrV5Ped7LLJTChLdg3hzlCNbORih4FbiZl4iM9NlXXp6oltexp02 lqigcNbGQtqppT2yY+lgZDzUoyJQBjhFfWUXDPVdxkzawz+tq4BquWDEISRMiedpR8ODZh1k8CVU xL+ebOnsczMFQLqrH2nWkRn+REDQ7uJPh7TFsUSIJIrKNJZ2jLErZa4m7itFbrulcdaosnKwACTB uRKPjK/FJ+HROYTCz5dRNl7BEAGYKQc9aLNZg2AehMJxnFz+B2UzBEnF9kYqFsjo2EHzphgWs88T uBveXRSmjxHRwakhAgGFAuGuhOi+u2ihySE2nnk3AG8AzUocUy154JJnwoHrqywctFeWYxkERl9l ndc12c+QIOVngqM3hekcmZ3jbJR0yZlV19YoVE+3ZRpx79kHrb2OjsxRdSNcNHmx7xhDB/G7WV6u 9JKz/fwK7ABLxS/pOT3VUZnaaPK3P2AWCovug+r+aA5KaZLpuwFMqFr77Qe63KHV76/kXg3dwrTG BXjs5DeLPLZ3Y7jpaw47L9DasoXA2NUBtM97lUHry8GF2cKNIMSD1lqRb6NgmzmjskMc6BqLLub+ sfKimXvksjSKbPN6efaQPYRivqBnnqZVDfk917ul5wHHz7flysNEF1yl5BCWZQN5AjXC7bxRcI0u nCc9AISNFhhr8Z7GeP958AiOp166OS+0IYyyqh3zc0p7MOl12sbvl78NZG8+zOdo5HI/Xey/jQJX 8MThOKTQ9Pqwbjw3G/VCwUK2YXH5bIWB9YL72bgngJYX/cutbNDp0fX0YB4zX7Au6pdIPlUs/XCZ Ui76lBeq2sHMRfcDdUA8UukdWuEEYyyVGhmz39yX0GeFMkfzFYBk300TPpZxegYlIebo+Xpq2My2 fB6H+IRzUHT8+mN8Iuq01CVcA6wLhwpuXSDBx5iNXfQrDflKIFDDNG/bowHoi9YGq0S4+atT179R ZGt6xD+b265hkYsP4Rq00hqyVXmP0G/23IAfzfmvp3uofhtS85dYQuV8jIOvPqZnkEUF6ZrPa9pX IXyhCB3/O43qF635++YiWHn3Kh0Sw88lBaEt2Iy1942vXJyQDmikvbhl8f24bGOxM7Va7T8Pczf+ ABNqAwkkU4nbJ3LEAiMmQvuiYLR6k/dGDVo9ngGPhcAhM++QxknO0FZmOr5IO809d3kWIxEGnI2f uS1y6TWQPUpJMAmLRjnPO0Z2PbWbG4mLLXU36VOgwf4fZA94LnEj+p2m+RtDdD6VyeaH5peLDxBu w3nlFFzqaTB0ZSfN/EXoqLANlX0AasbDaS0AXCEnFTHj/Gpaa4BGWUv4TcVOViyZETX68BO0hZHh DCu/QKs98yWIeLTWLky4GkogPB6yM+j7cWxZi4yzSMkuVeVOYT2pLHeRP3WSS68+GuprPtuYIeB8 kVD7iIXBe65VhJ6Mwt4baD97VxbGJ6Ge7l7WOnLu/9qeDpDfWdZgRIszI8oNwwgtuDIzm/dZM31a 3Nlw+ju+Ls+EuOZrqQBqFWDyGMUZ6mX77jA8W5ba1bPA91JF/8CLl02r5HPwjLbv6ty/RC03tarh UX8gTsYXFLTD2x4geBcfuLDAkLCXHuMLymOz20pdqQYnsFG0Ow/Nc1i4z7mrMCjEkWWxG5UOxiGQ 7X+5PqGZ8lzpkXiDY5G9YEbhDfaMb2sVEhZsCNa1xHAL4It1wRnQ4c32ovVYqT7FjeQvW9bMPnWx TMDbKVdXsFpUv2fltUBUGNwyhSNJ43gWjVrKZvezi9SPo2KAlx6xML3diAsG4QbwtXcx4aSIDZ7I bfEbasS+isRJQge/nsPncsU1awWfNGIwCTuEZX8P0oxqS7k0w2xeTE3OeX9aefWbeQCMrlCKujfc 4TNJHbw4XrW/Aq+36mKmedjHbs0oXHN+hLx4UPCZWDTtZf0Rr7wxdjSQsTJXgiWH/rSKsySn0xy7 zUT591hRW4yjrBvVIAhRiTCgKlcj0qSQa4TlI/padygx9or7eFExYDe5tHqNvh23dpRTOrBjXWEP vNsKG+iqmvedpWaC7D8De2VHsSCDZolz11vMcEefFNvnkxg0lXGbIrsRwchF1WR2vC5ocfikD6Rm jzqTAQUZZ0gQaNMzmQJc9BoBP/dYw4nVPJvbqU6FPIYSDubqYKCoPbqnZ+SGwtS7E6JMNCRXRrQ2 DRwYMKfEcE0909PwKT3kRw+WctRMs91UuEos7ZF9Efmj/E7r+Vsly8gRAinptaSmZfdnNbmJReTE 0/3qDihJSltuTfwZNjN4hosaLXKugLIG+uU8ZPSlYjUy2iSAPR8yockbJa59WzxQs1I05ZZ98KzO z6w4ewkyt6Me9fGNQ9gXl8+jqLzo/reaBKM1VP1eAdqwYhpMwvcf6eYcVU3pVv2ilwzoDrDduCa+ G0ugB+D/d0fgGjwYhaoI1xhK7VjSDde/WQ7aPp7xNOyBsEVznhRXx7Gx0Lt1R9joeWkGo0ex5H5e mqk/J1keIf6o2JKHtZbRNYZEWqYxTNhC4rs/QG5ez5c8Gsh5yu9sgIWLNJRSEK33H8CNGdyo5FCW JimpO+Lj/NbHd2yaHyaBfaxydT2InVkS6245jFYDCWARme3KT7WavWBa8mRga7gXlbilVF4jK/fB WMiTZ3v0oYB2boZcDmj2jyOtvbyjDo3idGVSaRz4OqA5p+HnexzsEM1F0B1MpobP0hvcT5NE54ft hWQ3EexQL3w0KirdES6pkta1vh2Kg/2MN3JqcAM1h8WYv1Y373bHe4AzsclKi4+z2DpdsLjh6K/K OQH9UL3DXRK7Q7LPgihKr0i/MQ2iTybu5rLpKr1QxlBOueJ8oN+Is7efuWfHnVdkHk2PPFHBSVQC +1RrXSveGHw+aWkeXsSC/s1TQkCoJAMGKnzdP3dmzbd4m4b6LC46Uqtw+G8sobcz2LuXlGNYOeSy ssKPrJFSd6+svh7Nc04BPryEM4GcwLNatTif049rCgsQJ4uIDnA0n3PFumpEn2IKBTa7281E1hiQ cOA+2xJuNS4UFM9YCdG4bcNM3gd8LXO+Sit/NpUe8pxA6OeKZoLhPeRDNtDOSISZvHIhJ5Ob6Mkt goQx1p/FQkbRElBicVImePCLRNo5f3Ym3ATIt81yChrax3O460hebP3uE+VnVrJuG2yWH0Y2eZkE Uyt/s2iW6QFdUxza6Nza4onQLQ+OIJpyL+SkR1kPnhcfWiVw9Nhk18NkA74WII5rXFkombVPSpdj tvpEsSBdn23Faf2WmU6dYoqPf3spnm3/KK/MToq1JKr/hy43FItmIOTrsoYN19YI22eHdh54VQCO mBt/TkYdzf7VsavrbttAfQul49LQNzJRVoNHXA4YmMHNOc66zJ1YyGzd0oAvm6Kic7rFbFIu1ta+ d11+igLCSOl9tfPb2fVaPrbyHRF+1ezphUTY8JfraLVXRkdaWgT7kFS9NqxluANtyPepxBremyT8 cjet67s/4gvoS3Fut2jMjWXqmu0Zhkf2UMsKfVHce/LGJ+kZsiYSYPdLtOgfSisPdm74gO/oVvU3 6aRH0A7smMX5meFNSQGImNriLirRcmJA+jQmCVZZGh4/yThoderh69GC9x4XMKNo68mJYj7jX44Q uW7bTaXClCmph2tdatolItNJOJ4sZjR5CkfKKrU3SOxqr4qcqrX/l6yJ+LKqyz6mmFgb7iDX+lRT FhUiGcykPAT78oZraeaAYDawiOQd5O8LE+qpVojZNae8iH1u+jOYaUMqVp5zA0/j87RNnqbKEIrD EbIBw+hUeTBirNqoVgH7MOQ8cVGhEqb4RDd2AfllXHgR0RBPkoXa2bL6sDsDeYvlZq7pteU7E9Dv WYAWO2MJN/mtGSWKJwe+I7QWJ9/F3nytDppBZdbNgCpqX6B9tZHzqezepJfRyGy34OT5+CtoiQgk dREtZSf9OI6jp3ztwkYPKw3zq0XPAH1MTCTiVR93XM4cqPIgIYscN/lJ/pWorHxaLYvcZqmw8tAN v2oaPt9X7GLK+rLpH43X6cT/6wIzDO+nvi7NXLTujq3dtd9Q7Ut7yq+ebqdeU93hjK8+H9GlStlg S4wvF41tcifzGeYVoRHRTE+TfzvRK4SiOJ+bZHtm+qxNcoNWyJu0ROY9QNktINqWHMi8GpQA9Cpz U0t1ufhJX+8Pqem7tK6oHHaszWTfGAe3rDkX1CQLHgnO951ZZkMddd9rna/F9cWRqDG4iLXA0/bT 2ZuqZ8rycTaqARPenOkkYbNlzTqfb8jLYbf+6bY33/qNtt3fVgZxAEd5j4Z/5hq3IGyvve5boHHw HAYUjlwCQA1s6OTkwrTkwySFwWc4FOqoqcEuh9nitUwUXxU5MEkMOq+AA+4bUTW5kRmtiCxdhHyR VNjxYlPfMy0YHlJKdrbxNQmHdz2HK4W6SZ7okf74ob3W2oDaM21rbSv6Yh7Pp+hAyk5jE30cMTIZ dmnZwbaivndXNpbit4TMWYOoyNG4VQsOSe9gLxWbvM2xAokx1zE5yViSJN7x0OcSZeynwMkeGUzO djU6pvNJZFFIM4Kd6sK8/hWG3RYME+7kLIdMovC6rlHPRzCWLNSfeR6AWHI/dgTsmRCrNk94VHho 7f6MvOry9wtffGzyalGWurpfAyOKqCGuI7MPPabeVxK2fs2w+GaUk/F/tx3X6DLTr2O5mNRMtGUs 4VaFviwCrQyMiS1ee6JO8RKlZKKpSdOpRIX2Y3O9ki0vJmRGpPIEXTzRNSeu17rJLbPqyD8zCs94 s6fusCAAe+YgZdJ9JQDspyFq3hSrhq/0PTL8ztTLf9Je9R9OBpHtYmWcEKv3J3Y8vxHCr4jKl4WZ 1aTf5U9L9tSdNU4R7NUp8AEiLXAY61jN1X2t2ncmUpXywJ9qFsKo4v1p3LWdD9ftlMc4r0xZunNG qqD8EdlLWxPSUoAz+e4YYd1dBuWC0s20LovveqDDYc0RgjBtUzVA0XA8QMxpYCSSrZmYpdGOpToa UYCF6ZyIgPnGIVNZWSaZT1+SJgXGEE7wdWh2fA7D3FAYKEkRYU9h6pd81kIxiMfrz25v3tGMpZaE fVbDaxX8Z6Dfax+DTneIV/p5nbK0+zeL2VyUqYiGVJBPxW5jTbUAFrRsl0XdtJbxautpsY9312lK kFAHbml+EWDzm5/fJ+sqbIbvi5xaI5UCzCfMlnxNxwNm0hf0ppbzwJIm5oS9okisav31QKkLRR1G WCdbOcAePpVZNJRvk7eDjRw33CyrX5NpmYPJFONgu9cu0yHd9gXHJU//lx6XEyWBIhQk7UK7+za+ e9ajlfWsr5g5YavBamck3UPQ2W3Rwxs8wgjJCvTAwy2ehFTK3Q7bhfHDxOeh+dgIRkMi0s85mmTw mA8SEBJx9ePXN6Dg9iYETa26XGODWvmW9q/EN+Ogjn8Wsoquz6wITduXU3YSu5FcnutqMrwiz+AL KrkiKiUG0EGyaqbvdVyxWGlDhUedGeUAPsAD+5Cb6yvI+MZU3jfDcLCY95t0IdfEjvxss9SoHTrm 2nyR0xTRXE17asnLNwA+oYi9LtgP7JUpNlrvNQqzg9Y4cn1ws4kl19bCiqg+KH8oYwZzZsdp5eOP 8O/ogTediA7vKvMMmdcgLkWV33wNhDja0OOq3weFOzR2Qg0wvZsvxG/pDt5r2n18Z9tp69buSPHL mdSLhQLDXjGj+4m73RgI7pP67zOy6MLPY/3G+/ml55mZH7AHqNYnCoTWd/ONhQl7vFRBlmgy3gIZ RcWx8BwxT9dhzd9yqyMq23LAJgCyf5npnnjRD0j5LAUbdbt14tk+DZ4zw7GVYbs2L8Pl3juyiIZ9 Xfn82zXFDOKW51xomjPoYiY0UIFnXhq22+LA4hjBxrorxsF8GnqpqABrgzJcruTI7ddrPnMLMv7z IvuIxMT+Lp0HqMirS8bGzgQfWMXTRBIC0COBaH+rE53P6K4w2xaJePLZfzU1KAgLIODsle1jMx17 fWRHCBUtccIccrX8V620ThJqhoSM4fR5oJr0L48/0MMG9lUzbGt4rsvrpyhaGx2Xy363KKMSLLEM AqixFnHNgqXzW7fbTVL6q/SgfKnxUr4/7d06iCrk0R0L9d2vsbCEQfkL8BGFae8vB0ieE/0N7AU5 0EIUBSMlur8c/ADS+MvQGRBqp9I5zo5t1wvFnf5CWD+iZvgUmNfK6WWuMp6NWL/uWoAOi4eCnhro d2Qp3iXCqwTsPKuV/iOg7IThh0VIfy/bF3R+z8u61n57FEy5aGmDCF4MWSlD6W03kEnLNrbRg6dM Uyw+CnfpplUkq3w5fPnRDVOBZwSihKrzX0NZfcicc9ooYnUpCiB7pUVyUYIj7pKCW+1cuyvF/82g Feccd4itWpBc5k/O7KQ/z2mq8GyxMt/qVmzhYhMi+fPUAkA2OcV2ngxv4uwV8s6q2U5GwipEVAZy u9Y5Z3SiHyUxOKCE54WdPG3xvTQT4T3gwYvSOKo9Lzw+s61qyUWBwki/+6vPTU5OAZYg12UTJhVU 3ly/zSyRn1/LeJX+fhc+9r3Yzz196exhTeQGYEp3FnMdZcVz+PKf0QoRarWbqL4PHCCsElB57/or 6XJhkkzBZ+1PUAuYlEnVjPCNjsl/G3+nnZmj+REbyFxyEgfntoWKBdH5FUlr+lgcCqte01WNbIwt 6QT5sHAxV9apfo6l2OZBsjcHUhuopoQmWXNXUD1dXhOwC29qZrP4RY3swnKh648OlznJBfHLEyWr VL5AugA6+Zlyd6HjOokKK4QgKqG5yxc12qOi+oC0IpMg0BAYQMqc18P/O2ou2zcWi5J54CZU3I0c saJccRd8RjoKqpcgGYZ/pbUTDC71Wz3kGp1CttfUajmUyj9uYJmDz7FIUoXIxy9U38Tp9PxhNs9e VSDwUBdMv431aGnRRPdx+AGisdpdUa6sKhkIzLCxMC8sAnwe+uQ/F1hOO1TuFZfbgJkTbfKeweuP o4u7FJlUxzdSTU6+tmaHHIwwPe7AnS2vldjRFLVsJWUS0sBt8jVxUvJad4v4TnpWEdIsHnjF1SAu YCzEJvwfn7WYV1SMTU5qwClCy/oZUqYPKswpYKm94YufnHBX9t7VhUcH1tM6AQoNmb+gXh1/rTrp amIxm2hf/B3oqJLR7jJ3Yh4ZFXtM4wRZ3O9XFFKedqq2wXa6l8mVhk2kM/lLK0MofaTZFLEv5Amg 6wtzycQf9dPcOQ7IDsezHuV4M2u2iL3KFQMN6H9ajf/G+cSqeGlcqjt+QsEp+AgUdl9v4MIKvu4g wmcJWmGlgkRILB88fuRFMio8z7miCZAzA+e78EqrL5G8edKZhz5UzrheXlD7cEAsVLkcmrgnQncO bZJm9xTyf0SXWOtKr8b0Psbu9BR3ml0joUZLhgxy26hB5KOFGHPXH2lwCEsEr6PIB5MQ6zW4LM/f 14HTCIukObg3xREbmS100wlkX+E40mMJPG2lRm9vzg2OdEhXuVHT1Jnq7EX/i1ZFKtFQBa+3NpS1 dT9EXQnblPKuFYeQwEZ56D8rKspRtLgNUk2ZNlUKqWEGBcG90OuXzN42kpoImxbjnfBZR3DCJOl3 0IY7vRDtxZk/0TEELETXDj034OVNJQWzrmChyyjU6wO3Sgq2tI6Evp3l95vst3uFMl68IDMHv2fU fvgZLwxHQVN6oEib1Jj/XcwxkzmAv938lkrwzdVA/ZvPYXhE2Eg2bnbEVnaMRfzGlOS+0RSKVEB1 kTiESyYxRYqeWgC6rNUlxf/0QwXVIzUQxN/wPxdMKW/yhnRGZWHhkhP1Igtk8O93RNiEbFLGFdbx 6hvcoN51R2GU8PH9qZtGqMlXENxgEbqLbBMs0SGavSiicVqgPObHO96tkTn4Qq+DKQI9IZ5i7XPb p9B+9Gy8w3Kb9FPRwGL0AxyLvA6KIRS8fK4lg2+8mf+po7vGcXLxvaga9gXW19VBFVilVAtsvZpJ ecB1ToakApXoGSOp9WrmggNWrW/nXjILj2vLGx6aqS89O0lNbXeRnZnUa0C5onDVDoIn/xmnE4ed Qf/M9EPgiDq5geaHXVFiYTmZY26UdGB0zVluSK3YGz9D45kQxWKaI5V302IsBnwRYutss6o9iLVq 3R6xPBmZI/ATVryf4fwJU+alaYMet1CBc6eJuTSvfl/ZwF12Hco0QtCRDLGKUfs4W+Ix84xwQDpv CVhq0ugvKq807S5F2kmiemPKEQoWP8lO9NE5pN6n8GZYfn18ZRFFVbr7oGa9NePeLvJo0Uoty7ao +WkMXShYHA5A/LoXrbjtdJ6aTRzQaWGeZ2YTDVIIho5Vb1sQRF4UNPvjjwZ8SH14u1Eny6KuexMB AMdWvYUkk7kj/uvUKMuJcb28tfecHYhe1ybOMyvF4Czo9NPhao+fuKJArBBkLeJvvW1sD1IGUY6/ XOn+R3tVt9IVbIbD084kcrd6doiGied5eFJAwENGM6MsXJIZzkfN7QdFeoHNFOEe177gkiHXFMhB b7yZWE4evys1iKetbOPwQUC+oSg+po0Bt74nyX6XyspExYjzXve+FLSJsu3x7hBINt9mB8lBFCLq JhhGbrwA/TD92rwGMBJskDFOeyGOSUBBzbLFNCbIVGPeJIL1Df1d/9p8d6kt6hn9A8feStjnQIVX 0+/jKSS/hMH7FPRqz2ZPeo6EML2dC9O1e2PWIxYVfbOfGQfKO9ainrcdphPD3geDXrzS//X+0iVU zIXszLQuhDQ3dYufYi9swUORebrsFISV05JjaaQv+JIrKRKRfQ0aE5tQ++ohLNrMEBQxijw1JVpy 9vDus/0DK1AUuFAFt5IdcEZfI1BM+WT0NCQ4M1boWUxvFptALDDa6y0qzD55XBLnS+kQyaVY47k+ iwXGkgKucNJU1liL+jceSgEMyg/DpzHw5pwllS3Vxf/Zcp9DQfVDpeRnxKuNV8HyP+twr76uTpV1 vVSAf6CEDEyurmcAgYIuS6FFVcgO0XEDD5AHPxd9xEp/Yemon4fkQicrCOq9R+QGyOKJBCM7OFhE uiJjv6fo7iXLJJ+7OQhvQS4L057Ym7tUl8bPjH91cD/LbPd9r2iUD7dGuikYJYEdWn6onVrNhJej sgOVrEjdvLoAIRHN3/eGx95bz5Kmtrymoa3+Iv66VEIYqT15u/kkym6/GYX3+GJ0dwsX5jnSFzDk 36xk/ggnYSzXzO6YJTn5e9v9iGsDkhITyO3+SNevoZe6o5U550G/yAn3+rXWo798beCCmYB3igwj OTxnpmPdn6TfydAedCE9tYENiwrmRmTlxF75AxZVQPkRvLF0WK198E0E4BTI9pbl6vAZSl02AcYt 44wYt/LYavQgxo88enJ09UtI2bHAbvPTElfdr4uiKY4098xYCedO3abWaSmY/ikpXF1J8AEBzROS GdlBPhx8VM7QeLjtQUAUgfo03Wt1NJjwCpOdNHFguVytFn5rMBq4JORsm1I4VDICEmr5lWILTM6s VJbILEf0r4P9ZYXRKFJLHkLIflTFCdtdhpob4e2OOX4xt8QgJnmpejVzOe2qC0PFoH/QHza8qpWd M6jN8KDrRK7HQkZy2Z90IqjhQDdkeao3ZufqEtiNkUpKDwRxx+nqEBaNVGE2VR+gmdDCBc48Fpsu i0eJCl85NwBcrcpHGvUr3QoUg3U9DA/BTjuhSGpnx7wpEvfwo6DhOQRn8m8mCJUa6opYPcDlzlGF hF3OTwGAUTrpDXJwPcSpYOlg0AbPzbQrdyRPZHeUA2gYR51htgNqLWtVCtLgYECUbbR0GeweMLJT i3KOPbnJYalLR3B+WsBsDfWVyUbhPsG8BcEHkqpXj1hyL7IJMcmDTD4L0iGADN+JYUMYuF9eyzSm /PD9bfF+1Adv/v9eenoOTDOefAW5hTQfdT5j0VWEXjqU3VyDlY0c0fsqo6rEJQCVcw/40prYMpQ/ cc3yCs8ygc+NEdnnP6qukMwvMLzRC2qN1oyMo8JRRFizjnGX01ogj/TE0MP1K3fpl6r2CwSx6Vbb qEoe8LJXmP/GIzLMUOkoNz1pYkfBLHAwVl/v54jSgHpnFlDX0x6lh+L5lIJbFiNo/yF/4kLOVmZf iRQE6VDwe1GDaG6UTqinn6CKgWDeE3cCJ+4gxQmX0O5AVl93JgY8qCnSyPVtXgrfjBcVbX7QM1EH Dsz+eGFciLGDbF1ruf+sGkOYwGG0zqfmhGeI3vFoXQaRvCm4/msjuxPA5csuwONAEBD/rPeR9rYa 7DhXWh90MkxHRSktUVU1+7cWjoSnnjjNx/owOR8HLEFYyq6rHgDGUi335mTM5imQCxOwbYWV4DEp kI+4UiJvBrSlvTX3Fm5I7Y8ydP6WUgZcS+5Dh3JxNcMyo0bxtDbrRj7aKTUKrgBB0BTeXsBMb3/k JcuQOQoWN2mSknEOSo6rGWaJrQXGd+XFh/1AKjrk/TOFzlNn5rk5IDmmi5blLDC5b+yyUbyRGd0k KMfC+/bu17nJUW9Lox40DKjFL61noTJWbdv/FE7KvDj50rX9MVBAvivrtTfDqQhFbnEoM6uqivZj GO5ON6deM7lDXzFL4HXe2SWuFQEI64kO/mzZFVSMnKwc5sQ3OMCZrHSxoiJujwaBNkmg37ZKXPID VzedF0A7cE0y6AszLAwDt7+JJZ2sWsTFi8tiJYyFYfyF4xT3gEyINISCFAqqIg+C3eKlz3oQfNDi XBhPYQBaRzgaDtzMJtEsqf7LKBE1GndG1KBehhSwrsjhJSI7x0IxtqZKR0InoF03QsLCKfuEgyWg //DeSCmkwEq/frjAhXXs2WlhVIEiqBlArb55pupHPvI6QDkM3imDX9M2TlyhymZBTYPwECpPTkob mHvKqlhHAviBW6aewyskwVr70WakuTzORrAV4Wgf2EkfXu90Sy65CqF2R+yjRI2JQxiF9KQLdWtO pfAFjvOXR/y6tVz18pMyxudaSU/g+0AbxOhtGyHEn4kwM8x0P4D0g6kUt4sVCbtT+Z7O05sqBsV+ elDg/+OotXjVk3bSaWyFyXyUb1/BdjXFMAFPiSDN/BncHLC3hMLlyLdZy94YJqS5D9bBgPtMUHMi qcAmlq/2uatytMBxlvdwS9++k5Bblue8BEyeeKgqf4f+90HOIwWo6l+d1gbGNWAwLLlmpUfwvLnw m6cEKDYt0b4TNt/5LTOm1ribztjB/cDb3DCNmqfvKreuYSsMU0gNtDeWLnz9YN1OeRcbRjnDf1Us Y8hkWhzNXI19ekYsRDY3dZV8+oFvs4LbD8o8fMZdA8HY83y9KyFdHI4vVefO+P4MXrQM8OKVJGos 3uQT1RchePe/sCe4veGPOwBxgAeETGbF3h+PrLcimp03A+HVgnMEzBNpQ1HnMuVtIRQBlLsoxGOe HZQwysJcxcOwWaRfxnno2S0ucAP5LYee57JpccgpUGtX9bdmaFB5DxAwgTrovZdHuabdBIe58EPe Mzc4KT49ygkQs+5Q8cIxMjRCR2w+JhcDi+DLtcFfMlY0UbKfSoPq1AAOhhBFkCP8BZMC9nkRPBPS 1geI00kHl5+DC7h8VfprpcmkU9mvquyed5qEmdHr3nkhXGWKqbSkGhBnTtHfgCQE27h1BuF52P/6 ZbqbAEsUM8QZ7HJqMAprn7g2jGlMiEI6oMnkGQqKCrWoS2SQdK1lhw2LVH6UyXctzJ2bcbiBvpLr maX2Jw5+xYIBaSIJi9XwiONizLimsDIbd/SWx5lKZiZVFnpv8+1yYPpTeA6hOpLC+5tNxgb+YjTa tXkeTws50hypV75IYzarKS+VrjFnfyC5ZB9vmAWRCaByyGGnHbWwCB4uUy1FFgvYmo7o/u1zTZyF EEXgXiClDIEk+yHS+msq6WyJteKCO1xhAwih6xAwPQuSeabupejxHLF7uLkulJjhdH2UHTW+I2uq EC2n8ziqLwHme3ZPCfvWhkN5sqgXx18NeJ2TNMw6el6K+HA1OpExGa0zNZCzrppFleVE5uKuTh8d ecJHcgW60azX4LHw3YvNbF0rPvW7kVLo6FRlcgEkB4ag1zkMyVikL5lvvIaWZ60LI58/s1CGVmEo Xao7ntYBIdBITRRjIzIMTf5GANpxSdEjj30PRnTsd4O4mqu0LhxKSw98AyeI3QcOwNchKviHtTFv M9mezrRmHzCBXEce3BRtosh3DWFz2MdyQwG8MPF8C/klnIIhz+oAhRNR4RJIzyQf6P2aryCl5mAE sizPQA0PD0lXfwYO5iNIDfo6KdVJdwn89ZlHwBM7rukn0KAS4Qfycr2ROW/w7U1Cg//xnIOH6NfU twbxOgrFuINXX+Cy0XCxS9XhPTH1iIInORof9SxdwF7+aaMJ8+oKCAhaU24EhlkcGkfMaY0PrYey dIflm8U27pSxBC9X9JRXMuExPSavA+deYlZNi0rSfjVHARMPZ28HFQQi3s6UNcd0gEjpPsO5f6xC Vx63eS8m4ZYgekscNuk8O04kPELfF6ywyNzIERh9E3YJ66ZG92pLHbB0FzbrFYfIkC0o7grnySwj Fk8nqaYvLpwrQBsl7JUqCZkXS2j21EzCi/zLvFYv9yXdQ6RAZ25mQOfA02XJBd3k+WNA8PjVbvAd r1R7Z+u9/f4KsQQ1rMPzroKzwhVIWBSuKZp3RoSdvibahSPJPBqKVMM8HIlTOCEeLQVJkTnXKChv CyOZqtG+kmC1Gev6TC1kShv+qdCZIIocv/702l2ZSvXRtUrZtNT6hYsFU9o4g+kv+WF6mxeZNL4r gjuC2LYcRlajaIyIhgrXoLoO/5SoVfuOLcQnpNYvmMNPZGVg6jPCDwpf1DHm6wjpJG8GaeonRNmv aKYHtxzMJHGfsB5TxIBTgs+sJVWq026Y5jVzrW0HRDO3CNW5JmW5QInCjiRh4J9D082BKi0ZBzpo zBQzOKr3w4ISYPCLozNV0WchGixBNLizOyOUAQ8NuBTjCLuc06mNAnDm+zTQxFA3FzYFgWvu72wn LZGqY/oCaskATIBoSmqsDVYYtHf5MXnPWGXntmn7sB+5ww/F/fa8RlEwoeNxzZGjXqM7iw3rzGhQ ZPPPxRhQvJqP0vBNn261rdCQYWIEGpOP8GfIRgbcDvvrNOMUapxxcfsiWFxP6F8zSXLV9tTrAcfZ 6bH/vCRpSW0ZQVWfj5JRDT+0UTMm6M1K6MmBMgIH7o3+0CTxZtClhKbrpiHMlydbnnQtZ/NyFQEG MEuCf8q95GomFPPG64ocozPnuUh9hd6NDBN6sPth4tTCC3LTVGFAzacw+ksaskxIYycHSzUJGmNc BI8UyS+S8JUSxCUPgBqboL/WmsXMbs0xXUw5II6sAEck3owNvd/xV4do/eicMT/lRwFWRIEELZUI xmxsPNBUsyLh8cHphLpBSDj8auWcpoT9R+XpE76KBjNlTGgQXvqUHRA5Jsa6LixSSTeif2E2+hko JD/BJJvDlKoZvJ/1tKMNxuVhHGfdcNx4vrtYhG4UYIYJw7GkI/C+Sa2thRCeG1rWh3luWlS73y7Q QE065DcY1pWS6tph9JURZzw2yIefdmD6bENRpbekTne2Nbkjj58HTwvpYzAOfmR1MfCI+/Z7f4dW 6q0B/lBvPx4OBHTixrioTni1WgjCimCQgNcWzCKojGTMBIGcpfCUZfU4Stl0ohqglxtT6emHmcPp rnY1cEiJhX43OseCvfhJYntaW2n5V/hvJc0R9QRLaJakI9oYXuxRDVUepBkGtBtQvX7fO+pZvQl1 WQDmfZlZ8GdvQJjo7azO6HyZNgs0LHXHVNDfekXxYKWlYnqb8DQ5uiOTHM9p+AcRkAzvaYE+ehqe WrK8qprl6A6rWxbRkAO3wzuaTCEcCCI6tQ+CQ3soWqBTBLJ9UoxvrshZxtk0X3yzllTp0gUmBjGd dWb5elVghcOG8z4sl60AEew8GtK6/8HHwRBMeT4+Me8V8q7uiVm/14Rt32OddtjKcH5NciIZb4jY RDhBTeJKaLwqikovPmrq6/nAPesdPibbeBBxGgyKnl5a5TvhwTh68k5rM4IYz0bF+7y5akeTSDsu RJfu2qNkf4hVaSKbQRMIrfNEzkg9CVIc8Nrmrn6TFen61rxyyNZ3nCxHnfyeEm/rxHIr7ZMG770v w7zY1gGSW8LZ+itgn9+DbmMG4xVDA2X1/ggk+lP9o7sHgQd+hWAh/scqmAx3xU6R5yO1CbxBhQPC HOty2IZIvZrT/eUaxBdbzgecLVZDpBv8JcFEPjVwu2CcIudFBh0w6/jiGen1U+2rgXVxug3B4jSv kOnkCGLgKKe0aFchFO1i7hSa+14+ZTwKxMyibLVaGNtp3FAD3Iy6yqLQqspa+L+vRsYtehqgwOay ppDubc+42y5YS1FIXmiA3OTz1qGfKscVNYXqrChR30FrbJBONYtg4jp60blO3IEltJFY+QS0rHHS VovVF80ay19UqUr0eyACFfGivmzsy7Utrs4/0zyIL3Bdp9iZXnqHLM7hFgrTvPAYnVuX7mV+5RRO 9c3euuzt0SWn5gPQviUMdK30LnA9R32ALYcSjuRUG8VhQxk65Uf/rmdKV1t686zOfzS1ybdi04Zi 0EBj6PKKP3Zu1TO7GHcmL9ml3rzmgoEyodpXsCkPp3R8UXPXcsYY/yNqH0dawl+c1hR/UGP3YH/e 7259/Anx3z92uJf8m0hu+clqve+OvXORWEZUz34kYpKTKXhuuiL0hNGhO0L+PIlwmyekQqdhH3V8 ibqAhgN12FfsbC1EDPwGsy6amt5zpFALZyQva3e8QpvT3m1ysh5NE3w0W1FBILuz7Vi4AizL4fdS r3KtuJ+EIqU0KylbB0LYikI6c6uDImmIaX9RJaXZ9W2dgyoliXpaZdB0puf1T/QTTcStL+d9mtwM pzwIo5IG+BJQpjB/23BclJbJYe8O0HMkakLagB69DghX+pyJBaIxVykOu8/pTlJjmQCvU9t7vQ2N rHXvKPAULTd8VmdLCMoAlhFLLGrz5qSH5AQCtt0kECr5cRzj+7EwkZJyLWQixkK/qfwRo25R/pk5 I6HxqwbvNaNx316X3ETvo2pk2zk0tL+r9X62FdG2t3jsHQA1A0FWM4VDRX0o2Ie6hxXaCuTgHa1/ ooyugAuSqzkwTF/1wiprwYFs1QcA5WM8s0eH+4yV/wYjUDKDOsXnw3VZpSJVEflqYXscCanNpB7G ESvnKFO5Kg80fCagq2krJQaqkZt3AkhX+9EMSb++JvG9ExC70Xjk7lDPeQdRkpb9gTkdp5YAvNmg nr98BucIUHZ9h/rxOPr/xE70QagP8ekzGSZsaKGUC5QYdhVKG1ySfNKjEI0dBSnkI3PYTNKh6ABN sgADdUj8GjYGT5pVMPB0F3IZr2cHn57KgdH0O3d7FYiq2MVFueJK8LQWFUUhbEtPSxA3waP4I0N1 wZJk9+03dhY1Mea1S6G9N7G/DjYr9wiXn3uKQ7dlhrp/CU60GBohJuNbZxIpqO50++Z3XiIUSxzw 0IYekm792cJG4jKxO8kWO3+2VpKXm+lSJegpIKHaloyngrkeT8opL6u6rMOifCKoq/H4o9X1Sze/ UgqN2t4OXe/zINC1wFknSExrXuQsnok7xvHQeyw2KSZnMKAIm08rs0FkLqV3rGdLEHyjvSfxPw5O 42PNs/NI/lIgSwSXnj8sXXqOtfeMS2u+0GLTVLBLSFaYfPQaHYAw9IDuBorfzjDEynmeqZUQFum8 MiK/jcnIDLgPcA6GCNm6flNHsA6OohfIjB1dtR2AvzxweBWnKc6eIccsCNCC8mqwSUXM/2E64tEB QV3ZnJx/efnh7SsvYAx0y6WXJSYElB1VGKO8CCtV6lGKJ4KN3ExkMNcfh/JSbn/4e4//JCTV8zka QYffIDyyPEfvq1xUy+CdLen/MVJRuszqs4plD5FykvgOb/cVK+tINKFtq9uwXDrOdz6UBhTyan5L Ud5rKPUasULIFu77FHJcRxb1kKhxGqjPlabWyoBkaabSB1vyARZUHcjzfRUGUAWXf6DiVN8maARs oV2xa/qNwMmov4W7eavUpESP/Srq6paBUPiWsDW6/OdjqN9Y5MYwv/Gu9sQu5PwQ3eLQ2MqkHDZn L6UDDfvrGuGuZ11ZyqyQDgZoaJt6MxpTx18lKN0n2W9F3szYYskaU2fIPgnXprfMK/RXMY5sddhK 96jzOdE340lnKKtGvqlwPjk/Bqitrc+zzMjyHXZKz2P/T+Df4OCu2CtScgvamIJvLoLtXhuibgBi iCkS7fcgCg5RF8M1k1VOqVpHaF7Wtz04XbkkNbJzXD26MxfYaq3HmIRADgs9jDL6HD8IFkXDgxm5 N3tM3Q/NyR8D8c27vinBsR1/e+Mz8gq4i395n7EjoJ7+/bqnAWALFBP7bX/HL2aP/RSz9zdsT0Ex tD2WaZZm0XYbNtkg9mKBf5z4vTzgm4VKLs9VbTSYnUQzcZKcu2jz/Ng54k2uglKek1vcXTJtKz7q 8SK4z1YtEiiToC8JZITdVIwQKtNYx7+ZeD3SUVxucIb2yc/pCJ4eqGr+7et+3TrbL6X7+aPg6eXj hDguvHexZEi4mINkkXtCOjIM6xLY9TI5ezIBvvp1I8Xz39WEbVc4dqopSOxm1lKIDAjPpRPKeg6e CaTXoR3nloh6CXTGvhIDmUtFb981+ALmul9IyrqM8B3UoGQbp2rQTZAUfSaNdl9QRiPz05Ku7Twa 784rzCckIX3BivzkzxiCam04c3DfcnBrE4rTvpvcoKxHQlwUjfruOqTgLy63YTajaPw54CdgHrb5 LV3g8r4wOHfAriMKvIOJTB9nLm/FtvnLUSOjY7wHY4cTuNYYStL2w/HXRoKu5wdlbPPI0biQmJDS K/2Rftd7BBlITyHYQliENRpHvUzLSyazG/UtHy61sSMXMr400ob/gXGXc7d9P2Xd+9bYv1gM4vAi rVS7WsfMqrunrbSQJs8Tg9fMErOUggqeizGocpJB1BXOT+Ecn1FW8pucX8rR3WH2ZuAz1gykD+hS tvPhGP9VuzweqaXt1ifqtOiX+szSw7kJ3/D/pmm3FDxKNGxmHKRrxn9UyfC5ud3rtIBiabCa4kWg BS3MwKH/TkFpZekP0tHV3a/TljaL6eyoFKe7tYDvB09tAGZ6ZGN3vzsFUO/tO76uUk/vtacbHqXY GUFgF377riF2ts8rbYtQFhkOXJaVM043rmMhd8GtsF99nkSrVsnMWmV3n7ngm3JCzwNHgjQ6cq2+ jRUSsRjKwFJrmUHVeCWKg5+OcSMaN1BwRz7Hwq4CnN/3myhM04fCeTAjDBJuE9HrA5KkwZ+nzMnW yuCWmyLdvlQEbxyLo8rlOEUqRzVTURAQGkgm3N+bIjXqV5H4FNKmmcVrylELmqNcN18lCKvYIX2d Jfsmngj2ouydlEcMmTIUMkJskWb8ZO/YPXGB5wyOv2+lkV3hZtxqe+gtZHE+UCJb+2ojLzJK4hyz NA7A1Yp8GUPwaDs0USDOXkdM73nwdJ7mrsEau7vq07oKH5taribRGXFU9qLcROsB+dIyvnZbMvTl Arfv69ZXNq3Hf0jGF0kEP9BNQMa4A6dANskq2aVdcnJrImMOWRR4KBEfzJkmjTwgzZshADZg2pAA go8l8dIOIIhqD3k3Mr3IyainiUBkjDnlsVkNH0sFRy5eVJIYNFKhcobmpK0LVg6+EBZSPytzaanT lpb7c8B07BRQWTraacuKH37tcysUfWkU16aq1juBpRYKvzE4K5CRVUvDMLp1H6uTQSz+VXwzuAvD eFfKEKKgJnAB1JhpMlsgtiso9Xjem4EHVLEAoh79bDU77yErX+WFDgGM9C9jugB55DO7n7qdDEro tKBtUs9m/CxYfmMUc1acgLdjWhy+1QIcEpOf3JTRWOvolw+reia2IP+adFYfFB76AeUMNprqwyAf vryZRANDKP5Q8O7rqWEdVq1G6DqzzGRr6okPldCZSDT5v2P49dwl+A35I/nhvQMUlVezwOt6XAf1 v0MCJCQGvZP2b8D9LBra7XQ4igl2fs0cghCljjKgYHcy3sIH+yxoQgqulhcY5BE7P14aGZNyMjJV X9B3tdLF2RNI0GWGFUZnw6UCDG5m80J6eNVLJAexUpnztWIllw/r+JPDEjkF8PUtRR3ld9nO8jj5 tUicPavDTPXAoQhC9/ayzupQwFK11nHSDdURO7O3c1o9XssmGQWTCgI5pPjciFEm2aMvsnB/+xrK 9Ln7BpxBfvqXaQu8qezD/b/fnyFGxt/ynsiyuOiz1KBfNA3zLD5NlBsZl8KDNylStE7WomsH3KIx 0EdiRqvm1sy0WQK/qyeXVUtGgXZV40piDYJA3QSifRADMZbyUPmUZ+xt+9L2Af8y4Meqt+XpBTOw yBElqj8ZPsv+d0dDzqbiGEbl17+5/Jz21Xu+IL5Jnvs5rz3v96meIRzRIzZRTJXDXPlnnkMLd8hW 3vt+lY9SPpilPAOqbk5hfXC5kpAd8qhXBCvD8x/WOoxdUv+fQR3x3WUzED8qFMh2DKd3vMLy5s7Y JzVRyY/78prVRCCybhjQwXEIraMJEsstmYLk/8iYgaNOtfvxlw+p8bUUmccbzyEMWKPzHYxgfUTK M6DHvN1IriMVb1sRPORLg2a3QGBxAo8tZuoq3/JV5RRnufzXw6kz85E7WVL+VYq2FjtMixUZ9Eut SYgD1tANfDzbpuoGjdHiIho7XBd0ffN7OlkDnj6v55scC7tMvim/S/jufvFlx3bg54N/F+bVKish osQJW/IEuV8gpsOa2LhwewADnkg6Hd4eLzwWFgMAOXV7rcJULjZS2CY6EPGkGpurz+mvT9JhvbFE DzIn7K0i+nlqQjMZF0OWqyCtBVpl5GgO6vql1qQe7rHEERpJv3drkZRlXM5expAkwMKzzv6IcNk+ /ypkHGL35sPEXQtwBgfnCAyX2nHR6o+8XANr+mjMozQ/WtsWkptkTgUXz4h76ENKBasJQo+9GoyM x0UocMP7lIv8wMLBV+FGGMb16U1AF8toWWqiAYCr1e4S4rArRsus3r/2dEWnqk9m/HvNcbhP3tI2 GyIhGxQVkoWRg+5nOd7BLTxPSuJJeWqPLBUnvLpkh0Hc83tWnzVebiRI66Algb2DQ8ThBh0YWudM aXzJCS6b+bb/KeFqMwYFMh/4QWrUkDOFF+rjDvyu8H6nBtyWqjXmh4jpknizG5ZN3eKsP0Zx8MW5 +WH5sJYPXbvVDU+Cw5DsKiKgDPz87LIj+qm2sOTwaDf6zl83LX0AVYrOC+f5/yLnSKqV7O9VrLV9 PA/pN1SWQaQAXRkXkfBV3AL2LAPgOBLg/NeIJDW/uOT/4SAlNjceRmRlqdmz5LbD15aceS/7vGCK feSfsjxZFPz0iKuc+qzYVv+3r6A+nDM736sVfLWsPahdUVvnZYCafm2LfuiSqPyVx0Uzu18M+HNz I4Jpck9SHYLemffdIhf/ejK4DXcOI4RqO2RKhxlI7VsbqgY75gVI+7j5A+EXlvaQ4siFKN4pWwck hM5SnH93iEyQgXRlq0waKotLhDVjRfBFxhfLts4yM5VklydK3BMNzwuk4IjALmpvihJU+HRZbFZX xkR5C58PMJBgKimz/Pwk/VVfLcwUCQ8N+AFixoRQm9osZnScpVGfV9Du76/DG1Md4U+mcQ8c5cbX qFxz1R9ol5JqS/I+1jEEPvlV8jZQgz6NdwKnHURPCv8Q+2PjewpAhax6KwHUGVbwnjE79y1ZxHaX Zj8bO7XWu8Y9+Uks2XH2IlLEcYYEhzSUlAj/2vac7GROcvlpLWdw8h4FjiJXx9AIhWdDeiX+dK/a DnBpPwhXqciAxlAYc38dWNtIakTUL9xgYxrAWZ4vqnikCT2fSBUPgQmBKxn6uv0ofNfR7ui+rrAk c4zig8lDdh/fLYUB/dvaLPKopj9mCr4T4ijCQarsPbw/Nf/Jb1yn2HMliTJJ/FSxGv5lYdROqcuj e7qqsr9/H/8bHrzlx4sYWzJRHYuD8ZKTbcNZ8oTzQhImOGXMfi/p54p8qSBDmWxh8sz1ruXHgSYh JlL5ENSpuJ80jvwJqY/aNT4kIPTHRCNk6fbSwX4BqEFxANnKErMCbMlEpuJtW6V9OXK81NyH6pCj AIKyjXtrhc7OSYN+mIastqeBd5VVQFO0GAtc7UBLLLLsaNdBS+DbCKdc+g1kL9s5KGxXcXdDv6YB sNGSWI4pShT5wX17y3ltE/K0vrg4750NHoenuOc/S55kIZFjw39tZgUt62+LdwVSFGdfwhJATBcf Zt/8Rj32VQJXVzOmcupzfGOmenyL4E1jWLoGRr5c7bSpipN9HZNCpO6bTjmKpN6AJSy8d64BrmLY 7cF/s/GVZ1AG548yjXJnrIc39S+tBOmcsZI17Xn+iWe05K1iLsq+jgH/3QUV6PuN69Uw8KtqqexZ tLY9FKLB2FbHRJx2phbT+ubLu2ZMm+Tw7Q3Xb/V0W7wor9gd212NIbtj4cCaKckw1obcGDk/K1YY CKKMBWKWQU1WoGFwWgypx4zoey14T8GcmvJCkinh+go2iDuvtOWuNfP8Bukh8R/aztFx14uXy/uz JRneusx04OVIfby8BTJnwRup9w2ocmMzRFaPIar/yAk/NGjQQcMR/Fu6onEUQtqlnP92rW7W9AGZ hENdYrgOyWCSymUnrf0Y9NENC6yW0VWcG6wxFM2MKzJ5cGJgMukX+VePtspHu/0qBTGtBhWWGTN5 wwQBZPUmtWUteWwLZttPb4uVQlh7WfWUdWj5gDacAU2lQxxVzBozsgzsy7FvARTKtsD3crGq+tbH kZ2cZzO5EIOWP8M9zQatzLfkDYijul9AY4rAmmz0fL1H8A07oI/lXgAvpy4GoS8ZjbEa5EZbi//3 5lxIvHD8MinsYszqVUww0gB57RC0zbA3ClspW8SIUon7mFriVMy1bUFwc9vgg6v+IX1Ph4XMwJ6+ RpvumPzg7nvjCYozqAlWRVsyotojrwnLkRBTE3RZjZOxl/qk8AfQdS+hbp0v7VQxkz9tmsP9r4Ov qmCXKcOD6vCTD9SY73iZomuCTye+hDvJM1LZrY2JzwYkoyqLjkO1ldtaifQZBOxtJ/FNw1XzdhLM dwHRO4U+TBD07Usiku+F8zTQLJvGJbPZZg+T3PEoI4fgQG2Vordj83KGwOcq+3GqUOHBYa75s8Wq aSk4PyZ/0R9Nb8MBLIWeOBFhc+ipK0TxecH16s+tYDmqh95WqFpSTtxpQou2jKe8ECkVUVZqwGRw UR7HJ0rmyW3Rr89tVzRPVsk7vtqi61xF3KtnvFssC1//UsFCtLANydxI8AaPgHnWmznLlUC+fUhC gTyt1zIXrxu5Ez9/3wda8AlHZWS0vRJKMigyCfXcB2EzPO7U2oWHA3L3GBE8kLlYOTA7i2pWNSfw joUQ5oz+xYdXfLhMCiSmhFlGqHAF7zX8q3+QBYmnniVjIi3CGIt9A7Qu2xlBoK0aDplRPlCe4i76 a7TJxoNIsjhTxhR8/PXq71AOYzjncfh+XKwKSJMXsKbmmwvmnIjHN7bCAu9gqhB3ILvsRvrj2SM6 TKAZe0JtJb8uONFeyNQbkf+5ExE4Gz0DLMQaoDAI4snaDS2UnxdFpK+Y1tNJyk0ORxtNDWeTQO3A 8Dp91vmB+mCNLJtjHbnrd2IZlA+o6BYlDOZnxU9T1cqRcI9GPnGWrCphZD6gAKxSOHQdBw3Z9hOy r09vMxgt6Di7rImx1iZgOcypRMHrsPpbH/eckXSOmSZxopAbkd0rJE2A3okPND6tykDTWin8UQ4P vgya0ChUgvob9leRxX4+zEKEVt/DqTcW+VlQwJzZN2gmUWEm+UnDUearPH+OvA9ZVJB7KnE1Bomx g4ZhyqZ667Bi9MqVaZSHXqaN35wnbDji2X3V0E6DLoXH+IFHZU3ZbxkCjVSN6rhRfi9Xn4RhBMih tCl0ftLNHXng99YP5Ut6MXSHBeADNRpNcU/FCsiMc2AfpdNRqaoY7TS5L7pj9jGRpDr9vbFwx1Eq u8W/Yp8isrUK8NrYeF4NJLDv/vacQSEUQ+6GgSa8HKQOy6n5os/MHs1QCzVRtsqQ984paGdnLr8Q wMkDLVHRoSJhRvgUhxfgywjxdjNingNng3DFkbVq014RASmZBHwdOeWnZ8jZ2/0m0jkqn67Q8jPZ RetSJpXocy59t9OOswebIN8unNt76mhM0n4NYUW5XdT5YhYmPJRgP6XDGnXyDT2ssTH8uI79gQcl 4IdvFChkt/sTLe+2a2wXEcPx5J5aXS/ihndyUtA7ZXuNpDJiTJIsOBn1ae0T40TbtO+VimvnNBUC qVRyD30jqVTjDMRidaYUHqzNJrqqIxymslgrMh1z5okSGWPh5sdrs7DGBTh5zEpixbCanSbEW6Yf VHPOX5/PxqNEhm54+fMrxuww04PhquYIq3n4wAVzbhBdmyZM8nw6rciylzilHkOlSkFzHzR/6KRX Y65qS2l73IYk5hijL5CeeDsTYSQJBdUk1jJv5JhkUDgOkg24jFqZmSdms5pqZwITeE5PYjqaHJrA VOeRjr+1lX22kmiOL2dAJWFAvs9reFKG0gttYaAQ541BaTVqWS8OUTUHaE4EZxFEEzP/pWwTMyQf fErUCH2WUS2++4ER0mYO5cGLaQPVzKRv/EpnMa6SQ2J+N7fZtpEzHlg8Z82SSNp0rSC7AMO+Vc7A f0l4InPyCP+0Jc0t/1kQlyjl+QpHIwtp1GTXgWNZKX/l6Xeb1cfpwnB5ZXlg5S0zAZKGszwYSLSk vJUs3KOb6IxcNuBjpNIyIuITun78983goZ3Ub0odMKVqwQh6h9ZO4A5xt1P6GZhqPgJLyPTkB9K2 1U8E3XJAy9z/PgLpNuUxSVownCR4x+qJlfFuKVSJtechIjsQ9RzhqoN/7dai1sRNDBKmJW1nzvOu dAT18/L7s2zVzKRNSDOLECsVh4FYQnkDTTYgPKMg1CLjlZeVEvQK4IOzqM2VoKmpfX5fqKd6qy4x JxspHnHfyfB67Z8mby07l7CelCfHpMhjFeuVphxEsg8yNd/IzAIA0H3YEUaI75HClii9zPL9Eh+/ jHQJhAgfW9+rItVnPA+efccusgI1kMCONaU85eie5CG3PjmRymfFvQ8ha8k8HMg4Y0liRIvopz5h SxKj1g3+arbKI3rHiREjxt1PmNG9mQZuGNSeHrX9vm1WbtoT6I0PMqxf5nrr1S2oo6rrjK4LIO4g eQU6W5NLNO4XDmGwMhN/fX2/u0RTJ22qwolF4vPNFg9bCwky6mc9g7c+Qcn32qRMN4nUjHLtrpuR Qlb8sKUqlwP/CUKgOPqqL44u2lAFUnDzgZIKzv5wuXbAOlB4ktCQmK8Vmp3VKPdS5iQtaPhUmc/s vTkBje/VgMxmIqICZikmFrmRlruJkU3hLvdeBKiLrHtQRw9GDHDs2jAflGu1YbA5qMEzphSBMzgR 7K858BQp59f48vNtuNJtt9cCMhShmyqJ8R00rZYdqD31iTuKfIZh//pLoi4RZVwJsNyM+yY9lspp SS2UK3DnuD1K2Gwckoj3Q9xhF6MFUMLwv2cQ6XY646dWwtgBKS/c/0t02hihVdOIodw7HzTcxJgC uZ0uIC6VRJ0r7b8naKo0jQBvsrGiLDObjkTbrSdDqNdjrISqc2JnzFKFayAnpiKx7t+OxhfTOdy4 QkbZp7WgG6cl7kZp+sbgsjj+At7r/9y4UW2pc1JEJZT7hK2oPwxZZApmuinprMuVq4knss0klHFT 0kVeyCnm8LC2Ju7aBR0eCqXum9vTWB+Ty8xfEsw9mjYKNsCO/A1TYRktq+uRLJgVPyv5wFrtYjdn idxqBPH9nq7zt0X9ewK4OOlfYThlqPFst9qQmbaX/XWxJkxPp1Lk4c5a7hTagqDJw2ha4syjaarl SpQlVvBQ5YzZHLdbndFhAu3Xt6960Ku9qmCFNuh9IchnPG9/9WoVUcrx00GXtajQP1l0DaBRCIOp lUFZxcEByxs+UM76KfbVZM2d8VzN0Ttzb6uFU/ZiCw1BUErZQr2euG7nSwrfiaIGwjr2qOS2m55S Kjulv7AsecBOJYzW+//ruih7U9dU/9s2T5r/gTrPWh2LJKrTV1k+xOmA3o2oQEhpO9rePZcCz1VM oLdsFvlQDx+PqxQHDsQRJVy+AYENryHCmsKaN5XHYYVnOKkBBgXGptOJHTeLAIp9QOh84FK0+hDp 7TnaeJH+nVOBnH3PZV4/YBDP8RtyBazDmTXtbkRyIgAXVEzRp8vifaQBdVx/qwBpNRrMqKnLvUf3 j1T3FPZHjFYAF7w3/Odcjuvi0T3KIZ4QBnNBj8k1ipeRS5OgtTFPWXJDYAeWqS5P9pbjL/lGZEmg u7Haj5/E0xEbuqJ/4GZrb3qHz93FuVEv8cOjiTxl9f9BFSGXy07CiNuOEqjGLANL/Wjasn94TrgJ MwW8xLOV9M4djYz+cBOd5coQoxExyFV2MOVloCSG0RBpkY6w7HNg3TVgiH3gn/a9tT7xsQqW6fhU d3KAtEd5vzvGRkRwzKhGBQQmIhcmgsW+u0Adws/cwxMrSnL3i/8mXPLWvlAEzsjJHBwa3vuw8jGZ tGlWGM5PRtxWcNPJjjE7TCmqUaSwBdz6rY+b++HY07xUWuoUfVizkiJcFF0cceAuoP3Z0fNjELQ3 n/XzKzPW4jKCQELhEau3SYeKbHN/s2PwoorgTuvhEau8EDE7Qe682gDMCPxCUCvBzfEPIijD8mVf u5Cp7P+CzJyKKB5QwvnmY0/ZyFV6SpG7XDLKMAFo3N4P3WcjfCs4pnrrcr/8zTmthaLFldPBw7Xb WXqjiFfaFIHcZapWf+3WXM9WiH4ZLnFM715OGwnx4jrL6y/Nh0WMMlxc0htBt6MOxiBU3vBp0b2Z B+SKnsmNMBf92GnpOSJLnflyBz+1UN0wji7LcwnrYfBRyrNvby4BRNVLfMamZg6sDIhNd/I5jg0k UkvyUjoKLNwy7JfewBUr3Lya4qqI3SDlnPkzVI2rLkiSFvAWjmttpn7t396Al7uesB//P7ccMPhB fFvD7BO2Be1/+BC3+HmtclWVVWHPWJXBNN8IVfsqzlXHKqXZN8eTbaY+dG/gCEf6J5Q+/yjx+Vbh faxm9iUCqMiF7vEfTsApsmPjTfyDDRXAhO7fnKpMvqI50/xmVLdXTF5IQ0r+J0HEZ59ZjWJxrNyP VjXgzTfv+04x9YH0x3g+YZjoWXoIEOHNLezroFoFESixrPWcZAudMtR6HfQt1K9i5j9n2bqlvieV EKU3g6IU02N9x4aFkC7agbeL8+7jz42n1sooBaV+kOJHlZEMeIswk5ZN0PoHTfKqon/Nmc6jvGom Yt48thncZuzN96Rzfp+W8JPtcYjyTVvModk+KqdI0oBVRv+DCLBLDIi2NDnrDyVIIqhMS2x8H1zt Ena1BtP6Gg/T9SbWRl86X21SU029c5DHoNVSt31cYECcecdTjvIisinkMfN7Jdm/W0zArckzua5H aqGQFsK7kdq/2PQXCZ3ejMivvD0HIDIFSZU7HQW3WqdCEnpUNHP+bclXgg05yIEC0EQyr+xTimnk ZQItOzRGYdDWmxF+oG0egrqU671OOe3/zfENZUwupCxbG68Gylw4puzRpQW80BYQbR4dd+qaxTrs aSKnl58NaGP1PNsevt76NQXvG0tYjBuFl5vSrMiFuHTbNv0wQgT4XxzfB9KHcae0xiPGyf/0IILH jezO6RcJEfuOPE/sBmWUJCkWJsoCXRYu6jUQM5+YmmylcHRtEecB2u+9wCwK8S10nu7TL0Hv8dlL ykMdZyVlVnC5ifeh+WSurYJ7UEGkkwQsv9mfsmvK7MTP7H2SBPTU2Z49/bTYK7fR2boY5v/Bdw60 i6UUpfor1n4xgjogWNmTowT6W9LsR6q+rqPn4GiwlbhKXHBMZVVWDjkTxvzGO8CSO2tIwoESRIfB eri+MRqIHb8x5sqXRa3KjjaLO3YD2xQDjtsvn/RuURI7KQMGfoNFLjvOmXBM6xhPEFmrtsTqJmY8 EqNuEajx5Eq713fNMVoGk53KBKaBpiKi8p3+9AuYxI4qF4QPKTzcUpbHqEoNsUJx4A7522yzrstG 8LbW6iKx/ym+WnpPpMdRlpGKYsRovbfzOu6oZZhJbpbf/oQrBPrsH/0AU5TJR+dgLIiPrjKVxYxe 8BbvBmQ1atOulcNr5sk11xOaLhMeN5sRm8VsnbmHbppWFZoIA9du3jejmnwZORIEp/xIBIk0eeA3 d+iMMsChvXjxJjRQUGFCRFhB8psnNxuVBcMJ5L+yGSt7lfmgo1YtVeQ9BmiSpT06P+nKG6xgU8+b 1DXLaFdcomOuY7wArskv24Mw92r9SXRQ6YcfTSBKOTefkMTspG+wI6Ec9kG1BL5T8qoGahDJIegN Dwudamk1YJIWISN5g46ITz8/tsqq/+1c6eWOyT4PMhuY2cwJd3pIzkl0jntoosFKMvNIqqsQQA3E Kfj5E2WN2OZHH4JwhzODDqmG34ASf5n+Kz3/f9dwSNEWcohU+OVrH00ghX5+YmP8VbbJDnObkIMp Pb7k87PXcjCS06hDwZjQthakXQ/YHiKqSdSAxLzZW9gumElTIEPTKU1QaBMhJ9ujSi+vl7WDGp5A +SiBQ/QZnst0gASeak+kZa5V4OVnJd6Tv7BC7kXhLm2nE6SFHjSO8zvoiVchQnV7R/KZXEzlniBV lVxNrtXEO0OXCVwX1Xi+cw57a6auO0OSlJc9L33IW9kTUI8NLGuXrSSDTEmasBP1yXZaeLBlbBzi OUQPTyEWfKfcXQJgFjw/jZhHLZwLoXsTpV0j6lt7D0WAtwxifaZsQK2RDg59zxx+K4Ep5AGeT2fz qLv4UWXg2kOWhlVaRgvjRiqXev0vJZG26sZwVS1pK6GCrULVcPiHHNUA2PtfUWx51v0OGT2sR8HF 5BGAYEaTep/+9T3sCXrotg+O0UmXXY4HDC/m/TAZtxzAo1lMz98BuVDoQqGpaP2L7UezGTFoG/rQ j0zicP8wnTj01fG4gPMNjwy7ITDRheUWfmwry0WdaqRFRKOVntnBwEkxwRSvqwXYK+yjhG6LknWo 792q6UTCiNjlk9PTzAbcCH/3HKJn4eP1Ctq9kEIlM4iQ0vcUml2IE7RdLQ3pitW9WbxSZAtHoTFL X4hF2omjSOTKgg+xbtRF/g43PeEbgLqO2rXxLQbVd0boG7ip4W3Py6eEoe1T5fSqrfAtItwjaZoJ Qi4DACBoHcFEzjfaHmSZA6Olqi1JWV3A8umSbovb6gBbnSRgxSQFvx3gR++5rr3d/PPUAgNJD1D4 ACa9vpdVK0dMYXDH3e0Bzf5ZGFq7kjBlMO7w5f+zELBnxPNdztu8mCq1qd6Ik/W3f1PZ+IX6pgtY NLnh8ACmzcKPJmokOnhWcX0e++fGbWV491ErFocMGzKdZo/XRwYLcKhWsYgzfgP8Jtz476sTcRIm pbKG18zHjKFWBlIRPac5xL5iTZn3eoxzuKOsCANy9gM2pecQcmno4Z0s4dLWVjGE7yRoV4JmFRvl zgSRg4CcWq1RpESJd73n+5zpl8F4oizEgZ7Pb1FwaX/zGvASrXExyyR9q5w8Y/VAbH3MQ0UsHqut Tb4fxRsuFISsV0uURn2B/4WVMrGS+48B/N5Xt2P5GHOsh/ILkQmWQ0JFj3x9+UjcmmyVCWSFa47i digEUyeAQkS5F6yeR34PcctLSWh4j6WRjAQz/ea86ODDi9jO0/95tB/niG3FRRdYmtYXcZ8tEtul LrxhjAgV2kfENjqaNWdFyuR51raC9hr+NqGQvMwtvLzfLqEtepimqHK/zyCm85bB7hNrhqwmbvdz DYCWy2Grn0qlnlM+nIwXsEjdjYHpQ2YuXiKMdbTjUfAggyRR+c5JR2BRn/etPl16OyQr2rejy7Ut R/BOZ8+SDhlklBJrKIGMkuVGRNPleAUZC+bNQLVOblL+9KI1VAFEMAfkUdBZ/ohNjV0htueuUtWI BYje8wpS8rFrTWcO7oOawIh18X9w+NYTHZGBfLdeCVt2oDlzKbUbP6lp1V87bTyktCQQem7j6mUK jYJLWrjmcQaImhC/kIUE+UcAXgoCFuxkM9AGPiBQSLxjmXdIwN/TJg/KYwqiA6hBM2uHOz5Sj95a 46cn5S0fzNLkTmXf5WQCkVwIFmLOtXbF9DGIrYGky0VPqfZMUjpBMOkxzpyWgDDddEnjqPqzEQrr WyiDbAc4f+/jk+oV72W7AgzSRQ/+ITFVIwISkDd3NKQXQE1ko/uxt6lbqLAgkOlUsJXayn8FipLv e032D7Rn5dv9m4ojl4lwXNJhs3tI/sPIbdfV1WE1jDFYh17vuZtJi+sSlJiFU4U6bycmmQ+gyYI1 ZpDX1MoYg77RNyI2UWfJmZzWIoL34i/urlWhEoyPqH1w/8rY8DQQ0ud73Af+Z6wZvlm7yizdICKd 2kN2vUM+QJZztn4L5s/0Uy+ViKRVxDewS8cuHqFU2Ol6Z2AGJy0mabcwxevyxAOpBBna5D/TDNIp 7fUogW89EI173eD8UHaOnRI7RlN6JKpWnz17eAMIIS6uepfnSPceVRDIwBddw2LSQNPoz4N0pDqa 1AcRr6rjvVtP3D7Kz3gpERVXqW6j+Tc2CryMigbP2I2ZI9SjtDWEfeTwMWM31rHho4PTKJYwK79p W6bQIg4kp75ZBYI1jsOK7JB8ZNPMkd5Av8GmUmcZnBpGU/k50suMw+G9yfvYuZIeu0chlcBMji0x WyYGbv4azvupSknvjkiqA13YkdYkhh34nrVhdudj8fSJ0YphoqjCvYGPvrE1HZfHVHpDI3ID4zBC Atlc4jvYhgBjfUuqHnMCZY1rJ0gl/bo+q/7u+IxshhE0JxgmKjzy0Fw5wG9GiJJfrWIkGSxWpgbn 1lL773tRAx4bYhRb74vnJ32w8gI3kZj4ElqKg98i+c32A2H8wRNyZiQJ31Jl5xmSaS+seZAjxQUb 3+dkTL0/R+8G/wCUrwQyE2h05Q2ooLmIvgAw3OvGCB3DPO6oj2agEIVpwezlIDLABVePvhYcAPRl lNH78BdzNU2D470vTSWkAYY81Dt75ykGXEVzgHVn3NO6M08btneZ+8xeKLanCaR+LZrJZpkeyBn9 d3YkuFEpbK9sIh031Rs3LE0cOJQhZbrILD62/3FaH13CmIlX62UmeyFLky09PLk7pS0DK5S5cLsu e0jWgmUefJxRHs0SngETCX4UiSUex06JGscxyMyQfawvbet5Ut8WP7QlDr41UpjcXXMGkKWAaBTw 5xR5hb3zqf6LEFwS3wXqD+FAin44uTJi45fSTYBiyBExZsm0wURQyi1sKhpuGc0qd976Np5apHBB ak8/mUpnMMbgDTsAYAzxYbBCLDZVuqIZqOv9hMS9gfo1/BDbx+WnvXyyJRd4n/jxNRmc5calrtvE S8PbfHLzBe2t6yTlE4VJiEqILMW2oF3qFWaGoddPgGPR4xj6ysN/c0Js1s4rdgjKGiROEJmGd52L pgS25LePczxEoE1qsnaNMnx1AEjCl2CHSeghJs1k0wYnWztldNqzREav8Jx0Kijzm8AwilXpWb4d j96yV8FFeRH4yspnL5jm1ebfEpH9vnF0+IOCIWOAgFE+Ql0zz/2eDT6YpaQ53u44dqXS9hcXJ/9K xWykv3exKjvvSw81dsUy69766r8eEQ2UHk80yqf6Gz1S372fO1Y8oE08vWoc2VRIbZE4zEWjoeQS VA8wrvdAMVb5YX0xOrIusmPVyGvxwPR8lCHkMATssq2cuZZVc1Qu7CPev4c7gs3+69klsZebk1di tDvDhmE7zJjl1lWNZjOf3H6dGcCs6cMTMNf7nG8rst0mZcU79aK3+eNu51bP1Znkl7802enSNNPE uyGqH9mZXZmb9SrQOsE870lTUtY+OQSdyIEBkH5z8+1gf7M9h426iKovT+M44nbC9q8AOgE1Rm1N 1m7NiYX8Shoe/HfORhqAxXj3973ijfZ0m77d6pBpvjZ5d/ND4f2vmGDilGAEgUMGIVCb64bGar6t epcChf9X27HRjow0UgAz4OliCCp85m0mI1e1eK1GFCTCL1+tkUJk/wcBmUAV7X02jeZTntRDM8z+ SJjwvkqnECzbseQ+KFKNt4QM0NC0dyC3s2N906xf0P0alkhHjfRu/ljS5Q7BQxi8UPLSZIYBcE3w FW21XQhn1o3McX4G7UyYVWp//rABuwPblpf9KeymDbN11DY3hybOARjTZoBxEtth55wTtsfvxS0E KujSLe8P6hkJD0WTzgLLdsE6lUJ+rOtalFoZyjUoV60svJ5EbbVhV9EZEt3HG3vT/JGvbGive6km xNp5P2q6v0MraCVuwu/eWp0897GFmV+t29mLUB3E8IvvOJBk90WWLMME05EBy1tFCJEgVfo1FXyi bDyXhF27cOKYuQut6aNTWLRtlMYHB1b6lJegmFspJKiqrtOpVLB4sJEEsSGsoHvjRQi+KzBlRPV4 KTo24ICIU+nY8IgzvdmXAk3TesZG3HXuYyttOtSziA8eObBAXQFXK1U8NlA/vCvrtjh1jrclzG31 mnglBIabLG9xoJ6o/Wg4zpBZLqkk/vPpgSQp6Jbp7r3GWua/PvStUlk8lm3HjQizqmPK99FWDAdQ 0MUaIcLXZQAHOeyDly7mNdeUJ76WNfOjlr3wZfRDEE+l71VDDvNjxOFeLphKi71whha/UCto3FMt bbLPIgh32d2Os9WLc/LCl/7eLNP/0EPFvqRcVl7oqdOxMIgAKSucSgxr+7bopgpXNHEN9geaD3vn X/fAwbEwbNqnqQk1E89hnAzzxELZRvQT9b3sh3RmJtjkSoSKak26pU/Yhtp+p4As/O4UEu0/ARUV j99WgBJjJgvlN9OkYpagR5zTeMaQlNWRu/75+NL3g8ovKHOZpwnxJf3Bi4+QkZhDA5c/d29/xagj NmuoLLRNESQqtueiWrcEIoiReDPpPgThKK2OG9qi8yeTKXt8M5U/fpfbb64DIjU38TfP6UcJOGcT Wro1IVCtyYmPhOOOWF7aBfITCql1uukQ2k2rz1bDmCcdvai7xNXk1QijptNqGjNBsN+Mz/tHG3Xo ri+BoFZSobTrfQVUc3uX293/KbTaoNc8YnprCLtg57u2sSRWMfzWjG8bi1wHW4MX+Y2Pkhe40FP9 oJ99Gk0bh5wnmy1UJwZmeQjrbyppgclBqJB2Wx3bDgPm+2pzztOasnz4/fogZjXGiZ2GfpN7eDqb ymjU5/jw4gK0QxIvEt46ES1OPYCSYb05m0xjoE066C9pKZ7OO2lqhy6luIAn5BJbegY96muPMGxz Pxkz3r9jWY3vut/JBhemZJGu1i3TbUAhshtolL4K2bUC/fGm4VxsHjZZWNFqhxx94G9sdrV07rIn DJC9MPwGxG0IeeJi6+3d+bqQbPfebDf2EINfrpGJIvk95VLJJPxXsnSs5pOFh5bUhQSC2aU0ZDBw 3XjTJOxV5JuuhOSI2JyhHN/WyMHfLQDaXDzbzHmP41uAR1UgErkwK8nMcYjZCyilDKssynTH3O2p yaSt6Exip2XvkahSjm7jOc9JM35QOPDuWDbmWc1rcRrGQu8nuQcxOFHD2wJrollxNQLPglJubUAH xz4jGiBnRUC9O5Vtoklf269SIfsTT8yijVbukGOA3tI00fJV2FQ25DVXhxnYW+YpB4dJHRc2D6CN CJuP3N+Df45YqL234yINTWyq38whaxid2/z5/SiYgbO5kKDRB0EYT4nLMfKWw5PnP7+dPgWwvV/d qkG2bZDSdgsfs3vaxTIQni894uXZ7vzQ2WDxz1xilJv61VYp3NwbeJ3Bc9eMKz8kSCg+/OWYrT0i FKmGMZr0XXpiW4IUrY53ioawcCsCPINxBnDUtRC+MdYJJ0pLqEkBlbXT27ugDpZjR5PlZYZBSpUo LfBjc9yP/K2npOZfEZT80cVbojP/xIjkvErFxJUAJU0whk5U0hgU5gLvsst73BYaOgwO13B2x3An yvXr+wJTXyVlo8lKEzvuLDTlg9MLlQDbzcN96Ej2p55d7qycy+/mCwxBKn8yq+BxVxftH3zhy+zn X7jDHclt7PqkVszraHEl4jDm5toln9hs/d70WQmFuTkhyKt0wX/PVhAb4LxpyjgElpzw+KiZPQO6 zI7oZrvrEL27iLQGL/5FEd/Oc/hk9brSAT8+zJf5j5rHibfZgOaMUlY1Fqs0fTAg5viuoR+bh7fH gGM8zC36gJ72G60gXMRbTKx9q60yzn9zONYBHz+vIj67KhWrc/7JKXYk+bQEsKdJRT9+dZny3U3W qIMYxO3ImJ60ckAkCScz4bEEdcxG0MKMbeAv2diaWN/K+4QMTonJggaiynZRvcJW+FoCivrJwt00 gEvwiQ3yJMAIl4pF8CL2o/vEW+YapuNEZuqcvGt5BmlNZlMjFuQxnP2VygaybDYg3iidi7BxbR1V DmyjR8td6oVqSZdPaVZBrZr3vY/zW4YW3OUwioo5XnsjwHDILIdbvIQZdmXwGLHX0pn0zJI1IkXq qeOwqwmwZ0E9QPlvJgo6VOh+99jDVMxP/7pwAEcdYOWXGNcglSbjVpgj3jffDeRsjN9kCtqcGZgr E+sQ7v+cBBQapa2K/OUNpu3jmMU2R1VTsXFr8dnkGy1UW6+em7fRrT+Lx1d6Mvcjw6ybDTPS7VmP eYeJvJjnGIW0qTeycU15eYRYR8BObmoZYoqicph+Co5DdBVjEIb29OW7upNQ34OIBU9DTtiLeuDi hrH+PCl4EiT6zQ3oMCyAGoUqQLsW6uHR4/h12FufxdTdQdunYgFxZbicZCtytNkInYfiNtWj/fCh BLoEVF+oHRfdM2iFUFGWmd32If+l2EBCSAhfLevvvRGAklIwNYzhmVmTiZqZkIyF10Ye62Eh/2xn L/GvnspQpMxLEoZdcTg4MsqWWw+fnd8KttsY7Y/UbXwkT8zDm6WpMFIg/HTgsqkN1w7/3HuUWfvX PbHNQbtmJCf0jQe95yl1iAAkHH0jsVVu8facsknFZi4/e8I7uhjNQfLU7iVp9LrVmz5W98aXpX6y tlk/6ltwH8AvXF0vxbjTF/BtdNGDbhyW4MbdO9ipwCpNoYfTaJuXaNgdYR923ZksoBcwIxgYgwL/ DsE9dAbujiK6ufPx3aqrGWaSXcat3bZxgHGSof12nri7JL8Z4/3Fm7V0qCborG9InJRBlL9JMxOl HVHJLgSosCCrZ+hbKCwHL2d3tcH7y706levg3xWPZjyHbqMze/HEyQaaUHAreXhzhBvz2Zu7nEb3 YYBmW+BsPlvPbCTA248ThWb3RU7kdekSD3yalaW/6S0XEE7lTe5CZXIaRatUbp5tsU0GVc6PA8Ls SjE5ru1Pw1jhFVS5QagNmg2wNS6QgvphhBLMwT5Hb5hwUBFnraRFJJjWIcfqueRAuvjkaRnwpvmm 7eN81zyU9gb/dlftcSykIcIpfzfeLNdel5iEcB7JU/Sc+0MvxrLOiPHMbuOa/iZ39e+hCA5K1kwo VoXcGxpOPR7X+Tu2N6YS5pqD3Tbbq7bDJ/OmFjTfhI1RXGrC3FitC9M6a4K+TnyUB2E3cMba2lOa UnC1UCQVnJcQwRG5d3uPvf9svEfVK4EoxLrgLW642PP1vlOFqd3Z7MrcY/Qs1rULl3UGRUinaBv/ nQ8OqabvyOfXWSxFoOGICcgVatdK6cM5M+SNQChSM4pvUmbmOxpJPs3hoeUYvZzzg94iRN5Y6kc2 YsWr/wkwzBqDE2/pJQE2LLsTEIF/ffxN3q9v4k+64OLrEv9/QSlgHY8tuWVk0d0wpFdG89hKaffW 2/CK5a3wqdJQM979cVnb8n4eafw0OciZ0Q/u6zb9p3msFB6YifMklA4d8F/ms8EFc/mFKnNLY+ET xqHNqOZnbC31mehJ4PNF3zTpmFxZEbVX+ILVK5amaRbjWbzeneVaq7fTGudkmU6XyW/Nnfdt4nKa xOyMBgfV4eC7Yf0pDthexbPsmvvClQWXoITWUESnoqj82EAcNgmNMNuV5eF5g8Yqr0LXtBtRMczn kclgl4XaZStpCOGH8Me6htc1bpvLFEqgyuKfDvC4LSFS473iTEwwhC9wHf01FNNVqnECVMP3jWW7 tFvv5YIHlhS92yAjIRNgGysaBeoUVaRFo7dgVkxGUDC6LOm+eMwQNyMuxfds5rxjE/6pukP+MVJg +1dG3meHAsxtWgGQvbtdmkWsRLV8Dr1QIvn1204qv2SJKOen2xt2TZ/K5e2cMHLd0cAOmh/qk0t+ YfzmPPMXv9BhJjnikAfDAuh3GVPHPowhokUCIarUsoKZWUyU2HE7B1C2IAwyH4W/hvxujdOxi1mi cZLXuS46HiF1qb5uWe+PCP5eaENLKo6JTLhoYFy4uxMwU706lyDiZ4wzCWHOY1z48HmCgMmDY5mc RhCx4RrKwpMjXoSD5MMFy5vftyUZ6WkVuFBPJ9deddFJaGAwEJOdiZ3M0jKa92UnpORhqQXvr5cs avNsZYlWn7r0uL+g7fvrhYErGBZ1VdgvjC3Hs627Zuo+KlFXRVhcPSE4QHu/WqZJbY403gL46W9Z ngDcjrfl/htnmQaHU4EhLmuHxGiXo/WCtAby0hF9Zp1hcuPnxPZ/fU/wAUpWKY/r9OkAuMu2IuSS Cou+vmTz7vpSg0UTMmCRMtXn56tOlgNcmyIJX5Tn+MO3DhLmEjQrIhfFG6B8qmATetlEY3sm7A9I JAtmkVZLh1SyGEZlXAFfR7tgkgSchZwquIusta/Mpg8xAmCiGaMmR/ULXL9vsUVsX8EOaI0KnFjv tibRx/XxzMav4wvIP66fqChTodvo0Cm4nhZ+XBbNPVIo0yb4yzCTaDytzQLZQHFMJH+6hXNWqOJo GsFwnOh6O/aaVoqixM9MxX6059BkhB6k/LuWaNpyna8xtqK2epOjkqmQ4HxJ1hJb3oq74AmI7yRZ anPtY2Xe8gNJHxJHkekRlkUCOQ47cv72r1Cgx4QOKofaKbSx7PnUHRsLxudkn3SD+Ok/8hFWhgKN 5Oo4V0hDxfRcGzOlsob622OkzF8wm/3Nd5/D4U2koW/418RWL874SXpLkrWPIBn9SveZNARcTJ+3 E7yG7+qPqgFSECLwo/2tMKjzwILY2OVxblq2/yanIk0GngHntWm9o6+E/0GRzPcseg2aJjfeBj3k VfDXIg0JKJzt3fRMe1NDtFq6VSlAi/wnKixIyCg+krj+TJY2MtFmNjYVqE40Healkyy7wlTOyX2Z 2HkdAmqwKCurPqZrPAGwInep6P0gHE4x4qTbQIKbaTUXbBED7cBF/A7F38pnVXSqgBIlqMfeyMq0 /f/bilPg5jWRVjthVxBlUJQCCj396j2rQ6OYo7ioYcDRGNcU0IqlKVzBpazZGyZwGDXzi8Lx+ZGD 50/Zd4TkDPQuxs/lqTH5vDCvpOP96eKijKEE5GcuEuXJGk+OdkVXRPUKY0X8z9uZbYJad8j3YeVG HtWVLNeXEd2i3aVO8tgYyWbQVt+haV/o4uCpHz3tpSGTnbuF/WJtXIdKCqrpYH0Cds+POi8zr/pn tJBcUjoXi7mdpU8XJMwJthNPbzX6yhWEYGFHGI7cJMSPYfjlcBbYi6hfP/WXncgSZK/w4bgv8SZJ UStSlwTt5zOqQkbS1c79u8H3odqOrGFss5HQ0QK23gJ5lp9UBqQXtteoTzRsQ4R+PWT48+h6WDhs e1rEehdTbzyzkr7SfQWsn8i5nxRBAv61Vo1Kg261WF79gFw22pQ1lK+spdIzH5w0Q6e4n3QgGbWW tHvII2i72FIMINWcVgZkde06EAexbF8CPlTTFYz2JeYAbbIQacORovNiCB8vn7ISoenbcXIkVH8x rFHVHGzmFCEGfZ7qEkT8sI+CTe5RKo9fKLCJSnjG2l8/R/WUN0sRaxd1mVBwTsMMFmCfQS7Se6xA sg94a8NMdrCLeIAQCqDy8aDS0rXex4vJc4z6K6c9jzVJkfE87G3L8EA6V3plg4nIPgRr34tKrhlr /O7UiFYEuMSR16IGnBY8gBmMzIPQVFprHmAxN4Z5FPRq+nFaEB9+n5WxTfeKDeCheoZUfJRysl9B 9v/ctJ2q6qPwvJRVOyTzfO0Mosnqn+BctwLjKK7VoHJFv83Uzu9s3b/knMzAUXNEK7R4WXMBWsmV k3+9EkokiAy42c8YGcXqjZ3UjhcdG7jsM4g8uyPhmOWnwowFkjQPmIYPk+DVcXEPP0Ehz4j3zfQV z1vgF7Chgx8qCuCNhihINnZnax/9z2sM7WqqptSLlvjDy7V9O7/NwmNMfg2A3zT3/LCZO9f0cFm1 AunoRt0UaKQq5RToFpF/BfT9WO4xg+6VzShLcJzxrzjeEEtVwd6J9TstbOzdpEg8Fz/XrIZMvrfJ Qq1aiKR4xR444sh5XJCElbyMln9r9rQBG2P8ry8XB5P5vMStuzLLAgoxsEo0Xjb8BRlEvofPg1LF f7A+pRHHROM94wKqmeWAdDm+GuEVYznM5r38QBG9ZWtUj3Dq0Onb8LtQ3Qo7kc/xd//H7KvpfG4Q u5nHVELmPXf+l51serCHiLA4cy7tpDW9GfHoZVlQihc9WKFToo23bhWyKS6r/KIWGGdlmEOvLtXT B55QJb9RDzhvtRy8hTxr45Q+iHNKRs0kWWXbnrMsqlDLwcCGEP0eOnPv6+RY0+lAo9b+/dNZ8hM0 7aNcZiFIZ1+5W1RXgu++IDsnpHJZ7yrGprRDXrXNO9akwTl6pwR88Qz1hjXT55SAd6wE8cphg/F5 L4yjQLiJ1rrb6IxI0RXggtFzcpX/Z8nD705BeIc/APi4t/06mB67OhUpxJU0ko/avZeFWXqwXD6p B9+t/e/sWLnTrZ6bQrcoG6c4EQJD1f37OozpusHvP2Z+29NMSms9kT4Qvx+4DxMLVOPCyPIztm9O 8ggv7m+ZggwQ39b64uGtW4scyR28q7K/1MfAAXHN5ce1+A+vep3Gr3irak6pnDY5DBgAkZkt1QPE Cg8pAUyyG1vcRRAEBBt/CNsvqrfB01937xCJv34Qv6zTFAIc/o5brrFwqqEqEFpT3A9dJZkvEZKl KQGeE6Xf8iUdrt8lLe7YhUKIPLxAw3k5rTZ0YzBdyjWtU8dNOhY5AlwkeJBKiY1XHoeYCzgyKsQh TB+a71HHkcI768O48R8RgaJgYtiHIRdlzROloChDJHzR0/HHLwK4hr2hPXBSkMOMaMNAR0Wdl327 LY0YLBGJigBfyD4BfDFzInVje3pVD//Dj2vw6klxUCryPYBKsyTZyw1FzpNEfTNP7O6PDQajmh3l ALi93adNB5gnVXsP91iILT2pkhYEU6w+DfEpZ2G/3tXF7n8ETR7QLnKGGQCwZYNqiRJuQAV9OyHA 1lVdBJ3XmSNH0c2NbpZ7Rmf2VcdRpbSwUX4IOePlzLQJEiBwMJY/IuAK2Ta22i0vVtgu6uNDrUyP 2JRuUUCaJyQTh1XE/lksc2IGlBndK69OPRmo90iv8gfzoDn4VlL2VYA8eHpAIlbcC3bjWbKTK5Yr QGmGQp12B1w2IprehY3W5XYzwRN39Et7Hyxwgc24Dj7ltpRN1Yp4JK4swszSzRNanfTCEnGCjNO7 dJhoYrrlF6KE+YDeGBR41bA3N4cYvly78vyqCT3Td8pRfq0Kg5yxOYQBF2Yl+PdtuA6lzwRmtwQ8 qddcWG6TNW8Of8lPiW2kW73BAKtCePw0b9O4O2u0NPyt5DLK3c1X1DJWdUfN14bjypUkwxmS1dLD z1f098/3ihlp3UAF7OfBMqvhla3O3AenmFFSlIp9v51oKduBGpSDayZAJdOSgl+VqAKd4CWhS+sd f32OE/49vHw2ttg4t4gvu9SFVsQv8kknwD+wjoGWnAhM6QRZYiMVX+ladOe8Bv9tnEqaN8SFaF/0 eQzvfA/S8Rx+lrgjsBUNS3KrlZiLgqF8LnesVkQzPhWse3xLX53+S6t3VLePF8lGm+oDulufXJCK 7z+7v9ajw4+GLZYNSXuxN7XHh+nvp74pg+J1V3jZvUHjyGMYAG/kq2+VT/a40ErunPLx/qGw95vX B/RXxe1ifmjsaZqNj2HfeX/1xsp34pwpepDP9lYAv7fffrgDiksiEvg9U0/9yBcCVFP2baoao4M0 RRWXV1xPu762EEeJU3uBFStvFXzcYvsbf/p9kj9ak4OyFRoUQulvzU35BxqqPNc+IWH7zjFLcRjz XF2l1Nyyh2Acgg1IQtElmXtA2Sp+26R0YK5667zCyHotT3AUsuHfuLZ6vkGjK5OBJbMbfOd/KZgQ t1IVt0XDnt1fpv1EY14CcdGZW7cn+0LK1PaigPZF8V1XgtoiDAV9Dg55oKexvGlaieV6PspFo4RB gdDcB7yzqXdK32VogLkgDnbsT0XJDvp5diO03nte4HCcqpm1i13Um0f3+T56vNDHWXKgrmJEgfOs fqCbAhJIOp7j3pGAgWINcGdNv8u3dJfUDlN7URuAESia1iu23bjlE/0k7HBg2HI6JjXoFrfxVi4h 7h2kZOc1ShzVbp05hQSJP27O2PStAcof2ITheDYtfTnlZy/RRDVWrAmpMMyUHzfDTDCPVBc2zbEH N/OLcz3l5/EK1UcLb9bU37z/Z2jQvv5SfdmBF44vFe5iHruaw5qmUeXtzooRDYVJl9s6mzoxQi5K 00RNeYfccpV3Tr0tRW8r4X0AAqTcNLPFYAw9L89PSWuyxtM/e1f6oNEvQQ0lNJ5U7+BrQdKnBUkH QW89YpsVXgUJl2wYZ8O5Aj6sVkObB1K7tGFV/x2n9p1FyP1lGP1TV2WRLaON4Iuiq3/2hFizjsw7 uIA5XyINZlAhaO7yJx1LKzeqRRJ+BVTd6txFjnRNZjQO1FMEGQ3E9DXdvLZiNgD8nv0Rhx8CnWup oaeCEim8dyo6L/9fBMsNUvf5sQkpTk5ygzD/vbbMiqn/ipGQslDqWkjFAKhS40n/TicJ9hhD8AY1 eYeNYRgB2n3/XprpE5qoAvYTmGfTKlcL6qjFQVDagaQZS0gggHvJN0rVuuFjP00R6SgKs5BgWkmn PEO09CeoX0iZqzo3pIRn5tEkkAWJPFpOTsAbZo2g75gXNITDaO0wM6lENKAF4x8i2XUaHEmm9qwR sjzj3qtgNusIycTbhJh4sKOSGPNtQDp4HjsN0cYVOB0CjWnNsn9GJsiYsZGkj6COL6GNmq31ffjJ v8y7MIDD85L6nqkxM5QIDf6LxVfB4R/SXiHG+2itGTF0fLugkB67mX0Nt3uCrlbxxWT4irhgBdLY 5xg9vcG4B+IF/nf7ZpUMGMCExBn0BxIMRnkDC9QG8JqInOuMQGLZqSL3tWy8hPWwZUcmOKdPsTpr N9VwKMK6B6dK05QJV2UCHZv8Y2lS2tD1u1gOGes1HQ4Xpv6y7jQxQlvWXYvDAN1HIUbMMxrZ9s0M uaq+HVgP6Ql4i248tpf8JSMk3Ys7IlVAIkqDx3Y35J0/0h9SNSvMVfjbdi02xgfBK+yyg2KI37T2 COXOgc9Py4OW+yhz0N8QUl7MVg5wJ5e770mcbqu0CBir+b5wWHr0hf3rEuqd1nMbbfTZ8zu7koix 5NdfuGzUsvZrKrxCMidB/IXxXBTAnI1hWbtTXZEGvH//GVMVNJXaUj9ydj/p/814Hn/daia5+n1z +6AK0zxxHTGmV+s1myKaoSC38r8H+ye26y+9bDbM//rtnuXx7GpqJNu0JXsyNKUFvyRKkLUsyFFY 7UWeK0qUqig9afqMlBS+freyEgBPT/Y3Na1QFf35D1dVlbgAB7Ug1XmjmzObCe/S0gK+Sq+FUQ9Y sLHKptSMtWvMV1MhWb5ZVzczjPQgr6WLncimQHXeyG/U8RHb9VAQ6KDhvQxZNNFDXbMSKyOrM3k2 Z12Sw1YOQ2Zf9OpywZXW2KbPICWxjil86qFUhV3G2DWA1w/7cPjKWz5S/KW7+OVLxN61BwgV7mNF Vk93CC61XAMiwZr5DmeGAd9Grk2KF/KezO+Ph3eWHsM+8HEpbrQIJ1tu0VTQvIv2R+CizmWcJfTr IlRiINgLdu9FOqTQzkkFtvs+d+oqIfYuzI5ZJUwoNSogV1lALwQBRnQ4wdy3Eu6fOrnL0RdXg9f8 4VtEOH4VLMA8NB14DYnwcpSX/f8llb9CV6fXLPzSifoI3C8WeXyIAD1cJyqAJFP5r+eFO3THpEB/ 5gY+kbyvSdkKLrfQbq9c16fDvxF3xC2QgQSaYOC9V0jf2C2pdZa+3xhDri1sImpgrqFxehyKiT6l xaays0LLwP4+YCgKB2GwndvZm2fMpan0qJh5ztz+pN/CVfHTfe1fSizHoFVi7vEVf8BUA/muINDv gyTaqO1pxujWFSk3Q66B4mnGnu3pHl482BMLLANMMkN5bqid2wyGDEWlaSsE09E+XD8GWVRPu7S0 Wtn4TKrXybi8bKm5y3l/tbpXzg6U40/AdNEjfY+M4aDAeSAFkfYgNIB7eQrMEzphiIIv19+3WhdM NrxU0hlyPHfuvCfk/L6ox7YijoT6DniOlE/bY63CJJtB2/95/M/rEDqPPKdkQ1dPpVIrRDVDr9PJ o4/+EY9Wpl0xlCYEs2mo+bd7NolF1DFYMiOu6FiQ61aOIJLzgMeS5VHYRlihWaxFo2u+e58g7yuX IEQgJZKg+LuSekwfMq+LQNckUGw1XvcqzOd8IoC0j8lMxLN2bjzs46ozQFTTeY2cOKp6/6tHDHZZ sKBblN0VtWuKalLX/GUu9a4Fok5AfjABQKkzQrOkacSeqvFnHv0479Ctu5y3yasCBl3PCGTBjijW AWY/dBLsRDjXcNJuPlD5t1bisr2qM75JLgRrYJbWVt39AsioXWApk8frc1BwuYE4jFAC8M9dclyt FGVa5p11BzdhYxuhj/FNsvSiT0X2a7iYb49WMsmdtSc+aIp+TJChXqgiRjmBEWzhyIpHZShn3yxp DVl25DeTd+zPhEmuvWrZH4ADQJvWwm/rp3xMH94eoDBF+kznjsSRxRjuFtjAyVggvAImdRc7NDcr 3J5IhbnK5kC6ZhATJ4KZlg2o16ie/h4+j/1ZZQnUe4hJp4ZtmfmTo1qKJDd6NO7M6/l2jD4suhzL DNYHFRIsyFa/v04CyhtUKZGH5dWZ6YlJIpBz6N/4cn0lojQ+U3HM/PPqwSOPwZDAanGNzNTz8dAH 7CAPxKP8YYNaPD85uvZ6oy8cBpTGGqT6U9+IKQvuTGko+cozEdCp1pMwR6RBfnoqvzqxqO6txvmN CONpYTqQPImqojgQGL0Ye/POH3ghgqTPE2ya5aWjmCVcB6F43/C0Tzzi16kYPUwH/g+yqX2QZBFG j4ul7qFuuxqkfpth/cau0KmnN515Df6njkMGZ3YWDsbonoPcZFcbcSMrxQoIMAZpbpIj4JsyrgP1 QgYbvQQxcEPa4CSkMRa51n/DvJaDdWPomra70U3uEPLIHBWaPFHRL1Vp82+aPSG1SVJOHDTVVbHZ ljEODQphVwqV1O4yC2eB4nzFq1sBbFuhP+wo2upvP7l9I9VC3cFdTflEP+oL/k2GBcuzYY/bJ/R/ q6kSZKm/xBI2TYqizw3M8Vp3AyS0gQ/FnkZfdXNUI5HVC8y+yW6qb2mfsZI9y/b9+HRcBekFKjxC GTkGOEJ2w6UrVvoqGJOstMoJ8hEf5lNuXSR2XJOkCI/eUli5LBH3Bv+QFDMmYmHHxmvU+neVSUWg vQcZSjSb5p31rAm8ydxJbFpbj9rCugt9wik0wulkESkVPj7rlHIrgf2MvzmWeE/kXwatK7Wy031E KWdzLquAxsvVG1ig1/ivVSz8eXeKmCbAj2wg5UxxsuIfJMDD/iYNSMLHq/DXT4KG/RsXfZ6bVyVF rHldNjQrNPWv+4ISwpjnuCWMetUnJPLHZ3yJKn56+FAPJBZb8E5QYf2mN2Skr9BDNVIidpALjTp8 fV/LWbVyAiFE1ZCUyKULCS2WsF6eoVa78tQBHTUxuavhiC+Ip1yOgSw7uRX5Gf5MweZAPwjML0lz KP8+fa52unpC5vsVspkghxnw2GMmjBYYPF4eHV/Rdt6NExENwkHhi2iYbMZy45yR+GMvdH2GvfEh 2wr4IHKJNxWGRb/gAYuoBKUQLDBQCmBlohyyEvP2cqyhARqZ5cnKgofuR/SgGNSQa2pjbRgbwzgh /6no5dzcOYzNiO2MumOTTGx0to3kZxQ/CUv6BCJfnFpam1cZxUN/SUCM+EvDv4KprWlZ6y2+lIty X5YzdwD9PvZMRWM3y8sWMCyPUfvt+8A24HxEzDP6CJIkFsKaeId+9i7Xy0GKQfqLUZhkr2l3XPqO e7ZFRr9lmKIiI1CfANLEoF+57hXct2i+BqZDBWRbouiyDLDS+O3VyubPnOW/pZ48gt7+GNaD36vC 9YODRYJ6C8BYec3h8cHxloD3ydvZkUbNxNLSbEEFaOM+ccp7HEDiupUuVAkD+yMsXHl3/Rt9DJiw pGFrpA2XM5qwHbg0xZ9dYcnNoSZWmqFHR+2sdYkMuy/V+9nwTeBMGvsilq76q2stP6VN28eNC03S CP0zM2FFpFotAshC553/aWDomNIUiLjIa5UA74+TT5Q0jCUiqNXwuWb1QowdygaOmJp4LQwHDBGZ Ldovox5mLqIMfDzJm0uG3saCUf4XwcWiTu/Q5MGZk+nlcX4S6tZCfENTaTfmKC7FZiMHec9hysJk r/tDTB8seQB9K/+daWXh9d9Kw9FkLbQMXhQxiioTQIg1bB3xFmhdbkxQHNhOmbCTPf8NPif2KWmY eFjkzR+D6TuXyy2Qh1cQikZn2Gttabc6YA/Hb24GQLM1qhLbiJQ4LwPA7dgxBtfM7I9IttaKnqED 51ybhwcsXH06ul5TgxhJpDaiQSH20p6Xw7/M0k5jwuZX87nt7j50Phv3ILyxyze6dfHg8hsQPGQ5 x6+m9ue+nxBuklLz3dcpJYnX2VFKqli2Hdx6IsHvNSYLlRlb1exd1QadjgNOHN3HUXgJTKvBIgZF iF14h+KbGiKkA7/h508VERQ6UyuvbPc6xxsSKJE/b0j9XjL3ixBcWRXgyVj1gey/GIGK1KcfxWyP 0LR4sGL47FOkTxbiKh/ZumhNGmRYoYBqaYWk+Tb0kQTzCWmdhUre8prZeTjN6kYVhvEpaYEF15uq jNRv3wFMyC2x4tHKLzhhHIqBSEIRKN1c3FIS8q0yIPe0GAIuBnos7z6EyLgRBakusiayvf6VZkdX ncwrxEFtHGcRvhn8Z8s84C/GMzemaMjDwrXVkN7Y/m9UHYdYq6hpXZZz/E6xu9cyn4v26OsFMiLJ OChAtMjxMIcISWOTOATG4tYfIHLBDOrcQi38+h1hG4tCcH6172ZkcfbKIGB1N8Ur8DMf+oviu7oI +4s1/KvhR95dO2lgeT2TPBL6WMHocIHrqJxDkSZdmlqoUgBfEDCtXJgglrw64GIbtWvvH1OMEFn+ 0lh5qNCipzAB/pfD5UeieuInD72qeKrIFJKoJFZb4+bbb0s1uZSJAz2DA7GqYFIwy7ZOhCiA4wVu dwurg1qAHj4OX1dKlbxG+FP7dM9PoJOY9fRDKf8uPVj+j46euZ7woI1FjZCXb25hhF4HeYT9zy0x XSHeasJ8DmcSR8NcvxIwslyR8f/Tz50pwsfdyWQXDOCbbtSJ4F2sUkxmMhIapckTBamGpSZ7LrsM r1Eio5Yj5+iRAc9Vx6pb1ASRuFu3jz6KmPDSqhuRd5FwYF8iYs/Ylk/FX1BJAETWTsEqvPyCJWl3 Dsl+TbtaYS/89/zL48yL8POzF2riGMNSy/7nPAH/3SRF4F/vynbbmxzVXtKFEGQAuhuKR3qsNIPe LADDKao8idA7L0TLXJK6AeO90ADLc153BC59NBz4/jaT9LdzFSMezMEhS7AUgjP2m7OioiTMiEGA v5L4J+F9s3kpoGWdJe9MCeWkfJHqx1VYnRwENvnxlxuIPpQ8k+hdevdwmRC7Aigr4BoHA3VGb09k gQQS31qa+51vRRnxx3u9Ks9mBLZ0bV+cZHCACeIAjmVOtaowkfd29EGkr5fJURSSLiLkUHYgTxZt JlMIpQI/kxmksJoSVv6VZdgzR0+TAZDcbciZrai7PB+oT0tsOSKnD8ZXYurZ2RuzsdLylitcsCzI BCztUidIVbgK+bAPf4NRhw2cRmI+ysIgxpvc150E45eMgOya7Lczg1dRvRWYDLVqZNIM3oIlTYow kfdD060JWb5czr6Lax/V7TCRTzlB0+e/I65vh9w41gapRqicf2hoFabybefP/zJZCUDkETO3EZxm 69MtMJx/I8NsEgYHCYol23LrFr/16OmcNbsy1Lj3Z6trVYikw60rh0vrjxUs7muQsGFQymBVMNzw wEXVLg0bEXWPlK1wc+Y91mr4ynheU606QEjm5t1FTBctWIei7PYaecSF4kXfTbc5JUmdMLGH+Mbl A9ZG18u/6Qhj7D0IP4Dv9t7VJ+szARBwdA9MMSkTx+DRuy/nXKiXtPEhi5WZUiA17IZL6mb5jfQk jlH//iq3ih/YO1fMnSZRiZ+fr73oxtURSwfe1dUCZv3fH1BIvRpOsPZBi/w+jl+wZfSePoKadxBP 0A8pImcHX3ZWSOLqLXh0IW04G08CTz1RTAQI0xXGZAkiUf+EEjS+F1HJdr6SDP7akngSQ6tADcjG LQ2mlSan4i6w4a0rKOWCLPWvKWWmx1xzA8U/hoMgFCjH2I8vsWiPoUSIiUl16ZdVz/tFaHphrdt0 of25rje5IQNV4+H4ZHVJ8G3BbN1AW9WoQG6oSQKa92pS3gKuTHcU+s7NhpzMpwroacj9vMQv9Acx 82m7zkBWyZqsHNL0VSUGV21ZH6KKV5ig/0eEqzIq1eSc7HUeW8UIqadk/s6HjW8EezjCiOVj/ZD+ Y2+6yhztA+xBk2eADxRwzOjortYvEFtHurM5kB2hRYeJBZuirl4hah6W8X54R6uGQqz6BgQLCN8M Fgo4GZ4OEWO1XlGItMi75eCy9uqocb96ZZeaOnPCq1nS4iaAMmsz1Njz+6f4+TH1Tg9rr6tbJjkv YjfSJJg+JzSNiQPTdt9ioLa0NSubCUgjHBb1EGFemiICQOsPYgWFSJQ43iV+fa/HWbxs6lvNPlvd Rxs+uGTxOK/vHqC7/z1FC3hJdfQCPHO3zMlGfax6jzh2nFl1jjb/HMGRuyjWI1huj/ht3L1g8+P0 aRVDJRmYvO1QKEG26kEUU4k8noCnGXoG+1pgNceI46vM8Vfq4/Vb2QLJPqBA8KcZsL66hUJPgl41 Lfc8j1TRphLc8yV8aNf1x5WZAFp9GPZPneIMjlyWKrcF83cG2vER6c/4dtQfJ8s1yX4H4bvpHycH pKFAQdRiSrMqBnbyjzT4/jY3yzErnQq+VAJWQFbNkKTCTaS4XFSVWmxd0tEaGFYelIcB3OQy8+Jx 3vNIHwL8SBnMTkxqF/rja0ZIJO3qDbWC0lRUgmvQxYKt0XdBv0PaPoc18L8x3+BiLY8Eo0O1K4ol AFv8fJ6mcfVrekrG9T3IWtHIwQ5rjHLle/P+ELqNmfyee1wLBf5r1Hlhiia7k8ClfhwLzaNuIvvB CBsUCPXVAnUdv32necczvdb2TJi0KBZI1TF8SjEg0VXguTBSdvpxkCwUgBAJnaQZju7w5whiDcMK STwSt1vBDtO5io/VPK6z5Bi0oKTo9Lo5fz7AJ28Bkb9GELCYVe9CxL51NT2cDPXujMNefFD/POH3 jd4WeIDYeKiB4B+9c4RE2Sj4tjY0+2Z3vBkkVKP3nJqjzN6PtdVsuTyUkyL39MSTsQzqu10CTmOD NsvY13m+i3nKZ0gmI/1JInGmwelpndXbYivFwybfsVDEhFURxdx2MsNqcCgcYkVX3x+2eIq2oqH7 frxtIz7lCQkFgfrjHOHzhTjx2M3/+jYuJOinfwUFeI4/gWlZvsiPLenfXuP8F3P0JcF4Hcn6UJtG NYZPKxneNSqfdUnhHoJBc0jIPGu/lUPDlz5z4vcawehMw6LStyKRusn5nuYrMtK/+W4U/JuvlSZv twpX+CrJQddIM6vBPNJxtGjqsO6CkqCc8sjp0DPQODWinnxfpHrDEU4l6rUH2qLDKAJLA2TwIW4z 3DtJQ3e5jrYdcliSpXgODecXMYbdaPjBHdq0XO+lrQAJa0gM01wa0xrdTOeVH7Z0Tku+S1/ft6wd hUaPLzNTW76ORlSVOGm8slGx0NJn/LlBtV64MlkpBU1kUd1ZXMJUB/Fh3m6YiiSH/iCFmSpj97p/ Bbd8hn9egwU+AgSzPCduFHBOOcDlCWOmWSGOd9KN/ivXOtr5XEM+A2+IFGT97kfo3Dbu9mH6FKT9 erArbE+N/lCKLy5Cnu2mV7XpzL47l0ob7GDycBObL3zZnf6uQrGYQ5/G1XxwDWvl+VdwRqXGzMaC bAo0pClwjw+EfqsD7N50+OHMEuCZr20duNlXzJdZFPSFqV8I4gQprnjJ3NZFVJOyfpogRhVYDh+6 ZT/XvDw99jMRY7QdTOE/S9DFtxwNGJVpsuZMIa96Ap+62efAsiaRnCdCk0B8zb2M0E8Y2UH1wxdm ukX90Qwu3xtP2LvAR5GGF5KdMi/wdPGWx/luXU4sDEDqeYmnSNBfNFM3PLQ9dHMpVyqsXp/Ip2QN XHgrd+U+NudSXlitvs31EmnjrYDgiTVfdGcRFQSrUmMmojPIXTGQbIYX4x08Tm1Sr0Pe/AEihy/m tqBZKMxISyU7lF7x7E9/8c5Sqa3ZGF61I4keTeFCAvZvUkjrbWLd/eZ3s1GM9JbzOQ1yPU6ptsQe o4OVQVkQoY/He4PNZVE3nitUlwakHVWe9o5FPCPGINJ4SaacHpTxatOUvZdpidrVZzyHUqm/2Hdy yKodzvMyLuX1futr4PncSVXl9Jeo4AkbzCpoQDb8r8Eeoq3+R5Vw1nw0gZ3jJPs5UpiIlNP4gTAr 0YhBMgCkFCgYdzzhquEU2iRZj/lWvAS3PCFm001uZLJFSKtsbpQhyEODpx8fe2/73ej7FkifsnB7 W0YH6Uj7HZxLJmG6yW0+JE3j71NKjtQ/zJZGoij+DszIonJqFkAWPHK/wJEI6NCMioNmi1a7sq0W Lwlt7tHaOW6zVevs6DSaKACaSY0nVCZvQPZaoH4i9W2hRgccLW2cKYCU7dvVkRvOCWF6lnvxTgMR WoDi9SV3RXMo+3fGZfyaO8PJiefa/LuPkoUxujuLJPJcPaDT7L6m8OQT4UnMyDh79kOEZBxNfNn7 ewVn9kmcBCx+ePn6fEQNc4tUu/MDBD/VKqEz87LqvFhCd9IXMctampL5DOClMmgy2BC62wT3FUAd oFuiunjfC3OxkiMGJSp242fcm1f2RMTQIrcyEB9nvuozdNrxr65EVtOl0g77/+WxT1SPeoC1CyF4 3ERMwv5lglx9Kv6gO1It0EYKaPad84EDOg5we98jG8livBCmupwSiYaDf0CZ8haSb/Fm2F71+JF2 B8Yk+z/f0AnigdXc82lXlur3MUEFnVMZ1+UFrVqZxAlMUV1Fckp/R06aVFXJPEosVAPohop8bp/y gopSp9ea7ywQLRSPDYji5aH6C5N8JqsetTq7B8LwmmO6V/xZznGqRDmesMpdDyfujxgzec46T2oj c2ldJwwoV7JaNbRImqnSdnxI8P32n1ztpm737TD0jM1Y0bJ1rxdfUPJSikHz2IPGkfSLatSrzHU5 XC2BJ5UnqMwe6gV9SPNlQMjALcRutDyMEVmE3ne03+NlKW9Ke0Aasl6WpJLCnUl6LiXU4VfsXAZA jiIqISvzT+SmY27zgIHfCc4JxCwo7gvtUUX5vB7+t7vQAuIe8i8yOJ4Vx4pIuNfjQ5d9w7sCxkye ZoiU31Ul6S7Ucp7JeLBjXXuZtB25TkVdgGSz75Ds27mSErvC0xS13LNpqgm+ualBysAthpbiTQVf vjHsTQV7dFfkF7d6OqRNnKSI9cdgQzNVfVm6nj61thjl22ibeZ0IR60PDtADKvbXJFIcbO1NV/gM IMeTQOWC+eCBZsKim3PqNw2lKEUh5uZ9ZdHLKs0gWqz1/99s6GnSAghqbO4fjCJiEqHV8H1K1Bvp MA+7zXAlk2BoxWAu/r6tuzjd3miouQylNi3gHhEu/1QIQY+x4dIxgeh4idThqbgNIfhyMzyS8XD9 y8NrLiV2XHN0A48/y+A72g48Aoea73co22ji+b3+HOZ9ACqpILGKQGe3ZveRfzKNi8k+X6mcV9v3 GvvgITd/0tB3v1X93I+yKeCngiwuhkg1DjB2i7+stiZ+HA+TxEQFSn1jyAFxAzbvIo5uWT5dICKE mijCsvxEh93okoa+jMhuDRxi4MprmdsbrXD28+7eHIjWeZc2o0FMCRdWyyYxFwBzQKtoQc/S8W1x ykw6OxpIJZLdHBbOdB9MetqkPPQjEdzldf/WlBtIBU7ipNyudp9YVBbvA4eqOawMzPTz3yEU1LHQ 9aUZZYLUceyusnROaAEJif8oe1HA1men/sIgVmeqQYEAHyaDi4dLv5SosIxnPdYJfdXXExlo08yR xqe1KtwE5b5ieeGY2L/wq/uCyBxSF8dKQicFxtx10iwzDiU1i0rApxXiQ/d2OAaepKU6u8whua8C LR1XMNxkuhEy2YwCgjV8Zqs0orzK58RRnfek8FkjzAqBd53YSXm8ofHAXMIndcPHmd3JdK6QLE+p eqMy64Ae2LYsu9H/+w8WevIA/nMhJcKsCX4pBNP/P6arkdkawwDDu4MtRKoO38cCKugtFSHRFjmT jgYo6GksCRGgyNkN3sQgLRYTilA5PGN7qz+ZANy+3FIbKJ6XGn0pkUU1+OoBPJngp0lKogB3ybKI OfldxGurhojA7vovYY5y9sIDuaYlTocme4bJT5nklW2K6OqozwqhMG3klO3GW/JoPimcAeAEjKoX N8iLhQRne5cqb0EtvSzVcVdB3jAxO63f0YLgTqtZNQA6Ecbo/ewsuJ7pHuldaAJfQx8Ho3B8a2Oz eQhkywyKFP3VOBPFJS+5+M1osRRr5eVYZNxT+5S1Cgu5Jj18TkE57lS/YG/AXk7SxeTSaPeeikoQ yD4QM5YUEsfTjOEBkQzKchtEz3lQv00T/fijgFwiy8QFcu6jqhFD3PyEqUcM30XlLRSevfBrl/SR 0uIGQlcjW60vALetaDvep1hSFdOWJS2cnvs1QLUoORiGqV1EW5ord6qIlGgciVqm9UMaK1oEhDVw Zv2cCUMxmQZdYv5l+/SDsR2cODppQmlIff7pYhe9708RIXjFqnfMTZ35MirakAwEiU/TRINk0dEN JGm2ZbMVgTErn98431CbSSZcgzmDhSJlZnfnHZE0vS6ncdXbf6MIkY4os5WYKIAUCPrfr4kIgpwy 8jCIkcsZjJTyVmEYIm/2uJxU46VdoFKDhAezF7i8FFXpUR0WQGkuRQ3th3Pn8r4VPNW+TPTb+ISS BdY/0JIoWVUF+i8dcrkEqPd/N9h7LGNEfaQKZnLWZ63k1f/UkUzCue/9s57MBM6/iuVWzyTSd48t h+Zzqt6heXg+erbDPdQOQ/lxC14BVbEHWQxTqX4pAHnIDbknHK2FUJ04XDyAqHwekzXkWSoSpHv7 6x0gP2xSGCuBj7SIXKM+mwhuRRpWq/FZsj9a95l57kdda6IHC4QSrnfbZt/qK4p07HCIVh/1N+JK haIYYdKd9sS1WfCATJ3OC6mjICe8VOfRp5Cye49WDIRqqV5kyUYqgYnz0jGWGaCo23WxUgm+uGZy 2P3PbHeQYtp5d/Lfbh/8hpiCh1+Ckg8mr9Pwg9zJrY7+DQC1JF41sp+ahzFxcE0qTCEgNmHDRsIc Sgwb5lpAvAEsQAohhaHk6JGnWHdSQfOgAja9t3oQ9z9cMIR58ocjV2XwpAE9pipx11a7ci00AshD Fz5Lg8QDKlgzbu3GHSbn1sO0rwHUSj0VWYxqb2hc2OSuEeYQSq1MnJxT5fyZBmCWhUC9F/hvZvoD SaWZVljhs5vYXBx5VclpUf0ZuqoiTujDZoTIuqIP2acp/p/ScfW/ZTi6PDvYRCQ0LDQhikbWXyvE 7yBIkoRosL1SSVroGmEYOZdehIprdL7Q56WEL8cUxmWmAhRhskHJ+FIxYEtuAOWh3jxQckozKhEu zHPreQpDSR7IImsqa1zReDSGBmWw7PKBdgvQPxM78blrjOCt4drnBTj5tda5D72pGpCfTJ9+UKEb KOQxP9QFTIctcxiu2LfVnKYXp41D7qqoOR7yMDb4LaNWu4Rt4+wNJzeCvMrdXt4SDpT9pcqYBnW1 R2JeJdj5hGCzEWjmz57fZkSn4krpQorkcPZV1jwojXV6ulT+e2xEvKMQJGfIKxOvjPlyiW6DdzWu 9xezr9A6MvR81UU1NXbLCM3XtgZuxv8U51LcaYFxnBKXC8s2cLycTtv5b/MzT7SI2sQzXjvTtSUj PSuWKjJYqhn2s5QW7oB+pujjdOn332IYzL5MakfeFw1MGLYcR3hTmpyt5xYiUS+Rt+DYqrE6H6fi W8ZbdnKprf5NZSMBw96kgLTKPhGRTcbnJRmfFEFSUCQDlyq8f0OZNaa3N29nhH/gu8bGzqAxaJqP EYye9Supmh+psk30tICsz6qwCa/PSKSaf9LQvVCspqCFa32RkYb3Nq2OpAPHc2je4OLVLwEh/YLw hytFWxh8idnHJRMYx45V7o9L9fUIYmAQWVgVYdyXGCwPu3OiBbvcMMC9piIofaBlALNnsb3R+GW6 o+MeRKIIO3ghJRs53f2MBV1mgjXH1jADGp+ZZriFrmMdBFu+auD6piLdkyHelF3IXBolyxjLkeBX oYmRW8XZOTyFWbOAdmETDNLQwH2owqFeZhCTZFzqPqbU0w59lvgAMAjpVswHcKB8oUZU69nGV0Xy fMwu6hI24SnbD3ZWpIX2rLu8UO/S0gC74wojZWMDUWmcQyTC4yaEJrknmvdAux/c8b7B1BTVAr3+ YPdOfaSTi15ac5GVabqn2dyWmSF9dxoJ7O+Wh52eaQCKo0PW2Gii646G92cxAdj8wE+wxbPvYsyN YINii5KOdSSzl2+UYjy3NWyVlFnT4Q0mRf/Xd81PlfqvKlznZ7fNm61hHpniEYz9h6uDvtF+Dox6 IINC0w1erB/gsaXZ9I78XTWWUZ42I1XSP2lYM7PCNrN57XEj33Y8gPdpZGu1piBoYCRRyTjxM7l7 EqfkEq3IGKK9ybODWfs5GyNlocJbgzWwh8UvvCWU0My4TESpYkWzxiOM2lMamkVPOrvJDEjFfGZg OIUeJj6DoJeW8V2WV1sa7Wnxu/Ws/DV15YD9UrlMhK1mi+8XjTikbsjrmcs7xGCXeAO5erUruFtg TDjKhd7XEs4Eeh0XVZr1py81bGgRdBtr4vePDP1tIsv0rQbY/Gb4KL2OxyaDZCm6kch/O/9E4qsV kF4dX6E8qGBM3Y1zVqi/KDtSTmERxsfLxt3INOtAuScKhQgZtczUR2bUkxuYxmO7d+EpDVkQjl/v aDQLOMz8r40Nrm2ClqYcUjtHBoyhkDVQQF7wa8wYECTE2Il1ZHVqaBpaY4qbzRYl6LkBAOWR4t4r SpArfqqMc1Lhko/RyaEFrX4ZWW7e1sf5yAxEocbW5lqhQwGfBzQRlkfHNNR30/SXfVbtGIcvpp7J FXeT4ZdaJ3aEQLQyEzFAF1fB8XjH0S9S4/IjyhosAv6GDLBmbBw3jp7SkGaZ+pKx471SSFHmSikt BmbOiPUFpLNxD5znA31HMdnHkCN05OMx6o8khr9jusO+s4x+kOpa3OfA5TcaO3VSmYKPoRPnmTyY SCWrkJPFxyZwvMa+3Sn0MJfxlGtpYz8KsXcz00jHBEGuhalg2Qu4EnvUMFhPwIkDKS0w08IDQwLV SJYdfO20xmumD6HBSmEx+ItLXbFRIRDiZZbJsoqd41cxwWKtWFrqMC4zKMncfZ/QOslO0KyrPyiB osY2yemWtd+kCqVJoEns1dNPiMORt7v9iVFvKjmaibgX8bRzXpoW+dgCkQUmqPuRixDk3aX8nQOL ebl2vBTcn8o3h5b8Th7Xkuy7PoLzi3siDeI15KykTRySp2T+qfiHNEB9rrIVwzXtdcQvfQJAitb3 uy6cXLn6PbBZ3Wqr15kJvDF6lyTbxK6di6BsauzLYW/Er0Pqk6X8Wx6QpviQfQK41Ulk6Ii5U8NA ZqRmjjWoy6/EfzNturMSEM8vPmanli2zt4Mq9fyzW+OdGwP4gjmPA8kRX9VnbuUG84VcQzeHHQd0 RDd0wfKDzrBGWypNFdOlBNAk+CmxNBoWVPOiPGjXFD6muhNdQFuWPwXzBOI3LOn2yVsJTm8o3bYd PKnC69vtInuh5/cVoG0vF+ST1lH2Beohon5O0+9Qa+u46IGZSu+n4PDq2Yec4shKg3o0QFHpkmI2 4c/YXC4/JXaEvGICYV/6FR6l0b+IgGSg7KW3nVYVNpUNsEV9s3bINih5Gmcl03cK2OMGF16Z4s0P Bx2yOT0JRPd1HGRvoYt8Ncp6G7mwSQ79Qgw4NZEKxzK0IKuarVqFDKNygbS+ExM43mxsX1w3jRu3 R63o/vZ1NfWg7r8YW8/IR4U1NUifQeTfkRkYrDlHDeeiqMuK6hU39k+T9TBUDWblMvk9oDaowMq5 DwVEvcAAOAyGrC8qncfjP/T6+Z+Jqy+IpfG44TJ1wOpGcsXBfBo6mASdWV9TllSYMWWia7k4IefK zUuP8VvrD8grsw2bzfrrUGtsYxamSjkaEL4WhuePSuINqfuWqJL5PiOwR0Zl7uaDFrPJ0eA6R8Zq TIWACGIOpvRvMGmDbLBW6vyqFFNXGNcvu1HQE16uMoOZ9KG2IV449NipiWWgVHGgZLqy6M+j8cA/ 6vpVDsAd14rn7CXIdbrItWU1rWPrA81SXBU6JDr7U/u6FyOhOqc+WMKKZ0phEpFmssYp7sOdqTbt P2LVwDSjze6fOeyzAZSJsvlukSqFn4YwblGZO8H+7BrAYTSGP4X+N9TlHpHbChypWHKZii0c2fOO l883NosEwBHlEC02Qvwr4U6PTwkL7/kOmRaPJ9W4ICbylqKfOxXeP/Ju/WQTgz9tww6NuAxG8EhI SiviXobZPItjX0nvzfFd3aNuM29kuUM8++OSk0H4ODQm+62GF5Yf8OudqyvDhN3j6UzfjzdeD3k6 YxvxhQQm3RG/1EUZkdaBh/lsFTUfDymhDH1lnGNKB93jBt8tHQa7FB2FOKlribCiKRaePQLPjxX9 d507Y4RoICf0ZCeyHkzUHj8v/VysML7UmpFSj+X4jVLM4VbShfVkfIzd6mAGuXnN+qZjnNqRytdn 1V019gY7NHhobDJo5qglfsN8OlC9edV9XT7jU4onfyfTRSXrO+kYjI5o0vtzcExLlZoaSQbVrU9O fnjHJL5h6sCm2JveJ9k0H7hKIK5dW0e+q2W+GyiRCBK/EjYAesD0gd4eNUNZ9zJkjUUhITMxvkhu RcQvrfHTgPmiG4vA2SuhE0opZkFmZyQxf45Y9XEx4XTD/JNPuhgOco/V+BE3yvtwRghOyrn2O2yE gAlftg7aEaOVBGZdF4ETuRa64dYno8/jhdBN8n2cdqHrYui/hnixppRpQ2y34sekbrRdQOq0CCVk uv0FTms9m3EQ5IBqlDeEiml9OdF+ZKSLd89AtTLLqY86gB0YvxbwwaBK9xHQYUFBmd3wJU56dUA8 R5NM7Sv6mheNFTGQNf5W4VRzyaebQl799uMDtqzPGPHpGZqB+0btPOXvGTzk2W9nERTuNcvEOI1v oSMISuQbwgZfb2bH1B04FRKXjKS/Xbe/qdjp/Eai8OeLtDgzi0Ru7KtG4ZaYxYn6eXpeYXLO0wNr cghNyonbZL1OUNwknSqOXiLi7xwcfy0ZaovfEnZucMqDoFZlBbiBcZBdV9uLGngfs/pRdFiA6NES n25psYSBxYyMA1SNdNZYvivE29dRaMwWysXmWyEkfqWnT+2LmBzHiGFYHYv4yU3ggVGmH4fIjVx8 7Fw6RovQJOYkUvex5P3ejKnPYfIGamaUStmy8uAPMC6JTRK+lPH+O8xEQvO2XI9bTtAZObA5V6A9 yU2VUskTpKGj5tDPdcAWL2sDXYlHS2mWuJQGNdftr93Ld7xH3NB3AOoF91KddFxR+vOI8Q3h/KRa ee1GFawgX4lRw+inqruQ7iV8dyi4yGAqwuI9w1leBXTRK2D3eKGAZ6/UPminJJdPw16+LMQQjAdh 22nV/fbQEGGXDJ7WHjOVV/OFo/F+HgYy9t6b1ZzoFVGAcOn5Sr7BA87cdzFGKCJ3JaC5o6Nw/KxQ 2bBe78hVl3yCR87yiLrMpElJYaIz/JTcxKgBnKxzJmXuYOuaF+6i5aEs4dM/YiV9cfL4EoErA8Sb VxFOTQ9oAkYxIOwkgie2WbBbbw0daQpyaQ2FYgwPLcfLG7vNHMz9c+XPF6BwOo7fjtHy9N6bkEmB rybqDY65gy8/HLLbq/exWdDZhqRxtYLYZdQg/N7+TLZMBR79tN4xK6fxY2fus03jnuv3MC2FceGJ a5IyLfI9cgtBNKLuvsjTU1vvsj8WKFQRXqZ7urrgK3F/b/dorJkYPGPl3vSiNUhZzYBHAMBh2V2k WaUC7AJuYyppc6Iyu8Gf7zodg4S+VKpgi3np3Tv6T7wnhPVSlMuWbqKz7+ORc2FSAVEn6xX//vDV Ex7QrRRxDY+aKV7TslOC3aVhZpq5ETsZdI2Mt8WnosoWLbBGE0DOeVeoc33VocbwSa0eZkUndotR DD2o8YCZLolEwB+lNp8vxWZkeUWtKu7Hl2kl1V3WYm7yV/dERYOfZaX5chPlaG24xigtywExCDR/ W5awNeVK14cxkMTiJpVMYWtJSJK6pd/SQyQc3apOvTRxFiNZ6zmrVxSUVowEeRHHWxn1+QH5MNMg g/0os0uwNM0NiKTbf85RVt9UqDTTUZKV+6zAD4H8aSL83ldA/5KiR2w0YBTE5ROdM7PYBoBlImhi TtMTeBrsoBPYHE7OxHpoyGK9Xhm8g1/nzcHaEDHQCoAglZ/kx0tBw96EmZFTFQszc27SokC4T5Uy 4qqXL8mtx9ZcrOhNtjeIqxTZT2D9ftChEUuyVuFRfCFHveORYma40KW2PmqvZIYfgqpP4WbQYNch r1mTARYR03jelF5DkvYjmVu1HJOMDwiG3I5OIm2L8KzdiDLcwbbZYZXXYdS60ZUeKJNyo+P5fsCN QTxj7/eVHXS0HREhhVa0KJjIUwsH7o00KOa40To6IUBIyC+3UxCHSry7gP7o0QO/oRoSNhGD6YWa CijrpvyG9Fo8r9rTjk4Q1Mhh8c4TZIg2RBL4nCMnuPLDhZk3pnmusS8fgkFL/cWiLYBEbGseZry/ 2mVL9MNPT6uiPDUuF8T7LcPZ6Ct+Ib9uuhYmX9joCISjAjhIY/irGYArgWfFVeQ6/2s0Kl4sze9U X1N7F1juKbXJSxjIv/fLTcg/W6JFE1R6uLybU0vEoNweJENDWid86v/kSv3Z65O0G9KzylN3Li+p IXe+6gVrwFWmWvE15qIL3Izq7uOCAGFO2DRFf5/D5Y1N1VVMkN5YCEuIbPS5lEQQw+LbBe7FA0RZ GqwmtmK+8zg5iSYJlX6Q8nNljV0yWV5hVA5Of9GK+/Bqxt0thOL+OLASlxUHYIe3euZvhigo8QpC U3Z1ILhN3Dd7oN6yUXoCj7U3+KKILNCOoctiIJ2MfK7+78+mQ+5E0PLDXSv7zpAS6CjDz3OSklRp +/yIcIvK4AhEoZV9QTzSruE15jbT57FfVGP/6AEMWyRDX2pUdYzo4hWWROqMhB7DRjvFLvILLkCp YofiRIoa/SlbyTX748fA0Pf8ykgVj2fIc7OMKg8lC5UCZ6OdsPUOeZERPcA2fB7XTR0UUAJw3mCV Ou1m6FOK2Ztuh+toQGMcZBy/1KllbjMtxdc8BmrH/4kk4LEagsyqw8DjhnD1Zj/1RuMPGjfmINsY fAP/+LKrqD5gXpftK2QGq8zf8XO2V2VPVBHlIu9BioikBVMHyuLOsCASRxTrjpIvXKTj/im6rGnZ 8+CiZD3FNIW4yrrzokpbHGWqnPr75iBtCxSxdwc8vqDQ5/e0DbS0EhlJVtMyK9CslYC8fiPbu/q9 74yV9VAdSq/HSGix0Ld7oL1jmY576Pifgbsk8fzTbZc3DszVzS0PITFFWFhgN0irIa2H54SogX3i Va19DaqOG+B3J2ZjsUekLoeO9jx0uo8/qKl8SbZI0GuTXwZiUMHiiw4FNHVdBmneYpHi9ZZczlCD JPT6nYx2wWYBF1jGe6CSENJWCuUegkcXIsbf0Av2/RqiMa/yv3/bYZBEe8mdquZvbGyRngIf8Uqt CxrD/KypfGY+FXYqJLawNMP5zZq3+HfOV0BR2s3BpOSEb7FpMBNeO2xJdd6+evTas6YEjVnuBTpQ dRHG7VdGYQQT3B3L+oknCn86oJikdEoyDy6c2Agay5EGdG6jEe40w+LuWtmeTBnZAvbtSIWgOuel rOZWf4BPGePEU4uPbj5wKljN0H+HBwmJd9DJ3wLbgjSAb6izm1uarBNN78kdlPLR9rTsBJPfXmV0 Quvy15bXdDvaHGHs98YgbHnCTDIBP4yLKMraHwXD+pGKprOfkym035LOXMLD5b+9wL9HvdaqYf8E 8JoxVk1LMxlKfjzzeCNqbZQd9cvIxKv29jLjGaDQ92kW20t54zHljTXU5tbxUqDbwRtf0tZbaCb4 uQxdoUQrBleBr0vh5bV2kV2jsRBTtcyjwVpLv6FkQP79dU5aQSEblvIsddhxidCnLqFLoQtzogQK Ip9HrUN874oVJsN2EqHbOffWGoVJMGIhnwqJPRmSenyzRDL7FdJ3aH+WoJUDwOG8Gpp4FwLObMWn 4eOea/naSuAnA7PoRCmk+y/1vWM2gTd4xRbJ9yZkEsd4N97I+WOWoVsMlRcsZcJxCCiYlg5qnZVz TcdBQYe8+/MBxcK7jR9cyIW0XS7pvke5YLjvXdk43pMiW5rnlQFjLn8d6XqDHhxEVpzSYfvH+e4n 8Z9P2MSt2lBW9vhb8L7gnbSLz1i+chRQyvgx7kxExl4g2KsEsGe58/VKu+18wUzFBCMcI6wpCplS WUukPD2W3nwnPXCgCmqIRipA/VgiANDpUWcTPOpJMe1Q+obwc6osnt6vohCg1gvSCmHazbeGPb3u XyDIb1XFOPMlv90yJWnruGDkYsMpK8D0Ezb+8jyKCcMDWXDqbIgMZVyZQNrh5UiYtBqaFXs14idQ I8PCB5UKOSX+aYZTc4IdJByV1nJ87nlAXihywFHOazOSEDFWv6kkPutLT9/wLCGbLOPKRkekckVB hk8yNg0KeYIYzzHQ13cf+mLNer0iBvF9BmxG3aWkdllLKVx2EoowoatWwYAmuhwBsjJo4qPciXCt mcjfIH9yQZuEPKmtlvHHVr/UlOLH/o3m09mO+1y2sXh21QPMGIlvTkWHyeAUXMX7xDBijqAp8amV +0XBgOogkdzZ8YR5w3DUyqcXkwiEFue+7gaZxCHEpdOy2DeBYmUOB8BBk9ACAotPgmaqTYFozsSG wx0wbaB4J1PbgvgZzP1eElHiIiKUt6P2aIz2LhhvOMcXAc0mjGVx+Vv0JBIyyENjYmckzwreBLFy QHR6KaDMMW3m2szTyyr5RhYaDNnq3J4T8njLa7zYLKPKNXeWOgA8IQF+Up8/g6Wsu+PxbtQHUlL0 ugV4Vjl21oSSxTP5/o6sxFgHEit/WOSDTKtJ2jQ7khOSemmfn7n3BoTKP77p8WODL8+4tJ+jsf7i sZ+OI/4IuwZQ4YFHghIzCyQoJC55IoFGJ5Za2b8S6tn+umxu8k08oUAHdPxiGBNIoWMbV9GUCnav c8YxEwWjm1ht0qcoz6iIZP4E/Qxnblbq9zdVvihJmEEtbbtBdeBPDnVzzhRKu5Wu8sJU8XSAIZzh UAUjH1eoxx2llVBBdcJq6DrwYTuqu57V7dsLlxUF6rCT6TPt2o6RSlktilKG84ZGBeuMoo5OJj/a vKSwTjVYAXxFyyZbfLVEJoaJWrOSbIK2+Wh0jZm+Up3iHdy7wCipt2rV2mNdPzKW8oQGnRpboz+Z 0Do7hzKz5nc/8QmVc3xnzvGzbsdImWuIUlSnsTcrAP6qtGgGMJah274fTmezU/3O8H//GrfqA3/Y kSoIlmixms5ipkXR3D3ZtFVqEQno2rSFNC1BgHYpEgWAM6zzxCnUsMoXTsTX7MW3lAZxXq2gWy9S A7q8TOkcS4oqjQuCPO9HvfT3vVJP02ya0OuSqiwAbJoVnch6RZctYZ7jfu9AAKxV4VxRPsgLKD68 p9PvtJvfahVPfkpDOPkFJ6oY/jyRTIQ/SrMxZoaiDxR77kZDwwZ6ZANA54WrhfhqS12EhXyEUJxT BYsOy1idgR4B2Ec4RloOQz5ybCRO+BNdeDD4VmdSqCa+HMYfcRvllC8+QVP5/fvPK608R5tWLTGY +oFJmQU0IHMGZIy739GnE66c69C0ACRptIoIoKIjvHfVY2hR5f4hE14vYXmonnRgsifp6LFGjlME cbh7Asl12GXda/MfSeIvwNfYwbKalZpd1DNhURtgkqobBZAcRGb2wHdtousdsLO75WpRLZH1ApG0 iSQuF9vHHJ3a2T0MRdymzIJ6R9YYDGbs51YOnVkAOTM8BzOP4XLm+xEHjvNsw9M+ZIOE2fDbx4Nk 7uRxA0V8nxLeDBKvfhyU4HBrlZ8INiIt0NaeGrgyELRMZApqtBK4swdZ7yXzYrlBVpbHF3ibwpoC iecQiquQFrgLJFX5keYN6R7MF9ZEyGt3SEVH7zj43ZTksMZgz0nPqq3R8rmTgyFpZOEMMwZEyXNp cct30pCFz1KjYwxfNClLHMjR87WotT+t4bDNQSG4Gm8r2l4LADbb0V4WSiKaUOyAT8nnJ6pYBOT1 Uz9FCOyZ+nq/4rX392rKdZW1s222XJG1YspKY5GigucQ2jMd8wTKmrbYIM8aUeJ49s+00otgEsdr cRWllQPeyEn9EL5xRepSRIw+9cNIB9/FrN+fhpj+DKoXBGO3kmyWPyiNVkUB3F2wkNncX4rtDPXA N6313pYmzz8Dnw8prqCCC1UBpCiBrlbAIOTtJwko8fSOQ7Ewt4BiLpCfIkkyg0bTh7hTDtmkol8X dZuvljsAMuhNr2p/8ptcvpf2pO5bScxt+jvwHaKFmqC3aE9Rb9hCXJGhnEJBc6DBwlMY38fLqstf NMWPxoOPQ9G2V9I/AL+Qs+1bSDg+85NbZdyrcEfmLOwWXWMBID1Nn2FwWtEy/HYF5GpfHOuBrNdd +P4bmEiyGaO3iJGLyJSxW8UCt+tO1lS7PoYzRGKkmS78lA9BVzod1ir5urFayM9CHxDwmn1S/VsO yIIWmbpiht46W9n+JtIQ/buRu/Ms9X+PpUEHWFxqTZzrB5JRnz9ZQhb6IF3nkpMmzNwPjtp3P3yx c2SdKlq1wplf8PJ4gmoJlE6nEbVKR2/RaCMf2MyuNTdTcwKPbTrxXxBLBnT7Zywod+D2H8B+pD4o paNBq9anDoy20+N6mHthwLxfwGATrJHFGWPXXkjU8s4ySJBUTJ5VEY7NmB8uxGDbylEk0dx0irb6 T/9kGzmAKvgBKVKwNYpGknY4yUu44TslKUub51h/0w02um+PULfTNduuWWg4tNTZc1QE44BGZ5zU QR5ItgPUXRZDkQLS4/teCPGQv7W+qE6gRdJ+VWCtLmd3VuyDtfwODpW6GQG2tJtO9GdUFhOtLHrv BFOXYHaBLxPS5ElZTuicXC8gN1PjwQGCki+mIqqU7ZbVqtVUNJNoCzB/arEKK3DLq3dNUXZ33T45 mxRsggnz4ohStubS6+lgyAu6WgHtF92miPVWhJyG2pI7QevfZMcdkjVT/NmelomQJD3zMd4ppYpb RGsjDWLL1pDzDFaR4Exsvv8sWJrLNSOzaUq5iB5x2zz5TP72/bwPCVtzsu946TKViRYMjpwGrtK/ vJ6PnM6Nn5sXxbkmOHkLf8mNk//QNvQ5TzZWZd9fhVvAs5iibA2w14UGgR4m3zOCSIcuWZ5QTDlT B6e9wNSJ/+hQpeeEaBBtNNJs8H29pYBMv4mGn7apqNt0GNxKTIBxR55SY6yKN6XpySKdRhjCdcGd HJd3N/FneYhRbwmBQaYEAqcySTGVKSyvF4Ns4ytbPGUa2ekj9YqwfGmoeA10RYyNWOMMyRbdoWfn YAb9IwTMK3D9vV/nDEj+dFNHjGb4YHf2RMQGMRjPE3oSeEcAX87jVRCoeaAW47rAGrs6h3RuOfyz lBI7R/yjJOVeZeq1qWzreQuRMeUc3tBxoWJcM6BGY6baEPi0e/JPZ7UgR8hFIovzaEPE/B8VFeN4 Cr9YNcV1JLKw388lf3At0J2o24m6X5qf2LDXqwj1EKVMTTPV6Au9SOynhf15IApuV129yUVCrfif fOAR88k1DuhGvZS1YePqMuJchlu2/wK6nZ/MUTvCCqADJGcPPUz5yB4tBZuRWzWEddLWL6VhIQrK 1UPnKHrDPtbDH0FeGRESW9NeXheEK8LwlxQeY9LJvYs1qmzE0JCDR6VueYOCYmc74hE4HJjSsW0h 9nOIT+PirGFYWJZzKj7HIL6vZb0lcy/AiRnampsVcX1lgKo/CGeYhj7dVGhf/XL3ZD6QbTxN0a51 EqcIf/21irjj8uO/v10eEfI7rPRg8cMccF23UzFxbaMTtDwPFvA300mFa7rPYWgMH22gKkn8Hv2F XS9uvS3MYrvOYe58sq5EdhuYD64tl1uVabtfxLEC3nBAAdKlzBQt51KjmteeCAdqEwhDj7ty3ira +pFCn1xxcrWsR0BWfD7JaCPTe0EyxqAUiRuYh5+yy55lrHmAlnlWiof3pfqefMspyRtkp1dl/HKY lPsFytfPPu/WPFpfaGIJiUUB/scFf3oxqol/rMRHGNBkJdYj460+N3dM7NFM7x6t+THAs7VZ3Os4 owyXbSJDJhqnTCeCCWgFJhC6xq4YW8Jl6Mz3awo/ysqiyeQTMqzLahAEta24n2TjO8zofbg2zTTW V/tn5AwGRI3xWQvY8CN0vLeQ3lOadU5IWMFJCVFo3OjHrh+H0Vl+8AYWBNNZssy2oS+93HEhVhmp kRQxghAS87+epDhhIZ+QqycfXJ5L1HZbkSxU7FS+2DdlRe6I4ogzeN2XQpJoj05n+aYgg7Lyb3dp iRX/hhIfLLdV0LNx4GVPqCtYqe1srqbeSoY7BbHHqRV8rgrP0VSpydzQ3rFQyQb0Mf/jQ6tMQZGM PMVQkpEje0Cz/B3zPdvE/qEJkoj69ZVMLjnls7wwyrB7ZnGAajzL7Eajq5rBR60qJWWsWvL5lVZY P+X9ilEdZdZ8CfXihwmwxht1waxo30qCFfSkAiyn96+WVRh/3tekIqaQAVW89V1rBE/Ptu3PoifY sOjChaonm0kIo3U0EKM/ij/X49biN5bZTA1aa7NJm0QkFYHrNKU48tO49BMAlyWAUkVLLOvDntf7 R5NLZHWpk9XAltPIJnyIpvT2LwcWSAYwUcoLjkf9mnd5j/rih/OBw1KJctBtVW3cSRoLIXt3k37Y 8qYconluqdnzVlPasqRS7YyFQwyFUCgeMRm/VXThhlTa1UBzf9LiH2YU8aBwvAgADzbbRUDWd5RJ VivAJjG6kUMlY1aENc5MZmjWodGbvj8k1SgHujMWnQzmUsJ18LTg78pN31KkDDD7+CEX5JT2PXmC UCWU9KGDjzgp3mWBi5AeeLpd3I2930QI1shJoz5qJnKLb6Rb38QQRzWtWT1VL5GJM9VKYTmGmWXg fO9Q+VIiuUtM3n7fHjmWkIZHk3aD8TqjvYmNWiOrMTs1Z86NsGFAd8kJ3vF1zroAheNE4EIjALiL rpYrjeJTTL8rw5KTCNX73z9SbftWm00B30xnCuqT3A2Ri4n1xC9RfhovQQUvKxsJyku4xxjJFUP6 5XfZKNT9awAPMTyu0UCUg127j1JPvEH4hPtEn5J1dkqG8UrXM7ybCTF1AZQ0+vei1pjZ0FtwF3gW khzD2dn6kDg48YpQ8D5W8h29kWAUlm9r0uV1Ic0u5lVvjLBAlscJOdGxL2PrDDXLww1t/zlydCH+ PwPL4gCJt/KjkepL9NQ40EyGCKoYbwmUbP+p4VJsawoTdLI5iGkZIRrRXMTi0aBHuDkgIYxHsgn5 u/EwjbZs+JnvoXJs1jj6YXLvoTlT1EPxBuf/jZPJyvS45rB39XFx7GA11K1nPZzZYCrLFrYiAbzc TCgGvuNk23YxS13/udU4DPfv6gWXmTBBri1AOCNwBpHwbn7JHB8kTsNns9DiNbhw2e1JrMsAG9Fe Lz1jKKAc7C6AgQDYu71RnvC7cAJ5M95LHAR/OFB0nrFnwOHK41LnBWaWAg/2uWGikTlY3rjz1Asb pxNrOFt5Ema3ghcQqe4I//zIufcX47WjB5UmllUgjTrPyjxpzfqhOWxfHnBMY8NRu3zYokmuX6ey 6rJZ4AxdVnR2yZM8Bl59x9T6HNjFrXTpV0MpXiVERjL8Gk4S5OfI4wXkoL5NjW3J/3Ot2WFFcuCN 7FMECEXPYfB95CcaipyT1feq+1y6yyv1nP+rHywySbvf/BPQbN0GYIVslr3higEDDrmOLJoN0NrB sKlozt2CxYEnYGBWgYkN4oMH6+zRFM2D5AzNpe1JJXjbinXvWb8X/LLFhXvJBSfI8aRNrkR70wMM KnxcpchhAAQp2dtxqhq/9Llm7c/LPcEYewoZwC4pCUklsu1BuNM1Gs50vBhxbEx9kUkFBz4y2R8z 6jloR7OrvNwCqf/UUVImD3udE9LrzFa9zN8KnOohJ2zhvJqHo3OW1RYDYg/X4zQe0gvHWRCBECD6 mPjRf6qMRVyiXitrmjycVvOpIoJ9sl2qRaXRwDjuQ4q7VLI8xBNZyZIUOioaQMXBMzEAEtB1rBf6 uWASTEd9fkWcZ3JmTyLRrrR/1JwNWfikWj+012FcQachFTyejyVzh4kyqYDSx9qqPCqWpwAMG7vR YNJ0SmEAe2IEK23vbCnMXiSs+BtOp+jMqBYlrgHGPoe32qD7s2FvoDexK5aVXrpBSYV+bvPGqpdU 3UekUtBUt7TeuX3rVDzfc+4SjDFv1k5tw0R9Qw1OyV62QEe9K9q1o19NUhW8SCBaZ+y+Nj3qvM2o Ok9THOoW/5ycyqGz8GpaITDAIDWUygTzVLQgEwYjmNkfVwD6eCMon3+ZhjyFqy4ZcduCMv65tzjl Ge0QDyRQ+pzRecWd/6InIJqsvSd1zwUp7U9O3y8i6ShJpD7hpR7VD8pvIvz287aYXr+5c4GFZ3nz t6D1R4axIBSLkh5UcWsuw7caB2sMjjBfPnWJ2hNRyoIEOwrH80AZrQDqKFNOYkxMOz/8MHTKMggi Jx0FyxLcJNOaQ/vFKxEttYmYZd3YXIFvDhTrTCPUI+xIDTJeZpcd5x1Bb9kCO1MVKFQHTblEpDPP uiwfz5/SHF16dZK/PIXQZLnMTWBhDoEkyc25nFWiJ5D7XAfcGdE2raamcUMdok/KtO3pxjzcj7ZQ kAwlBv6S4sQwxFsaFhbN5eHMrKQYil0EfG/zhOOLDG9XaEoe86NqclXmQdxR07KD7h5rjfq0NceE NPu2ATLUGpfKicKJAc+naIRF2+NJZiqJ32OpbeGdrZAficIQQ+YqErEL2HVmBsXT8TiH7bFSmGOm 0uagSCCO7mzodPDbd66qPix22ISPlTivpnu4ISL0O7xSsdPn4mPNMMLaPTk3YBadk6++SBtsEapW Dp1+dGWUTA0CX1UQAWcwOJlyFhGiS/35KcmOgtJMXS7tet90WNPdP/tuawzI79BRzvO+yevCvi4n SpqG0y6CiPwD6X61DriovMFMZ9QAtjFLfgTiPD6hAMvDdWbzCYaGuo1WI7FG1Pw1rqx/8Ano8igY loeDlh7YdBtjniOJRlMPNcXyOfTDb/vJi4EB5VlhM2jdD8MLHI367Coz8ppFK7fg3eIxUgkGF/OF xehZ5JhbhNBCFtibtp1Om4rP2B7N+mng9tqhswjVMRq42J6WP+tKI3/SI9MGmAjNw5l3vowb7Yp7 EHXGX6m2OPpw/XBxIf2iVoE1KW4K2ZCsN4Fz0B8/sTC5ta8wFG2TCu0GmoCkb5k5ygcuI0VBWzar IiT/z5pzXaNzXqMx+B5GuRz1h22orPxhW86LI+vm+Eudx8xQJtD4U13As60FV2vZPnQq2Q6aF9fV LE1z/pq2B+8cCFGvPRUlefb4LsQsvZa6I5HOYOeswLDUgGq7SsZC0u5Dtq683Mhi+EwdPEMpXR1C Aly6Ql0X4YmH8XdkbshNhv+J3/FAMB0KoaHi0TsjKbe9fX2p3yJT3dIH49era+jiOHtEYF+J+DWZ s9Hahc+4IdwF9rKwzvzQ6ZxORUu38Zl5Xbov1TN/kzmVga1zICv+if2/EjKAx3rhte725QVF++Dh RAJcH0N8hjw9pXuyjzck10+V4G4P/OBj2RgKWpQSI99XPTMCVgF2Y3Xh4i8qsJV9LLPFzIRUVLao 30VETiFNuQl9ttftLxTQ6iaQOOdy66c2nSAP41g8JspE1+l3kpNMg8sm6uYKzfheJikkaTjbCU79 wf0L2eKiMF5bMpcz5llYTNtglCspYFr8CHBKJT/idO/vutWPulRV2nRQ5t4DItv9hv3ViW9Mn9Kv Tq8mZex0p5zZuO5vxavyfxLRzL2YLpPmHmmakxufNjVMgOSpGgks4RYOEPig+e5zu6rJVHlQkUht l9GE3J0c3OX8Npxmi+gqek3/aqGKAa3gbRePdCs5awpMLN/2Ta5T5yLZTVXkFW3W90rPYP5pibwq z2ERaGRNuC+7pqTBvNuP3HUJLFVnJdeGbwRuZRP6w4NbstG3n0QC9wZ9iLlYQ74LcD2n5pMju7Ea hEAsM16DDenb59BigQQ8Vu7hPiQzE1QTQXjqRRtzqpQZYZpxV/sNUzgXwAiGzhD9F16Tm732HEIt zVe5bHgVs8q8vFfjNPaaH/jWDaO6Dogm6fKwXLF1KhLESrxv79GutalJVCkl40EWFlrxgIHAuCCF 7t01EIww1lyOPmVKqDjYJT2uAPgY/g9/yBB7AMljkHWUmAo11fqi8Z82zRqTTOGXPknUGc0SbAHB vS9vLElHp6/QY0cUkjGS9IhpKVwFDF40h6ooKykawSgkYht3wC7mcOTy5YCpfMZHjIBYFQgQJYxc P4iu0RKmvx23hKeGrM+iYbZTXGhvKNeS3Cxeaw0UwMcBL3wZszOWsdKoFMzOZ27cAE1WbLY4IPtU nb6YI6zoD8+whui30j9sz/xdLqCn0p7YA7ZhqMcdZgbkatluIr/f3PZ85LyMcnP4Y0dSC9Gzjky7 IGhLoWUP6VGr+b/PU6mb3UR/OWg+9zwxXTZXjl4YCZenbDEiWMXk7C/ZLS2U7nUKx3Qvi9EA+FQ0 R/hJERMk3oGdVdCebfRh7OebBMS8ns5Vwm4FbN6CQRt6SMescLaEOfEIXyVnV0/70LCASbUnj4Ij 1Ujl3CqGxY4J7nnP3R2nOQ4c7GZNcKzsdD47xJVs99lgNdcHdy8cKR6F2u0m+6m491Uyp0FXWDBx D/sK9lLqxTdTzb2k6RH2NiIANuyjPWdipRdnPbki6UYlf/TgIXkhRPJRcyisj8xXnVkua1SW2vd/ RgZXbB9t7FHvSkUPvsqObfr+UjZa3oTXtDfVa4X/LMi+G7ZCPHOZRBpZl/LYXFxSoQVKaQD/p9kt SPst+dGwc5MFKqCvO5yR8TbJ5sriFrPmKFZNlSY/5TSek87EJJteJPkYIT+/VyLoKOdY7DUAacvJ 4cVIuullvMP/D3NNiGgb5BEKgzLe9xcGjQMSgf1dufG8wuc+PHqRMBeNW8d2CMsc3Nts7qW4zXOb 5ecAqEPt5wGIITJ3fLeZHi2c5x6lxwmmgQzYszIveEUGL4BtB/L3CT2SQW/JNy0twNVodJtP9+Nx xotrxD+FRbPU6Gp98HSgAaLE0C2m5fytfUbPiXOP+QSXxE7RjZlWxxyC51bcxvg6BKmSdFxZ+K8x A6WABnkIq0rCmgwdlFUx7rAl1KeJ9lGjXBq3Rd+iq+Z7Cf2dlMYv9wXqigktLlUuud2d2nO8bPpH JjFIf835M//boSHY6DgdiBBiA8mLvI7Rw/yMQjVmU/Volvy/1e2G6Um4It+5NHK5KZ/tc1A5enUc Zm3rGYXvsqkOHlv+TP/GtF6Afdz1G9mr9gaoQfiyzgN6HlZ9SXGNyr9V7+QlxFvrnBT8wCVvr1wy ace5XPFEoljPq8WoazfCNoxAGQuNK1f3pzYImWn82pWvV+H+J0FFNJc+ZEVjCKX61En12IGPTjpG QnJeeGlGpheCabQDw+ICbHWqX1gDpA11vfvzHBlQAQdgg8I4Cw4SssUh8k6Ned7wOO3lAJB0afxX tDGbUQ7f06nwm2nxXxK0QyBzEeQThkHUj2oB1nP4nP9FHdAFUgD13Ak316Ob6F6Mrp+Wype2Kcpr RKXsNITvL3szFYCamV/xTtZN8yk18L7wXszGR4UBNHCr7cqXQgAzkl6qENu1Ie2gYrZZ5XyO3dx7 LriUHB6FFXOk4wWTwewKOJE9aalOt7s09AjdYNh804GvQ28wCt3dht+pjXhZZBYjWFIy+Zgiuor1 /NRrh/5piEQoo6e7izixGQdodQAwZb9MC2v11MmhTq+96HzMuss+Y1tPFfxTiKU811hCcB+bXMdl MqKzTyYDSEnRYSEfCFKZUjkHbhTfWh7nhBubbkNEVZr6jTHyYmnhu89tzZpyompXwhXf3GBGHvwA NhWFQYlqROqQ9qMX8ufgYDFf3vsf0pTytamg1kjSKX7NjUIAm9H7kL84/G3IJfinhLs5mzE2SV4o Zh4RCifqdoOBSmUm0RTf7zfn9PlRYdxkTCdi8Zky7xq1cbA9y95jHjwujIzWY6aAsmLTqZG3zePb mNUTkio6DScPTxGNrCFStGkZkrn5VhOgTx31EccbVrlKbtvu1q7Y/NKCd6+6GpxEeHMK97wicJ5s 7oOxBGVWZUo9px25RDkpIV8XWMYLSSpV95BU6b7FGOfVTwBFHK6n++3KW7RaiH1O+vcxCa35pL0x sPNCHT5nartZ9rEts5lSX3hbJeMiNd2tbKEiMfWLMbb75KJyDbceKmperwPo57Gizz666KvvVauq 19KQg0mY5eoes5ayXb7IA/8pDARw+NPIKFxSt4rZeXmw5X7vu2Rcvdn0q0apI4qIxIPhB1gmA6BG b27devo3Fr6Ze+SwszEE05SQHEiYRUIQJ+WRDMCsIXEh32DswJ5m5GYSszeBinD3f3p82RBbrlKn D+mUOmZTvIdxcnC/1W18u5Nml13m3EcHCtYMD9u6/kovL+nqWe9xhUCVle1URj+hpbuAVuFMsbjP p3Lshj67KNX7q0j6LrfRlt5enhWHM7b+SXFyf+O+StJjqDN5zUc1eqgMNtCzeBjPO1uMU7ERHrr0 VzmiikzI0pyIIWmSf8DjVuRq4DM6Q0T+3zi4hRIB+Uks3scROLsG2VE509EOzQM1pVru5+k2LEP0 Zxb9UGIoDtzofN22FVScIl9zxopAzffwEQgsxz20ZXXf/ZX0EHGb412zDYqvEqSnduSoIv5RXQaY xIbwWeEyK3XYE7JQUpiI56ox6QUfVI2Ao9IBytzJ2aomqUFNteJVb6IND8xTLWndsL0C8y+UYCgA CmjT7slR1+fcXWlzN4f8NJ5qcokytH2M9jLPoHBAx3PKPjMW2uJR/AdWF2LyYj0PRTzujj0IsfMQ BQlgxDq543rqjK42A3EwcVh0zC1fPPYNMyeyTWgCylwBJT0R6asix/TbjZGSQ9Hndn+6aaOYxueK XbPuQ5RoeqJfJapTH/TVvEyAaIbvnEa9Qoru3nj2MxW1ZHA6b8kpEL7SxcYn3Gf9eGd7Sg1I0/oV t+uZyWuwqTYFRsWW068ZuzyUgjni6/e93Vnd61ZGqYNUV90aOD/eOP93XE6fgWAA0emDfU+OPknu tWtjnWg522wNaP2RTAZy7bVGPFJ1Q+KPgT9cHTFr70KG/C3y/YUHUv+fbf4vvtzxNopJNIsDq9of dBxv41Dc02AboDu/fob8wrum4rRDVLlEO99lKRYm3GEQwni8bTCaR/SpFZBgBGOiY6WYltzlU7JA UIn4AkwoLxLByVk7xF/bktiGQhobpKyOWe+fadOmCCBWZbjIyjxSxpMw6pK+0JHSgVrCH677HGQ1 2uaRfX3KwNYyo6WF2E7oReA38LWIHgssLFMzLUxl2Pz9OMmKulmPDQwZTvMuz/tHhGdB06AtoMnT VYb7E5rl4P7pdgCXCPowTiULYqI489jXiFa12F5DEGvQ7XH6XVTlpzbOmCHBArFXahQDj6knNNpD xrCca+NErmKOdREyNmz6mLgxwuUH20JtNZFx1/AZSrBQyQMxvKhSxKF39xn7Y9T8T9oDJ3dTVb+B znDzyxSFLqeUQZMfZSeev1t2OqX8mpRTejaxdxDxCcpJyj+OMlEO6AcyNxJHXhLRst2BZlhSph3q FI8OHScuOR2eucmfoZVAd67HZ0Ue3fWeLNxuk79eVcGi/qldiDszXYMHQBbY9PWv1bXY+gn+zR6f 4jhmclrqB7CkwAxH0T8i2aLecMI7lmdB3PRHu+DjJAts6gIgx24NkUCRzYjVobwRw35nWps8Bo66 LHp7laQDI/bn7uf07xqb/nAsKWOMdd0IVpkwd/i8Biqyoj6dJgYXYba/YoE3F33TJYpyCIgtFBLM yecHDC0glPsvQggoBEMl8dEiaqJjyKMvI0u9xAchXVwdxgfLhUuidFgVqukMJctnMdtWU3Xei9vI AMBg3RpvzLtm3iOZEHJyS32/1ycAwcTkebMxdo/y6PtXJM7qnl4olOUWpCNLtkws4k6Ko4PYe7Zy EqKxAterjzrpBHHSwJ208gOR6e6IrB670V+Jl6yubVrEA7SFdxiMjJlHs3LOX8JmmApZrAHfJHj0 HgkvOvcIudGaJoSGz6rocmMEIWDDxqKkzOKJA4sZS+U4yHCvtPK2iKbB2ri4fimwE6mpWRB2Vo6y OqTNhV41HBT7Oc+noKQYfou/ZrILddoZHLYF27JdzV/10Ae77Z+J8Hdd/z7rQKi8VuEPZH3PoGJC Xq2iSdJnfMwH0sesQ11WeMsepY9PyR3NHoGgHbvmjD8PnwOA1qpe58GcIdrIpopHm5oSqRQD/fSP EVOl5w9CBG1uBZWNe/YQRsaqp4S2l+bVxwkuLkhJUP7yB9BawnfeHBXiWbxjogHnPQ0PBeE6rMGV TEGo0qKBtUQ+3NjzvSfLUxT6JXrj+UijaafoFb2IIIACC9VDSda3p6JIhU0YFsADGBz9YtRRo21g DG092vkVgT1uT9eF0GzmBvk4Xi19+tlXaDwaKvKm7Jdt2uXNo+pms6KqjwveHcriyY6yQM6W6syC GdpB03yU+MPJKHml/4fuWeNI0HozRqsBihg9DripPpMf6xNZuNrAfWwAGoQTjQ++ZsSn70wwiWKw HtoY3CQ7wv7mDaXtrAPHGyxGF2cJMLxvDbWwaTeyqSVELPHTOHy1sCrmkuvpdwshSVd1VrErlJSv rm/pnTWds/zKwEhsxn4/6cp93PLkLEnHjPEkXnzkBMDT9UwUKthymeQnutTaLy7gcZKyspou1HBm RP4KFDr50vXldGEvUhtFawZx4ijjOOI7neVqV3o2TE/vC9ePXlJWlVTUZeNuLcBcJ7gYoW0zHQBh rzXxrh8ayULwsUnX2lDJ2O1HcGXZdffV3WxL2EqJgMiJYVNyNzjZZ/BnhJADGfwJ0xSYbyDl3qFO gH6QtlCkQFJiJGPK+ypeDzUkscyv8LasU0UXdBdp3HExiIz6scypjGla2SkEx2VYchFsweTXt0ca vzDOuwB54JrRbJi7w7x/3bIY8yeDd15C+Lp8VMYj8yaP4yEexkxTDRMkXXw4EMidFadwqdeq9Jaq aURz/pt105jA/zRsxiBVYEromK+IxiSSmLRiVgXIb0OybWW43WwmEIk+K6Cng/CaCJhY8Y30vBBS BNEVUd4RqshYBUwz6xyeODNtQGbww1UbSkA9m7b0Mj+cEEquut/fH4oibyU3lgvlgS8/WafklE5g K8PIlWI0shj8Akeq9U+ud9G1yPI3IW/F+6qPrkso8EgnvF1Pt1zWK20Br2R+5eVsNucBccNpDtgO usfgC0CfJ9q2REkHoNIWvCxFxjv5FgaS1TWV1pzuNTQ0e0nUh5vEc9F155tN3TJfmTtDva8gCyd/ F1EQcyQM6V7a1YTpzXAD/G0dpVD/Js7ImsU62A5DSViGMxi17B87oENZVvZldc4kgzoDRKgbrUPD NWIhwKShFSzbt2ilFu0pgLW2pER6id8Vdyg+xuZfl5fsPBlMQNSGmrc9jnknRzE3j9nJwWtX/jxM xlnhyu1250zkb3K4TMWAfz9sNLMb7afxed/o3zRVchU7jRh0sSoAEtYedKZrDJJih7EXiRDUO4ZP REehUFzc56DwJAkzQo/r3JOEOVtvm2tUh+RXTIf5TSZP8ExVnkbWuf2m30ku9Oy9ap/EOr3LuPCe NxCCpY0D9ESZIbxFPR6rPeVJml3S7LHuZ5bwdoukmbX2v+AgC9NzYxrSk4fwn5omfjoCHFsXd5cg /v1BjBLnnEJfOc0sE9ojhcD2o9Bxr7E8sbbUUlNrfzcAUyufEilX372GufPmhR7Rsfpj3PZT9iHK M3uRVufVBkq5u3l2fjFJmm/YaFrMerfgd9MOT8vcjEuc3C7Tw7XIqLSyVgpYWVTv8Oj2HgUYd1GW sryBKmK4L1/PPvvy5whJIcvOhqzZRwYX3T3jPWWqzpnFVB1K+VKWUDMpdy7CP3QxemKjKac6qlvH epBosdUzw+XKTqFVoaEJVmsoKj17dn6t07Dy22EFxuF5czWE6bedi4snNvI6n2gjf1RqbIIT7pDL nHzn4o8fSOKuID0GE1vu+ORNeruCh1Pe3uzgv4n23I7LPGmWr3o1JQmI5C6DfK69t/iPNOXimRGj WxDPvjNaS9mECf9C55bTNkpPOcC2I/NwbQkizLjq+l+sjRXN5kw4l4+PPXtssX5bc5+XtLIMqSpI 56eik+e9LfQ2oDSFvLIuzPSUKVH/ao18eA6lQE9+cyHGcd9sZIAzsOUQXJHdtbEPHYR5Hgbp4wBS Hy89oF1WP+Z5YQ/tPwOoa6kyDyuLtZFkZHxsJyM0tGODzK1Pv31TLlAgUAIGVWUBhiiWcXEFw9K2 D22wX1UmCpMx953cXxVNtm2B+yHpPb1ypGmpymXx4bMnm/vLPNx1BEszQcT6pGQDlQ3xmvO55Kmw Yt09cpIz+I4f6goYe80zg/B36WAGgxucwcBUm4tMTcP4dgh16Z33WeGj+VHJYls78uNujaiCLye4 b+VAv8/EpFFRQfi8CEhl2BPqUs5pu081CcidlvylfOaAaurTY7cbGzXpk/R8IE8iJ0elxP5kqQ77 oguLcFDQkIZ5P1cnhLGIN/GTOB+IyZ2fCtb/7irab4oc6bDtW8bRcc3GR4K/5HGSUcRLjPIcxlix HERzFhbEq25mY9OXqhZzNHxVcyLuf2ANg1dGoAYpIiYbqZEIZryvRGbkDSm2/+GvM2CJlOKojMqq wWKXdMLMtmpMmkWLcCJ1HoeOLteMWIUt9hTqsOwY+YrQP/qHysuPkYmi6maTR2m+HaR+6ifqfhNX 2IpFjIlfYdaNH7OqLh6Fx2txHDTT/Ef1y3W/6104oWiCP1yCymqsj6zut3Az94oZrwN6IXon/4KC 8vrnGS1mIgGvmXSP3mzZgp7urYDj+XsUlQ9jJhMD6Qg+7U05epGVPZMtVVh6aYRZFPjeVg3nbOYJ wtNu5ZdZwlMnLVBFSvjoQj3Yqsk27Zh8/eETw3/K1zCHvAr2RsKx9iyh4Gm3GYVre1JsYEWd1NQD BkmUM2pgOpHUzMeo553dAesEiGpThb5tY4TD33auHy84TDjRzLex7S/Og+7CS8ZKWXzNNWzZBnBe tgGBShkV+qiUsAqpvj1G7kh+UeISYBPz4e7YFNGg1k2GgvL95rGv9j85DxwQcOJQ7wwYvOW2hX7Q JGcqo6GWniKiBIsHijBkHce+ppke0hQFQnqOtsFyOXr/sQIjg/4WFMXnwF7gHB3HD2E14SM2Ti9J 7zyRqZ6uOtrWdg8Ux5TVR1IdsNdKAacLtJbdY5KHt54w5MQe/+mJST0/xiuraeELeeLsiTXeLQjt 53vFaob0gNNFa2BM0jwqxLZd3IKxEbIm9OUw8l/7wrnRtYaW5nH6FxbBgdaP8bTwD5uyLhpveI/M Sfqc3p+duNaTEbBohbrE/0iSxE45ILNEGfnLEYo67cnaSnUj05sPA/hFwgOlkY7h66rSO1X+1ghQ AeKBbVokP0KzuNVb5iE4HPgIaJZpz8AdSVk70mtnQH3G3z41OtOM4NjikyiU+O++cwwnZoENS3F0 S+8lqCUgJBrbre08W7zPe98PBVYHeZdvHAIMNKKqlyGie49+BXMoNrGlZ9VSFglxvi9+FaJhqouk OpApV+pcg2381hiaiabF3/O+Y9WkbLCKlvSTJdx+n3ELNlFGEK6wWn9Bzf8pazWtzXpKwIGzrHsc ISLxkCM1JvGXKf1qF0+qb5kfAU1FOTjaZbB/bBYHMWLqWe5iaRtrEM4UaaOMVQEsZ7xy701q0Z65 4AOcfMedciwcaxO3aBWDQ8DS2wloONxkbiMP9Dz9zNHVLEaej3RB4CUXXTA1KyAheYUoJvBEAvFf HBRcQg81ITrOSlqrcJAkn05rPtayCJE/8T3w/EyNlh3QLdCl6wE0j2wNY+6K8kKRfivnUAScfTAo r3tXBW7Xbj/5mgG+HnlSp0VS6yHWqkZQanSWXaH3hswPiQgDds5Ozm10YEegZoMm2VadV5v9enss e5jOi58+cJ89D2BYDPkjDtxnBNA4McQk4kn/Y+ERCjJIHBxIzQEkQaymC+xeXxxc6ZSZVuesd0qM GBkDDrrapyuhGbYqE3lFhM2Z3ff4JDreIbWcP/osuSNzumqWCdlxk2EzDgPohoUVK/tbd706Dw1p MixOyA5LNp+CBP8YsEd4C+mceS2O/W6pV+FIP7JAPiBGDFLxnopw6WOb4lXTycEZGakormR6kjI9 vh86EYtXb1V480kUQoOE+TODyIlUkDFebuYERfb9ojAhJkTFzuTwRBJlKFX+rOer9iLRaWwJnJ48 23y4Z/KYzzuPWRY90W46hBIlJKQ0iZrjBdOaxuuks14XlzZJxmrMRY/aR0NNXynAXFEFGYyV7/0X QyskxcIdzKb9cUGiYcMizjWywF2EhU3A59aeuO5sYQQQ+hl64/csfjP0X7uSIKBBc7Hs1TKXcw5H 8p2DgzgZ50kAmRozeArDXyH1u2scGh5jh1zvI6c5ACGhxaH8orVaeEgMkbrPBw0+G1gQf8B/PaMt 0ds0to6iVTD02vdFU/QLiWva/cv/kXz1GvEtCjbYkTnFcowvak+wpfucirLBUlxOl3F3I9B/5ED2 5/EFWgU5aqBJ+BEnfMa8+jhwqpiE89mqsp0rE4bjk31gjXEpB2RriNYO7AOM65jJLQzTzesq1EDw 0dyOumk+WEJM+wXGL0nUz0JdS+dRivOiIs0ig5JYqIzfbyyNXffDtAX4/ON+bAfCVtwROf167joL watEHGRNhbxhT5XIuCfw7dWdWnDIWP5rYPOxDNN64J60F6OlXM79P9dilcrBbt5CoSyiTJPykAC3 kieWcGLPZi8zdJKIRa61HlknHWeLG2/2CnPbgb0/6SWe3vZvqKuZgonhYqbSxeFIhpceHewftPkA IgHsBEJHsFLqLcl3todtgib9uOFuPVnfYUh99gxsiI2MBbkEtnHuX42lnJqYN800dLgbnIaze/2a 10rNBN5sw/rkp1ATU3NUGR8Swv8Q8Z5TCpUocN0D56swq5IMP7jEE8oCERaXYDxzzhd5ITvCUjqo 6Hg5dAu8WHZ8l/GMoOEl06/7KEDHb+f3PoacHbDIwNNFDbasqDCLH+bC7TzD0wZRNaP2F46UPzgv SYLKyaxytDRqO0gGL80saS2EDq0XhoxY558GhImkTWOaNYZ2BN1ljZZT/LaXdk3eToUfvj6LVmJD Go8tBkY7jOWjNFJeWi3whcJpRPIM5dgdiFQ1eA+IRHIxffaiKO2ndlba8Sla/JoVyqd1jFMtnzi2 tyCLiBf9XNZwcpJytuqRkvPFf7cEQNHQsj5e/e/cc6u2boKnKldCnaGiH7dQ1rG5WkVFiFXKaY1p 363g0iUqbX7kXhPHaVJW1u8UQUfeozae+IuZw11Y7ywSCQBXL38zPn1HOAeItZWwzoIdOgCWkCD+ sQn3aDhxwQWtYR4IDGJG6H4axNUWSLjlUDEy+/g3m6vVRuqQIAvcGD98Zry5B9AueaykVHRXLP1i H3A8PPsRIZsdQXjPA7lx0ZfMX0ShUljqSIfPfkBQQOisCoLAvo3JiamM02CegDi7bRzphQFAoMen ju9mEwRq5R8LD2H6Sf3DyUb4Wq/9v35f16HnAv31TbLzEezyQimOQVK/4Pf68kx+vn5WnbiF4gWd 9DqZZNLY/PgUjaD002BWqPbKvHpop659NDojxoGiBLuD4Khj2Wi8jhZ54dBKeZYkC2A1TtWU32yt vdZbZzLP86cSIUMBiclnu2mfL9ZaVar4a6tYNBm7OAtg7BG/2yTXafjuf5P9mhbdHhJT8CCLORIE 1cdmZLfI+K95r/FDaRxUhHQ6Rg5PcAKgX5Q8wO21W0ap+KIqcLf2yHYT5rTA4zhYzLlZiAVCMXyA 5Sf5uBdDIyJPq3zP/CsHFsAHuloJ/P1B0c1TYqaKJAdGQBv2Gn0CqbhMap90l5/I1ha/1wDru5kB 09GsvAlG6QpA3gTdq1qYE6oPXRgL8e/TI8AxnQ3Xsq400YR29M6eP6TI1xJl/5f0CZ8ngosC1J29 q6cNJCjdTtRCM3BFWXWl0KIkHkIa32i+HGrKNUKjvISmISiwonwlfNNddczYkPx7dur5vlRp/QmS ovJa/wKPwsJo/po+f4j+JwYHSmAz0SKh7sLnO8graAq5jMLh7mEqsxyCevvfHD33pyR/AaK7zU1T NQ1pbaE25BWBmulyLFkUgCC5KCoZi6QpUobv61txcskIeRfwhAt1P32ISMWlWU7ckw+oj8nbTDRq tPUqp/N7enTlowKrBy1IAnjNKT9+nxfAWNFmxYniLbI3N5tVgXVcM5NzV9pZIihN2x0QA5hE6KWn Q09k3Nr/f8XS+/2PxOwBmiUiQ9UAZMIzX+It40SLGJPBFGEo6/nBuncCx06ea9lRitwKyeVBqpJj KnJgZsx0sO08N4Z9b56ZJsXunUslOL23S/lyv3TaEzPbG7PwebKGONfVrWrD349UC2nnI40wSMDz 5RjAhv1ykSL+KU9S7KUN5nOxYbiA5nCSmSZZ2Amz6zjf263/5e5xzDcJQLvizbluxymhvq6ZubRw s+HWR61uF7Kxr1f3GUx3JmBLaBdIRN5+jNVbJ/qvDNCyy2HmKXxjq9cLqG9S7yKj62Mu9Ll+pd6I qFNZAoGodUdLTcHXOjTLTcpDZzqEcB6/RLqCEWV7ho2EYv9D/AuhlkjHPzyY9pbQsaYfSj7PWx6h qCX6Rb962p16vS0Krw11YFD1AiNfQNc7G+k6auQ8UEDqVaYlFR1/o+0CXwKFSDpjGGiA8qepdPEO 53QI1ZfJk9mpoZ0vgdLDDCljoiSlq+j/DX62jH7OxjacHo3UyZ+SAIsMvbfUmcFuJANF828cz8WE BQTjQbxPVTdnWx/PINkP/i3LcXUbAdG4whunvhlg5S0qBMI2xuA83JejmYyuU0MrI8mw+8ACffPw dvWt5AIrTXTJJi6wh4wuLYTLMRrLxU1HSJqxuTaQILNjvRPBcSF1APpjpR679IMXRVT7m3E7IgC4 YQAo/oqH7EAWF6567b0CrCd/KDAItVdWVjxsrJ3Ww7yecAvhrSKMTWuGjmw6P0wMDBeu+L6db3Lz UplnYFMWKuOz8FtIdRVJLBmt0vv5wuMg5PGd0gYYax5CriMG0XdEpfRTjI6yOR2F1gvqvjtUrA3P eUoxNQrVlbIveILOlaIwltQwoBA01OhU+d6ODjcGpMXA78MAEKxjyPSTZEsU9JLxlGjvGfsnEHUG IsLHolbKxp+bFM/I8k9e/FC52v/9DCGvzCPQ3TugFB+rhzQ/zyf5BYTOs3i70WG86Tr3q0L+lgzY RyU7UNbRC4FpL8g9I3NF62dmLapI1gngR7Hrd8zDTImbVG+yJ2XzGyOyl8qVNjA40FmaQjGhTF4H MvhpW+rZdM6EyC7Iu2QtzxFq2rxGUlUkEWgJFDmaOPM467d/5wW3QllMmbPEWU8EUKfIl8+CJd8Q 9isfnEE4ksCDZvSY5gmBSj+BmHD2fX/xkm28fHysCvDVY+enMQIn4sXB36F/FV0jGTAvKhYysx3v uvKkdTifD+AZDmDYIs34yld1xtINcta47yEGkPQRk0cDk2pHOJ5kGesU7wzFnYhL3JC0AZHxm4Yl j07gvQ/3GM6eOdi2TCp4TqtQF+JPNcTXXjXfCltTeTs0fZdEFYZx0VOzwi6arTep3ZjP5B0LzjcN sf4/gFmp/cjvTAxnp4TGG+MHIYz56sPGoOxLDYjJlWBmvwFvMugUQxCNbRZ1LzgoTFD693ZWO/L4 99ICG+qsIpquNngJHx98F4spG/oWpI637j8XEJuXNep6tB+GKhWClAOrJaaqTc3Qnw3YobFdtZOg SY9D5sVgopohzTmsonPX5dSPIK262Tr+MgfEdeuH5PxApxrcgAhAhniMKLIEPo+Chjqxtepby3CS fHljoIBiJVk/u0NwFxP3e63+0fHmokp8ZgFgvRyYsUvv5jOdVkX9AUWOdiad5DCLoP6H49/wf6o1 PYo3fS/9VNkTkG6wkCEUx65J+mcS8DDRvBEHcbFAyKWUarXu74YMZrJPkdmPNz1d+A+x8f4bO/EK XB49pDVbCuch3LSHNPTcdPQD701I7BQH+/VOUwIpPHOHnV80Tf1yKxooF+tnDFp0z4LU275epPfH 3tJpw/TFTfv85Xy1hs2K4hQydouA5CM+93O5e4sRsHNb8Sduor4W10Gr0g/XTaWdB3595JBUDw6d mZxC3Ix1EcqanOnj303L/8ti1hExm/khEgOglx6KLn38BOxxb2TvFVXbyor22iWqqmuNgO3KAIUd 5RjjIRf1nSGb44/kazvJVM+QuoT9VyTA1WqjgczwqeE5uedI0RhacalOkWe5eug5H9pTktWfZpxK Onq85LcFNX/Q0y+vHZhe89nIka6J3F/ffSho/l18MCf7gjHKLBUzHniESHvoAipsAKVMFPsbZSpe e+unE72gBV8ON08sbldA1dTOs92W/aEptMQHqi/CYAz/HkDmTw45HsfbjptY6+R0bGrMqHcCvxAS fsv53jRhC1z9EKxIcNdmHx73cxOqb53HrREgEfDIrT9X7/W7rcHHpcPlVRj0jBtXC0U5tpC5LgYc qOzLYR/4VZMBTUF8HxY8bLABMriwV/WmpQfLobYhyXOm5QQdGTTaa9V+kEwJBIyO6BIzRsTjVOtt bS5yyqiZTe4s0eRRdIu2Xl2LV0FSiIhdtNS+l0kBvN3riHh91tMh/WlHo6TdypjHO+3iTtdKtJ8G 4ambuJ3rXjRnMuW6SI5Yd3JbPX3kv/Ro07jRdfSlyLNZnqjxZaLzBeYLbGTv5UlIZ8a2FcV4UPZQ kHwqzarDp9DDptb4+M6oHktJS9clDSqf+317nTVVIdMpM+XyBe5PCm60urSv+QefJ+mx6Oh1eD+J ZH9Phpvl6HjCmBthKQa1LIMyH/sfsn+9e8kZ7r6IuxJO/hAsW7/ElQML3Y2t8sa22Gy0474dlNlk PfQunjKlFCFxI9kNpcce+w5ySqKyz++cQ9SmaEoAhAjoDLWAyJE4GvuY4FDKmzrV8StATokFesc5 70y3frLNoxg/wy30MPAyGnKLPYz8y18Qpma6gaAKw8Ybb14bJIhoRipW3hkZJS06u20MkatKzIwq VSNVJaibH9bjIbXEYIpCndW8+nmqGeTdhgHNRiCaFI2MejcTj2MmAUyBgvxZYwB32elVoPZ552Ac A7SnqnO6AkJ1jfiSt/uclYbgqq6FZ6y6hGaibALiDH5qwK5kOshcLYYjtWMZK51KU6v0YEtqoWaQ PLb3Kjb9kpf3WSz24dORSW6qkhFIHELZJqdZV32+xLZwLg0Bhm7sBXghDAMFptbI1G9uRF2gJuxr 3e5abRukj3KxveEz0xLW6gGW3SfARXsFibvj/ENaAxYLBZoULKdWrVdGJuIcfqQimMdGWtazLDxt gpqPXg/dzAULKauDnjSQi9bWUSXF+A7TobaFqawAd2MihlJ1Zq6/TEqDsqpXXkIDJGnObAolc955 NPcLnqw/2EUY6hc42+f0QSUQeTWFdlPjN5SFVKOIhMzMECHzTs/3FhJmPvw2Owqdb15rMKAyO4xw hGkr3gQilvsFgnpaCj38I32wJK1RPZYoK+A1nKvSXlBcuqSplrpLJpdNNEjJyPfb1+7g+VOlUpaG lQZ+K80cUV+ftg+FFhwvHLdQQab3Zp1bl0uQZEboYy6BilR7jtdfcmQT/yZnCWqEIqZt5KluOn4l iypC2s/eMYoxYR5avU7Gj13seon/odtj6Ae+uv0w49o6Mq9MG+ZLjIOAbNDZW4JkYaNolkN3Tifh le+EqnGpNcTt3waH/LUnq90oN/mP6SMwu/JqQ18X5ACJiwX3/DfpKqNMG1EZD8z0Yjgd3pew65Uv rDzVZq6Hd3iy3pr5KsbVoNyQ9tYt9JZxxbLvuCbxp20FN11hKl0wjyxHmni8+57YckLGg/nieFxT xCO+FZr9GhhqYDgIs3z+4aO44Y+BkA30wT7nhPjnnCBJCo+66a1Ium559LI+ojZZUwGiRq5ds6NQ FuUxoSDhWq2U1O24v3oM3ssAa+OkQyQcSK/tI1oGqD1ycoE07+mzyFrr1CycMUUY42MGs/vcLViw yhdVo1Y5deRWE7E9g8h6trosEMmrobd1W4Duf5uwQjnskbZKrnSkVPgO/6jsjMkxbr9sOFBAhwV7 idi9BFLN/HPynjmgmlSPDkqevhJdsdT81AtdwawXMveZnp/PKmzLuHwMZon+GN1NJ+otrUsWFRY5 8y9D2ewhpJB3hNqYC63uVcKTQ4GOnzOw/ZoWDV/4CTiKd0umP29Fp955GUA1XbK5gTSrUdTSaYbK onkisXYloSmtTU+3ITGEz0zaPaLho3Cha4hoebRnL4TXH4ZR7ebfwJL0Vo8WUzI4064TJ26wUIzJ pbyhDR/cuSTtKVVtJI2U/+K784OM0eFgMkgmoFrSNGoPzi9TqDXz3Aau9R+H2axWoIsmfnLaYuV+ TRFaJP4HrULL05LHOrNxojPXphQprGZxW5PMyz0m0zHSvB7DRTKASDtw1ecVptx4cEQIomF/sAwM j+2e5rentktQGgMZw/BNO6WI39MJNq7QAEHdIdNTar6RMjAFVT4wrrU+8u7/Xy0cJgIcVYTdFkYM vCV2RGLqRbtQ65xnz9dS+7yps8DfpeML5JFo1rjJWRQgP1y4EubyLblRrDRIie1dlwvws9kvOIQt nVGQPR8GK82+BtpnF8MfdbTQ50JUJlznrhlFW77N/kun0JIDNFV93dDmr3peJaERQj5qCVBa9GeV PY4W+rECyhFoJdNkXJY0o0LjUNkDbkPAeupIn52UI/GibrT7Mr4cLB8vakzZx3AUP9GhaNAxp13i jKDa80YgvarlIqPD5/PEKzEV3C2VysYD6BFnEanCfsGb1NqI1GlTnhQequE2VV0OX3KH/SIotc/I P0WbvGcZldncfz8FLieioPr993TA1hWqA5RYGdziCPnhOjWIEo0QELWwmR698pbXSjS4vQ1dbi8r p/+k9J3cQtby+nk8w9AJtL0wD8RG9tHoNp3smbvd54MUFTMDsm8xU9fAt9z6Ao2FT6XM/WDoiwiD 0qRq7/RVpGfCcIX0epfVtb30uXwYE8E+x0ul5C1UeplnP4ovhghpwRf5D4EoCqgAWJ0Kv5X8zUd5 Wa0zoPoOnFEuBmHbX8rr3q0p7zvKQnKgvYOR2ISW9mxEIFSmzca5dF7FT7mudNqsipmKwaQw3Y3R Pp6RxrWAI9PZhA7jawtOVsyItluLo8cnG18FoB5AubItNvIsNl3EMa2KjjsBk4lvKwxEnLksNz2A +VEHrldPKTBMQoHdzu9Eltsp/bIqPuRuGC912HBAIhw5f7GsqtpONsPGw05pgLsyI5u8I3ygOrWs VLEfpAwqmyQaQ4CW0Tt8tXA4tZ51EJpOLugL+z3HqFVmyVpZl3c5uWiaL0ucTFpWvqUSEzsb7FIq +eXE2vRk3/vkQgLStL8Lmw6SmYuR9Y8vPWu5hwA2aAOtNMFyvmXDXgu3jMFvkqxG0926QtWUyn0r Dh3SCpl2/LR1HSDLVg8FVmd3unfd5Y0bIbhdeAF+xgMAgdXpuyC9NYhiXO8gyUEMaT8t0dW6o+I2 Tf5SuZUanw22SCtTbgLP+cLOs23GN/wBO0j8s6N8WT+6qCfFFDtCPCjEDR25SCKcEcNkkXuXw1Nz jgsy70FykIyCLZQkYGNteHjaYb7/XuhorYxdDHzIJrmwtap71bzOkYgi+/81pomqYdUoz763yksY Rj7KhXZiPl7bLt3kWQDQk1aJzSGt/4bZoH2vRwf/PS9siSlJd/ZKLuaDBIKZBq01R67/El8lMQ5O 3MlEEJSe85uZdiHDkV1AFvTM9ujWyDaxiWWPSKtttlQqoS2i0fPBjJM9T92T9uiZrNDw5AQiKS7z ZKBBs+8glzO/v8Gc0NIdS72DYMhCYfxhhWaeAricg/uCKDqOJeE+XhYyGk/6xga4oHcSu3vzkMN+ xJ8ZpW4lGTzrSe0ApVT6h6gZgBBqDNkkLJjOYsrDUmoM0+siNZYnFyNp4seuGIueSkIPqygXqMIy WTRNcTWQ4Xyi9+hOyiRdpoN0CPHBeMzTFvSXYPk99vlbJkeR7Vohd4wSA3o8a3WVYmUswFvbqILe BX4xonBrKSTfaXQXn2/VN1A9TKbmkF4cN6TNfRTC4/OstCD0HxgxTgQ00N+Xn4l9O21ijayKHVC2 YRNsYkrafvZH9d1N4lYYSvIK5frwvX074VdLI6CfMSLgvEpwX/Db9Pb25SP9/zy4MeKjVVMbtQl3 t3c2rDU1Y60aUF6+jVJyePxrhp1nP0/DfkwFQvrYeqD2fInWbEG+7Ssk04EvYXka1p9OE9yOd2Ru sSx95SwexnX8YwrLcxx5YvnbQNVnknH98liFvYVl5Z9ewNQFbHKp/BiuhBhaStpfZRa5koAn6+Nn 8VS3uWiwKkcbo9dncRwmbLXFJi5LI0vFo5vBNd/CySy65/HR4s3nYcBfyA34s8Ulc9+ad+mkPVk5 TNN44kBig6zyNmPpL/78WNC1KN9aUqjZZGJjKApsBeSQGWdtPX5uHxU7GQYhLzjn+k/i54VDRDFy nYLCQRd8a94VAyLNPQTraI6hRddXKWLMQHMrjTQJMugptTw7j5GfgRg2h7ut5AUs8F1iw0v0DjUu 4yC8/rfA2ovh9sunztwSriULyh/tOwHHP+IOVTAkLp2MnS6aPprvVXHAeYujPmoSX+wrBGgETkzy 64K2Hvm22KnLobFyVT28KlgF3wIazx632K9bmEFt2J3W44r+gkZUjueWnmzdvdHdzeO3X4sC/eMG dYmAHNY864U2JOcb5ZkspwjJRAG95n4B7fYZbQ6ni+/SfSaZyr1sUV3mradZuem0SHAcTA+pgo0v 8bXFKQBNEQ5KU5acfD8BqviJQmyL/onKDJ4F96xJQbP2dXpBF7fCOtMC/GD7tpnEbgg1pNt11n/N HDIlLkcb9U8+6kHajjJp7VDdwMp0rLc0kEFgAEVjssm3lCbCMrtzbsh11nyFfC3TcS5hTJU+J47x ktSvFj6KuHKC19qtavhnmt8QMYVWwYmiqYs69WdpvxEK7pOaqYulZi20SnLtwfcS2YMzrAeVXNcS l8+/YXWipfq3fwtICEsYVWlC/yFskRsE22WSodaXCIuXAo+wV/RvlYNB/TEESQBPnUjQEjqQJ1gM pwiDIRwJAqo7nPxaGIzchwgnrCk5/21YpKV0Ab0N1ldM4SZN1YdxFJecMP8bzceR0UVhKMlOEZ/q JPmWxDj/SM8orS/f3NFUxDc2U7AC3HmJQBNAujw8s/5+aVWPkVllnQKsZm84Gp3aC9S284/gHQ8k 7jz8sbpndP6oGKSxOShcdcXbJMP6jH43xPILN3swu0W40bBG61ok8moD53IWfx0p9pvN/l91QMMA gkL/lmKI3URRCzZVN+Ac0ZRodbWWwl1q/e9hR7ERaAlfxu6kKHSVjTmF/yRKOWeRIhUFgd1e5r8Y mapp8s8K23XmyThZe9flMVbucPRErp/BZpJ7E+JsVnR45DJmvqAWHUEMilc7cj8Sc7sxRmQxDwMx ASOmpP3AM8kUuDANeSiqfLO+OXo/5mKxIjU6eaaPjnz5ESWFQG6Ue1TbIKqcUk/JiEklSz1fLfco CUz37145C8+jy1JeFob+UR8VbBH85wKZSGFBspOLeHATcukWWspYCWH1hHHp5/8XuowS//KmUFE9 /OsXR20A4sbDwG/2jybGhDhrk1kJCSmeKpHMFgiHqO5WPfrsaNd5/j+FNiASGij6K7COXnMXfR2n gpQRlD/qnp2+7/4Hpnr8ppKk33QQopWw0VU0BiW7NwqwTbU6YnvZF776GPSXT2HiCXcif4768xdR Onscf/91jPXCgnb02QrL4VBEAwiGyPFPIoo5bNFj5V1Jj60Byp2MDTfueZy5ejg7TzfAiKmLjk5E 58mmrR0PZ3IJxHe6MDPiFTQ1vpbOlocBB0mKl+kJL++YFCzngfL8ZLTyHgmtA6/jQadLBokc+3Ga sUtB91ihaGY46Sy6vt+VaTSAgBtJyUfdi9xpPKqT1k10xEUQLgI/EfQ5Ia/iZEMX/SXso2xywQw6 cuilQlWbgZqr1JrWHQ+E/JhAC/zbXgMbtin5KHbsvx7+Gp4OSBdgcMC5A8YQaVvtyVueQZsIWT5m iTl4M0esb9ZXbWulYTbfqVt8ECk2pEHisPdt2lvpRhSXyfSuM+0mrI3C7llCroPLTY5qgPE5Uo9p zaMJ3WFIsMRqPsamIIYS0oB4VWOv88ZO6uQIq39V+mLeOpNEv1XLaOKcvjo7VlfUsNCcwiTxNBmL yq0j6pArsyzX3C9zedO0UMqsHiiw9Ok6ajg5z/KrF3HXpqttLFeLjQlIPze2Pu6ZzvTrjmc5TiM9 fKP1Oi6RLaKlOT+km5OdyYJ/cEuM/jWKl6VPgbEqCV6Ypiq0K034MP7chYCLIqKs/HEcJCW2D6Vk g1ivUqUFGcuwFjzFlO9GJmduF/R59o/7LSTtZt8wyZALixHAlkMni3pl8rsQmxbuQ16Oix+XwLHK nQ1KAxNQ9G2LqLvBAZNd0IRYeGZGwYvjsECaKx4AB+ne5jqblfogumY36YmB6nj9lkxMs8Y/+ycf q52P0eI2pHIn2w8B9nWAWe7ZU2q7meWIBC3UUMTvffv0wfwoMfew0mCEMxxd44pUgZ/vKCfGeeSq OFksaw5sg2KJrz5BW0vFuVkxGI4vHFnSJ/cXR5Pm24lafCTn1yImb1P6L45z1vRu+hoMaOSwEYxT TXFQuPjRVHgAWirEOwDGYBCCrZVN94Yxalu80hDZomFxAjXZh5OCAXPhYUAXzpeHFZq/dpJd32fV WSVI9NJL16i5BAvytpAmGWwb7OpraxpxQGlDOWFBn4WIiAid83oaWJYAW8plybzF4vKpkbchomEf vU1IyOejW3VxddzCsJuK5bBze/6EXFrHGm/dpdgaKuGchK7nzGbN2/Z/lfey4lvKS5uQ0tTfhYHD ESMNrnOr2SpmAOQ67B8WitvWyZ/w0awwIaXcps5rZHSJ5GnKYXvf7WbQBzHEHRefnZ//wsMhqmqP RjggYBUCvB9h1lAIMmPCyikbATHDvyRoJg270WVJiL2T68KtmYT4vSkMphpjcxjJNGqdHdJ1U+PE FEfDbj0xaJEVzJfKLRO4gLpBadTepGjfOdwZ8u65S2xVPZDMdRCoGYvDxm1oISSGRQVb6M1P9aK6 yYhLmW3j09OmGulkpdPdXruu+i5QyfG06VkkVUQ884L5CdiDUGIX9RHXYEJF6YAoPmWMCGiPIkUz rlnNr29PfBJ0kvbOPm8zEBdW+KX0USDJuHM+AgwqhB8IG/1i4qG9pzkQtEVDHGJEBBA9WwQJF9GF OnhSUFg3hW/VrSkPHWhXNxBv9NyOY3RIW9ESgr6xAj8tV7TovNWKUBxS6+voffObjj0Og49EqlOH V85TyIOyL9O9nFKITZxrKBGhdh0W+/GfX+jnZxVclSHtQP72neGk9Mpk8Uxr3Y6aMNKcb7gY+cwv e3GxaZyWbeYfYP09/MDQ6dgnlz/oIBUFmk0i3/bNeAS6pbLjh0rIl5Gf0tEqt36fEBNILpi8pxsp q21NBUYqvjBOhwQbLmvT9XPC/TEwZCJcf6rhlnCJ25X/1QkK8USuJHEoJaogcFn7Mjn6+eirbFfR sNUvxSQOMzQ2q4cmCfTd+kOlOsgUQqS7W5jLOG2zYarh/c1ZvSSvHgqclCqpxCe2tYz2nwogzY4j vJTwhYvD2zc4teM4cGCM+tC0M3zP+mGlW5r2sKApgkIRr/LfvCEVxEL84EdFBKlGfQBGTSbT75JU jucpTvDDdbuMjk/rnrudHcsKNN5m4Eqe8YO56+L0AIi9s2Y3XWn0NBtxh7z2Su8RbeYUMIRbV/02 BM589f7hB4VWjKFeTW0ZXvAx7NzC8C6dwmv+FBF4N8ykUqlTovpfwtnnl2fUHda+V+CKtGFs2o/9 J7i3aszJGAOlqJsov/VRTcgHxai6YxB3L73pONvMFEMZrtZT57ayY8wVHY2Yzx8iViAenu/4D6OV E7YcydouMW/xmgoKrUEyQSmnXBxTDKzZOdvvnE6C7AhAzq9/3j8e4Ov62sdDI6BTypBLUaAjg67Q MyonsiSIWJWTknIQXLv9hazBSiR1cO/iq2APTGS3Oy3YuMoCRTagd2RDaUJvnV2doH0fbMdZbPPj lpb1bLq37wsSfRgiYU1E0gvfjUI3GeHMtA/NnTB/JHjd4khFxMRJXOS0PJj6pB20h/R1CKY0JkIS 8zCfp1Ba78YmpmATQQQA9GQPfoJEDZTnf6GwFkxsbSBy3//3WLXlCaHwO/ssZC5oNJeRSIUdmc1f QsPl0qCCpnTDLVA9oL0fqnmxAZ4pvoRAeHWATqTkgwrCcCFd76nbvHT/2IRXlY1gP3BSurGbJPWT dHDmS/AjjbXuxU6A1uXy5Ld2WK6nlzcNH5euW1iPl3fWtneKXOajDZwWVkxZzAbZKEjw3o1AD61P Ev6uKACUI5IEa4hkuuhl1zydXbRk3YnHNag4NPMm79NfDUSr4ZFlTdU1jSLh/MiNdqZrmn4daAY5 6UJ1npCSpk/z2GkM5uGHhT1Q5DbSPXBSvgqPIR9fbTu+x7elYW09oYpQKluDGUPuCblTSG7dFE2E pSaw/6kILV/nGyugupZAufaBvDXzW2yP2ibQtpiPF4/6Q8irQmPqmYlPOHET8XmRtIRFiSIq5JEI Z+p4fkhtTCRhPQ98KKPZZUDnC3ZP9EXWSojusNqcTFJJMDJrhfFC2A7rl9oQqj2WFpNuBS46Yj15 J3v+D4rQx2jtafm6Dk+i02mFG3wEGKTEobpOThitujHGn4EK+aHruWq0X+dCcnupYtedmd45fDRY In/CB0e/Fw/RJYeGREWmggKId8Pa9yPkXx/a9baZoxhBOp7QRLOxeSvlck9KWOZ2Lh3YSgaY+8u8 sx/K1jbUR3rF0UH3juoKcaJ/mOIPKai4XHG5VlM9w8RUBeqmqjjZjXpmh2YPGC3AuyybbdGbyJ5S Zqwol3ts0eu3ZYH9Uq+7bubLZSIhvrFG/Wb2MRd4IqJr3Wj6ocNI9bO9bxSqqthMqSrV5jYG50qL IzY8gXGvahR44c2crEL/rj3ALwweuSZr5P8SIUnv5JlfiwjwdDkeRvu74BN07+Xe/pSxqH5rgig6 eRfRTRhCdwA9KREnFQQhQqnY+rAhvWTzOrYexSSlL1XY5and80lDIlag5tzmuClQCs83EXseJUOA y2bhj4jw05lmmUkVZkZFcL1w9IGS7n9vxf/lkjuyx3AkHE4Jue+0Zvz5QzIp2/tARJd2qacCafvm ctsQ5Weog7kEHhHe+Lt5PslxuUQvA63qzwuOkH6l/KH37FEBUM4WfGfcvC+D0zVkutlOyzhcutKU gYqCx1GUadDm6ulW+KLvgGGeIwX2BQjQdAOj0S0htPg3pgU48TumttujO7UaT0Mxd3AGTqVxnuPw tmDOKKr1dkotsNnQW6Fi4+9kuBYDhIJyOCQk14hUdYbqjfgS/eevICx8YE2fYMl17KduZ+VT8hJD wAXbbMzTryC8/jSujLKBgIdIaDMpA/jv6pnpcJapaBNw1/VKYgdB1QgiYWrNWbtzCIR7dahgTmA1 HV069SHNZKDgv1POLKgHFOtkp0EwIttcGnSJApKrFkbON+JZatIKByN0Q1p8yPUtsjhA82/9/bn6 mcN8K9qqFjoV0YOH+j7ru7g0FO0lQTnRujAUUf+yVHzrmGjoGepc2JeC9ZTrE6Jt2Z5/HF1gpuNn mUkUkI4/MP2D0wCETgizAHOE3Jaus0oxDxReg0KnnSTl81CrXwQFgq2ubudSkftiHJIPElgzRFt/ Maese5XpaRNzXOkF5wTFfXFpzn++lrWTGTch1BV/c0o0eaexnkoORaAi/IjCLA799BBfano/gKP8 ArHhQ1zHF66CFtOQ/oRMzXgdTJJsaFi0/jqmxxRovFEkPPgbdJR8VbkvrrjumhzK7V6HJJO9/lDP l2NFwoxD4lSmsSwBk0L3ixprZadoV6Hh8+NXf1UR6SaCHfCAQ4Yx6+6Iv/IJ3o0hTnrItxW6uoE9 LgjuRJSWngJT49W2SEMoO6HL4yADSurJQfCcyawlWKUCylefH7q34jABleuKRlKLCuUifSXEhdKC JFh071VdU5mZqQCeElmIocA7vg+Bz5+KEJX78ZESs1Fwns0+f2ouUWalEgGjnHtK8t9fyScFBhf1 I8adlVxmWrRVpg2YeH/A2WGo8j32wcwO1J1QXDdsJ+k3E1ZPxMfz6IB5cYkmHZ2bvpmckw5spwEi HEcWeVb7X0OC7wb2SS99k7PwXw6rjCiVHYzKSLIV1u8nenAfRb5FYnhOl2SJFTu+6vYwVfjU1UrD hMgSeQm9sserd31Ai4263IhgUhzkAeWXdG7J/6bVDREaW9d9vPOdWOBK4zb7PQrIaThNPDiQJV3l J5wrlcmlbsv5n9MFdzahYQgWqL6izjgGKbn5R2IcXeZMa4TTeKDYvB9v9moLiAU7ALT3paHVPD5C cjRMD7vQ7UxY6o3HMZngyek97DvsstCldqMpRRdnnhD+prNIxn3tZR6HU+cZzT8A7ec/QlXNjK/t aNEcObMvgIluZD2yS3DbSSyjHjwbt10tjg0NQeNCHF3SluMsd9Cm29Qr6xr3ao31JCyeeD9gUlGV CFa3HUkCnQVYR++Ht54R5LaMPLziPaRy+lwgzamBTEQNTe6TlQzWlDondVXgjk9b1ggMbX6cPPOm A+BmDh0Gr/PnazcJOp6BQdsFNnyrC/WmmY02qeYV/lAE524KDzJItVotGBQ1jdh542s03p+GTtqI tMZx1YsKDAGDPJHW9hd8lQPj7DfRub9jr1ujT6GfslDrtYfx2scIzakib80Hnr9Qdm10oVVuZwYB khEUjsKxFaV5Bk+pP9hjl8YpWjoKldjtBRX47PDuYTqvc3m2X/J0MuWSVw+fIXcoi00WH0r4XLcW rchJBrTYeJlKLsJYrcnj2O/Bkb9IwduHjuaxpKjmh4PlMWyCtYEgcRjTdRJj6ww7dli1k3MX2m5O WpzNYJCCImFOjqdAsNzk1RtKTJ/HxEFvoY8YExFzxT0hFlA/VI9BMUAwQNb6N8uOVDJ67PvmPvSK GA/AICV1kgVi6E3AioSV/aavcLen7NW1/bMhbHVoIzDZzTiWsoN5ktXeck7gftd0WGJt2cJAaj+q PTyqZibTYzR5EoKuu+7Ajo/D6iJkhXQF9/gB6qlRHchEBRjlBdvc5c/DIv9PaQUIDIrLl+D73dos byATgo4RI1Q3yvoWQWlTagQcVb2U74RrtADgj/kk/4H6yhrN8ie8aTsegazTiW2FSMfB441/lWj9 CU1AF0mre2HGQ6GJ/0+WU8X8EmT807qWv/Gb5fxP5D3ZeG0fRL9pJKABuAsf9mLWWx60gueg6gBi rbZv9rpP4JoS35SgQE/L8n7gXbdCCSFAZXR3AMxRCE4p/Wd17Vd7sZjvExGeQ1pm3AV/G5KAKcJC x8p8NKRRR0WFP4pg22NhUffrlK6jjPHmfLHAZA28h6BSVzwEhiko7Gonibc5b9p5zhdWtBVsKlmf 6AFAdsZEiebwE5/NaF4hXSSQoBEa8aolNW71Kd7UVH1qugjsL0ZIQ4TN+YYOx8dHUdtAOgt+kRaq ZSEzGrxP38hf4d9UZDsERZcBarh66+HQB5cGoTYglqyMpV8wty7gr5tjdeEyhajRiN/DUYaaQShJ hluS+F1XdJ+oY11YrL7OQ933PYW+2TB252Uv6iGRpc8VY3QCOb1UuENZcQxc8t3MDZaDgN4+sBm6 9AF81OWWE+gi74Gi7RLZk0akQl88rGqgzEWkJUws4Vs3Oyeb4iPIhtZnNwAwZC3jFDY2O+FioTS9 ZSCDgnFA92rJZh5XqLMMlvwy1Mx2YfdrQ08oepgBImXojm0V46xA4rn2kKz2T8ML7vPd58g19zk3 Mf0vUTtOAiXfakVmJkK0oyKOnbM+EHiTPNVrtBq3SDjBs41sWLhhQyZDl169t5tVpEjpbv3/GzY8 Oc8leA76JaFcG6cNNm0oFeMY/Nn3RS9HUCYzhaRMSaNDR+wqV083+xUTUCC2ENWsvZvI23jyiXVq Xr5dsNoqNpQ4PehXw4PU8flNvf5gmoyfH08oChuahmiMjmw282krn6Hs26GyQwoG14VKwCa1jH3W 0AKHVzihQwh4i/aFr6Bb6mxEd0RLQJuwnYI3RQsODORiDZx7Wo30mkjuyq/0dS1wtSaUSNpxWAiI uM/2rFV8aEV/WQR2ps3rr78tS6SKZ4/RGW2WdW6pKhAC9t+txIOCl2ZS8Jp3jLQT5yvAvL65eYUc n/U3LYWGr6dApGcXueQiqS9EMTOXveDOM5tBj0JL8OFsZ0hjdkpYSQNs5HIVyRZsRheQWnejifox 5AqBr9l3jIcSOpkOUMPmuom4zBGafDYJChh39xk62vf8MHmv3NW+IHV18/NZ71AmxmXsZQ4emJYY OFJvzeb2h4uXNdwTHnj2kWigO4wjc5Cpakasmil3W0dJOYlJrMmZ0KdB+SFa2Rw8AFhM8VNidIx1 WBHJVQPR6L3XJAmT+U156o/CSac+9xS23T2dw52CiJArUaaQN9pKsxtPYjtT5R4/5HDlAuF4jrnH o7Epkq5psxhRT0uuUCc+NF9ZRQHUXcuxNgVYnICFDBohbVkU46Q0BbIeX5TOxczae8qJL7W4aath ysax1Ub3t2pMicpffLrNXtgU2i09rrFHuA+cFizB2HgUiBCBrbCJMs27OMzBA1wQVbsCa9iPY5NF 0ud/KtIKJenjuZqfQG1hvo+RSpuhoJ0vWgHhQRL3UrxDziAwST9pcg98bur5H43BLrWgGDN01Yze t/rRFo2qgN683373F9oDBMnRbebpABfdPA/44Js5EjcyHPJApllf5msYb8erGSa+1Co95sK72gbv aURboKA+xsy364mWJOjC1XycHGq/NAa9QHo21oi8bKGKFGhuwF4aGMH4w1iv9lqO4hjx83yV6E0c 2hnclKzl7yoyPemZugS4jkMfHGEZ2vR4OVgfmC7p6p9DDO3zdeWSKMkdNqrKZoGDsoPYWd0yLaD5 vcOTW9CkWxtBQNyR5iILmv5EUEOFzVm2lR90VImcpIpYlYDD/COLVQHu3/z5WO+ODDV2JLnu/4g2 A/n2bYEYXI3MacnwzkL+kaIqymIT7Csx8/imOilAJ37c6Jb0Qh5C1w4uUsNvhBFi2J4sR+CupprS nPtK7wL6UQWoE+3EEVhHeuWg51xY2TBOTPyOwf+Xvs+je4aLblKTcKKAVKCVpLUgAusq+m4DsUKm OvQsVHfowP5MDITvGCoYdMSG6cmYZS5KX9t90yTSBl5ACYXu8yrowWIjop+p5HjfyedmbrrfdsR6 N73/2CqO4PdwHvnlQRr6lgq+UwY7pOTa58BZqHHVFFGjtckdj57wSNq87PO+30YbaCibs/nO3l+n 6C9smxrTr1g4JeTnNqIs4Re1m8FchLnw56UwI68N8zWlNVlZLQkyhnYaaLtxKJCuVCzLUeLVct7E CqQa9Kcq8ydDcHRw5DfQHru8TroWOy1CD4nHRLnry5ZPhrCsG8+pjm3QPVEpF/IXILO6lk2OLkoF /KqGvMer8epfYnylaz9Nwt3f5+DfqD4aqO6UhYly6ZZavrCpMT6iBcsyodgys7BYkYlz25AGRu6I NgXbaQ+dn3CQx+lf+YE7+9JyHegoaw/hmpjYMZj89BSUD+5s7gqPKqj21KaFoKACF/Xt+TxXezNv o22m8WA3+BTCCBX+Z6twh4MBRWqIEuKY2rlxJSycBBikNKEVu1ilDaC0ZBRLugJbQyeHZIFCJNU8 PxpEChfWrWYdq5AlVeYp0b4mUhJ5pNfa+lciZjaDzM+r7+GZJ99DqQ2bJKXNEDxI2ngQJJSxLuIs jirtwhyg7Nr/kSHZkTJVyQWzuWlrXn5/StcRls1XMFk5XQegBUMDlJfGrV4ubxCcVh5W6oeqv3Pw gMnXGKp00GdRt2u3p3oUI5OvnIp19up2ocEsVugMqByHNkqoCzVQOxaKygQRe8Z4GJ0dnwstcG0w qnulWlzA7she+gF5hZv/3xw2bMHX5Yuv2gLDMjpGs5DWe3kOKlqcnlimzi06pIMl5UxPLbXFftjl VBkILRHlStGVgaEFc4iaFYQklya7LGhKiED+M9f2kydH6K5GwkeC+/CIqeQinUi8qJun0N5YRvtV K+jnHqE+MdkrzJs16KnVLCDgJ07zhJCZaMS6Gz2c1j+qhhtKEOjJ2q8Y3gkBIGgyeFdmeQwWHPUp 0/QE6QOpifhO0eHf3joyUWWnCMlPiRnK4g482s1U9lcSZ8aKb6CqfApuAmi2NDEfnQ2YzppGrjVa XiA62lVO3RrnwQQCRiRht4tbtKPAehb3731jIC/ffdcULizK+NC6UTSSjxMqyTW4FbPymJSt7ppD +SrmABzdKQJyQsuGxZBzww7D/zEnEfX7AVgUD/p1VZX6aJyPjxckWf17p0Kk0dRdU8SA9NXwlIRL +gj8pusJ+GEAklDIHVxiKuPtfgJaDnehvAwKn8eWZ6UWx3UNQDNZ/dkUEa4huhtqWASCduaKGVKE Boijz2jPJJaKe2fg9ErBiWbLWrZpn6wUmlizbXWLPcbn9qMmKrcB7nLEnq1X1KpBcquy8r0TQ9Uv LxX6LeqvK7eKNPeM/2I8MlRVXKp5MYi4cbdt/+FBIMSFRgq4CG9MYA7G+tOi1UjHVoAc8n3285yT MLPVuhuia/illPL+N41wui2TwFYQjan98Onx4qtxLfiiODmaTDEyWIVGucPc8FnEfnDimWVcJf+5 hAT1LLy65zL5jvJJ0XWyHz8F4o3/zxU5gCuHMIGEjxY0b3h+y0utx8t6w1aEQVEkTgc7qOTVnQb3 6AOmvfw2xdX7MKU5U7NYnA79hT894Aw+i9mwXO7eV/mMn5sTPbOEX8IheicTLMSM13tWjhGvxwB/ KWV9ZC0r8cRaVwHr1R6wS6jC/CDtsrTzihaQ1RRhJIw8kuiFlMh/yurDZVdh3LP4FLvR/IplqKY1 ZFXbzP8XjEAAHqQCdE54VTiwV2vtIH75wc4/MGpnlTotVODXfzLB+vHrKoUyTNBFJFS7Y4J3pSy/ SG5UTUSKUZWb7pmRmlKqs01wTH2c0pzBwMmYWORTxczGQmTfZ04aJbMdn+aBLpLf+kZ3L0+nYRnf UeadqXDSw5crpxCRkwpq7Ga4PRHZd/w0LYb9SsD7TOIKQPJswOb4mfmk9YQTOgSWzOrEB+4COGq3 ZQKHpdDWmk96EXxH4DvVyV1C1wNd5LhxwJ8mo9sKnyvfY0F2SfLAbRgYRsM1rg4QD1DOtT4UQGvl XyHJEYpmfJ++69mqUeJQFLieoOmymzu8jJlbZonQ9uOgnOxyuK6Yf4FpH8IByxesJek3/Ge8kK0y Hy5BU0/1ty3MDQA463j+2MTw/DJyCiaCTp6cEnlzhMgVPAGMTkSFkX00bgE61y0oP5gTZPy6QOLD kzFinGZCO3nD+e9AfP10KF/C9kj6M1XGM8M7Cu/ychmgoiVesj31C0WTIbHEUbyjtT4cKIlNBaXr oyrX671/I5jKunVg13RbwoX0TmCGPfHulta1nv9UQRKZDSDkkXLvbAEiT9c4HVO7IU5iBggQoq1j kuaksd2xqb2723FXVsWcq6G/bMVr00ow8K5rtyZe314qqt3meD1STtQ6I+Fhcvfr6RhzOmOUhUhb 6xi94/5uLuOKLPj6EmirqS6k7pxY9ejHtgp9sZyuI03AQdcm3I0jKAjsTukHiKb/W2gF3SfZYx/s 9SYuJIlwxOQHGXqqrVB+QRlJ2gGQ9hfc7tPoCW90UsUa0tf5FsQdINSNgRZZlrC6ho1GJ/bo7R38 oF/JWcypACcnPo8sWt2VmIyXaJlpY6Xn5R1UDdlsNxXcyjOih3aThLqoCuPVCfGiD0lwuDtoF42j cSKSwZmGWrx8E6cp+In/bj0vmCyh41PhDwgrSfJM6iZr58PAM+EzQ/LyCv7XjpKMVbMpVolqKbd2 IpmBu7Te3NRN8P07svO4zoFufdROTfh5DsNix0b7vInPHrHuSi7eSu7liVaKgc8vhJ+9ncGowiTb EkDSIOmvrlbK9oTjIpA0U9uqZL7cbEbYZxTeN/WMfAN6kKrPo8mW552F96X6m0R9NfQGHmE6eaQa eEWTRhHEajxGfcbZb8CJOGer1iDjujMfg3q+sBvrd7dLTaVnUD7klQP/Mfst/VgsAow3/iD/5Oob HS/2y5lVXLh/IJ/3XqXdx87LQAlg2igJIpc51qF8TUuNhpW+vXW2dhQqSrvPSebc7ElisJUyzfjk 0FvsPc7VALHDmdSXkwR1Tew1zgJMqYlURAWJSCaLO2dMVm5vvxk6OObYJNPeYElstPlRiCADFDQM 7S0socQJI392sfTvYr7cW1djFfi71xXDSEPjWlkb52TSATudEXxEhE1XisBhhzuV7zTT5xvjh7NI vWLSlqTG+XnRFdKVcxe5kcZsBMUhlJyCAq8hqSwn8PAEU84OhhjiCwaRPInrQAEi0TcrqxADxEmQ 9vJVzfIv1KRgjv8rDMoA5RN26+p3wojJMXXGhbXIE5VZXEk4g5sgzx6Wr4S1GMIlG9rguxgQAq2j /25+tBo125mKwFhxXyZnGAII4ZScG3WqmjqHyWWJTEs65BvdssOfiqsFniJAWOpNANevHNBtb5rZ eXf9zBJ9UBtGuB1rHexqvUhvqm1gFvzbI5YjrgPKrVB3hdNDwl1jq4bRXgJI7REcpb+z5vVkeI2/ C6HTIc451gLLP+XBn+cFBLihgKbZ3ZsqEOXVanyGB+A2GmQW6tAi4Gtt+b8mG1f5xs6omorVZG/b BAt759aqvtpURk04YyftAWV7tYf+11lie+Y2CTo62SJN2Lg/7ULRFl4KQggZQDh1yCWJYaR2soDw IJaMyGGeWmNY3zgqQfQZO9Mz0nuARXbesEbZuirQXYWLcZD74hV6eUOaFnqBcLEu5G8XpkAgXBNf O1zhg2qHRzV8WcQuNWbGatdrI6qRYjFRR96psT5XXFCZr88F4ylSHSlP9jzTJnvvHDYl9GAv647i sGaXo77VtH9DCuQ9zTmjDx7Z+TLsVHPvMD5T8uEBAGvmVbbnCgL0V8vyazvyQhpYU9Zk9jJc0N5M 4kgn+MtLUU3KNJner1v7LWYGd/Zjj0ErN1EL1/2auZyZwO4Xw83l2u6YZUS5HGsVPJ9tBYhXyeVe wRY2DERBmtZWRVGOhqvxw7xI+12877ZKcOsHb39VUv+1+bOanDSAI9NeET1cBDkRAGmiAjXYSkN2 DPHLhtY/SRicebgofPka6r00+sxyunWAC2jgC5zvLPOfDUNHe3c8r0gt5FQJcpOeLPkOUfRwKhX+ 60U5Hy+3661y23gX64MKhCUikSRTU1Vp6yYVtBKAAXrNk6I4MQWQd3d3WsrwVw/F1aeSqYDyC007 ueMSAsr0eZuChYPX+U28wRTSjfNfMBET5jsBOyr2SIqzdoFdLHZ8atnNH9Jn4u3WIu6ICzrz4mkI 6dfKZ3aio+69WLZA6xN4q41Gwo/PfnzGiBm/JQVYOkwzHtk4Pc/VvKmmbJIl7z0qCH35ZuBVWc8l 7Rs2E1aab/jOuXbYbSaJrRgkfnvfeOTwcIgOcWIyrxwNQ0xV5qTGPhNcrh1aI3203GIfOPL6HCyz WIuNIrqSOEhBcom/Pb8aXLCCGllGzLh7GNnb0MwOnZaAo2NKFisUTbbxHYh/0odp8zuIkCamupcS +CPmHT+SDiYElEi17SbdWENU4xSEHJwrmlblltm6jOENUd228V2Al7Z5H09v1wd1dCR2AqtYWaZZ otAn3N8cuv5P9cmt+myLih8mjol0tF/32ISUpmRkPULP++wcGAUSs/DW+cE1TbZFNC1iNGCyOOz1 N22XXNkB9E3/XVSeEJL69J5lR550pybsG6bRgsZe6kkwzByzfxjJ7QYXE8ltwq4jMODqxWqvDDRr 3bDQYH2uexk+/ma/ww3grSN6n5nDIOiC6wkOQ8jl8tsjXv4tmhoSRvJS2FV8xDscRNGcQgQmromx 2fXBGcRJU0M4INX83IYk2IBDCSj5a0EVmZWTyrrfov83FShliaLT84oqn97AWiujwATHRfCsfSsn KcKixbtQqoJQIaiC6VOP0N6NIB7eYb549Ag2lfKDbkj9/jcDTdvucKPj/liq8Qqn8k3AFdqwow3p kEvxzbEhgojOw+17DgKsPUWkAdKnYz5UoSeGPkopUmvgFOPjJC2pXbUjtDso12U00y33W3MUzaKI FQj0lAfiw4DoZaO+luGLpL43pRnjMFvXhCmP1ipW8ptgSk267g/vKx2LNvb7zZtOuJ9a2PiDbjQt H/JBAKJYpIrzZZR9y5Hpc9lgIkNpQDxGLGL/49ulZRROM+rxvu06xVlNE7+1Am0gYkVqhYnivzI4 ACc7xhAF2qLqscX+BTkcA2IAUJfA27/d/LLG0GFrUN+e5TwIor9gunPAtHyLRUnS50JujalPuY0j byqeJjDbymP4pyINgIhT2Bw2Ap0aERq1W27LszX+LiEkJeTJJUFzpsZW+ff9Ra2gTSu2Y6gaHrQL iCZ8dkuSW4p3rJpaxk18Icklhz3seMbi+IOvOkGxL8U3RFZu5y+EahLy2gpp/o7vQiK8p1PApADs xyL5aPBZajKCnGIF++qWevTKcMmxYXoK25AyW9kWzzPiHlJEgS4+Af4SfEAfSZnWw7JkQnKArBxJ hSxDlr6ErOKG5vrodGum2HjpD5nCaNusYE1K9S9AdeWWBYNpdQgvZxWOvIJDSUt+0BSBsBDA9Qj7 f7uBnpypwxlQ0+W4ilSv8J4W4B/np4/DuDno+cpixr6tNF7pKqZpWXtBzSypaDYF7eKKi+U6FVbX zC67InQqvupjJpVyBiBgmnHIS5YaS+LCLuFtyZ3ElQq3UvkA07TMYZ5Phpv1Dp8hZzpEXVJxgMPr or/nes5q3OynA/vt1N80NQ14+tVhrP9JyFJH1u2vViqbp574w+PKb64u3g7Onv1XiVUvC8+MNISG ISmVC1wIazEszisE1kcz84HzzkUWHMG7vh3L5Mn2cm9c+xNNdQM+Z+iOpG+hoNVkVQ03heRUpyyQ PMg+PxScGSukgYgeqQo4Vr7bI5cvwLVOEfXBkTu5BsAogQy7NEmaBBppXtmyY/d7pITaQBEx5gzX MO2b3ldjvAy1kCFo2jlP/6Xzbto5PEVHAdVHEJ8P1MUQL/fIU34AvJ1zfeyObYYOQAFGGa7mhwku 73zp00LchR+QQUUiRNK4qJIl3oS7z0h0zd2xBVq0puMauFp5Wvu165m3ckR08/hS7H/LmKOj72C3 P9+p+WE/muywrVy4LBDXEp3Ns6mGxyMfTvNKGewNTWc/HrBvEh0wx18x344h/v+0QvJHd3Hj2sx5 CjKrulsGtaordn3pe/1Fr7vHIs3CcrwigLdCOMB9nrv+7kugPx4PNySX0FLsTz0qJuZqJZMyNzYU ZjEqiLweuLbE+/kvTll3dW7htjCM7Bb24Lqnrby7a9NmS0Bdrjw4z6YSstSYWgYDs/W1oyqXyAxF JQ/GPjfJcqbwXUvYtvN83N+d31hJM1z5DuNINI8aKL+YrEZdiYnmeF3xln/whWmHQkDlVsCACcu2 bzfbySIQ5C6SoQWovzO3UsicrQFyUHwymlSAgpdNMsY3jC2Q/vlHYU6IIm3ZKQpaotpoUVHXYq0b yX7uGwfYAEdxMtufRtAuN7pwt+INzyJ+6u+/z5QewyePc4qQ6w/YUYx3tZug32fFanhaft+d1eon Cl6F5R0g1IFY+7+w9MdHinE3O+hTctKYtnWOgBzNfchTS1qTKwHTc8XcTXoLWV7QYT4Wm1TLifVv vpoanYJ8HG1FuisUmP5TWUrbgCKse9l+BVVWDuw144pWDO+4sh6WF4YVlFJrzQOgWNLCC5KSWogn mQjX5r/em27XemEl0m+5mVUr3edNhcRdDpFzeOD+29MIHtsAsQk7NDSVksotA05Gr3sAyV08vwG8 gcwQBXOcT9Aw+j64gG2dfPZ+S4uRGnzCz8A4u82635aB++tlFE4WHX31fRo0ByilkFblIKuf7WFb atd7J6DWHQWdb6vHOUZBNcZutRo4/eiNjSG2qoUXJgFqrPc2h0iXNG2UfG2lkKO/z/MfLgEJk4zj gGd9E1Ayz75RPGoLC0jNBbLtorgZoMg/ArB+0bqdxMEMlzsuoxS4HIY6bjv0yapEdlIl067lOyUh L0QeZN+wmyTDFrvyBvD2DFyIeJnzw5MiL61Bd5WbXVZEtWtQ/iLC2BPNTNzAmfYq6vWXn7vsUfSK hgArcw/BRFDVO0ndoRUWct+XkozmQULnizoVwLjrRLmUSVtJ3fuoQV4wzgAO3gX2SQYFh+GLGFRu qjdfAdNJ6F0rr7ijv3RTH2fFZUn12+Wsr2yC6GMychaq9M9vxBLshsjFw6C/a2MsA2+xUixw0rzB KA80VM77Vm2zvM6JTojdDbytW10svyqxeLYo2FDAr9f0fyw6HcxmeX1pJqTxkmIXikaN+Gvgs2bA vCD30kh16lherQX+HqYunyS2lJz9hW7BdECb9+QpBk8bKoebmZQ98V/wUVvU+3zK4bBM8XJtOBdd gO7dFEeVmuKjNnj8dwaofLH3MeMZPKZ56xH5ovY6UskZOjuHb2NaA44pu2dfZtk79tDPu8saHlE7 o+n+HJO2l35x95Kenwv5HXEbiVIuf1XpwtdGFAjce+xhTlvQcol8f1eSKYLoZDX75QVX08iLHlHo Py4S3aE8m5OUH8/fWnerZXkGZpT6OXYpJXCaOr+LFjuwlAw73ciHoxPmGaX5I/Vwq++PJ9ZjPw4k 8VS2Ow0BVKSLVpWPeGg+D18zzqFix1hiMwVJkSkARsawQfLr4QzhkTXC4rOqbnshzI0kuKJMTosQ GViBGHdjXCYLhjOtRSHrbIJkpR2ZTbjsl7d/GjgUpUjrFpe3lKsUr54FBFLJYo75w4X6r5S8sSNk wLxGtDuGWWcSXTijOyqHcP0sL0ANl53xPhbfS7zyrAUm+LqsAtIATslx4gT+7i0DtgjuEaTH1yvH PYHLToylaTydINjhDOBJCqNqcu+AKtiZfohN+Tn9MQnQhX7K3Hb7cAa5mHzlBjx5P2YtSyf0Hoi4 HMx4hZ2UXDZhW+Yv6nO60wUB4OpGRsYvZnz1oCE2hgmvQoJYPwgxBwARM9lotpmtDDE80G4Wn3bu 5P9ibcB9Vz4in1cJLeGK36PJJaAvcOQYbIo+JruyohlBHjABL8EUgJ4FG0KOLNeCu0XzdwPL13qK hEfSl8eMWc0Yek3EdG2vxcLqsXDSAHNmIjJyq3GJqJH8f7bawjZgliHVqtvOzzxkl75YyvQgAMen jD0V5Rxo25TmUVBVwQ7aYtgN10ksm/r9K9ZXz/f8VHbyIASS2p5btZ5nhkpLFKWvMZMQ/lBLKZTp Bm0aMwLR5VWcQw6GVtJLij307eGC8b0RsXb7+EPW6tcmeb8KP4JVqGBMy+u18QtzrZx15dLG9oYP X20cc7x3C3A99SNtNdoadnn6So442zkldxwfB7xx/p69AdO7zYmeGERYKAgxaFfhjHMyQv6ZGMOq QS6ykWbBL4qD6t4yMboYis43gfNJMz9MlW5t30jsaJ2WEawUB1HVx/N1ecA3F4uxz9IgscvYlQlR bnj9aY1RPBIuIEBOWN8UmBMfiwn988eILiyjVdw9L6DZZvWE43rbHNi+VzEcTL3Rn/5XdYzSTDqn aksU/FjbIHEmLAXzQ0UFWdg51WdLJH2VwnCFiGj60jSn2kS9l9bIBhsY9opX1MxWocgbSNkPF2AZ WtWZPoLIxWV3PKoZh7RlGfSWxdXNv+bJV98RyiT1VId+r4alS2VQtYrUL8414Hxpi+W+bXMm/95E NGbcs9eF/UlCXj97dUfPgXg0OGCG5FHqbj0Kw9QbeiwZenLgXXnsyO4K5y4hPPc93YcXgGGWzOWo Eg0hmITBDn5Eg0GanGWgizW+d2CczNTeC1NP9V/IY7V2Td46QEcF3wm2lsxwb7QlBWs0FIPj3mcp ASLeHnNLBXD/yhi2SWJdMdszpT5qzzo0za8yxL2HY0CK2tGhXRzzJVz30seNgnonVe+ijxfvpvH6 O7SJxmy/4VNmmDxFN/8j6I18RSF9JN/QvS6/um6Wn9k1vZKR7h+L2rPqGa7atArbWFzOoMgdWfr9 pGQjoIlts8aIYEUMuS1NvzE6c6wy/O+VNjtbIQvPTiDJDkypcrHctREOtblELEJ3LjvEqOofYp/L J/sPxLkOqDGH47xG57l6RVH1AtnAakUX/yLPhEfzLrGrOdy8eZ6UaJn6UocrdjliSHjbR8iMfyX1 sUvusltuxz0NVV098STthBUDUbbnfvDGf6lM+ZreSMkjvYcf0ZzNxWDdW2RT0CTJDTTiqjHZPZtW vV48ogCDJ0fk9+v1Ta1s/4bN2XPlp2bWPoLHBSMnvlc5kSfaAWYgrv5LtvmAudj2MN3P4fC2/key S2mztP8b4rsFdg4pjGVZ9dnJiqjUGdCT+mZ+CYrVg+6EzX9oEtAU39jmFklBG2LPpfIPIkEd0LxH /KWY6mIaqD+DhRm6DhmdVvC9aT5rc8fA8XPHVkRdGXDdb8K+MP6YCmJzCOKfx/JkgplITx8ohRpJ WyuJrCWP2u+8iwIB5uQtiek7Fepml4ReH8Sr/mEHdFISu2CG9h/vCc3SvXQo396Wmh1Dy9+wosIt RpKtPm9mDv1OQk2EtHntsR7TJLQOCdXWqtylerqadMEwMiD4+vprEyz5f7dXa9v78Tte0UHEc6VI xFMaljbp0Uigpq2YjxxIDR7dWln9fr6QuCYhQTfHAWH8R9+k7Wiht2qxmd1d4z6dZ/EA90ftXP3e n323RdclHJS9a8GiPQdCUaj73BZ8puVjt1Lbtn5dMomdKGGNqzraoYJ/eVKqDOJYdC9g9PQWbUVt Pn5DKepAx+QXcWUxON8AE6Kn2X7oqzysUTml/lPz1VJJrIRgw/ioIZgVBIemr9jsZccMb7x1IwLL SKj1HgCrQIrAO9VahcJ7hTpYkMr5v61OLs7aeeO5vnDpJbsQsu138W7f70CRhtUsh0S2UiUTe3ow XnqaYJpWExEpZFEDNRcJ5MKaNTXq5B7b9/f0oEMWllLCiA0208CEai0wzg2OG6Zi8gEtR309OAnH 5xf6/GrCKJCHk911hYG3cn0sjQLcVaI7pJmAr1fRxGrehNQJok5sDjRI46ZnhveaRtZEUwSzSMRM 9GiDdT6rbwqSnZo3gkm5AmFj7E2k3e4fxwRrNMWzTCOoFkXGg1bqOt5efY0gVtBUPK8pFCyeNRnr 5bPixGbVivSFfKjgjYLBqakNRkCo9wUg9uvUvLrewieeDI5pTn00sbjGFYgP4LKhGF25IMW2PVSC 2V29JmG8iKbitVfImlKC1PRNTfQNIacC8NyX2T6ybUAaFRfVTMXhQb+fLJh0fNX1lgcjeWeBg4uW 5epxCqxld4Cp7/4qDdmJ5DAXU9NfqqTb8UESv0W9HcH4HVGsSa+HNZFCc5eNejYQLlaBNQ2cNhpu bhTPs3O0zhjpYVFN9DaDZ3G+bNWI7q3gMSoU5tr9umXvAUFEBKQ/C9wDJwblqlYuaWjkDgtriFja yJ2mBOiYcp9wwp7OsxuE3GGzi6fXLflR36MB5aF4DVzUw5FegZ3uhpqxu2O0qTKALITQSF4Kz6ip sxcxpioJmycN4wRFXbyVFsXG2NDAje2vrYx6GqMMRB00V3hU8X/96TKPafmnz2lhWStOCrkTzWZg I58UOprC1TM7GfOlwDypvZzxroy2oHe1Q7yyb1WPt6foe0kGIXqZFmx9WhhfV460CAftuuN+lbUs grRNCi9kaHH7anyukNAnIbUSMcqIQl4B9OmF5De8vn9BRR6wDSp/1ses010ATEsvvpu484AIEmgJ +OpW0RHRCbcRgzBFOiulg2PFogI5PDc//357+d88IWtBChe4CeKQJgEzl9NrPXmjGS+jB+igsZSd 0VyXenyxtpcuIg2vfJ+DaCpm3CWoAYW68uGCaKqsLBuNqllwjUUwtjqw0u7l7w7+kweiFxFYue/L VmhmTdG14xa5Jdors+Z1ZsonLWjXo4qH9uV2XjnbrLoMzWf0nHhPEa/aXJz/viXFV0OUmJQFGfb2 UKXVuCZRkXh2z7aeJyDzis2HFHfQmRH4HBxAB8H3pbXH29lQgTOQWJCpE99aWwvO/q2XPWdQgFmX RVDzmSKSRpbTt/yo3me/xXsyqyWV2K/ADxMdyJz63yLgUTyZafLoE7kEAn7JBKleOSaF5S7AnsCk iOIYNuV7b8dqpzvIaHHXSC6yVPBqueFt7IpoJYbMfuyzMlcC4UEyJoX9CBEUTg8lejDOr6wRrqpq 67Koeg89nCRlAvj4MgyuKDlnrYmPHbsgvXOmBkP+Gt9EU1x+5oN3pM5e83nFctlWEIw1Gfy6acZR eGN/IQcrLECyjfAp/h+Xd0sUPcr1eKLhFgkqcSlmJ8DFp55TzZsR/AlBvcBU9apvMP/n40lKFJnc nrfEJHGUm1mOmQt4LcsWA2C2z52n8ZF5AExuLsPBZRwqH0crKFOR7IFE4ya3p4xhyztO3VDXXfAu asopw+WZ0ucAI4HMluTuDa/dnotCv5QFwh4l7Neghsl7LtBctYrhASOksK4yQ2FZHu6pAYRblHLN 6QcC8SEpGoVHnTETKfBYIs3zbjp0Evvzx5o1lRuqBVxMpv1BHbegRDf6uUYc+KQB3UH5SkJDAGYW VYP6MFH9jfeiRNbo/jXlwPENlAfd92p7hNzSnqVOXElFaWsWaOUdtzRA+Z5bioBuHmL2vQnnytW2 oDzZYqP3eqrZ8cZoLTijqx2mq6fBEh55SA6zYk7MU165x3Yn1xdoIziICk1/uyUXPCUbHxkweCpH rdwcOGSr8t/e0JBd5qxOT9ibzq1Sc0NuKrpOujLDdw8mFLRoe9K2vaJNroFfHMD+XJWG+f5pwl7u l5W2XYMHj3daSCu6psRnGb+6IUZFhP4wP0E9j0cLY5P4RggUc3BIAmSWXAY6uigd7oQRD3CMOP43 wFUesVa9JOzJHfsh+GMjjvOT1REAshi0YrCdMKuF59+dyqzMDpg0yr9IACUuSCyWuH4JDuYVB33n qE+uMn0FQqJqNreTwKIVyzl2Kd4tHx61MHgGbA9qxbOuy0K4xVF3VA5P94hmj0siOn9M9F/hI49k +wWSlrI4R3wQWCeIv6onihZ2YM6/KthZtz8apP7l5Eoco8Ndxbf0uygJrhuoWnr3bXWWmk9yHErK 84mKaefcJR7tXGMcGxEHm04yCaVGpvmW+eO58kuqUFjOOWnX342JhG5uqlEJtWIU8IN7X1rXLcol s7WPUfB4F1ySMMDaCL7IQ3DCl5HzL+vs+ikzbNcA4I9K/A6dh534cqIhIR64t3/Cse8OVE1FbPNB Xa1cTCkRC6rhnCqenGGW4prrjZKD1hBvnfif0lOAOzZDCjCcN9jutcPTWuvEceNPuFAYIv+nVHLg lRn1KbK8Ir3/OQIaB2OHDvhx6/ynvFURya+ffCspkD5RR/Lm2ohVMpCUwhamL6whiuLMoBlllzP9 pTkz9CVpQE39K9RBEl/skoagfK418udd39MgUTBEJuB+T5MHykLqxtJKYwFeYh+pnM8COnteYDCj vLhs94I2DvNRiQ4vGTdmyg0lKn32eKd6C3y7mvdyCiHUiisGOnPQUVltYBTyVQwsEllDQUKPYXiX LE6vLuxqRHGHk8y09iXOodzOZ5ZT3WDCo9D4rVKylq8uXL9jYN1jES5AMFIoWtP7cwgY4niuw5DK uMfjNdsz8W4uaxthHoFe5K6V4lA0ThGXyPeMLVNQ3nHOiHQfhYguJyEGAuEKGzQ+lK+c/VxXIDh7 Q8by/NqyrdS7OHd9N+Y7gzCwRA8sfNR/XwqHqXuZhZKGBy7D47yOLvAfU4IxUK1oMiOqV49ARCpr Rr9eup1voNr3CoExDWq5XjjAn6l2Bd3sGSWlwaRBWXPfH6Ao9VAlBySrbQKSnJZBHyDCSyOe6/N2 0oyWCDGbMEXfrDQuueKX9HxaRsLNObjHZ6V3BHMkexB66HgVlvIxfzt5aifn3TpJ4zA730Lue8Jf vfEbty7A4abaVeTeY7sX/HbKnC1WwKh62B6yWoH+bWoXW3sVyPuCjQQWVOiLpnE16k47maQEUbr6 RXyiZvqeNBtmyuE7Pbb0y9hWwzKrcxuNtWLM0ah7ZSCTN/gOqwo1RpPDNsVoPHAyu9F1Y+4eABou 326gZXRWbOtlofSPybvPMSUMPmRQ0zqPn64bWsf4qUFT4GJGxxt9FPV8z1W1uRJGwDp35n7Q0CZn DC9zCnPXJUqgTxjrJ4Ld/L/cJKPmeFr3zKXF/tAazGeUZ2nW7022syrQd3UL49epXInZI7b4Z3hF 4iuBk8vBIetbJEaxAZhPcYpIMVsiq8q9FZIhptCpWqiyeAg7kUhN5h6X/oMFr26Q0FrBLicer5+d vMIf/xEMGR1RLfD5Fcf2hrpMcRs8NUEvi6N1g+vutX2jfHcL05ZOcrNXyzpA3gpvpsQqR9jWajaD cLA1qCYTjVDU4ngh/jRVusVkl0vpbSE0Qcdt71b+vaI4x12KkBypfiN34MK7Zrl5atnFGTwlhM3h pf5fQCs3j925TfPiVOYyNyhfgFv4eoBelztrO/KTHy7vLDGQKqgHdWRVl5qkP52a/SYOK/0yJ0Y3 jafLaNuaCnY4Tzd31FMz0f2favI7ZPs2nBMiiXFhntcWC77A30bgTRBxCZd/vTm2ZHcmEW1XRpAR TgW3GDkWp/VjGNng6syvA2E39Zg0778Bvkuju63XH2PRg1qKJ4WnUsvSkJ1qO+IWYhxX5KBFuwBJ MUT+RtKRNg/dlw1SDQ/Ac7p6RIyXGztiJEjNwNF3HhOM1C2LiCUgm3Mh9YJRPmF5mX0Snc5wnFlV TAnkpzN0/tKwRRxeNf8SadMaHEpYh+cNcLjKrRYVQwcFBjOHAewpxDWOXsMZuj10iij6bbdwDR3O AVrk4U4ap18XiRAfBMTDghhviPEnHjyGIbKinG6TmmUGjEGIn/fve/Rb+mstFzXHZ6V0Ni+EleNE eDe+cPhwQsSLm2g2nzN+IJhCEan/lNBk6rzSWJl9jRVwXVlmUGIdnfC0P3S0JZA6Qfz7SfwLr8j/ r94uh3PAdCctDbl2JgC/YUS0nwZYdGVwuWBBOt6D18k38dkQRQn7+E0YNZa5VOTH4/X/UlsBYGPE J7zSNqRtwYg7MBFTUDq3KoSZ76XcATZlX4FKQHeGnYlyiAKmDFngkNIVCODJ1RwXdGejV33eI5tZ BNxX7ZNVlAlaCUkWBzHg+I/RuqsOq5KCuOpel7xcq/tJrE12aKXlVzMn7DnEHk7ebLsgQdXx4pIo XhL22Sshu+CMuFiHRwnl47xCk9ec/TPyJBsl7U0b3YBXvCH/MEt7W7yk+k3wWNlXiH5o7/bGdSD1 ZQrJpwmD0EBfoz7EBkvSXdGbGAqKCPAgZyepj/KNq7RJ6l9W6Lqg+E+K11W4sn8MNGYEAckUz912 TVr++S+pJa/AGWTKz6tMpDvvrfGF3YcdVdaHBy8aYY6KaZXVlj+9Y1+/d65D/Hc5iA2QZSwhyIOm goOVgtU6d8NyFeHWJHSHtnHPgh+VbBDjo1WYVfBHuq82pggBQVrdpYDGzUfbRLPT2F5gsm0jkAuH ThsmXHm9Q98sHft102lUZWBenBpMhKVGxsFzACCS/Q18kWjef91ktetJUVcpvYxBj1ampcCSGKIh HJ1M6q8yZ8Ohm9WITRjMq4Gf2KSV6IOp763gPidiESx5kh+dix6tPWZZWudxNEj3D7V+6jDFk3rM RtDfMQe1feFrlDsopLRkuwkSIm4NZIt/v+SCeQy6JWHFAEYxU5XRgrcA8wfa3HsngpuMDsYozmfS f+6MMkDkb5NDih4CJ9oc88NUmb4wf9Q/9eyntOnEodYkrAJEqArZ/VnPDlDvDz3G3iWRLrpnnPbx 9ZviTix7PQ1mJ5EIcWIY8Vu6DITzs+1is2GKemMjy0BR/DS63c/VMKlmVTbWvNTgwuWe2yzwSNIc RIAZL9QFt4s3CqdANuoMJA2rxZsY1XyScY3mALAiNJinqGgvMEItvr/Z5i8SqQE54B21408bOemQ IV3jDQNO+QELWw4l4QvDRBIl7MHm9iVntq52QVjL9ND7MEHGLWq4WmpDjnH2Ec0Yty9isfcSAB5p roZNNgIb4NyU5tqwbuH93BY96/yGwZDvRREyciJZTRCWBHdnlkvNeketSd4jZwYf/+hjpC/etofe 24XRxqY3X4M99J7g/6boQk1BLz4MtSH2+XaAu1Gxnik7BXKcNHDfmB6eHpuh/WOIHovwlqY/B/4w LlYVJBC8QGA6CZl2IUZX8HFN3PrpiITl5+x5joVl+Sx60SoxXlwZQlWkWy9vCZVdOHIpf1giBoPJ u56vz/xrrgR0f4Evdw/RnLGL7dX3/zngPMQM5+c9E6uzDOvRIoh1RirnYwpj9WlYKeg63Eda9leD UWb2MVc/bwAeQXmLzwoiqoARF4oopAncAeNmrDPlEiXm+8lwBfiMnDCapdchO7XvOW1ADiIAfY47 ySArqGcp1Etjhy8UFWvYD1HVfE7b7mWaVhHQvc7Hwhn150vsTziulSleXBbXLxOm7/+B+V9RjECU IdYqqxMkxIH8AxaFcOshdfEF3s5t+XE+ixU5lPtXPYGDONBVpadjGHzLjoOYv88SRWNBIzMsH83q 46e4YK44N8YzTYwva+USJMB8+yO8KYNq0DEKxzC9cW+BEVjgmgnYql4ahYWnXUiTiZAehnqkwQKF TEp2XwiprlSMJ2uu1RhDc7E7wPEF+kxsi+VuRYkov/dYoa/uTdyn8spBDMGEeB+y8fIyhCGJjOZ1 s59musLZ0LDaVZP6zFGrgvvlYS+fXRWFP2S3QgD17GQ5l301sLGw22I4xB6gSoZpH582euet40YK Veue/S1iihJR2LCNjAYwGOPuzf+vgyKQ1VdmuQBc6OnFWptuECMIUlZG8qCEhhEf2Kd/UHPL0Be1 74wtye67uSGRG/gfIG2jm5Mz36SsrI4ndXbOQ4yl5wrctQEdHRIuaSUb1hJlnkGYZnSuVLmh7DWN giQaljO2atgBPDRSb9SG+a//h2btDX2Tl7PuancH9iJeUTIowqCUeasXPXyqdMXzCdBWamgPeyKy t2m/xmWqKHGQDJIhoxmLZovboZXvN8IEjli7DKJzkVfQjkEvxEEMvNsymRy7o5aP29wZ894Qe5+w np3s6vK9r2NSiyGDa0anM1N/QCzPOQBQ7svhhfBV6uO/Z9XPhW0E9XGrJ/LZbea2Oi5N7CYDXRC4 /UU/sgVdOqGtoJa/Ft/9aF5qvojLWSj8yY2ezG9o77I13MrV/65pnz10d72So+/Ft70zqxDw5hrv JKHzeaGR5EWbYCA3PXgvQdtemfA6fb708V85+PNExrKrT2xj1oINl8gScCIMvnS6H1YPu4/m7OK4 mL/Kwh2Q/xKRLJpM6Ga9DckWutVXf3h4ZIv0ROxHsVuXRJ2B5wzePz+iNGZ7zmwEEA339D3b4E9G RDEDWTxEegaAKU09xNSOEhEuX/ubOYbWB9Z5lPp2OGz0PXsbxqEREv8/r1MsYdXF6GrloDRpNVFY x19zr4g0BmDDJFrNU3CiMon+wsaqZgHrQUKqitUFg5vi3fwgd1K4NJxS9TzZBm6yw50c+f+p0nd0 FzGvSbT5i1EKy6JjfQi45PU8u/Nfgx/Giq5eoA3YzJX4WupHy9hOn+ySXVmhb1h161y2ObBfwlqy +mUB/Inyu2iPbZOML6ntrYUzQTvDk3lBBtghHVVPvB8laJidh8o8oV51JxKf8HLBTBP2iiCx5KyB 9Odk3qWPOlp1CrOm+V4fBW8yly4zFvArd+k97/240h03Pe77g+O4iCZyFpt0kuT6l2k2tnx+Drpg z5+2oo66qJfUknz/W9QhJoFNKtPTisrZkDsVd6q0WNOOfZaFlpy0cjA1Tv9zzZTAZrRrQomj2a6g KNGsC7NjDviJM4ngyXdBCcMmAwXn30FW7vABB+cHjHRoxw1wx0ROqAtPj5+IaEbGqupewG5DblZe pASh3qJSFtLYMNR3KxOf4pn5mL2mM+Lb7TJ9bz3/tNZv4oORLqIpZwZI6Qdpj1IdAdW/oAMdBRI6 mbUlwNBEqxoqbDA0HmLchn6jZAQR5XsGk6PTOMYr/et32gBVmdCa+CtycAge4neO3tJsgpfbmW0x PWLNfMSMQKaBbidQz7SOkqWBaBCtrMXLrwsDSKaPRX/hTHILueWJFhgnNrXpbpNWXOPOgQ6G6vH/ QLEDQSxmOYeMvsA1HqoDiA5Hg9FzggJb0+dG7Lepp6o25BnVjsDQ7KLfI2rd6i2VzeRLySfXs91B 5mBS9HhxNm00KM5TxAscYbZ7h1dySClAIk6buJ6TDuDm9fyGkaaOSozebFZAhAB9SfmBz0e6FPGn ylz8jXEvGUgEoZODF2SFeh2W8JcwKxb9nx/LtB5dShQzirYyELiBdf/rVSRh4YkYZJArAamH6dJZ ri7i2+vWk2/awhsKlNXATX9gZDSXEZUM5Fm8lA9rISdYb3ZldKeJMz6wg9xTtzbZUL5uZnTkfJxP lRsKEy6wtvTuqrV1QiE85veHBrf/Gm3Kq2O2u1Si2PO6O3YOJ5yG+LalyUaduvE5XyMqKkpQ2MOT j2N81GpXZ8knmxr5TD46wO5k5IjvNJPc1+RPuB4z+siMYmvf/fajfvirlIzFYqPc2mpSZhEuNcCL Q5TE9/N5bQURVD3WrV6akbS0ZaGkjaZ929mb2STvfGrTI3MriW32w3Fnt241ct3dW6BLd8hWG3Y/ 68feO9jjbL+81ZY7VBFMxTuFASAbxkAJhEH5K39THslHxB+qKm/lKssZWmWpnyjGLJck/JSunY7s WRncdsZgOnNj3PmFoDGvolHwSA+EDx36d2ZnAnEO9lMtzj2DT0taz5CJQPEmYII+bOM6/j2HOQ3F bHmOxz/9SsEzmPgByCJlp+8Bo+K2MqgtWghMTyDq76WwebT+/JojW4BXCnQN1An8fMc+TefISofg vrWv3NycWgfrOOZYJPokNmWRfQ6mCXArTrGlcGmCTyIhlH6mlmhjDogxS/Y9ASPn7yJyNt0ReEJa rokxLrZqJSn0Krk/39Z/A82xQg68uL0PqiD8ZzT+viXYmHKNrEyJ9MoPxhHzclKurLPXa4sFvUp9 TEVVaRHijH3qNDdfbPduFt5sqz8vRrkcs3+bqfsQ/q1OqHlALo5X/pz12UqMMGFJQdko4Svs2x9J HipIM+YyIw3xPPJv5v/estR/9x2b4U/Hy8/LXnnpAPIgUNPdR0o1Ed8CNZUhUgrhX5H9w+9/xbQp g5u+t8M1s0JSg4xZnvZ4q+kK89J0reXpnYiI9BtWYyOxHbRtcIHfMn797MwMHOt+QXoL451E6yhC t5DqyKMMJiyYEpl3Qu/4uWX1VOzjpCpMQHMZQrBIQo5sg7hLhoztVWa/13Tw0m+L1Bwk1lGXQ/Fh hz1lSVe1XKHeRrIj1mRc6u836oxsK8/L2OFtoGotHNW3gEUijoHJsAdtW0Fp00fPSfWCDOQhxXdw qbE4YiV6EpmdWbKX7+jZMkxdHoPwOwc6v/5bDFZOXyrt0IbCS3KcjlUSj/gmgBF967AEkCmwzfGQ VSGPKdbuqjEjvCzxIwav3UkKGy5c9sUs1qg1QVf9zUgaqCne11IKKRDjEKeYxMiYGTVb+530IztO oi+1eT0sqHGRjnenqghMxCWmJjZ+mkHJGDfSr7Nx+d//hoXbfopkCl14pWnQTioNEHcs6PCHLecu yHlI5UC6ec0x2U0Fnjryu75AHvoeqTBZ97dGLfwrvX8Afe1yyrSruFUaUyJKSRNrvGQU/f84DOhh zRJH4XFsiQ5mGjEXvOw4kAGhbIKQGSxZSdtwynv2l+e6yzQPCTfMb4HITiCKXoa8QR90vcOw+CCg 2NIh7aD7jzJ1PZtJ2anTYdCyVqD14B++CvYUyTuUDMXnBRhsHE/S6x+oIGon5WCLs4dJSxYz6JQN h3IidZNXhB66jOcNyMYHc7rIj9wQuap8W7nzZCOJdH4O9HA71WPObtT8xkI4bnUkyKR+4QFfWpDA DqqSt4XXd0yC8hIeCDTEsVamMJADxGYHabss2MgPZxvxqY12vFB26z2rF+oHoJo8beiw2l7pKJZK WRNcoVuv5Iz8QMmuYphAT7fxJYBbSDLfEULYXvsHOPD4GH/P6EEcElumLj6GQXtIlr135iuTLiIw k2fA2Xu4APenOTsD/mH7kKKJszUDQdXQjGdUyPKpbW5tdOaMcgJJ7zbbq4WW7O6mlA7lH2VJgh/x YDfMFVpJpc+vY08TKD+/D5mhU+Nd20g14O9o1o3RDJZMD6ZuxR1yCVzADtLXJ8giVF73fgjGP5tp xI7pwC7P75s8OdXAUAvhkEvUCOGF3bTnp3ZlP34fbTuTWxktL9C/itplHbngZj95eZBj7NS+U9lj MGQQEoYtNqgX/QTVwBoF8c+T14WT7aSQOzDXwAiriTMIFEhaw+zJ5uH8iAVq3RP3oIWyIbL0TwYT 4lEVC9RXf4dupAI63HFosz8BJq69ITqJnbH8vuNOqpo9FOimxdT3gGZAQz24JcuEOgo/BbQn9aN+ maGZ9XwwJR398Yv85IW8UHevUh/sa4UqKvkOdRCRA1evW36b1UBUH8w80cwMpSiFonfaNN1J8ST9 LySbQFO3iAGwOyWd10U7pnU+UDFm2u3j4IxouQDcTe0TU7XS81lxV1zd0hnuG3Y6rIgP5QEarOit 4+c8d5F0CDf3PRfRnE5dBcIgZEBkBtqb3MY6kWgH6/haTt9yYeTSrVXWCcPZfPktpASr9UE/2kx7 Hh0usw3Hed7exWb3pdzjU7mbehYbCz5hOHf0v94ky5WEVgl079TzYPMWeVmFdLcj8XHYz/xyJIAt 7i9Vni3aHwGh2AoGCf/ewG6qW/YiGyw0u8JERZFvvdlGehup7ygSw+dyp2Nh62KddaC7157awP6Y ZbTE6c1E1fkPIfwBvv1+pp02aI8R2wPJi9vbwUzp6edb/jsTb7xtHCc+KJpWaCLxdyWn07JS3ujN BbO6LjAv4Fr8dPSzAwkKMSEAHcXgufKrnjtxmcFKj33FpEncvy5ycb5q/IpCtuMAgx3d7jSnhdKF vf0qeBOetIk9d7+PH5rbiH8KP3xrh1T624udNfm0JJ720Vflc3pJChzuYiKqDrP2dyVIRjGOLkh/ 98A6SvtdxAdDsCEIE3MAZZWSnndedIV0UdJO5PD/YAgolTjkbQ3mZciVFFj6L7jvFLByF+3IRAxR xhN1cx2QT/tg23ZI8ZSg2DipICuAqewqoaBuFhG4Q601oNzKrssYWSeEf3iThVTvloI6d5mt/YEd AdXS/9M2yoxHfynLIVO8JtwoH4C3tGNHO2tYIMW32hK42tt6IsZieslwCLUuYsD5zBWOI7CRkwLS OKlhYZFC0VQK9j8aKGAswb2OkCocizXokf7GxtxZyxM9/0UoeunZUciC1juMZ4x/6GJhMWp3Zf+F +JuYYq0u27NQQlptkoNgsbK7/H2P9NbcOM2E8GDSv+zEGBQCb7P+IQY10m92BB5TIb1cnWzHVNac spoBkuBFofluroaR8nzWEYQWS60qA8SIXptKu8kD9dEJsjUOC8pRfAxymD86iCuqBVkG9yUUW6dA W/Dzno33rHoblmmj8EX5IHMRV351+JWnsgOHBE9XRLMAsp0kYUCKDgXgzmiwzjBaGeoRk9HkVA8v XANtAgncztwpoSLoJ9E4Fiwb44x11ojtTE5t3Mc3dglxumGmRF3fIPI+GI7JwSliykEgwRrnZJrN ANixKxvOk/BcN9tzr0kaMDfgcJNZVR92JQU+XGZRptuQxb3tLapE9APfugqt0VTGyh/+9+l5VxAE WPOKv0qVxCDpNytxHeprUQhnoeJKFp7SAgjUvOalcpsxSq+4w9NOUUka7y7KX5iB56ML/tV2k8kx SKpCQI51WHv45yqyYrHZu+udrD9W0/n41AvwTIQuMWcEGzWTxP5xef/N32Ul83mbPuGsmtxkeRYG T+uTqfiqYdDP9gVBfpDteRrCigcBLgEQaT3uZUJlr59/n5fIR+wgNiXPBdywTbcVnzXCzyx6IPth 160QmUWdkgAAeIizYdAahZRZH0gg0MaTg7krPwpXJC2gfiRWHQ7tavrAvzPegUJoTFNqwdmwzjfh 4LO07XihJK4EgENeeiSceL/ERYJMF9epUMybQ9BNffsCUoRKf5EOj6PCxmEvcEhg+E9pUaahx/Fe tDW0wSAEJUTP1gIuEoVH9kWKKm2VJHXJSVI/HmJTqrK2uHE6lcoOtXeO+QmdMyYB0E7mvjVisofz c9/soqMlIkZgGUo2g/YaTsWsS+d2jnBxESdK0w14PN5VDRC1YaxuED9ONK+YGbzwIqYr4WdbEUBn 27IXBMBl32AAn72kG90Vxj6+60I8s4zt2HoqsJz6gYjCaZVdSEFJkvf/uZ/S8KnuoC8Uq4dxa06Z Vx7b+NCMS4mC+xyNBhBXimBVJHiP98jIwYFH+fGlWZwxumxFOLcLQTalB4su7JAp1V2TVcjkCAWI oFiXCZ6NHUCDUPzkKAiKjH8BVI9vrqYP/Pg/poqCzOo5gmZMQ7gETDrGTe9sxmveUMjTvxwUJaP9 7+ldBMzD+woW3vx6b7HdQSkDzvtxmFXUztPFlPQYOVaym2bh9Bb4qDhCKeGcgcdu+XFaIab/tbXV tp+68CDQ+loOvQHlSHs3HOsWqI0nMklzZr/tQfXTm99lleua/A62s8VtQZSLiZ+X9SVjdXVLQh8Z 0LmDh6bijMjm/WotRfvIql2OsM9SwKS8yMTyU4C+5OT98DqVrCFh0OlHYFauiSHiD9YyOqz8/NwQ vgUPxJaU6g8SL6ptoyCo+608rIu1btE6Zm9vm3sA4eKLEB/27+2mN2B/OxE51dlxr4hVKYceeoAc NYgZbHZBsOTApSi6J6SGWITRFYdLM0AAyP4Vv6lKjqtds5CQytC2rzaJ2GRLOPQsZKMNcDampZDF Gk9yIU/IEj1ykgY59BAIHBajggvqBRP5eoAG8nVGjTtfrSSB0ZcAGiyWT3N3/D0lrPDkXtLFKURb x4iOrFTIQ1v3PBsDX80ARnIGemmW83dIiRcFLUp7omZprwcTKInNMs6pdfmDYvf+lDuDhj1C09DF /AS//UMLLAqSFJ8MMLRmQUH3R5L6duPX74OVq152Rk0U2jckg4i9Ekoo0lbf2qh1Sj6ECGXXNnTg t0nWdZj9OB0PtjSEQcEXZ+Qq3dvSc/EjNiBmRVww+C8J2rKhGxpkbpI2NjyHIZK+/OckuIsg7k1h XLgriS+MpBWxEnmQwltCwM1ztvJBNTCwdC20EEl9M/2mKpA8nMIPOIGYcvEMQjWHkIUQNTWrnOqi BYkEsBGqC8QxlcrPYA703dwaAbC7DTIkqyxtRQvevjeU6rzWsISxILVbNWaFsS5Ho8NmQIZNX0rT dVtbkW4lt1GAZraiBYXkFDiBTYzeGdONGmobUxsw4TNyW1YDpFdjJMCslJ98R5RzXDC5XxUD5F/Y gcGg42rD73Kuch6fjtHYYvYJr0ymON9RyhUvWC++K8119jtohca8824VHj39iD/BAV/4jDvHlbw8 M2doWYl5Kkf/HCA+1lJ9ryDhcBbo/3GrSlME4LGyBwVZATbjXtEPUhmcV5xYF7e8FIyGzaPo+XL7 8Ay8qst/kPk3+TbHqR9LKJSMaU9k5qIk8d78XfSAI+HdVgjVzMvC6V6PKGbKR8wVejqYMP52rsOw iFrdSjy7aauwfnpkVUGvbRYBhFMFh19A2adgAeOm3nA/oPtv2+jqehftl2Ec6Of6fEZ2pRJZj9se +S28feJZ9ST17hTjxptB7cimfCv95rb55Dey8wYx4/l44q6sse9VGxvlQsRG4QaH3bsbXO1QruC7 IdBGIc+VAWKM1NriqsU8lrSvClkHpIrtoxIo6C6BQ3nJa6YrhOpUF9KZBu62f2dFOKn39EsqRLSq BFISFPIVSF5Qu+9riCnuIL4AwmNL0DWYFSsHYQFr3Z1aJruSaNnaavFSsOX9MI1NaEWqhgUqRIa2 aHAkRqaBnV7D96l3kpuf3jg7WAHYprSbRMSIUH0IQOuZyNrLwxx7q/F/5aSAYCk1G2P6TqWqKlRj 8tqJK/pxbhXsUmslIYrtCshdcJNHGXEROS/SQp+b5oN8FTc46WbhWs5kdNJhD8hV1FPv/mzO4dk7 KU/t1Eh92Ekcjrta/GYEjj66o+UePdjL1Q5RkhcFVp+eX6dOzGY+KY7B6b6u+hXyn9HM/cTkmIzM W7t+/sci1Z9ub+teBtuf6EmANR9mPukJoKphBFii36hYNmIv/WqJvNTkQBNvxAVvsiyPyu2g/7+m vy3h4+jKJmvgpt2VwuAOaaX26+3ALmau7KXPUJxf1Y4Gnpu8reKBmgB5DM5swCThFcjcD79oh5n4 e5QfmeV1oX75qKoLR4ce65pfPr76kDmyvo5OcZqNu6qOzyhNiZWh9bsHu9tlhWqJWauOuWPNTKkd 0tHHYyav/PMsENjh949EE9+a+tmMjw54gtnTMY5pWuxTqKK+t6vzNX+mGBIRxYGs+sMXfn3yvb/5 ii+MW0k48H1vK1TypMtUFb9q9eJ3e9O35EaI+Wxmb1n1sau25PiG9Csp7pzXwILKF67ha5bfm6z0 QKTr1VS1+OB9u+Vgfnn1MKPRR+WzJzx4ztuS3CmfYJiZgPNf/IQcmYkKdTx+7AowFzOB7Ez/PxFV J70RjOfNn4OfDLI27ypGqzOKvyZtfaWIdBUO7gOC0nAlElxiebgIW5b99Q1K+ZsMz9u0BiNQrD9V KmOXtBDRPUSLbPcqk+sK/lH/+OGAz2tHDeNDPHx0dCfB9iRe7I4z9C190fyX8TV6bX7DWLQEF3fL VxMkeo3wVoLEFcm/b8OGibQOqi5UzSkPNhoCdCminW6dBAXRMjGOOIQwwi63+UETiQFqmXjoY8sQ P+ab/WI0ZdNY7Gze9+GyzeU6GG1Ak8eNxuagNPQ0Q4rPykQftMiw9iI4VQ3eCRvQIGenXEpEutRw bS21UE5TkH8WATLWIG1yIV7YNv0Nn/bpYWv11PCoUj3lXOwFnjN+zPQ8pgN5PLJ+OX3aNysGtrH+ TaZvQOtUXwbIOgEgiJnb8WBfg3G2VytZ+7LZ6/cOAWQvtuveuHAqslwfS7pk516FvE9euSm4kDbX k6Q29KjseLPzJoV5nxNFOOpW5us8CiNGbmAn4hAT93YtuRTCfvDgpluMSxKhVPcT+TMSHu/Wt9ri okZr6InfAc/dp41C8cMd9oII+bthU8k5BnQZv5gEKpn2tGEJMHkdCHoNH+s4NuiHeHvc84uVhlIC /1l/Ni4uGdBFIlXhuC697Q4CRT6PJcV5JLUrXwobXCEgz4NSUX8PyI1Ogj7+MQMaWzFw8XG+lj6N x3bxzQ5JOusCMuqvnrBxlsYznOWj9HZsBsooxNchCsLLW2UAqlvCff6Vp7h7qAONSjW6P+c4cmb7 JPDPjJw7tWGznk39v/xACiKPdBKIfUYblK+rOfIJ1ErlIfQbSbzn127hG4QuZIQcSi4xj4z5JM7E ss/9Gsf+Vlf8+tHmu++ZlAUYmnXtEtflmS1/49TpXM/XG/kAypFhT3JpnE8oMbwYTvYuXo2OsTer R+PHE5Ca/LZfpieiTdSXiemyXasUPVzjp9/o0jb72cOgAMv8uR0nFfsrdZpJu3n8RfYJ9Q8t03/E 1VS11lo5CxmDfXnv0MoH3tTjFFuaLC3lz9cQ5Clj0UIilPkhFoxGq9GsZmrF6mDjLvgeEVTaZqZX znFsTab45V+HUbM3NzS3nGxH7LW3CzKCS/WFd7myZZD5UDOZGMmeFgn7z41vC0UZnAwma3HP6b9h gikaGWRqe1zmGnRLbUe86Ydkkw7Zv9gl3IMSU0+1m8zvz1FIKVwGguKrL2WucjIAxaFuSZVcqkNz 8wA1JFDqXkTVTNDldtOtz7uSiasSyjaFK5XdEXNJX7Yj5bro0DdtkCfrwvfq/QSKU1NvTLI0ZAOX LOqW91vQaUzu7mU8x0nFzRJ/IL5wyMLxmbKoLpVu8iM42603zSS1C4UJRgML1ojfzr+pwKT6vgli 13bNnUl65MoDRaXvAceOICSvsriiiqZKkBw4tQkd66lAHX0pYmBmwQzWxOAUjQygw2mKYSQ9gY1L Cu7+16T/8orrjsmlBR+nmeyiIJPiPyuJvs++6RIKS2q8Rcbk2PFLdNng9R0UsY1bjWFZ0f0YVzfX ygY8X3o4suHs3GowcJF1eoFuF3VKIUbUxK00gK+KHP+CLj/e2zjVAgG+PTBzb6CbQnqHHlbe8S3o 4lFFu6Nxtgd+Zx2upzQ/uoX92QL//+ZhGKn7moumRguzGgNp1r7Ara0fKZ/086EIi1wZEvF8GqE1 m8o21j//CuVoQB24Qf8V1DTb2sLPFaXQsRowfLKJjb4irlbfv1hxdPkNvKkzSH1u/H6w2LtPMhn2 +5CXYtnu9JEM9WvLqrj1T3QTl+RCsEGDJDCdnlXE18nPI/JDZUnK1uvqkfc/CKDg1A1Ds1Uf4+s9 wG2Nr1bW2i1c9VfSApYQAkbl/tQ7tCEBRvFiZ7mCc6twHTnDqod1PQgFpFgwGoWbCE2iq+xx/tCi +1Pxn0A6H/B3Ga5fPBKGCHyl3NGKyYvmf0r97zFqHfH5lSoDOJGjfXP3HqdpWtO7HJDFuQRKY+G5 gvoVcqUmdoxbHtiXNQfIg2cDHgR5ai43jNZfJ7Pw0ioOsivRMMFzbiPpFr437QynbqHQpkLP3jF5 Q1JUvMHev7iuiXtJvgRbz/qPaRSrrqLU4WRxzfvvDQyWQ73iBVdl3sE+dywVaubY9T6IJsWEuoys 3ShpWVNrEd17g/XKoUZb2jw8wXKwomn2vcY3EM5A5HWVbNurGl7SUwnnDE43kowSAh847D0G3OSb z0Jie4grb9BSOH2+4MUEmDrg2Z/xfR3fqlJnQmaog+1gJMjP6ZJO1FkhlxM9wgd4Rl1sz37f0xSc LD/xIdaCNE8PTPNdEal2yN03dCUVA5strRxIe4zADQg1jrKTLlhGCHBt+u41/uv5+3g7Af/ILbU5 WGsvxMuK1oQHtNDKs8Y4bqapQIcpeqlwzWY+edk4Qp+pc8IEKfd7LK45BwQoEChdym72/d+y7A8D VAI/fg1BhWyu2N9JzAtNnVA9KE24k1obAuGCsiABY5S+iveOS2sLXFisfg69+cw3xXiXtd5ZBJRN r/DZIAG9A4Qe6426UFgI3OQa+XMo3fdqNdM/TUfUAIKjF6f4Ve/hGBrgLzDQ37eOPiXJ0AZA3ueh SPL0hG2/38lzDaYjGqgF78Gy5ta05Oygs/DXvo/NMunPs8LzOyMVxmvrFE8kdJ5jMsmTzeIbfE1q JkAcD6jVue20TX9gJpdOFXMHpFf2wYpf57oUT2GNT3anXmkWGKd690wJ26CGoveqXn7ixNaLCYls voYul9K3rfECWhwjokKUxF1FkldCmEBdTVin8SmW2s0q06W44H3mJ2FRwwaOJhlsgorPY5fpR7jW GFmZ7Athqv+UUQFM1Qru+pHPqciSNmKk4KXm8Rkh0t+HRyOChFLw77CFwxmXzeKPkRKVtEf+/VUh FNTnN06iLRYtHAnfkXmZX1wGXCQg3t0qGE2/+QhNhM78G/KYr/3DwxmmIHo7B1/xUWOZzbcQL3hO AeMfWsebcBZI/nQCaDxC1rcnRvb428fVBMd7hmUMr/u9pGIXVGQgyDmJJwHAZPv5XtwAVaQfrGUw MfuCz3DbDKByvZMeMu+BdrKCa6lh7od4kWEh0+Aq2gASlgHU9xjDUhyaFbsem1VG5uACjWSFGxtg UrW+CI1+ybjGoa8jO0ou4IDtmjYWqgvrkP9C4uMGcvi60vC8SLeyjcv9eEhJ/KfUs6Q4JihN4498 6+/ek/Jen4r/zvbFWQYpQDdw9Ed2Z8k/WcTIyak2iVCxar4iKF8RIyHOgRhHKn+DfbKpZYHWhEVU vsmt8ZNdmC5zCNQHSWgUzQuPK40sKyt4J735nEeoPmqtDaEtruTpmYxIgwO1rlsahuVG5oUZLn6d 1FTt/4kMAhXSWUy2WlJNXYiUZt3mT5XLwTpjl//SyuzpBrBeP+D8Dyd0dJVu0Fdp9YagF3Whnmoi D8h0qGskVI7f3oI83P9cz+4HBT/+L9kO+XCEYVfCARMr68LS2nnrE3MzrrdwVwXR4GUvv+3JTOvU fj4+jk4qJFufSgAgKg/ZgGJXncVZ63ortHHfjNXnqxcTk8YPRo5SycYJIo9hszH1JzQnUy5GRgxp Y2O3XtT79dR7Q1POhXi1IlW/uOkoLIcLu+hrtbrMd8P9OB8vO04C6baTy4VpnLuVjq2cL7443Zyy 5qlu+UmYSxNOZmrz3gG6fNA5Uhyn3X8aEw655dBZx+SUQSNaH7LArTcz2jBnmi1TSMZ24JPkhvu3 sPSp+8rkD/P39k1p+krnvsSQhYP1S+M33du7Yg6hWHxdWHToOIiO55cOMjAgPhn955O0muC7Gx88 MR4Y4/YTNx74ib0L8VhYYhQquHdS7AC8oPf+loorPt5CYXjNYE9FgDC4GAP25+M5CxvvTO3vl9a2 h0Hxhwn/9qPqWc8l6sTnQAhb45on3pf9uuR+22xJGTDQQDDdHp1OKC2+yQdp4dJIqvY8SPzCVZ7L 6DR6KkP9rAfm9iHoTGqBb9FPl9YejkUkAIAfhB0pvsdbW/ncKzh7bXCvs49HhP0UOQQjxasx9ac1 7t5A5DhegiAMPoGfc4ZXGmmiHd6QCxPMh4xT0hatkndZW/ONF5Lu4BSq3jtPpN0GQl1Dv3zPP8/D 9mRwBLsmFDx68srO6+2UOll/7mF0isE48eWrD9rB2+LonD3ZOkYF9BYXbCbusbxPykAriRw6BE21 3h4Ua1ojT7bMANxMMolc6goYiqPOk4O+6DLS3dj7iklK+JmR0QW2Mih/8U3JztLzpnbFCS9YPXIC +cM5KTLSfU59U0GtAROaNsIiAsPthlCc1KD73a/RgE1C5jDx5ErX9dBxJpjjRf8VHpnLJNDrMnib qQQrkngdTa0tLkGaR/239BQZ8b+KgclnksVHXSIQ6ASY/MPIM2mlHWkX7atDAClHAkxYOsGDcRDD +rATozfOb0rwsugscCmkp93UozVKhhxqGxWtDxLSHfqI7aw2qUyz7KoCWTWAsQ4enDP6z2m7mUqp RUGSKPSJ0P3qIKk8HM7ulVCPhoeclJV4883GrM8hUaBnkPK1EaVzrXT+ioU6ik5QMC8Y1PPhGA4I Wxi6hJeiMs65PDVGpQ5aODFlsrmDRnmSRJQsWGObR2ArATbMQEAwehIyEmnGB5r5DpIse966MKVB RV3zTgCnzb33FAY/dLynzoqgCPp1Siein6tkojy4LyteVDSoji1RLnuqXuNAu56gwwAcNapiwQvq ZMlkmyO6x7KaHKcBc9MCksekXodxTRDWF2P4oJ58RmbjqF3de9/zKanj0/Q59t4Ujj3ysu7yYPrZ qcbm7voqZoLTGOPuUZFTSQ8YdrDfi9SdCfipv0o/3vhpQuWX4m1y/aaF4odXYDrasTiu/ejjUaiz SR+ljspRHKt/Dyp/cNIH0WvruQUv5nEAIv2bdWGSz6E8+bM8BwTXBwyXqCdFJW2pbFvPXE/WGv8C ST+PWDbXeqPMVpKw2MU7YPXHjeofMdzFyGi0NzW6O0wZRAKa2aNa79Z68uw0GYhpeJeK/890LRNg uSQyPzigaryc7GZvUh6CNi/k7jViufyvlK/4LP7NRJCZS+5WDsq4iMdmTSyiHF1uiwEgE4M1qJLO fVMYTSy6TGFIKxPvlxYDFiIs4Zpl6PE5Y/Yi4FEM1ZmMenvOb9wdL1s64cOe8bnDbSgQSM8uxX76 hVv7e5UbdbCePzuN3mFmFJ9fxWxJww+FgmmMgB0OsQnh8dOSVtgXSyZdOxcu6aSmxIDgpn9DbP5/ BWJes2x0KlM+smigE/fS+twsrFF3hIPNF/pk+sjrH7jIspoWBpuMoJV6U7App6qZx1ZQBhr6Ich0 zqMR8YWWlalLNPYXSzuzxM4z9oAjmDNX8tiMMh2EFsC24RvxVieL1tAyHwJakHTiFPviMN01/X78 rBpYOR0nVy53luofxJuLE4f1QAtrLbRe1eO4/UK9f0uJtg5DCSBuX3wGH0USuvijXH3hf4hsxmZ9 FIZ0xXBDTqW4QtzlYSY9pIjPiu22ICEyF7l6vPiETAAEoXYByECdrsMz2NC2wEOaaulL+S4Iy9g+ KPAM/o96110F4ZVhNy4Oe87MsVQCLWe7haS1Am/0Z3LEN2nAE5Z+swlfdfpPixDAqbK5CwtZmjwn iP18Usq6mpomKa5ZW4AXbU1JWoY/gYHXZLb2PzROIpwBdoUSdq60rcHHFHZOe7e7mkhjftfFhBvG 34BE6URfgxcfvrGU0C+G5grSinPJoxWo3SI7RcsGS87d/ovz81/iOxqWADROBuX2H/Vtc3686BmA th04jcAf1gNNK596RsgpgmOKiRj12398nM8Sw47kl1RY/AxCkkkzk/lgJgysCK4GSOjyH2cmX1p/ fNgUyxkZkbNOSaXUXeCe1HOzcKg3Lj1n1IQ/JTCV0ayZAsN8CKTqsnCa9vOzJUGL8Ezv/YgjzNso 0DSmtu56q9X5kp9L0nIn/7yEUQu5oNdE2FR3EOoBGcjW0SEEWqB0PBv9Z5PCGpnMxP0HitZ25VMM 6IsgOjEzruOl/gD1JwVUfrDMuoSE57LrrmBK5UmlXIpfsyn28VeCzeN/rQMs/WcrilnTsT/j2+Om rfV42/o5uyFjGs87XW1WtOIBB6LuVLdEw6sbwhBpy2S2wmiUovGcNWFHrvg7nAIUUkt5cJm2HNVN I8yVjFjHDnF3/b/mbCamNYjbXUKldGtgkt78R3E4FGxez+Q7pFqO81lTdW+/Yupem2tuAMj5xBYH PveG2yXqwU6bgctmt7qaDGFo2RbKRnZjGcNUXRJBiEmj9jwQcpamhMkuJ80VbxbBw3CjSuF38t+p +N4JdBx4g4eoM00156OdL69jcDDthGF3okL72IJ8NeXifDBdpbZuAosXAMdRCPPMKzhn7gFdUGzM HIGmIeO3XYj0ib/lvqZ7mzVZeuZB96SO4rY7K05gQDKYn4o5k/qoAUHW2JVI8x9I6BgKkXxZz1aY /sm1GmAXaShll+6+DsT4+vbJ1KnnoBZml9gRinbFnAxN7zZOidOws5ZB6FR6gyegQaI0G/qTtYKv vGpeeRTA/tlfWoJX/cU5b0GhZYMC1BaZLoNRaVhx82w0uh8RM8lF4bpp74lbR80xwi73EgLNJqp2 I2heTMtRfyNzgkEGj/uRHHWTN7M72SlEVIZSS+ZHWSx2pcrTpiERRCZyo90DzNVtbUGX9Ga/ASaQ UGCmUJIB1mbdOSuEvDDSHHpaenNWadus1eo46IbY2hQKdtBon5MxZQElB3N+becNPb8yt22icXHV uUCmePY+MI8y4ze+6q98m43CamA4vHaX33e1b4lwZHsISk3Wru2OGl97KBxiDx6JPb6ES1DZO3B7 Znccpg6kO3HDEEJqXLMWL0USXZvBxa1Jh6+W18vvoap2740NgqnPhJqLjpI+KLYgepR9fW+tojts Ovxpy50chNHEiKEU5yMRxOD1AjoXqGEasrvJ1vGYQgLtVzc2v5N33z1et5jMz4vIqvYv8/oVfqAF ULn3OjnCwnO1KJpck2CQudJG7oGQPeG0cAkXVwEonOusEHF8YPU8mOPXjQXYsbjxAeWuLwB6t9YQ +ZrMMXbBPYIUYxWHn/uqAt92OCBhSnMkFCJ4witn2GNFNsrK3e4rRzZDOqoR2FBNg9UMPJA/Li9Y jE71NXv2ql4Wtd+BzyDh4QiJRcwhb6iLuQCd4TnAi7HbVbgn87XrmhZDa2dSjFQyWDvfaba+TXyr HFTMpPzsLVCnoiuE4Ro9fLC/AGkI0q/FH3da8jquaLQc7VLnT0PigqzrVu4KiLayH3mr5eZKtk6C uKskGGDTOx+C1/pTheYZHEb0b48bUG+2thzoNhJjHSTLB6T04LzD4gsnpDJAYq1+7pX/yWADcSdy 8MzS0LGo00dn7tD6zEtTTajWYl11FirnkQHsQTQ7jG+Xf8betHmU3z3ZshmWXZ7kTlxiQJeEfgOx ZN0zitE/kfWi0L9CbID3Xpdfzcvnq77gngbqScWvieRBZufmKSo5FZ7OUWubVpL5QicgkhwYgz1p Zjr/uLKFT831sFlMxDFd+BFIeAKwfdf6z2stYBsS/3MRoTU8VRqWCerkbrd7GQ65piZT3xC2/mkP QAWOgipPF7QwWvoBLW4pncXecyXjd3tNjOWtnoc8CSlXFSJMfMFr7FtWQo6gU77FsZ/dO02cpe8h XQbwCsONQPVBCMb1vYv3z+st5BzCv1rzpkw6b9uJAomEL+dZy7fs08i+zOj5WMbUuw49ZlXT41r+ 2YmEYiEbDxyhIOd/gRfxwvfKpl0ujjFni+kHxTWk2k4SoHepRVw0kV2htn13ZqxY4BxYzP3RykWt VKMZ1kzAtaBJgoHFU5aVcA0u2ZcKnUiPJreHMu30cJ/gJm8IpNcoeHWAJym6QXMqLqJNkcBxm/u8 hkXFkhC80mJhZdpRCqaKXxM/P3LIDObQLQx/SEWVdeODT8y2CgsRY0KyBBApvATOeAlsatPeqZJj 26ow1ahJOvfdX84kVQogvr/+ipDzz7bIvdXbuh1L9Pp0YaPEkU5JTZTRQDPViU2oaivCHCm/rMoa t9+eNRMEDSmoPjU10SRZS8e7svur4eUWkboRuAnKmRyZMxHy6LVz852N2dqf7WDvug5yJv0Hbn2y ua+Cg9V4fwjv/XMfAXjbiiHwoC89/luesULkiix2yqhMyZvTUUsXOQ0DxbOKdDB3EcPpMnMyyZCm 8NDnsN2eCvk955ZNHvhNKFwoDMdH8iC/amSmbUuPPGXV5Pd4jJMZsfUQidO046+r6bDBuqih1mzD E5uu/518TFTTarHLQkEJW/C0nFOuhFITt4uwC63M3/td2Drq1JjNV3oBkqxQMnHbz2XbqsUnshlM pN15P0yLLhvs7a6XoZt22f5NTg+ldjmEfbEPS6v36HSMa9A6CDwWeVpvh7LwMU5oV5mySAqYvxdw vZCHIpmbfCMclWP51mnvLCIrrbGFBXEnUFDYcnTOW2rDNpQaSxiIJFCWGS2KKpMffI5ukIIbz8Pk VFLw/F+cgPpUh/lqo/OLF1gkUeGgeK/Sb2LBCrKFb49juqk004cO0Q5BG5rqmZeaazsUHStH6aj7 0qGsF8S2uj7AJrdvhjW+mrolAV2+GfufZs03/E0x3XrDG2L3O2lr4QjxIt/2l/pQtBqttrpICUDu HXMHBsU3SQAiUEyf/q0TVhn+v/Dk0P5PqMxJe2DnSv3EtdqtdbENmFnfVD/d6IO7eS9sqwhzPR5/ pq5SPH+K4WyVFoR9NNsGwPGXbUvjV6+uUPZdcvQ/LIBW1ti9K2N5zD8yb2N/DX2K6rmLTUjit3y/ en5GbIwR4sDaIUaY2DvyiP+C4l2p+R88ntS9yneLINtq6Ej3489AsFU6dB/N2N17szpuo5r6nMXL 3mcRQH5b2JBnqqInefeWuRW6tRTOKjxEPgnRtGfsk5yXH+ShVkBjumg3GNqrgQuaOpHN3uDnxFao eETugXgsKM4tXb4E2urEid09wOw9CXDqmR1A299W6fZ+ltBC/iAU/KC4ByFgrDbEU0RQWj1lTsrH 3u7dWa1FEjOKjmCujLDOpnrrES6iB1t9y9YPJf1FX+v2U0WxP3VWuuRZQA7bE9k/lOdlWzNdCCND Ksm0HWgHLFo4m3lGUQyy4weJzWV9P8VJTJBRwoQJnsoqA3mFulDh/jMnHnZcLFfb1m6lJkOtLUEL f4TCJXNHzqmO4h3UHVM+fd+FIuMjYb/Zq0x5f2ewZsiadBtmd1Bb/ywlhYtjFfz5htsilZ1U4W8t os5KUhT1V/bJmXmEmgmoCpZKnDZca57P8kserrjaByDw+ZHwmvu7Yj8UjJfZsg4gTdYhX2ZFtsb1 38u0kuniGUNwVyT7AvHHVy7D5jBkM80hrb0A75HzSdyn0rA6axMn5IEI9gQzQNQ3LLWCICMKOnjP goEPBt567BseILI+wk6bNgdJmJeRFp2ul/dbl2b/4P9giTSCuUZL1dmsFLVtlPhBIOdUNGJ5lyho j4LLowQvrmP9gft4gr1OY173rn4V+prHTC445myJvmbds7urHprQOKTV6+g6nKiFPi+WRp80aTS8 P0kulJM3V1txE9I5tA2h8ss70MHkthyoVJ/Tkp4M3/l4mLbjzGWVz/bo5dlBQMPb3OPCCNegX9fh SUu9PEL+9SakOle7hysMEfKAS0da32OKs5XGDZHGmCHofUru1UVT9rCgLpdyFNOuReRGE+p3NwCu uaVdmLpYhhl7o7SNzjx9KJA+0fxcesVSxtPw+G6D0FjgwAVkvE0sjPgHnAdKxrkd6Ty2GU55+Cge oNZb0boOsdBB6EZplLMTT1iBhref6WjTkms7ECDphnd9laaRACgjgQuV/f9fWeBl8YiE9JrUihnD lY/18apfn+Qtp/8S+JzyO0l9NySCWIDGm9iIKqBkHR4KsDGwfIGvfbaKsX1jIvq06AbKHkwdfvaj TkkZ0/S4NQrphcl7eoC81NiJSjhacqTER7UjyZaTIL58FNrYH/143poTxxwo7b3U0FqlCqc48m5M HeHVOz34Ajpi648fzj+6bXMUWTFOgOqehMoogtVygHhC4hlajzxAyBW62QU1TxBVf1QJKtJDRWl/ qfTIzlXOiYGcl81GgqQTkoUrm3R+hJLe5y1anRSbS12zzHYxmoJzDG+26sNgmsjFspBfZ4PoHY/c XQJKnKD2saipC/jMFRov3MIZzNGjrQjAjIFUs48eO0dgRXMygQFkEcDLDnxMFzq1iIhbP+X3ZAwl 9CeNxekdrm5+5qkhpna7sl1c4vbUWdMD+l+F+9IAbqe6A9aGqVuTVfarC4sgg9bIU1O0k1Q+nyz/ EP2YsfZkkYMshmT4GgK5WzlP5OHkGuniEJqlNnrS4+xmgP2NlBWvkkS2KYArgMWTkN5hevo05eaP iutmsKEhe156WmoWRzdYxx9G6TSJawCI7ffKvusGh+e/+BESrJPjR1qu95f5BWA25vIYKZsdy9Wn wpWpjAH6qtyltB8MarFaVd6sNxZk1UaLZLz1U0uTCdBJEcViFbUl6wg2ci6G+6fRLEKUPMX//YXf +LXOYPujfJcyRpv3+a6/GAA0PyfUkMgnYfRGPl9aISBunVmElvzrC2MBQTqdgPwdPDra6D/eTQmy EE6Vk/XxrqvB8Op6u+5maQnOhnRnFJof/1zLQJ1DadoeiZUHvp19np9FeBhfGCWxLpEVdWaX70gM W12Z6st4EbV6EUwEAuqUsqbiAkiTwQ7iPkJaYaHm8CFINB/AWLxcEGMJINLT35ACeLk91/8t8U6z GKFSC09+u0iTKSsdfAVmkRy4H+htF+SUjTilOMJw/Vj+BZkmNxdb4ZhgxD7/fG4bBkfMCWyJ26UL k1z0D+260w32nvzhkLt/O+wu22304Q/8auOpWEuyB0Xr/lZAJxAjysHSUXBt8Q8JplsX5cl9lIJo Z84RvMV/piN7IZLqtm7bpdaKq3xsVWZ+OxubWlbUcyZAxEaKOMXHByQYccDa64kIQ16EIEgkY1FC KHHmn/gL+YzEScm/rcIhjc1UQh0/vmOQlsWbu3+vEqP7HgyjMSI5zuegB97x9dE1WoD9pA7V1F3a fFAlTNiPVnRwkgakKABbmKJy9EvAbfmd9IvGLYk8fcOxZ1yz+4hO6fdWQ33ZsUlqhaNQuisROvw+ ynkbBzH1UqKvGDBNvemP6yfx2tXLQinmnZU0qF4Aq64lgAqaOkLmqD7PsYx2vSHQAXm9ZkaT4Kow 2WTqrcUlB/qc7Ev8ded0xu27dF6NBr/q0WsWR2/zGTtfzZsnG/hORn4aA5dfoPuAhRi06EGMMhIs C0jNteq3WZOl/2WRiHxq0A7sAHYZUK9cHQhzP3G6AGv7IL8xjftFwq/5oH/Ca3K13DH/iHwuPkqS Khwe1kE1pcf2gkuex47MzT4AanXrwsZsKoXEVh+c98s8Aq75xylsH89TrF01C42N7sGt2SdWXivB w6ple0c4lwL7JIlXK8YrPtGVcco8h8rgUTb/HZt6nw2VDmWPbe65DjK07zjUQ4aOQ/rcmDORcXmg 1fLyObjzdbbnShUW/p0Xlzz4aRm5jzf+nN0lj6GWkQoLS6hcnfOx8utC8iFoerU2jLDZktfrkHB6 BSxifpKPnXcoE8R1VHFzA2AWDCehHSuGWPJALh8Irh4QXr67l0ZNkbF+qZGgkp4bGcpzKEXP+/3K bx473r1L4w1eOFtiQGke6tamgEobc1b9+HxJmt7ZbLDVWn/7Kz7HrRrcXD/yybaaKmPK1XK7VKhr 2ssei0vFQKH5tdl2FBqG/qAjTxhQamv11sORCtwRh6Wdc4vtuU8WjGi0X7psX9sHpcH0/sK2XAn+ V2mJnk8newB/aS2qGszrt/6bXhugUjpcgLV9oFmDAl+LzqFmz9YYNsbwUbc6MORTMqC6n0o+eE4o Rjqtoi0AcNsG5tZokpYdTkrqFR/3nQtb/DjRMz5SHkxneiFjCLNk/oqyVOkVXBePo1la5Go3byQf Vqda++3tL+5bTWU2lUiU8/CrQlAIhlnZDxGgeyUrJ4UNu4WgTSdw5LOt7ABFXZWRMFuok2UrXjQJ Mv9DBBr/G93PqDWg5M9pEtYjgNDbZJCSVZppzMuQ5j9nPmRnPGpBhgCEtrgjgJKHdJ87CfeooVEM yUbpDm/5EkdQIO9gsjGXtj361lczSqCsT7VkwTOqFO9AWmfF0cqY8yUJhC8sBSYhl5My+9b8TAVl PEOMRipWwO46YFfhIVqNYOdCIz2zmF9ZpejGRjNYRuHgc/T0CxhjhbglfQvmXvQxQiH4mUNnyddn 4bkoJivkdxGRyk9ag+oFWgToE9FjovjfIuVTWyYs9MUy93tYWFVwCwF6uvN9QUpGJ+89VjOQs/G8 7kxTqlS/R9kEPYlZPjzQi7CNQBnUEyi3kI+Hz93BItP0eZkU/xJ8xIV7+jxJ3ui+zysUBvgG2iFF 79Y+8IRxgUinsexwp69nUCEqQjmzDrmlcfgJ4VF2BfVbMmIkq7Y0HL+UicUh5jgOto/XUtv4nYTd un7NW2fPLTT/pV/8jYBULd30o2N8i+T5gCrxnx9A9m5UB6LRqS79Yzh693JiYvoylTVBIVN/j2yH /k/g0OGxZWbIgtNZK73+R9s/ua+naoLMnIsSL460Mim24vbXEILXq+Keqo96nfELXv1zckabT5ED CquNkmTazfU4PIyJDeU3cKsUE+BwuL3++WPwvvV3LIXt9+jozTnJQRgL1fI89eyn6d1Uxf6yDoI5 FuQ8fJnaVVMQicxVYqaChiztHrW4E+NdozV5S7t1uO1y75UFzACplviTod0DAl6TXYToG8p5Kddh UEWWYnbnV3mBI3b64tUHr1C3vm8HxK7bMG5EGxohkHeLfEE893n/rw0YPTAb0H+vigzuyAStubVp u6E2vxSmhIeq2URhC45E3GYiadREuSKpG3H5S+f0icRVIDbXs0xu2sPkjylRof+YVilDjtUBs2c8 mluWk0IAw48tjNM0EpvSgGl7wNX1hkfoIMqBlGYtUbXB5S8ZF7/YdmKcQX53NRFVdnR/1JaSizGK mnMZyCtQP0paxK7XHusGyp0yZYgYeC5VbBnjqDSLaJ0FztcDbSjGXho+Zka8CN/sjNVAVAjzT1bN WvYQNZzITytAVMhvs3sA6HHLC+cWOmFUAI/nkVvzpEtGb16adkvtmnboj4VXioZ8344YVoUv7XTH sdbKlfh6myJQn8mMtoU9MZ5xBy7TCHRDDgbmi1xFIqq4P+SaAL6sweDs4Kr80lrp8Ga8VK27A1/4 0FLg0gOd3rEkf3flwil0ClkT0Up0mAAHmXpd7xcLdJ3OwfBR1NFH5yhXdJodQolLdaDRslXMun6I qBVN4Rn2IZe9y8n/g7MBXNzgKYeoiCTdxsfAkwbo6ucXIXZfr3G7YMrH3+R5RJ4MpcL2OEqJZhF1 VgoQFStkkdcLRwVt1tyYP9C8DuKS1F4ZMzg4UdKZQwTadr+McoVdGLgVIPomRYZ2u5GDuamLTAjo amxWOUx16hgfjgdtlKVrdGRwP5im4HqUrQW6yH/uymUTO5kJHPSZRAU532KXXISUE0KEeBF+XcLt yURMVl/Fn5tqfLre4kCrTmciNacbwYphTn6QhHQoiOjVelImohH7OXaVr5RU6XtiZ8yPH0hqU/wN xGfsG0a98UuQpPQ1wJsT/4qAEEaxB8HmUzISTUBCe181XRDhA4lsIvLWbmtViTiKsobIEA9Byn6D j7o9/9vCWID516ENzj6uBuXRgPYpnvkwwcMSds/M6Y0CZRgDghDx85TXWanqGMFYljpxFHKBuwA9 V+4oKel4iFk8/ooZI8g+5c9sV305LKr1E6LZ71o03f+MSCke1V1d2buuc2ziac9GNFJEKmTqJJw7 hIYMaUa1SyfHEAxMTxAyZscyuGAy4S36kaZqJLSQ2an9nUPY+vmGLbjmcBTHZxkeJzXPuMUU6C2F IpiBDbz4nAXdlvPZLnkZ4o9445Y4g6fo2gftJfxjFItBm9Je6ZK7IT6BKoGDfZHFw4aUpplvDIjB aM51vuug8OicrSxAdTMZ5mnE7lSvIqqW1L//quleEKvB7bZn00/9fQLfchFrJc4iij2aQHedDR/7 HC6A0/sYSSXN07rGgllHwfF9gDV/y/uVIjwAWDQA/KIanO0etJaEJ0S5dbyV+NAGamLCe+P3wX4k +OYXOuKfQ7jKn0o1B50iykxU8rmNlXxnjlh/A94XoldyqftM8E6NxSKm95yUji7y0CrPudx5ei6r UvtMLlPHArZFHO1S7ECseB3kx37udt0TBKkqmr22NDKXUz5gKkesQgW4eyOs91zz3i/FTrNA1OZ2 Ger1PhTPIpSI2KWkchclZ4DvR87rvTLA6I8GeUucT5IYukZ9p8eV0M6csQVj6jcLwY8QVklkOsUS hbC5VJBbrXsr+w634wR0GNZ/9K4C8taSPRKrdKfEYo+4I7ikXc90zgqOeFWTpv1hKlpWtLLfnlPP Cyj9xanNKGro1mLk7aE1UnhZLluvTzq8SgI4MYRAg+6dvO+9nW0t+/lwl3r11/7L3wz1cIUnROWP DkQiN8/9dc7bGSFohGrLVdS5yaTAVkDJjPllk9gD+rwoKFqKyDqV2osgJHGciQTvDYTxBxYvnwcE VMtI7EPxNrEIV+nRdjU3bQI0soZm8eKVOePdXahnEFQF2+p6mwJEs+TiVrEVCw6YrWuI4enBhxP1 qbNj1Spmvu12s+ruXmySniMcCxKM+An1cL5H+gZPM5yKHXDzWC4kyUWcQoC8aOJLrjhwk8orUWTO vzUOCdqeS1JhDpcDIAevo2QCwn4XFL8r/6iiySw+1WafJaeLlB+ZNY3+ShDyMjLdXx32vcfjEgt7 Jl9AhnuahOLRd3bCXXB6Qpc42dSsFwGCYE9cI260K5M7rVTtB2fx3DhjhfJPV4mVbka7Kv0msJai hgF21N/lDPwqcubHxwXd+/GFxb+YkuMeFB2TC0oIjc9bIHIOXRVTShe+IoZTJPIbgOXzvK7Z5rXj GJxMVWnFCKqOsqneHbQjqhoBqL4nDQrN9avGcMAbrVz1iiYsBwZvVzbMPEcNNZI8O7D4XCJEz16K zpDd6z8erUkofPZaxOIkGWsJ+2L3tNCJPzV2zBeHQ3qbQ+wdux9CHJBFZECiuiK0pIeAg7HLg4zo eYjliGwBH2PWSX5agXwjbvBOgwhSQyKJwn3EHf6sDZ+RdlVIVopVntB21z9etLy1Gb/QiTVyPNKW FYBR+Nb6hQ95U44gIYEeqIbw4R2HiyJtSdzOZZY75fVwzLwPeUVMgvHTOV64KKh2mD/FT9C7KKIg +atkBjiziYuNwvSbumF+oCy49cNcU6mmg+L3+hevI1uatQF/a6UCvV+bP9Jr6vWiCuuknCPr8pqQ YqQhzkD19pG8o0A1u8ztqt38ebXX6ZqnXqKEE/VIspRtNTI/3XwC+k3o1aDNK1mlXE7n27ghiqp7 wMTsyeBzX7CdUaeSLbr7CNplyGzCRWVEFVhuaUo+DE8bkt2dT7O81ihgzR1KOR/3yJuIequxkrWX 2PTH06ZGUrJV8tayGcAqu/ksfBGjJ/CCq7hDJBzpr/peg2WEM037K243N1nJbANBMDhwAiCdg+G3 srMvA0+7B7i1XAobOQ/33WjnWhI8wRzRE04WR1UNtzRSG3SusOBlsPsxMRLsb5fw9UNq7mw7A3R/ ZmP10R5JR8+AaDqZEx13+aELZvdZUjJqpFTuAC14FDNMGGsKH1Aj8jqZJPAgnvFHwXgXpbfKZHD2 LAGSHMyhi6jrQs1SmJ1p9zUjZMQfV4A3UZoN6QFRUPyx5zf80cVTF+jYqqOQGBGPRdUymn6upAW4 1UWUh9f3HsgZsJU/maUCqi2jGVbzHOMCwlE1WAL9Up/lnUBs6npLq8TLtDBmcwfyOmwsjS8dDdRJ dy2uOI9yp4WzbhhdrREj5yGhizBOLJaHGLkntwFOvJTG1xU/c4e5OHeaf4qX5LTKyTR6QWE9TpJv em54vfEwAfsBsPhWiFA+YAe5u0agOryguxOa+xGrYc1IYQ2RGnXue8q5r6UbPrnfmS/NTLyQUO2N kB4Y11U0KOywQYe5hdkIO5kjwZwFx97pWiyUAmZEQjsIXts+igqzSHmnYCBl7Ynx56r6pScpr8+z eQKl/x2EzQljaYvnpxOOYczpvzk2co3tU3Rudxkb2cl3xMUjAcl2oCSbrNH2AVhNix0cjiH6jbPi 1HtQYhGP5l/lmFzaOzXNrtbQfQN2wdBn4C+qhfk5oimvmT4hDq7vfYN3pGKID/Wa+QuWtbMkZvg3 dBNfaI9eXYSqiD1vmVxfbYdKR8lhhS/JEuOS4kSkINj/nBQwm58mEkYp6kKPqbxTltoc16DhVTfK 5180pB2L3srSF7geC95u74EUcR8Cf0o4mdRTBHPkaX+8aqjlC2y5H5T5/x0UD+TA6Y1R7m4MhZv5 nOvNzlZ5CtHsP4lvdTmQPm1N/+nUWY+V7zvRYX/C+HDTkJn34vGNymTI7IJEnynVvqAjcBkYHRNH 5tWXBTXxbBJP4KqowcVE0F4z9Zm70PMNiAd0u9sZWFwrq9Y1d90jpBnS0zj72SVLSQq8FAxZjuFT GH0dQFxryTaWuAyFWn7tJQ6xtyUaYN1sr46HErVtRWOo6beJjt9NKV9lIq5j7rX/6ewxauozHes4 OPbJTxjAkXHp137ABHf7w60LnJKeDe2Iq0OZWymtPfjThclDnchrlbtj3VAZI30tMqfnmufh4rr/ 04CyvwfiT0oZJROsKMC+mQWEBkOB7RvABuk3ycq9mXo4AuWui4yeUbkiD1YA5tlpg0SAtmF2mD9p lgmreJBfdtYPb/Mg9Bt2d+aJcCi/25KE8uMdTGeEvQteLwY+9igl06DjALkvWG0TftB0LJ1ygNp+ ioOdbLeqSXOdSog9ySjbzZ941oPNfWDinxUHp8c/4aqxtZPAXObphwbgxywhBQKtn1x1DvDXUuGh nfT/Le7J6mGnEv+kxwohGJfkWyrSZQQUVmA+K/wXM6UlZugQXy7yqtwx16oqVimqmYVUYTNSTO8o 3JvgTlz9jRrRtx8VGMEgsGBaD6ipXGY6eKYfiPkUWeJU8vDtYKq24W3/qqdhp9AsSWC6OfrTTAiu XbYKLcvnZaRCKWKI6xEA01H3IerIZuVlSJmVdYKtTafPNvDSHIVwYSXAKuHyjvoi1lvpzbmhGeMM i5Bld4GaJimv/w0v/7FYr9E6u/AZpOe4050w8fJew/rV/ylA+h0SFPrJCU52lKI5xj+JEfGQNBug uAC1Ben9ow1yQkmyC9YbplM4m6DSk4p4rIuSTlJ3+B26B15Axoa5NOB89+edbyxlJE8Ljk0P7bGm feJxRR3aIXQb6DwuZ7Hv72n0opLPxc/XdwSltcIRLPQtv4SvVQJkTCEY1uRaJbyhsShu9xaNMa54 j9RmLejfendBn9M8pSNHv+PMXMIejHcWNxVUuMfhLWKmA6veiK2mZu2flkovJJIy4JU7OQiooDAb uq5k3U0W5J8/LB0j1yBPSaftXg8nx062T3++X0wpnzpr4m7ppd0RVbNopWE0lmlrGbXGCfWZoOXE zkb5i6xZ/ThQTBO/flaVVTCsQtiIAbV3n8FOG5HBOmbrJpjXstqZu6mpzI/X6Z18WlbZkOGsADr6 7Cn+0Mla8lNeo9vdJ13FY6dNNYlNpmr5BZO2xCgGwg381nltGPVWMhTI6qs9GKr5W0TUckZwocUG 74HtU1mKGcIz5AQy4VBdikaRyrnoqprYhHHrixwTnx/LU43kKXt2L2gPB40ZTWIcVpO/3VzRHQSA H0EtBv5pSzvbbrHrJZhKB4K5iFo1GIQvYruCAbJcwQDXAnObBmR+9OdZQuxMj2rZnzI1vkupwRyX hAzF9nTQwJ1JKp4J1WtDs2V+Pr6HsDuW2TrpGDAvZjHwkYPLRWnWlH8SxLTiRqU4AQAaOb8UlB7i C/Wsbcts8oNOFXyzkXkSmMuhY93jNurLVAVx9GyOw9Rf0roFUG6UIHi2RUy6aXTsT3OhzohriTuD KcvvcKtTT6BZZK8KUODfGhJC6iN6neWmWb+to3FVOt41FvD7MMjDek1byDoHvp93pDoBZeTY3a2t o/K9sgDKg7y9lfdLZ0IFcLnG81r1oUr3ne0+OZMs8yOwrgvzoP0JPd0Jy6qtv8/B+sh7xzI7lpcR 8jE4EwsIwI4IUuEOjt2ORjiXr8CA2cogD1iqrsFi32l4XG+KATFOqr9VoY3PMl6hCESPX8e8dPGF uZyjXiWWBEE49SjCXtq/zFiiIqmSNCEBe+SoQ1UATzc1RpBjew2sdHNjmzzeZmd5hUE40eH3byac 5HYf7P9F9uA9nI+aSXf1UcLaAFYgZO4DRIRlaXOBI0z+UQzJXDQAHl7CWVJD5eXZVOvxYPPBDywg 7UXUDnRjp7oNwYIP7PaB0XN5WqNIwBVSa+VRupbixRT/d3plU9jRcc+BYcRwjLGu6V07RAmx2YbG fRXJ2VESqUwz3pT3pmh6nCPZpy/LweiRSkXIBE+XOUGQbgRVtQiBJSOOFx2Aluhvd3238lON+3gc sGwG92FPdBpmOI1I0pJNtgMnrz7RePD2Lav5N68XvCU7ivAy6j7lDLwyQ1ecAjawiYAkr+CyOEqA w6TcA+0sMRYni7a8xkC1aY5ldztEbjK+8mX0G5LMbEgdq/dJJFfdZSNKoGFJfR2QwbjbKDSECwsE S/KhNd2iLfqjoBpNQTzgaWVdPywcDrm+VUuXiLuIODyhpLvmE0UPaZPMhPmhIkWMBpcsZ9iXEH3w Xkwh2UMwMocNgxZ7ZZ3oTC12ykjVZDUWZP+jofn4gzK051A4YY8VHb9EK3wqdfsnKUTvpexa1NCE 4P1XShi9rusM/R3Dyd3eoXzv2QLcgeLRrGpeqR2UAT/UCMXeOPOGmzII2akGN7RaKfqrHh9BUduh r6+VGvb3ibPKpPvg7BjkLp5wBq7/ElW/3kFOXiIZuyd9IWluPsqKUme5jHz0BRpcQPXMLpnMgoe/ jf1y378rUJL6eeFLd4aTi1cxzEtTSIwJWkTHrzPQmavK9TE9/YM9rwMEJRdvBt3dfTXBfsLvUkFQ 94x48o30UzuN+sel3jflCnQER/c9+7Fp9ywlgEdLhibmNgW591k6S3lDZtN5eaH2kglbmRV+qDlb NZ7ZAbRHgwd7YhpycUDEu4avKLq/axCvd0E0DRXW6GAUbj8GRu+4Jp0XV99fZr3z8PRhpL51WmVv CY9yYlc5AlkJy1tkrBKz9jy/bchTmWv+stDoal+pdbwUrNb5NEmvPKoPclxk3MuIFrpD9zghuoTu WzusrLWk5fo6lv9Ns1X54L3U9760AksQjusalmDphzQlDGbKHVBRS7sjqm0DFfhlpAW3/H9dJudS +hR4ATugwohJWKZDtyi558hW4hzsIRksSMiM5x46wu1lUW5bvqmmUkml/jku2tRfsKH6iHwnTt8G Wic3i2G+H3Qr5bjmNVAYPPr5mk1co4//p8WJidWPFbox0watq++SR6++uhR71p8NeR1RHP2j4ARs BbUqbcRu01+Y3nX/8zu5zbtFX3aPMRkjiDtSNTUbI6UmVWG5np9hsxbDvNDFNxs0j0y9zvsvfgdq VJYaTxLRFAVTM9idog6kc3PNmpQtzAd+c3wzPA4wPCqc89TMbbUZHSB4EgTPqmDwrZ77Fq6Q5Opb OKsnSltyzJut+r/LQnwmd6QpKbXRwwteDV9UnXdyqRbF15M8w3SEX5zSzN7EgJsEbK9E9JUywjPm i3gCb9xU4PhxU6gYBZjHWBgjNR3QAvJa4kpjtXnJN6HnevJsDX0Vk4LkzH2MbkhxCgFb4/iWx2fK KK1S6bG1f/MpF5K/go5I17mpJAoJ5nGTXLXr0v6zfIggoWl2WsmyFRyNgcJxuZJYqoIY6nd8WUgS tuALdN4KP1Dq8pLqaTtnmJSTMSiH3E4Rn5pxwcXdPqTz0pmW3EC5JF79Y/JO27FZfTqiW4r5bp+S wMR/fFNaSVgGNg5Pj7fzgw8tqqwJEl336tckbxRn/JRp7ca2kUL9+I3XC5xSWS98bnx89sTxvrNg NjLH3lZtGBjd+zE4ig0UHl4Zqw1HrDDw27WDm5ZaANu2JY9y15c9lqn8TPCpBD3W01bNvBE6eVu0 bCdJJAdPBh6r6ILhQxIrdT6RFJC/4vGrslAMaix00LsMYnaijISUhcWSV1CMHsjrvBNVw43Alwsa imyu7Ncw05KAYq4CX1u4ZR88d48vpYM63YGTeYDKtlc0G1fPbYNuuqF1P3RiMFXtytgyBBXZVK4Y jSiifBW8atLLmaOsAHgWZ2kqxoCMWUBbJy5ZCa3b4pVJlGWlJ4hZ2hSmiOXMI1HhlgKkleSSqjsn EZOweJ7ENvSf23UOx3URneVyRBUPlC66Of6rdRRYPVQYe389oH3Uwx1akvtZQFFGh2VAbSe3PIUI SNxKJ57pLtVfKMxK48LPWT0N232zXCJhnI40PL2x7jCG1+3T3GGQCcCqohCDR61YGqPCjzJ3DPOI C36wsspO5AJrIbKq4yAz0OrQAYQH/zqux2u+PdSF5rRe7GvXYStkpw84dOvSNRXuzndjHK7QMP61 8/dMDTKR+PKcVMwgL0UXWge4H2H34ve93dNqabp12r7SQUn2BLPpChpDsmh0Hj80NRb3vM8D8GzO tG9EuZv5lhrW+7C7bRG/1bu3BPDhk2H8IcSnuRJtxh3H2w9b9zDsYVjBQgaXlcr26MnztBuIQAGS H/LAB6La1Nset5wGwVL/FlbeFlfUvwpWT9dBqqZ97xx9INav+wEAPEp6l+5NWxcUmFQ8La3ahb3u vj/ZoRPhGRXK6lg8G1qF/DLUjcF4/NgpP1YtOlpAPiz9id10HmynuYVuZYVwp7LmP2PsPok0RJeP MfHUfnGdb64+hfwNIzWe1Wneyw+V5DqX+204q4GAD9t3XJQo3OGyi5RoOoJWztdJwlwVF7obGnkt y0ziSbe96BmCZ5cDBfe9p1Px36McP54As63jUI9S8FWYJRkgESrg8BCPL6xGdabDCY2URMfWXoxu S/407K64XTb/JZ8pdXxF9eWrrefz/k6H/rptemG2FuwC23njqZ4mDIdMVHRuB/6ZwRc9y2O3XIpJ RRe/j6zw95puq/bViRRRTsfxf7C09tN+c1dk7/+xHmyfmhhPpC/GegVBqWQBKspFOJ/xh0Ei9TkC yuePVQzAxk+TMzCdDsXpCYxX2cRhlBcBdiEeI2LQddmNT1N0vCnaTxjz8uxr6ql1ecWpSBv8e7FH 1WMcntNyX1vr7x5sgLao0BUcDfn5DqEEaU5U+aV2BYLqyEFGSkRsDwn7KZiS5AUaYjN0E7I91roB HJ1hT1EEpB4s6pdyglKkP4vK83ED6dKlR7mVLvQY9nQK8Qw2XufeRapWQ77ZUE7PFDhTHwdYr8dD a2jQNhNDieMiPawTDH53zeBYjvaBdbU0CBuXzWFzh1pNuDlgTy9fZs1tkiVZiAKcVii5yTILO8YG fECh9+IBLqwqXR2mWbfmWDlOAzF6UzhDHrfFGL+lZx+CNQGd8LLlfjlv85MNpZL7/D075Btj0nM+ veMpmXJBtd0tdHOqO4rILWbnADC66R4xJqhLthzC5zI4YFGnJdEUm/Z0mN9jeXzgvnwpw+N0Yqhg NdPOlWBcnckzIGxXNKy72bgBnIBf9qvlgUhytjEBdzcZSrtb0EU0h68mu/PGrAZOEcGU4Mtt4xYu 1uF26vzhWKKQ1ktT9S760+fD734Ys7M0ZMN2TDmrbZYgIGelrg27wFluO2QjxiMlAzVDwL293U3I AYJQs7nxEHplycpEZ8+nu8d4Zl737O/DS///UA/wlB4ifbAgRO7ibUArxv5HnT0Qc/vVe2vU7Nq+ ZY2XumghAQz7ZkOKrVALJuQ5isGk3SZvvGl9xZBicIhLyCcajoUwAmAFE1zGsunUXXtm9p1iFdJZ m62fzQw9PnBIR0ybsBxjtee69NYQ+swGWGhAcanWM9uOe4VqYhLyjgUcUyaHhK5UNQJKnjks1+Ba I5IrjJjQNeMra1Fkd2nlmXP8GOQ5t0V4oshRrDFIaN4y1Z9Ark4eatgheVykEEVDgLuUPQNaAQM3 ZaN4bjkAxnsk7OzmR2OeAgWknir3NGSfctmXttRQG4+Pn0oxCkM6xvGXA8oEqxbMjkN2KCVxgltu KAN0wrPtRlXHbJUJbHu63p0bR9zv4tOaxJ/JU715p6OJ/qx1ugC5bK1rUgNF1M+cKai6MeuO7NfX uJ4GSDzVg85SJNSAQQXvTmt9lRyo6BgRR08Ws4bA03IOSYCdOdkweg4jwewRcJcWW8sY+lgDgRzE 4qyLqVovg2py/F7lZgODvZy0X9zcQ8c1jejdATMY27/B9xsaj+TzuBawGkl9aarZv7RksfaGZDO+ yst4v/U47kpzXYe+fxjlgf8ZDXaCWvoRGVXa+WdOE+DmmiKBehIqFd9dMDeiKxkQdxqDbw8XsbJ9 nJlPr6mcixt3y11qAURuErsLgJ3Uru91SeHd2h65jjJ1TJjY8ZXqti3CLHkrH4qQiw3Lcqld1DD8 knlGbBxEaeSCkcUVX7ilEbhr5N+pPZrESBurE6EgflvkNdoxDIBXI1JjpVOoiTi+/lmvGNJvd0KL UG+hOuRGgTi0S7FNpGtV+6N6gJ1AFhkcgkhdwnueftzjDET/lsXyY8pJcASnsd8L6VhXbCxv1z/4 Xm35zt5acg90kSbh/UEzh1ilVewwXDNKryTX44m2xhkwQ09896LaW4IUHh0OqWy2d+dxnBXEdWJe LXFDbj0On3p05jS8pfJ+d/LGiJ3t8I49NxI+hLXx+7hJ15XWvG8GuSGQnITa0eCoyklW1w3UiwE8 ZufKvF8jHdg/ANsk8AfKzClBWSbAAidMWDCkfZP0iIn6NiDLB7vfwwNqzT7CiDwU9PEo78Aj33rm NiJwIKrZGFS97SZ74H4N0+NZUmByLDku7RBYVJvhp5z3jf+aeAHC3IpzGu4pqlcAot19DexeDw0c HrqgG5FzLJ//5uMffhNyBnbVZHChwXR85qMs7FGh83oK0Z8nQpLZLkyQPWi/wTRxLliyMfklC3GB giZL8hD+IyexI0CLImNxDlya0xqPhL4EL5tUg+gP4BXstsw4tkuU+GpBnm+QUI2x5aWxHKYOk6qP TK6mH4D01GaCYP1W6iQmAlVxE180u5TnPXFydYSzyq1lIq/kRxXOoq3dULqiSRkMXNinY5HMHGaw DgpqgcPnDOh3PdhmBmkNQuLKt4wVu5xn4tZHBJS6QAYmDeY281wIqMYRp2UAuNBCg7iZfgbkqitX NHW8ep2EfZWKCFZ1b1451iXxEEgQ5A3Io9AOHgx63+WfPpXF3eBLc5eGWNSqgghPkGTkBCzYHVYi ukxi9nwrhRvUCiaF10EC0Z3AeZEtBZQ2ZH1N2EiD9LAAc5QAycKPRgJFnyAYmWak00t4syYc1kou Aiwk4wVWUCKdeQVfIoF8Q+GwrkFa9afPGq+vuQNZ8I4tNuKGhb0qYM3FkPvPPxxNpJQ06j+QesnW Jg4x+KeNCmBDBsyflrVeJHhCu0utX2DGx4k7YwE0h23JLgNB+Ct7n7maXGV/W3tfVoDuxQGSaJwz RZeLAML1wbLy5ahU59zIa4feIHIYxFfY6N8WpL4nUlvc6SIHTMmhR0QuyjTJ+rVd2Ojjv5M4XXpE qnkYxJMlvl/LbntOpaSQ7x2F3exSRM0M1gVoGUtj3EllUXRc0tLh+qp12t4VvlGVxNvzcQRHgZLn Lcr5HnTykjKwo+/MDzrNRzz9oIqbr954FsNt4SXGfBS/59mFA3KFUTtNpDta9UdDrJydnR/ILVcO ppOoj6jzgsMnlbps0rxLUSeKhNdTGWcr8igHBRWdHqNxGf9o2L2Nr72bujBfmi96h2oFic3gXGX8 Goc+LzfT3TF30wqMgtKcGvsIMXL/Vweavzngk99JSLU2rPalbqbDhFCTyKt0rRixh6xuBGY+4nXl 57Wd8Tx8gsj70jsm1v3nNh/8pviV2t/ByxvMyz/JTJOYE3r/BGv8V6pXUpBQoXEJddDXIrhByaM8 UmFxZ+jGb12tI5DvxfDw2dPq04sXDS4QaOMPmOy7aukCC705E7UVVD2r5ixQKQzlM74e2GbitWhY Y0YtHFMcox8Eo8FlB6WqQRJgZkt6tmOGXEQqDxA87v8Bqs0cG/Ri7WIMEzwcq16SfyDHu1FJ2uWl tUynYul1qZvSO82WnWjhkacPcgY8w/EykYrLXHXNNhu/6t8PwcV7625E3KN4NH8M3xFCHWUhNDPa 1+e69QzooaVgWeWyVHTfEgxovE90Bo1W8pQm6Jcw9SXlQCHDhNYGgQHhRH6dg752CSrxFUYg12f6 +NS+R2BCf6StoSNSZl5rBxVUIZIq/wm059U6LvgXqFmdkSNzieFdTjMLMsrsmJHTtAPelFzw0X+o Jmqf7ZvjZWOiH3sJBpVhOXUX0rOYmpG4JiGSsqevxhlBuJlln4gmYdyxu8h8e9pWBBMY7CL2vJmK 0kudlYCzhHiU3DIuHEtRgGj17EdWiFjva4ahn6qMgrkq8FAUJXCHpWDQ26XG/6fdzg8epCAxJthY Dd+8rmNREYnRzpfPc/5U8N+4y+1kr7mmNM9jXio+DAE2Qo3x1TPNuZB4Z3MW5HecJ+27fu9uCzDp RvALjIIuXG8F03l57qWgqqL2FbEFE7WHDq4qgYryN8t4K4NQ2quq0neuSJP+XYv0gn3ASPfxMfT5 VNYnnVolEk0c651Dh7003Wr1SiGiFU+lBE0nGvQRYmKojllfg9qKIGwrNC0XHS0USk4hGSt2s16l p6yAVkd5Qp4LQiKZFOG+JajV4nlKzX5BBeRQkn3qn0EMU5SS2ToTPZbuOmmixOP01kaATvsMckQo fYOuHsl5e14Uqcd2jqE21JeDccZCKCfg2bC7YQpoLEjn0twMhIiEOcbsrUxzJlZlmTQEtM6sDazb LbUVZ59wNvMvmTCgtAETfRIkf/gpJeLLFAnuG31Aaz6/4q1UAENSBZwQEazWuMBJ5SnTUqMEqgzq prdfDQnFNIyeSWVTe3BQ0kLKGUJv/EsgX0ydDDpAvyn4uC+qYATPVFrMMi6cD22F95vrgm6/kKrs HBtynHyZHnVBzNofPRht+rVg3VHHu/CvmaL2330/Gc3qH58lWpK1fYJz07AU/Vpyr+yf4PwVrTvl 9T61HScH7nefoa9oRrK0Ki+kcHZwDszYT8LhBx1bWocdKuz+HMd3rtWZsKyHVJCeQ+XCRKrbVzA4 bHvgE1wHmBzo8NEHHCa6mYMRO2om9kWjJPvgrHk9PxHDYNQaPU0CAtcUsYw4/vvyBiG0VQ12aWTm fCmf8zxnKy9bBwEcv4JZc6PgGPomtwIjNtJtBbYbWHtuyiJD9XBI19rB1tn4NctxjyYbxQYUXb/B Dq0xvvsr2uX7eZEIP0drKBHpgBuULOWaZ39pX/oTkr3cqmjJ6c7F5xHU1ts07+uveVvm8DzaKMBT iTOamaDCAnSAoB40GgBkRpdk3AsUrzsRyPTIirUJijeOL26NIx28rWA248io9d42chZeLTkc/AFQ ewuiCn5YXtBsNc9OkGm6vsJCh2iDNfm5AaN7PcyCktZRpAsv7v5L9aIHr6jHkXvMCnCYRz+1NSDM AmHKJBAjDhJOesPLoH3Wv/6c765J7GqSvi1StAI3mi/b4GVv9FHlQsDGhzEhcQJTjH+/gR5N/J1B x58YkFFgqjHKZkQrjqbmYGs7GFufhqBZiSkodYgbqOmbuBFNxU28gQNInROes4CRnS/HzVc7J6df RV/vLBG9tfQYJoqtL0PfyaxIxyhh2WXzHDpkd42JxRrxSbP9g51ADYgEbXT/FHfaS3yYjrRgqWY3 veylipUTxO9fln+F9GhW+KWSafAPiRHjMgk4aWOl+kvmNV99Cfq8WN/Nv/KfnkpZZ594LmC2ZUK9 gwfWDrLMDcHJXN2YBy+2oNZMEJdXwEQovZEVmFJJTs/1YrR6bH4hrJPNBbbNukJz/D1jh8WkKCEL HoidYrV8vgk0pEjKNmhMvVK7E2RwgfqOnLK4yrdKWIYpmTVQu1cd0QgzOAqJBfCk/T0OHqptLm4u KxAjn82N1QnvIwfymXiour9KPgXUyVFb33GZxc2M6B12P3zAqmo6dQzzWZKLVKCBf0lYQHX//7nH zDHNOe/xtqMgBV0fSIGT2fNRIO8mR62F1If0j2Yfn+S3pUXxNoqKatOKRRco+7/C3lVXoiKZXJJT vtWIMlGJPOeKl/TX3MONNpqtz8C7f7B7r1KMQIV2MWvR6hw0GzC1hyRxUop7bXyixgkH9mwbg9to 1xB+yZELXebuP6L75SHzOdxsiFCpIRoVIdlw0hvyWzwCHuWEGz6uWm4bRsaG7dlvdFVhsqo6Agft nLMdjvJ2uZ20rA8E7/pOiq2eHpuEvKJoqP3wmioXyT7bhYDJlyoFzXvg20FAimZnqgbxwXiLTRUV pBDgGmxobPeG0KracYyyMPqnE76MsQBH4diYp1G5WdU+XGhj/WmlUFR7N+7ox2FoDBItyHphu8Jf L/QazFCM9JiU8i4Afu2T+2VRBM1XamVXJapu8DDBANJrm4F/NttYGh5YJmHaGNeD/GZVWIKxEBlz Dn2AwByiXMPqCXji0xR0WjeDKjlBLM7/Dt9zwjpzwDPf7BJF9a2flGIH1U3Zcf7cLnw59/qriZUU 10OTQ16kSMIkUYcIQ+dgH1QWLlRGAotTSaGehtrogKKHEXGNFF0n5vJB6xsO7Bf7hVKKOB3i0oW7 hcJqLL2ijg7X97L4x6hc9G3UWHnyep9XWb+0vg6UItkySxnuOBWu1ID1ydkAggjcmUW/IclUV6Lq WTkvyQb7Ejgt/3QOTlKSZzLVNG4PBOOMtvBy1j4MBDuvEfoDO/grLg+9tmrkNyQG+VPQx8tvzXxi MPwkOpU1hG4cjGxNoEgV4l8yEwVr9W9+EfMLYVrsJkG6cofb9wyB6jsYR8k6GOHo+BevyNZV5pld DQsTE6vI3bnuDv564fxyomWCVO+ZJDSHus/PWXHx6pfarXxo7QaNo7fQVPacBCA0ThvmeloqEnqX acRIhyFy2AilXHZ2HsifWimyqbDB9cPypVIVbBeIrbparPiKz1nh8jgF14MCf6VgC9E7h9yOgjyc BFBQ1Q6J2AFF1yjBR5yxkWKAeDRskBJzK/trZLyaarRUBUaYP59NcZDxWbCQENUfZMdD+s+yYvd7 ZgJvCI0/mdCwfzbwcfO7Sgokd9+n8ZcI9EwJZt045gihAeNy5f3BPbx8UESKTI0zB5FT+tHK5l5F mFrjyIj9LX5lcUXAtPP7kkTIwmcELolXoi8oNCj9594cLPP04vgzSlktAKL7YChQz+67EJIb065+ jFQxF/W4DlwZrIIzqjIw8IRRyx1WPOxkRD2WMm5TkUTBNviopdAjtT5XGHcPkIvsBqp47LiQIyLk 4iYcsO+h/3MeZhgiNkpim8N7tzSad2/UEL0X9fbT/mmpENeQXhgm77Qyn5Fnh3/N0rSqVvs6m9g5 bvGu8dJouMg6IMQtKie7o933jT4tc1DkDq6Bhq59mN2VfZ5FqgHXqFmzsN2ZJWeGZPr7C0ZgUIs2 sc35lsNKtYXKmVkwkg9L3kiD0MHiQfM3kOnJPSerb/81AkE0qYrnviJgejLmUX7SApiW8RquIrrI +cFPbIOxH+ZLqtPQfi+0TRM8PzrzfW390lNgZojJCsBNe+BTh7ZQZrcWtgjjb0iJce5K2mjHwXhm Yl+qAoBTjYY4lhepPbJHVSBiK/cr6bPLjiy9pIMQGhAqKDFVNsg4NPRUXnYOSB2rqIQguWB7ASSh mbyoQqGDxa2L+xgR/KSw0bUelJdwtqTJC58MxKgQAPvM21Cjjz/hPEvfhu0YEBrkE9vCcmKuU9IC wDfjCb5kJEJ5yaorm57oZrMWDF+IJKhmqDc0PGSdXA+CSLUyno3Zb/QJMYlobTe+0ZR1Dp3Q7fBZ P+dD6Yck63OD4S/bQsJeN06klj+n80zMfdsf2e4pAyVkNnHyQZZue9ma3eAiLCko5745Iw4HwaCh Gvlskp+WWFya+gso2FnmKY+Ke8lrBtIienucK3PU77uqILCM98daU3B2yYSBysS2tZsBxnc/AeRX FmFyV6ejCn/TaVAIBeCeNq2BlcgTfyY6fgiJNntLhWTZxhvrqHwF8nTCDCuwb+IgAW+W8nntc/M7 ct0zCriy5W7UX7fnJc7bu9NPU6C5+H1MWP9IlHGtIsiLB8LJ7L0My/a12BsCWa1uY6unuAWCvG3c PngoZiIl6re19Bu7AuTC9XIGED3Nt2E/9TDbAdAZzD+JZm1hI+cfAipCw1kaqs4BCCPQ6+L0uJN3 6aVdSoEoputMfGApW7cJqjGRioVu9kT/QIvA4v6gq8LYLEgoKrZhc5OSjwyaA6rAOOYjpQVjtCRW WqyEFyiFe3c7LO+pT8fnQoeSewFeB7fesp8Y4UkIkdITa445KpSdnyMakPFuNeNOrpCvAJBOHBA8 sCXL57GgMHTWIvV1CaOptUORR9Z1fusUxuzQcjbpRvn2k8eMELj2xxf3+FOqZzmmX1rikbORLv2N 0LW/X+njUPTxxxABg8AMWyDhN2f2NiSVMElupPtFgE0CGdBufTiW37DLZVynBI8qqle0w33895xd GSPAfbTbObVLh7dtNrjXK2CgpLBa0s2v+eGMurq59USwcKaWPnboawf2hfaTRBmP+OiqY2eLx3Lw Z5Jq2irujGb6LytxTWlAtIp/acZGEbDPEyH/3qez0P7FIWy5scQMmiQAv70NhNr2xRqqmFwbCUEz VdMrHwfxFBgJrHzUam9bWLAu0mRAOqIB7pS/yaowbm+o7lX8IpVxGUmsY1U824A35FO3G3FhTsuz AJgSSXhpdiFKISyAhgxNcSbDb7puV1aOXwHWZ96KF+BT2qiG+gVZz6OlB7B40ZuZv65lZaHlYbyf ZsIW0yEUjvh4AgYdySwgUZvDt85TKC5BGPnjmELZSzAnBEywwRnlflaOXKOpK5GqGhh8iXv4gW3s mkQfrmvYuqnMxSg3z6CDz+QCu+P6i0Gu6eLCjPlndwt6w6PYLfvV+WgbGwSH/Pl2lKnnX0SOA+hu QE6t5B7weTjqJ1Flu2+9q3pl6v53NT0nKzPZ/emegC19ND+h9ciTL0Kdu1eyhowyhFRyQsDwcCzg zPhOUK/AvsyjWuV8doQlfl6/WV9GQ3p9C77S6NPfOaRaan84LpZfX1/VpV56k4TPkTUfMtyp34w3 AfkXlqH9VF51HdDVGE9Smj9s18SCjadqo15LyLUiPbdfyutjkvGSdCGCrihFFQCSr4SlchMD67mG nIT1VLEOEvibowC/89yvv/+b7BdRcC2+txuA5nq/MXvUiR4l/KxZ1lfYkIUkScS5k42SgePfwa/N 3I/UONLuVB0xE+ve4/I8plrcA14J+GDFbBOjZ/U2fm65yQPbPHYuhWE5bMvf9b9E05Q3GES16cTf SicEfPZLQUYwKnX8Xx7jJLpuMBrx6oxuXs9TtZirhfkmscJdhvbFOq5Xy7ixcHk1+RZpE5vL6DiR NoDcmAL43Kmzm2AkA/VzIsTCheYDciIf5oUs4HGVFzB0pmr5fRJK10dd2huxHT+EMZQZbdbHs4Gw 7QctbRBr4cthPc54eUfxN6HpEuA5LPmyLFoIoyR2dRCcUQGelymtsZy3yZzqbi4Sl2LTtwtPO++y KjhE46A1yFPRzyt62zRauUyasNSGJkwclLbns9lJTsxWs0i2rZ+gXljVCv7+hGzxixb9AY5DUhDT iTsa9TF8y6OFw/RNCitRobUrMlbonaXuQ5UEEIryeCZPE7wE0P0vLLc/K9svhqB6XCFUDD0Ojx14 AxKb6NXBeK+USZQoRybrnuynlKOrppgZ5wGDiAhjGQGWcDFhfNu2qQkqE7slltuC7tACZZEZvr0b mwCPWt7s4fgHa/2HtEak30WX+VcOHTTSQBpAW7SFsQXuZszW3UASIbZ53VAEv7H+9rt8SzDHchS6 39GKS5KmBPKZMZqFP8Yw5e0Tbqe40YTMp2mCiyHniR2d569IPN/SOV1Ldn65+zZf8kYhx12NTInz pWRYC/6YWgvbYkSXm9lR3TY80UwlS8NgLmhBgYFxb+7IvK72gBfHMGwVAF2J8hqTBLY84EDIKqT5 bMHqyO7nixgnSXK2up+6X48idON8E3cXxmeQpoXM9ardB3CalLqRwXlOELhV63R2Aa81e+5JkVgP vyfy+QK+2xTR7LhnmffM9UPTILhm/pEzrROxUvZLYHfljsxANqfjlbPPs4XAAYMUK+JLGZ8QM59B DSZZ6YPLD8NTzTcgZtOjSxpFiVxxFLEd0qi5hTVJwIhYIH41ACGdUiz0IExDKn1BI6EiuyAPa0o3 mu0+CZXz+wINlnSSz3XSEID9ZgXLHHbS9lF1wRxuPSYy4WEz6muiAHusbccsCUmv/BbUkYX3ZQsP mZwI7xUvYit8cEO4gCCvk2gp05y0yZX6J3H7ZsqyXcBnP6YejYwIfehtJtYbkg+11lagjhx5BkWd n74zxeCnjxGsOrUf5FGh+XYpysALnOHnqKdL0G9Z7z3WHT1O2jSpZsX4WV8/tlOTQucUDiPFafNK Uc72cJPISZAyALZze4LDNUvTnnTuj9dYvdbeWRNEANURsTW1JEkF6m04PaHXyFdWhnLvLgo+4Y+u 8iJOyt8S811lr7IRHJdezGeAzEk38PpOlFMmmOqa1egh+DZM55n6mDYqcB5uC9fWl2URFbPRAbgL yJkhORAIrCWaErp2qDahvq56NPMmn7aHajqHSoZ+trQJCXYP9WsjOUVkMC0ttbc9A6pqo9VD2UWd J4joKjH1br9k1CxIhVhZVAw+jXAbNFMBeJiyWV9SsryWIAyOuRTGbFnxJ/yJWbf27fRkPgUWbYnY /cv8KIT06zWqmrbNruB0RwNGUat0iHqTmAFkVRua0iEKSvjvUSkea/C4wv7f3SphZnR+p7kGvT9O LsVFefjGyIsTn+CGwaUVfNW5xRCwvvvziDy9xJzpnIVsVPT4WcTLWDeKLnXoXo+JrHKXwKfK2L49 8TljdqAjfofEmKXFGUh+S4+WtNgjGc/gK/BxKKiWPXgqnZTVe4+un8bnCDesd9FbMSLeMmuzekvF dhkKXMhDhjzeXmzjFT/akkFVgmohjwdJ8KQRtgxiaiDDITH+dNODwPqhp+alhk+X2y0DTUr4au9w llRsFfDUX7J2V8PMofIw65sP0PQ7qhQdJkT9ylwFYgOX40WV+J+u/CgVxA7ru5231Wn3VSl3OeXq 20lKdcdR2XhsvhBsuIy1s1F26+v0rlBvdhlls0vZ9xME2ptfxFDlIpqUXK5sJzYIFMgX789juDSt Zwmubdukszl0Rk0zTxuNjo3NNrVbHwaRIaU4nI+L3rTdUEEewKZ2EijKFOB6JIGAszFthCCLc12g xVNE4SJMlCPSYKpOFaw3N33fZtSNIJOV39A+VRuWR88V30/A1sURH44jFA9sMvWhzmp526BOLMl0 iYOXZa5Xt5zSI76hrUDxLgY4jiYKFNwgAGQuUbDlGSAMrP6J+iH9bw4ZDYhnXl0ZdW8Peory4PcV tnVgaYKd2jC9xxz3tPplyRAtDVgeFLS1WubjOefg3yBIajAQFbZ13yIoXUv8XMkLo+fyi+9In/E+ 5viHRyjdOWYbtHdzdA2IKcdwatMR0mTjypgLw8M4yMDJ6KssJrN21Hr7l/arburCbx9fSkg4Vqlz y6t65nAD1gIIqf+wH5wZY62nTm10DHrmdx0t55gP6b8g+FQWyAe8r9gfwBj88OZMv1HkT9OOX8um BDUJC1FabkhTZbNL4BWLWhaxk9QUsiohwlfuFIm07UyihnVAd32p6TWWkDYxPypV4awPznKuyIpi 0O8kb5HB3fjtSHt4n9hxqGQMHpzBy8KwUS6tLWCXC7rmLY5mfruHlPcSmd/kGM1x9UPSgBdTLhne Z7H+xbzxC/V1eXUdpnuvHLArHQ7GzxnZmJt7acm+UGVeXvTSDA8+jo4+jKQ33FLH5lkA+0s27mc9 DnOqrnuegKb4uBVxcmAvEEb7IUKKHUhmdxbAwRHZKBee5r21ZPpif/3YLz5Ngsj3+0ZVBQmV33MM klw5OoGrc9ha0Uz59twxad6VoHTbDRKepmgtQER4RGy7LFRDcBhaBe5paaeRlbs+Tvzfd99W/4I4 M0ckadX04G0KQA6kcTNk50a30YKObCR5ywq6vqVRNNXS8/jT2TbkTU93tc6tDMRumMR8En9qHfeu TxgZNhB0YwyhGwIl08p1lpEO0Z8WidnKzK+fAkzqShnJ73MhsjAPmsQ5/m1idlkhx1s/TmKoLSzz K4+D7YljYiU8JbOFRtOkcIlSNioqYLN5fR98v7jlhY96lZsbFmdPuVAcwOGyIVEqq7R6m1VibGYc lNbPYum9C7laqZ5URVfKySf/+a+sCXo3u0pSTsSo09ZG2CvjHsjVl5UDvIWNsH6iphrCZ8swx0R2 NLf4/iWYQ31dWphCWzIlj07E5cN0wMD5Vaz+5X0xHpTedb8WpNmYR4aigTnk180cBlDlVdRIE00l nIN0mtR26Z0f9yIaRCNeGKZJvioMOkc1myGeyrOgbWsa68AUUsep54Xvex96o7mp2VgiXJ8GFuQO hp41zb/lHls4mNYKmCbYTP48X6Euh0KrgLDXTm1cGBKnVLFtEGA0Bsi49/G+YtUXNW1ueidwobWe b+qSBsNbwEvcvy7qVqtE7ZYC1vAk2enb8A6m2gwnSPphTx5dY7Ovynym2CHuvcZ+p/1QQmvnKuVU 0L6vgeBAOIbztCEp6+kAx5pxbCcMz5g0U9GjQWSbaB7ycmCX4NfWnu6wEMOFak9kf71pGio3h2Uv jtKlUSfSZT74NuO4tzgxOs+I0E6GDv18rPtPY3V8Xb4B7gRzw1TUpqy07lbGoYy+M9MIFnxxRrSj 02IK+Sj9KUz9upIL13s+4U6cjFYQei1Jx/akPrxXhUgvY8TGxnhNe5QvN9x0ERrrCrKDGERcBgWX pMrV3hrsYdhwtjkNxZT+I/eyROjNqgAAOHnJ8wo/YOYP/kKGrJI/WIdXgBwcUdnq2UfvfO22qK26 sdzuTkHLThRh/2joymVOpBGP2gYwP2FygPXs/f+XOykYex1EvPfIwvJq+T7bxdF9ZLdjzjAC820O ThkbZfx9DRLFB8ySQfTLQWmmmi9upz+TG/HAleRmreNWpxTBT8fIcPqn6RwYAx5AfIqOPr74pFw4 AIxBppiVBXCwkzS9N8hTN09SOX0IGDK+ijm4K2PUP+CZwHkSfwF7rlby66vjLbuOjxyGxDBLKW4+ BIhaYxmheSjHmpvjO1NkOnudVxc+2QRMkd6JUq6cNMSbnIn90N+04iLo9VRe6axSirZeZoQk+sfc SXQYBqat5wsoyCva6ZRjGHI46Sg15mL13/10WEHsBvXkJzOwLz/dRf+eCHx/BjIO6eikJJeoOMkX DVhJD199sU6lVMVUJcdMA3Rpgq1jH5+8UvyAddjXEPEcb/p7FqPYY7S+iiHEA74zLoU5Xm0vStXm 1ZbpE1c/Nis2mRZK5RStbDxWT34G6S5zRgecIAXWpq6dyhKyIcva/kRTGnXnZIb1puTqA8cVaBJ7 CxChzAct02GmyeUE2QEwcnXUa2kyOMpRNSoD3o8Du9rrwFq33I2hhDKqSrGNJCtPTfNWctGqzluB yE2R3RS/3uV4NNNHKE4faZLpO+m3sGhvWWFKVwQqPxFNhehi/fJRDYOJJBDxJhbgKLbbQOaNCaRg K8yzYF0hveYnslKgTzifTrj+qtB5dGYSy7h5t2qnqAhkE1V9aqcidIQx1aTvLbiJ4c+1DxejPkUV pGt1a711V9fvJSWM562tqTzni6lkEX8Q4+beDaneyGcKtJDEUP1+A7xVe0BC0yKv0mmt8z9xBXwQ nxUEKVfzmpGZtx2MC1iT+0I5QWWVTLOg7X5SFl/rulsaOqhRze8OmlRATsOJ8OdMOfoIgDxW2fGP KvINoLoz23+tUJlLeAP4+QFFeMlMoJoSRLzcsfTr56oFHKRAfg0Br/XTMUSFqvGXtfwZ9PMiSbzN PUcXhUohXnRAqPx+Ttnl4ZHkKnV2nf2r81QGEPPWrDZUYnHWPi/LfXSeoP0M0Bj8xyzQYlEPV9cz 1hEXNhopWHuWFqPGYOxiTu1vUV3m+pviNxDIUeTBAPyzvDBlBMbUz2HrdpfLosO2LA8euDyD6WIN tQU8UzwmDUFaNbxcs1Eip+rxi2oTE6jkFaBNjDdn666V8SAA1bRmp0Fq5uJWSaQdh7Zo0f0B+4J6 e1S7aFc5xJBogMYfUYjlX9fz4NKn3d35Apl01N8H/Xm+BN3vlFHByEBXhQH00JIwOtXCR/e1/R7f CwvIekwdNjeUnSSjGhNHZizMoYZhouwpl/hzQSDvgiHhPvCVV+ZArjcN0LX6MassCaXBXwW6eKmQ SuWcHNvtXjLVIIatYm1KUKToNYzzqow5AvxFBPSLuXRfRkBHVtCL7lVroQ/EnSNmS55M2R6QxpfV AI1FRIcyvL4Br01QcKN7dHEYq2JFn+azg65E/Urq63i9Xyvlwa2/pBDMbBCTysQyHmTV8LE8dK91 dYf2WomIiQOUI4vdZ/bf1LvfDqP8VwuBVEPeb3cjqwMz8pCZihSeqQa/oXAAYjWrpxSKipDj41SB dFdlLZCukWd4tqFWugY7tDn1iTGaplNvm6GBVdf4cmaMz7YvMmpDyERXEe9zR0L3Vd5KhWXyaVUG Vu8Bd8eaaiWooVyDTH6lmNqvzYdMnSE3N8kp5w6RHXwqeGaiBCwBZghRlxp0hLVCBrtrK426hvMK 3iR1+UR8GkU0z36OF2X5Oa+ovTzQ/i/rszrwn/u1XdBAXL+5TR8RxH6a9+TX6yE5GDFBgFExrhos I0A1avgcY+jl4UqbQwOgqS6YRqk+68K+E9dd52ArS4QWH2hj705VWGv+MDZHpNgJX5+ynjwi/roH C1I24jThTUkDOtGnslLkD+R8in5W3nigbE9k85A+Jqz78/DDl9AzzeLXXuxapKNVRHZYvX6Q0otz jXbd3yv2sSxxMUiACVOSUA2i+/vZKsIZmmYFwc5S1jFYDaNqbt3jcUBdBq13FlNLrPj/nL6veVdF qq+ob7brEia0zafRi8Tboe36hiWDyTsXRZSZ/pzCWyCOxoeqCURwEJWBLc7EjtVohTG60qtyMq+9 2PadRzRsmOHbnT8d/uGesw9V4E1M7V6alR0pcclgN58A7s8juIqf/TOreDxwUs6fXvq2N8q7yvz7 q+NseGU8JP219Y9+XO4MOkGjhPcgVnLdwG6hHnjHLgEncckPLO7MdFY78X2BjF9A9kpS87qjwK2u kkmsEIo90azh1YOHO+yUuAGKWNOuX9gFctJj1t9962W9KaaQT1+NcLMb/8kKPpit5nJ+Hj+Dpk9Z 5668RkIlHvxFXYyIU7IM/MlBlYySMbDwoR15vcvqHOxVtsh91PG5O6F8108VQI4ezg5ciXPTe6/s J4O14T7k4rr2i6NzPltim5/OYuILxwXpDb2CSw5xVUfMejhLyS1DV50blDUg/zy64bXQzkCGxY7J C80Z8NbqtqvO94dWSm9y24BSDHuHs0QgoH4qZqydbamHayNckY+hW+AU8SQm6AnF53w/qMLX+QRp MVX9u6/sR1nM6JICu8sYO5vvwa4G51BbpJerL6AGy9Me0SjEsllWZH9m9c9E2JfqjCYV03SrPCfb J3n+mwRSP8FX53FFVWhOqqwyBCzhXEQaJz7CSRjDT9q2Y4Wf1pTc7/AfcIiLylW2zwCrY8O3QeNn UK4UtZhjtCcIH4W1h3IQYF9uOAPelj1QWZOyDInkOq16QlF/UteBbW9dcLvtLO5/pl9lAG8XNCE1 C5qkCzZpu6fxjVBZO9kK5tY7EICFZWLQ2PF/Qf09xG71mRgJX1yPcNgk78hOc7R/WwDLQxpVeExy tS7wz5VtdBLecj2ss6DHba7XLXNgNAY2PekP7W7E0Xxezd5faEnAVSbT6xGwW82+ocLLIzvBZZek Z/nqTcmCMDkn1xYYuHO/UF9Q/UJnAX/I5g6yeaOFUUizLpTRyDBazrL7kxEic5vQlWh3L3H+uhYY OMKOZe3GH+/j/527UNAUwYRVtzXPYl2TDoeaytIvdmT7VklReUhkUg5MwixJJ4K+b0Mm6b3EFK2a WZhlXgtXHpC+P+DITeuT8OZ8aEPeb/qoxluYvt7itB67RMV63yiMp8qX1fRu6WKBfyIOXV5CWBVe EXRkd0rsImxZXMzT8bN2BNltBw4Tniv5K2iHWwUhvLeDxEVb0rm+5fmCt/BsklY344Dprc036W0O 6M45/UE3F0iEa+HEIGZWKuKycHPabxZrMxz7gCB1YvNtfawDsMyTOk2UlZGNyR4B8ERbbrbqIvr6 8GsWXazuoaDOmVryP6/2E4Yr8I2LXpf3n0mvLDi8um0OqrvYWpiRQgoWfdwNoJh+xiKBinQf6/zz qKqx8iHR/wG3qqot0FCD3HOBg4totq1RQopFMi7P1IjwkiGATGmDj8wPIru+csUdTNQpyD5OIyKW AXmp6OJC7MbVTgpxykq/XHnQdO8RStDnHeszgPuYj1ldAZbrXHoBnuGEKgFJb4OI7tv93kddN2KZ d4sr9vZYeDIUFs4WvD2pl6fgjvwCaRsTcsVsmSMuGYFt4lCaX+QfSr/JySQDZbS2Ceh5o2nRiRmU IrZdiBDnQZGmas+/aFOknrN9WtKua1FDYPZGmKg4qKTphqVsP8s576vo6txoaotDLcL2EpuJb7WO qipf5aVmaDB+Qea+PwzEqnxN3r9rDqvfox4I7X7J7cPRCxKptN5driKtClkMIZvMy6gnPqD0v1/a 9511I8deYizCaAJk5zWT+5jIMOfEUb124LwNHUV7Be7glsImGxJ75h/qFhm/nl47VKBBArkdCiZa WLhffgu/Pa9DNbnNauTN/Xb0f4mLqVdt4mmrGWzZHokjjBCzlrXMOex4MqL9jYebA1NbswnJPs10 xehDv2kk4zr8Auqp3DDBlUCAx+3Pji+icZcRMVBj+uYLgFr3dGl49/T2wt2kqlszjtJ5BDB8cJlD j+1dVzlk0X+bVPucLmS5XcC0/Vf6DkKTbmRUv3VKwKa52t4O4K461wznpf3j4etDnms9grtUvQdj 5TPern8DHQ4z8vOO0NC/mwaIHjIe/UIi6Rpj+WRje/UK4QUtCwHCUwGCiC4hhNLvBXql39l8ghHr sq1sFdNrK8ZaOXrmUJOjU6F61m25njjnV1WsgsYpWVTdOw9ognBCNOp45Wtc6AddPZKsnzQwAYii zZlk5dOaSk7p37Sa9mb9E2fXn4ZOvnpltobMWWHhRsGQ8IE46LWP7+gEqQQBTZIs49FZeaGCyLue Q4c+UMceds8mHtJHCbqQYFPBOKxZMBBV3tDHe2bGcH72C9VkrxP4789GnlgJ77bB4jV/guSaL+JB DIHT/TYQIaEpQFXIajRY59/MCkwZi9nxzga0ay7resylZ4yguXtk5U/wRoezC2K04l+rO4n62ieg 1chGH1F5ORkQSONZZJfP2esnd+GgNMUI7MC2kJM3aboWqYH5u6tmpMIqKgqVfAm7NveigGsflsgX 1MEx1d2c0DaYVglGikbZR/w6NmX17UxrrWGQ5d4I1UflSrzOLSivGnWOZP62zWYkd1Av5+GlF5V6 bm9TdbYHzgy0CPcqfLdwOQVo93KxyRMTlO7qnqbcCqvlnNqf5OX/BbJOxhX9vxMGDnfFAybdD0Zp 5rNsp2sX3WJZJocUccvVrfBUJch5cIV27pdk+NPcSoVkqsS32C58nWLTHSBSN/8QJHku3F3VMBDp gjIucwfca/DQdrTDwYI8PpTlbvB1Aitrors6oNjCs/HWzzZrlk7P3GvOdrH0o7obZRcywRyGb3Av PEOhYODsssdfXTKa3BUulJ4Ux0pILXEdXtMS8jHhMgGKO2kwadVMjYx8MtQKPj7lDsUVi8mJMhV8 EcdN9qRUz5WHkjx3tCT7TS9eGpu+WCcP83Jja8JLa3GySCJjzZ2jN8f5eSSi824R6jcEJzNVCRfN FWgNbuo3Poe5YI17LxKXeYSdWFiTH4VHNit/Ar7Yo//eN8PE3i5phaK9uDeK7GhUeMcTm6Gvvx72 2EleE6l2epSazCbS/seZtr4kn3kCT9ZepgP7xTvX5Opv9IQZNMxCu6fklgQOI/YpuoWtnsGJ7tfs Gmbqhgto6d6O59yARE0WHMw3rRJO8kHj2HNz3x09ofP8zejTvtyae6RyjOmCNqTejVSyFhpfRgio lKuvamOIEsvRrQA5+7kO2zKB1PgpcYBJZk1ikZ0j5SeLzK20IybFxBCDfCu1BEg8deBBeKbwLuRO wpG5ZWHHLT3Po0BRGdohnUlJICrkf8r2rHxOmtayFqWRIxBLmGA6zosKpkHsXcYdbhz+xXnTbCD7 XWX1xAHJ1amu2rMyAKdCYeGPn+We74zBO6U25NwWA1mGFriKZMvqvLO1dFV9HV+dPqwG6LpcypQD nzHnq6NxMTwmYES6pwcA79UgWTuc8WeMCMkFB6oeFhyX7fgM2s/FxOclNFeZGzFayeGlFLWjZfRO k044FurF+kctsXBvA35kgVqdQxEYgSVPX1B0J+NGBZmUlgNynmOmHeOLyZwK64Q0W+09LWmtx/sV OJJWVvW9fT3glZrQv33D93QM36FwZV+q5cydCzi7gvUU48J8kLRZ3rPHHkAXhYOsLDJSd+UgKJWA vuuGd8SMPIfmIE+WitIg3Y2nKtYg44ahIe9hhupga3d3CYVEzWvnrLzXECGOCnp+x/FwkI9MRyOR Ii7Me5hYaSubIKVt8gEK8y3lDS5u5U/3xB2G5tgZMh7TtrnLpm/tZU/c2Kh7JwHQYJd5KNquSAMJ tIONvTsPs/fpb3lyNHVDN5F/zW1nVQheNzn/emr82gZaEySfKYjf4l3gWTU9/O0bePhg2vK6uDJr zQu4VhwL5Xh8O9Hr0hgUw6jjpO/8Tg75AOkY4mXc0V8+5uWXKrq/zH0SdoX4mxGCENpRC17gCsNP KdKAk12Ou0rPlBU0r/NKV/NOOTZjw2qqhBl8ZjIYeToEoDcJ3Pc7eUMihNanTu55atssWNgOoej3 CTFqsL085WGQGbC6MC83hOAyHYeKLlt3kS9QDe+M7aDR47KXyYD4ECzJhy342nXGGgp+nGy/EBw4 SfOdysDxofvbKnelxmRKqCdngA7V73InGTWBNmWGJNPzBbGcN0yP1lwdl4J5Xml2H8UYdRP8jo5W jgQFEwANfsreQ4DNzfowr3yuUz680tzOF2nGm1XAN+NEpXV9YRWnjCqVBTy1KH/3hI4z//dzqGDQ MX+MurE2YQQ/XAJVTRAu1ruD97LV5ru7ig20ZxEV73+7XdgYA7X5qba4f5Cs4t9zn4OVrxOo0AIl TUUMTdo9oJNbwbXK4ZK1g6+FiMBsSVpsmIdJ2zJTcQf+LhrVdXa67JNEMeWRFtF7eq/7JPx6qowN O3xwpoJXiP+DsdvNAYKt3GaFLwutx3o5QHC3SPoORLDLHoKZWDOF/6YEwLv7pvI5gMfPi/MXBmSQ ogzj9iYLTpzIJUfdiU6UZ8I9ku/QgCllbRNR4FB9Su6n7SfblJylxzpfxhFNdXy7QSv3bOvx+4Js cNTvQ9v/6N0YwGGoXoOEV7DF5H2qIybQpGoVxsBabtoSyyk00NO5dorrfj/ih3TPDBu1dJV61qoE uLdJVUHEf8yXuwBCVsJWq50xOjPJC8XgQpb0CKSkpbAEVFLq10q7dnSwqeF5B+yzSgQI5f5vzxF3 FUAEJHe0uLaiSXxuTpT1hN0j5w7ooq1TBKwP7puzJlhBv6/U5Xfr7/faAQCe1FqMg180FEiiTBeu XBsRsKAclSCkXRQoL4MSwxuoxpPr9KjXszVHIYGu9/IFqlzXnarIMQx4UPZ3mlgavh38PaOf+fxW KB2SxNwlOuqazfn1W0qI7Z6KKCTbMIHFhdS1vOHRfZG+WWnsiCzv+Pj67Rgof7bn2souu4LR4H/9 8IFYglTn1a82mG7IOs+y55aUtAWFFa0SoNOl7BxLqdZsw1yFh8apqRAHaeuSarpIKbI457RBY2j0 /+yT/94JoJqkGS6YtwNwYXCi4VxNyQc74ZyJecZEr7VywxiJJuM7xltWNb6yEvbM/BVCmYdqICC5 qyt+lvJMXUuV1kPtsEgAf+Ill2EDVqwO5g3Y3Cb/XzT8bdEseOvwOzURLk/anAqMSu9MYAWgchCB 70SDaio289n7n4X86mvLBFlujne46yVx9HTDzNtFV7VCGH+GIMzJmOcnLmgL1t8nvg6HO2VCoIbv TeMHtsLlR+aCA+SPoplPoWe5Kn+MQVtbO55bKKx8tX6QfnyaaVeP6hmJjIbyOE6vv7doKzZXwqkx fIYvfHUGYwXpeUERx/3SR0k0+RMeWQsf9UE8Xsyhf8fxpAdU071LnoJbiai1TEPQuonqepikMd7D u3CeZd0aYK1tIa2Up5lUITNdYh5xI/k/FYDI9VHRilkP+O1g6GOgwnkSxLh190tgmlTodmr/nfKv 37776D/R7U9lxZBeBz//UaEhRktdrEaGdedQM5YqF4Ott2selAgffmTDxEua6xX1KPXUpiqWalo8 EBdGO/Tv8EvLCLxh5ecvEQHvbeuzxMah50ZU9SEQ43ZBRPpX8ujYfUJ2DcklGeN+4sEMkoOf++/9 tU8es/lxYwRP4xatn/qy62NuUqkd7rEvo9HW0UA8Miq4zEm2HtCbm7rcCb/nYZ5HgzogZ8ZZcVwA pj49J8MVRvUiKOYCncYek5zNll3KM8wddAK/AQrk1z06hmW5cleHM/QEZJYnHh/mRldBtxUnMHPy wxuTw9D/9lX2QEd+oq+Y8R65lle6ZE4gFGUNkp1DQX8Nri6O0BMq9DkjOFRrSzdQr8J1Tp+xbXP9 SA/xO6i6lbHyCfXUpaWBI/pfZh8INbhrOit4WDSFCqnebuZltJsYhMHyYukKnujVBLntbDN1GDpJ Iv3lNkyxeQ9neyJOFj51yNpjYp/ef+S9q7LjW42D0zB3PR7Xp5dx3Svtldoa1DNkq1ghkMvbj0Ja zfsxSAGi5Eyb4QbSDX+xvnAZgcncIp8ME/G8WQEAzS57wf8deg4a2ON6J9NBZY6ju7Xjw5EnruJ4 2auWM7/daMgkz0Nx0x11gpJCiGYozL01o6wTbXMMI5tn3NyeNehKuh5hqXOUphKEWUIBQR0e29J+ Zx44NSCmIlizrQCl/mgs7Ei4O3gE9hHYEPETSIJbm8qCvO+3u4L0UFLlDWs6zWgRrQ3VTEZsG9oB w4afJ0oGfzeZw/6Ur8/ZQ/F9SZcpT/zxGoZ2b7mwNkorXOzM8B3Yyf2itUNJMi69pi7xbnFTkwkW Q/fGrIQwLx/Jk1YpWP3C/3GKtXisgAhB3JHOUGv3uwcc9xo786IXdWaP8xzesf++7DH1RHS6idIE O3XBLhsn6UQXg/yPXh/pUyI/sPO/ZRpBz80myqxTMgmO8EOWpftKd5ZlGhrzWXBZKtNRdkPGYkl6 Cotlv+b1AUkdSYlCzhLJ3jk8S/5bVLWcXKjx7ozOxtxWKe0Iwv4xdnE1wctQ/+Kx4k9F/GaWGFU0 AmzSMlBhpktC5Ee67ttNameDt1NM2891oaCQIJrsO70AE0OosMo+darpNvGIY7HitBMqor8lSZK4 MmhwtlsApjz0Y1F9lHPv/tmfk9w3Mlk235eE5CGP+1n/Btn4XcOdlFUV6RKsNdzVUU61luUGidMO xfsGdDt2CCkcn9YmOjXJ2KwkgKDZrJId5WrxPXZXVafg1QtpWWqeYHDiZfJmcuUU6R0s2/j8MhCr BHswcEoLo/k+uMzv/VkL0Dc18pt9TSdaFW3zainK6puJRULnQEsNy4A1Y9CcWPEp8l5KKBgCNCKy ebWKbc3q7X2oMT6Qf1iUwL/k7NfSvLIWCvdP8Uk4CfSBUVFfcePk502cVmRrowYs13Abb7kC60Td iO7b5f8HD6FfWaA+A6uglH76Trdhenm9LskBe4FLFMlYWhRedLscisecFm2PTcRqqA//y52iIkr/ 6m0SsyKy/YD7ZD3mEYCryJtt8J9CPGmFafv6eddN9R00bBGnj7u1a+6sz6eGemLSwyZU1U+ul3Ve sB8mX9QrZNx3UVTe/opAZ+PdevP6JoMKf3TdmwY/mtQYpObb+J7r/90P9TRQUMhWNdivuxJ08g9d egiU3yMbofr8Xc8iIaIiLgNFfRGFK6Nl2F5rVqTGywpd/nE0KkZfshM7N5xohLZ40wPSy+Kp6Ti1 ecPJG30u5y9ECt/Q8EnB6dhzkOP4gAFHWoUNPwghmfCCGQaQJcnFIUNmn9kYwxzSYbW4SvfmLAlQ uLyxY+92zxCJLJG22QvCwA5RnyNCfB60rhEHkRvsxT2wJgHVSXkN57FpaWz7AFDhtCcWfC2SbDgL mQZUpJNrBHmJvGdTYOs0gHOd5PwiH2dKBEr7Sj0R+a77XFrP6G0ChI4eRckPgdzGolwJpurVY/08 RwfUf4M9L/me5MQzjlmeHDIMInTX9UaB/sRrgSK2IyxB+5l+7QNnW6NFTshYKkOzuSRu29P1vOxv 9bxzVTmBD8H0jRJK2t1QTQ84z7hMcHw6y9L5PT1bqUNDIn61yLsnXdrv+/sjApdP1Z2Qq3BlqC9o oMNWAulJt4AxnPqbyfJE2jnF+pEQeovTr1g7XGUuziohXPd9P+d86GHI9iO85i4YJsrAUzrI6Lae aXAhIQ2lkbj2qUp9rVPfQdzIwUMiBm6h0lQs29//BZURrqC5EYtsPEO7ztrZLBM0gb4Xr5GKKwZA 386d9hJnIxjZpS4S1HVnUU8fdgNhqmyfhIpyrzbfWybp8Vhkzf3GXCox+oqjEudZ6H9k7Cq301g5 shClEVqDlu60WSMChLqt4lwZsYlDEH/Q+Ib88QkllG1ZkTGPc8bz44mTvbWk2l0c0K5NlM9Y7Zpc faJwurpCYTtEGHu05+05KZLRdEiRWGauxu6jT6jVnUNLyLU+szg2u3N/PEgCLfZdYpxC8txeqyjr MD5xku3hZVaCWl+2vUyy21DzzTgaMyqRViZi9uSfWFKErgCdYuIGdwVATxBITXJRdOyWiUrtBKUp KTMlBHF/BmMCUf94Ivhi9lveO9kRdIKAeLxrvUh4oZWeDzBQdYK6hBvxs7K7EDku/CVFgSwBHupD 3shiXRMU43Ez+/a9v1SbmDihpRqX20fGP8rV49bpkwRWfGuICH69OCghPPBu7kMjJE4Q2dP7incq +Vbqv0YrSm+VHKmQfhd5q6UpOH8a8gXqoECJUq76JFbRWPn1DVLxDiQM1wFJ88TwGNAf91vn/k3I TTtVwVmwDHJvJQwbGUNMbqUgPmwqsIuMUfitZdIrDU7eWVj4tqlxJiP0trl925xK3BLmFTiFqsFs PLj+deULKobJMZ5Y1TdyWSx+/ZjYOgpXuCVXrAVnXNuJWqHmnIpSulneDO4gc+aUAn4xQw4W/pfX yqOMLuCn9QGp0NKEZo6sOqHeLYKrSPMa54eNHNViLZJVBjhlW/0LYyacO1uAZvIR3UgRUmsUxA3e tVRx1a2Zsrda31AQXZmx24BUaftJEbyFbuUgBfLjKhIb+cLhgCx86IXnGdq7kq/RMCtUhdsPmhKz 2t1cb2ma8bS1FK6EBLDSbXfcNZYAKqRunegHc8xfi3G8uJdCeMhbNNnOwCDxY7gQIJQgzeeZQ1nv nNGUjduTX+dc41FyHq2e3DV5UACthQyh0/KEbGAVvH3UYsGtmhWo4QRMvJdqIjLO15H6wWV6+3uw J6dd7dsv0OXNQDwWKYFCulyz7vOCYL3jFsumrv7D1b6MEoWC2vA5twE7xrgQiTYsyY9cFvsS/CsL wlUwq++FylOXgThGJvOWrdbfGrXnwpZuEv+Qzehw0N1oa+qUftJ4syCZ21H6IgUJJqOMcg8VjJ3p YGa+h+MLUtzYHTl493O1FgLgkjUQw28txWudEK3RYcrupOLgaNCTaDsoLl86FrG0MfJ7lImrxRZm lSr0RiML+gnD027mILuCP/0UuDmTjgQkby7RLvyXbxseM+gqk5qAG+XzQCc4pnJPFUWCnSuU+VAK sDIzBfeoNpA+z5dFKm6qd2c7GzZ1nbl0BSMe8AqjXOeH+peixW56Ca+ZK5eX2kl37JwVL2cwguzc xDALFRqW0ztvu/QhKJpv3wJICAKeL+cZDaItasiq8dGVRagxz1AGYV3ekHo+GTB9bkqwC/dtTkGK zb6cXaZF4e6ZEGbVuzfSTtD7NeSbLbuA/zQtE3mm3ABFL+VyLmhiD0guKQQ2yYQKDzgZJxde7NgG wdIJvLzc4NedODZdTx4eKItxsE88WNyPv6Nx7LHDZ6+1tSHxO5csJP/Dht62/kyfsjsmlm3xgCRW JrBmF9DOkI0LSq73LNKMMHyV1sENW012psOUwM4aNzQ59ByMMNcV/KgZuKXo0EMSga/aTB7svMMa 52RYQL+uJ9DVMvr0jLnceD/zRDJxmqOwcVogp89af2kSb3jZzlhsV7MVId5R7tRUoMcYPK6txm1I iS++YL1NNHm5oLkoSbHi/p3LSEgBPqztE7Hdt8prYyJKdb/d+skHRWbUQRo6u81V04mSHUYq3J2B H3xauGYhf9kW5VqefYyP3noVRcAOWYMKno43TDV7SxD/fMtIDMhi7/islvKS4NuzFOx+q/MlBLve 98r4HnQdHUwQCiNym9gEEa4VMPtV7l7EviF5uBrK2sZgv1Dz/o3ahJjYHXtQ5L05CsnsFUNUaxhr Wdp1n5NKBPzycLdPfkJh/tfRV72WQEzSheRsqTPGgT1AfakwhDboUqmFDYymc2xUcIwo2eo5ghbA 9N0PAQGfjmnHQ4FNfV05bG/voQUUe1GOj4ACJCajngrt50B5kz5L4UIqfkx2DSC23ua+uvgBwNqq 06nnD64rDJ/yT6sDb/9RSrVVo20TF7i9zkNaplOWRvoN5amwaaBFNkHestlYN1s7nKLNclfMPFEl E3cqJSw3+4wpRPck1BpOhpr7pMXpcPsC4YJdB0ssJOTmZcbwwT2tVjlQDP2dw9oTlsNYsNBUVFae qiCk+7hrogvNPJc+TZS9npVXKj2kHTMgFwUi0smR7d3RJeEwS1gBsUvPbQh1io17u5U5LKnwUr35 QmKYVJqqVaH95jkbIKMN0dBOGtrRSdZW2bXI1cZ31EaxuSsUhr0evtRR6tu8JtfWiLxaDWBlKgO6 LwO6VKUjlU3FS7q0398+ZV4wqHRBYAZjOT6EBcwifZRIbSdYDinbIKh+7NKwssizPL31EgVn0Tkx Rvz6bGzYvQPL5WhQsCYP+ROHLOy5xuv13ILMCWV3j2WfMQN3ISCl15i1ZWEjcuvQjBkllzl6YS5H 8plYTOqwLclc0TFgrxEjM2W66+Tdja0kENX50KhnrhaOBgU7OlYdNSb/t1IsN7LM7P+LqzQqpvr6 RIL4f+Fu898CWeaW71fvzIGHChics2xpZkkQ/y1qUZutnCbpg1CrEuVpb2Gcex+Al0XOAnOWe0jI todX6+L/rx4ZH9SACiMvXC6H8MRxqaoxE5eoMNrCwirhhTpz/ZNAA3KDFxoP9OV0/xxgZ2rkY6BP /xBsju6o2lFzQ7urJ7LaVyE8bsMjwk4Hhl21AyJ7MaORn1W7oOrgrzzMnZqcLPTlw5EdOiHWDosV kK2Itjo+sLOf6E4UYkevZ5p92BODljZ3+HTDn3lc2vmYYF0cCmkcSEV994Pz034UkVS+H/z/yePc 37mMz1yyUauV7EO+RorMBUCD2Z4QdKZ00F6NYvBuZbvGVMp7yFRHKMOaHyrfKBwC04Te7xLyx/ck Dtvwsp+ug076Txp+Reu7bE7Izb1h+ui7C4DglaoL4ozU5I9XyvGO+ixGDFfwcpUlg1nGdWlDRe/5 6BxaY3L58gFOJpB1fKvE3auYfwpRzZcAvCB5zlZhpGBmY+o2aOjmwYBTI/rTzL0/DNC2a9xpNrRW V8LAbH91EwINk9VY7TBQMdjqGtgzj6q7Q2qYe2fRgUnQxMmWjot4gVgDtoI8BdKWzUOYGTAjyr/D aaUx90vdfsWL82rzMGkjAiQQD6NTh5s0hgUfIiGTPHC/fy6kcZCXCNCIB/3rSDjZiQSQl5bIbW4/ qABYlpLiMm5GZmLgbNWcTyWmcd6553sG1ZHZOqG8Ay+3+dtgUfFAQ5CTz8/XTS2oRHb6QxY2LeFr M48eZwJTJkNLrbWRkxhAaXQBCfhtMah7AaVCySS1429Oe4Da5CwnZIwxWdItowgxKVTh9et18B9v 9v5Q+pShTmdMsk/wjl5EHUAtrdt8BvCIBD6YZOvGjjYTJjutnLYYF4QGiNV/0VSPNEHRwGYEGrsl hX4d+kKZGEDVK+S4jyMkn56DDuKaYHSl3l9JUWmP8Bb2SU7onb2Yxi/WtDYmMLabFeWcHYkUrUbZ /Mj+RzyAWaOwHYOBpJlAKurjD1lno3azJwE3Ym2mrPi/+B4XbGc6KuhosB+OPOlJstmny6fK8W1z YYhj8b+tmg+by6MrxT8nzFhXDY1oCs2RKhLFzCUE99ARzzEBLcdLVocFX7T34FApTI/G1jAzjAjb eC76ajJG8mvKHg7nz4aXelgDb9NdeT2NFK2GNaukCkBzKDactWEWNYDdmg+TrUb7XcVtz+K+IRzI PWJRxiqrBZ5Sx/9CK1Tbb+rrJ/B09fkHaNceZIkJukvCZoy1gF90+uWGk5SrqX5EGW5X8JkRCx/5 3Y7+qQ+2UGufKpGgZiI9QRfEeKvogBorPhfInp++xrXIYvqH+gzOvySqp+H0JXQ9LCevart0yhKO 3ogcHCO3nAysMDLO7fZl3qt90p19UH6mszarNKFPoWjmV1zoePtrcvLECvxRxhqGlKv4xXyZrFmh zod3q5fuofZzPHaI9Q7YLAG+S3GX6eocoytTy4yT8KXIvUh/elVYCQm6v64nAqNM5q7SEA0eZQHg Fk1G1wcN4/y7WNH9M4VdUT8W7jZkkqbKuBoaDBKXc8BsUbxT/Nt2lBKHYA5HNtP9ffafvLbwdn8g BEGQiz6jj3lQWRBoZQh4e6rogs0d0z9N93OVihbHgggikN3qVKS44h4FWiCmyRDyv/JUVhIJVsx3 LsacjthUGh+3IOXJirSk9OsCXYyr2kKQ5SBPPt0DLuE/tdGHQUVBlvYg748K07pb2qxDmpzkON94 qIeMsKkWOPoI5zaB0yr/KobBIcLxRJNy5cHru4u4RZLLwDyTMHRcsxjm5D4cMCNfeG9od2SVJKrT iUyakO9lqLhY6cD0NOgnRonTB6m5euNBq2o77DTt/dELNkSLBeMjLpZEZcsKZZe+gCU8ZM9agOeS 1BpRVwUKhLOwdm9/EZJ6Vu/sSdEcvrSggo/kNHhKI0Cnfl5UFyCORwxfUa2ZqgcX/s6YDPhxn7O+ db1IPWxEpZyAFJ8zbZOCDTYCdNZg/QmJ8rDS9zvhsmxHY4+FFGG67cF+jLwWQZX3lX4XHi7d9vdq 562eGY3Yey8QSGpnmGyie+Eb6iza8oaiHG+BL/onk4jOw+Z51eir2iqC1My/xgOkAs7aIkFAJ989 jvSavoW5pQLXHyUp1qSJgXRqAuXSiXEDnRO27mY9ranzYsPEkFtQffmDqHt6XvqDHF6ju926fCvj hnzQ66Ttm8Yb/OGFVgX1QmBYAK7DYMpejqDRusRbuIFwEG5g/DQiCD7B8HkX19aJTDI9UERlXyv3 3VUalwPUWlyqMKuC+T1UL/mGu7nS/Qy4tTTMuFVFrAh4BOTv3MBiT1qCnE7D8yayXLMwKiLuDrJd cyB2bTwE9YiAP8Gp4ShVjPLUsOtPwfFcVG9AMpBcokP67670t+cWS1F/uC1UKQdtq6tuWgCthAoX /31yrvHIDRMiwDg4AFLWg78yehrfNL5h7+obY+OrZCwopkjaHia4rNQJfEV2CjdnRLz/TE4X4U40 AU+f18eZiOddnv2jVJpUgmHdxm/lcaGIswLmLhwD2gA7bKUbdEcr1JXXRQN6lnlhOwsIyjvIw/U4 ZuG5Ei8K9XeF7ahh6w8QjU48RjJxSwr9I++48CbQUbCnpSpAklNurptGY9srYt9ygRYbQdixP6hO x9Rccl46Khr+uRAeaigrTjElBPemXq6pT8tqUtT7K13/PiHPY1709CHWjyrVBbpuWYxI1tVAPtuE UA1d65GM3UBTKtdjwur3anZtJ7RkK9zsz3k+anhlwGWp91J5RGSYGPmFi/Pscnu2vzOO5vWcepWo plgulbsM9u2VvosN4auqHH4E+NoTnB26d8l+cKLzA7t78yc3jTcvtzXnsjF4SDwD7kspVu7z6Fls iRG9ZZ7LuSaoMAsJvsIGGJnSXWWhaFLcyzyN9hc52A2+f5Z7yJBpfMfCswhbye5ujghLKSGwlTeG aG4H1KhkqBwAaYnXP1nyQt5Y74FkdfNTgJ60Lk+YjcXiUd0lLACuQcsRw7zqehWsQYlbeAm/n2Hq eqvppQaz56j+YsUMNsKb5S951OPBgUyvXcDh0Goyu4Ar70jPOjy/8Ozk1GgYNBC5rhJe9nRBgxwq vVHCfUlKaHteYDtNaxbVHWGJ5/IIUKmwFljrsFK1g6ytpaxai1JhHjufin5zQmHHHQFluQALdsCB wHdW+yk4FOtHitFAeil8YelkUfrcMpcwC7R2iGdDW9sejt5JSVq3eu+l9rivEDzFEUeffRDB74Yc kuirgWTMx0QY9ObNi3tSjI4FR7QSmIWeaQnIQ9Zf/w2GgZ845trnjS5LjOUxk3b6TY75u4a81TlY 9B90ZPdpRQHKgbvitEjEYQchiPrjrd4fNP6UGXVRvnpXGPHC7Isbo6oZPTofOWHkC5cu0IlOnT5A L8SPnKTAibhCLZQsPNCqv7igsLfuznWAt0ttBd8KIBq48hdJwm7VFVbZrnJ3kBY3GmtO2i/pK7WA ogKSHyfk3cUpwMkyDl2Z6sqo3zFt4N4WiCesgEVqz1g2G+3YdI5GRmaavXVgg19TykKfS1gWFeQq GHKuIZEI6/oGbt+HQmMeJzjLsthJq0vgbe3pbhRm+REX2L5vmI8vxEqbjnJ5fHK3qexBxRJeUtQZ Gv8wDuxLMnY2NB8NkyDy/YpO0oxrCakmQ/jZCru4cthpI2EJQlxUJGEGWH4WCWCwBgWvvBCqJiTu 1p+IUMD0fcBbfG79/LeulO9csp0/z0jFyoaVWaz1PlP/afVAQKQKfG/85mEFQPBc4ck3IZ3ni8m5 3FW1t2NSK0AR6HA3q44BoL3mYZUxE3M1CpZj8FaA0XCLTiZp4nE/Gvy9HLr3Po1YFCLRwa+gjztP t9mwkOLKUmMmBnzG6kYazSz9Ev3m4uKK8UJLSiOsVkvMkcceH7mqfYhTrrWUhs9JlytrVYY65f1G 1HbZSJKjbHm8wSylW7Eu8UEqY1YEjdU5L6oA1ki+3R+UAjWNlqj7KGsY0jZwwh6b9eqvkBJnYG++ IAL1Wh7q2aG8Namybd1fd0nTDSWj1npWCOTvGTJoU/Z1MtdLKPpSlJklPBFiebfRcJ8M005wx16U uuaR7iUJTqVu8rh8Y+n/faAa1A1upR6geU3L+2/cb715q+oyM7lNdXxPBCBLvxh3b8rgtWS+tHNq wtBvJAjB4GDz49X6dIPUx0opwkBokzSnOrmxVuwhA1ADb5lmhgrq1kbybYNJDFqdd/XJPzMIHjGt N5ix0gzZaYqASrQWJe5rg86uRoc6iWoKXTh6oJ8vKFcqdGwaMySoRXJHbx87Z6913KvJh9Zd5X1H pfh7JTgQ5bkyxkdCptslrIDmpbi+qjxE5Qdgdlymh+shT7w45CuTLtIGIokr1+eA51uhucH7+wuu hR9nULzpB0Y/OrtEdEp8k4o9XTenr6Gjrf4dEr2fFcaSG3KU91m2Rcbl0OTfgTbgNgnBeObiCg15 KPvTDcV1Gx/U1vRLBcNXUd92kXw6JP9VIehOd72FlNCu+EOuyjxqPIXhPnc+QxmzoPdAyLaEZIuB NdDbdAKYSPzRGJLyWN6ujZaA+f2LMSXM7ufbQM7E2JOZZU5gFW+x0LGecVFNFvr1CYmvshS+cRr/ hJE+SJ4MloGxrwvfhMPW8Zc1Mi2PR7sGINYHP3Tt7YQCkrMwcte+vAjpjjE0cNPcWinRhv6wnaxO wsN5FL0Zlpv9hUYpQ1JIliO5mFLZz+pPYhmzjT2ZU5jViswSqXj/OQXX6Zs7wa7ksiSqXm8hhIqp qIwsSUtQAu9PlnK8Il8Gbe+fQjtt33pxR8AELze8iVvhQz6C8o2kEXuPU9Ww3bIm2qz8vFnriR+A 8JcwYWjHCY/Tbxij72axY+l2iZoAOhbcHAletlGWgBF6rBY8+NIhqRL86ZnGpWaqOvmty40KwyoZ pBa7yAvM3cmFXaIUyfyAyaZ20HVguzwbnkamEKL/gnXTtmzvTOKdEEWI6lQ9yryZ3wnF9mCSUB7X FBH5clBhF3Eko/Ot9xwawHB0Wq9yXdjZfERXHNEJjo7dAzppcGEprIDOJNH+7oL255VVJWHbRqbp CrZzGxprj8+kZpixJGfn9ahQRRaoJaN5Un37qx2cOT2iJLduu0R1xnakxV64hjq9QcwT6ViYKbQ+ kJhfrrgpqfcwj6wxT3RSXY/rYMNhCAGJb+BxLG0XlnLi9OjngBd7x6FR5tTrVy5k6K6AzkqSqscl K67hcLhOV50Z2PbigNRDPDetqpwFC22/gj7rfzkJHhQ8r8bNPR9sYe7ThKo1mTI7GG4tCjHYGQ/N TiejnPBYGRu/UlnIIpiDuQ5KgIzRbLQbtG7m13/2NCoCczLqhuu7JLwCjNo6DkWMIW5IubXUvPHG obCWu1zgeTgQLG88yA7aV7rbkmIEyPhbNZvYFaeXhPLOpSGvFsnBN2xV2psO30CecM06VE1GKi0U /LK8LdGiOE1LcREWlT2oHG330rzBT7RG0lVjqmBbnTssGMpnvHzUSoFYUABPfhtxQjaYuffMu+r5 0GAudXFP8u5RTLB2dEBIgAhVIqWTxUNzQQSnSe8T4uu8MQV3g0j6h9hva2WOkSsKywf2nGM1GeW6 DHAq5GoyNnilDDkgImcbKF3+lP5B+ICozrLnrbTn7i/vDVGnikM85nB0EmUuXEiYa9syr4VQ9mQ4 Tiu075QmLqI5l3XdE024aOxNN1P7Sz43P/h9Yjuld4Kwi3/U/FaWQRd62ZAAhnxWe+153jIVW8du y6oI2gsxZ144ny6BMMyPwwhLiLQsi4a8D6BtH0cYdYxkNigVJA54GZ7JIyEzoCAdII+LXm46nTvy qF42G5zaXU8QB1lRJOt7QRRcNiDJFNqSX/BS/NYp1K+UQAVZdPjB7tkV3LuPbtotWakJEtb5njXh 8Yup6PQkiGqn8rOj774VYKQNHtfKGuMv54cWuBghEpoUyKzNA7cxGQVGzcp2TMUy0k8FMzGtiqdf 6S1S6oTJEpyurCKUQ0obG8yfR0oYTkG9LCdvoyOUAVgq+0Kzx/O6YVk9+uM3B0JWmPXevyHlo9l2 qTGT9Ql1SC+Xy8QShb4IbWdaa2OAxpiW8gfuE6NPA2D8noY85q/q11BhOFO0B+Ni39XQaa7xozBt ugYTI9gDrZ6zbo2zVlsFusHfD6LGGN3r5SUYae7YEIHDT9IghZ8oFE/ruA7aKfaLheWuFxHD+voU 4cTgQSM13UPwfTYpKKIutvs17v5bAayXbNZQZOc2D2Q9iRik24EgVnBBNXEI5JyecNeJcz2xOr7R 7RTgjYp/Cq/PC2FqU5Ipg01P+GamO6o3gC88LJLVvq+KibC5O9ZjPmeW0mvMwLtPWa1vqMgPa92U Nom3EvrOf8COsVVKd3a7JsKdXeRryeaeK3cju0vYuaAsVkGDRKAoH3dy9G29UHpWAO3OBC17hGpw plAX9uXqUQzCr0muvPktmX56TSSgsQ6Tie2rB95LTxbfq+8aEoJPHH3Wi27E1pM7WXSoyuD+kq7l ZMB91+b9LwyXBv6v9lG/vEVYeg5D/e9QFxewBJ4MhbxDPGB7AmiShsF8ga7Hc9tSmlaI59z42QHt jWzHLLICAnShKYYq3gviv3X6Y4DOiy7cSqj/CenKcGqOxJe/4ituSmq57CdHkatlryb/t07aYEyq Xn3ROSasTucehg/SGqCnDU5COuyc7st1D6wSsiP2eW3JvJssJArq31sXRaWv0SodTLjBC/pnl4Ao o6TW3OKlwjRNVFDaB4deOGhEEVyidUcP7XA6xleXHp87wxX2voBikXE6rF5iZ2Z2K4IJhFnTvHvS /mXo8mFzryUS6hnkP8dwepPxtl7Yw05l7LqXflcTnJaJ8J0u3Dm18U3RUFGs4IwBSYNrpQZRFSnv cnrqgmGVqdxaDUSGH83ulwNex26d9ZvbrHDBiq9eFBrWSeRzVF48CquKrKkgxrkYerwvJV/pYRpH CNMMpW1ssvwq+5DoIUVeTEPh+WgnTalKhP5S8B3mwKUGjV31oVVO9GTOVmiZ86sd+ufvVyaNbeq3 ONKv3GKwV6u5RABsX50BUBtikblrXaeu0fBuoaBIAWpIXwhezVG107Wdrzc/+RubnppiPVW4V1EG S/0a4Yv+K1YCEyb1gQdTkNycXBdOptjzh6+G9iaXlcn0Wnc9bedtGlGtJGu+wJGuDx2a2mRKFMrn OWRdQ2L7JeiEciYasOGn23vFGYHkkL/+Qr5srwN8br0wTNu2zh6dOD1MSv2gPUc206asOKw/b0d7 L/za4spax4gJSINMe4PpkWzV+IrDTc7GG/M5TcG6Puq5F9zYfePWvOmJpKfyz12irhLtsfXWFKU0 TE4b8YjI5kwx2qHrqfyOtglu0YkHPlSdVDQo0JOZZnz99d0yxfIvbSIYhAOYy1JpDLJhg10crpL+ dCEmzSlXvcxyKlfYolZIbL6xRZ5PBHrGPXxMzzhrh+7DHGMtxs+Bx3K+SMUbG7KnraQHFflrAWer dLnzD39crwqBriiUBMM8RlpyFtCfvjlpBFnM4nPwEq5dk8CZPknCF32Rk45tuAcO+pEh7jB7EhaR /hWFw2fd9fvORBOEAV3U/cvxZGgWTen2J2gWrk4Pm2JPWlQk+Zxk1h2o4VfZuPI+ft+Vh5xkXE7h w5JvN9eF5w6SWfxVNzvx5qjthOLm2PlbnyGjxoFM5en0oS9WUKIfBSY0tp/qbXTMDmMKDA3UyEcf mIJ07BaV/CBjFh6u8e6HEjs8xL3mtCrGvuZ/N55A4rDxsZXfCDJFeGiaKr88oadFbmsRrRgGWIxc /vkpsOOLA+TqiJnzRmyTqf0vtC64EHb2cxvxeKKdU4cdt7zyMhUEvJTlhzLX13TEcw1VLrWj736Y 8zl5oggx/KA5C0LUPrkpkywIT2i1AXxD17JNepabVoWXCLbEJxFjDoJq0dWCFtdTedApfmlkvqRD fkJwZXa/2y0QeNBHSgMVESX5Hq1kJ1j1PiCB6siYB3TrZT2lmQmkx/y0QZHlLuUtPVtzB0KPy3Lu LuCEDankdquYL9pl28J5M/DmgaXZWcIJYul6eJH657xlA0zEzxKy+P4H2jvKao4NyS20tQbzUFgc AUyUp8SHggS8gQmVkW3e7yURvtFFn2Pns9MdW14LqTjpAdTAp9kd7y+tvawxMJktiZdnX5q64mbW V5WkkT3XRSwx1sCvtMRiRqBVw5lsxGT4cta63mIL7aJu9oG07VQK2CeZR26nrhWl/W1jn3OlI+yM IGSrmHswbLW86zD1rmC9/cnqULg9o1Pfz0I4SrYg4IECYut95QDQdZYZbuz8ugBJwjEVchHh8cvb xt2yDpx3HcKVv9BfDUGTItFydYHxodcimfxaqgx4peDwbOP8dvtcPpSYPfEHNxHGwRAfHRE7JGV7 SL/WEAcIMA3xu5LRgz7UDT2dB4e0/tD+aZ2LBG0alSGDImBHxr+/ZjGv1zADSm+AL+E8/3kF01Zc nIzQHJWKgU3BRKsyOxSjKI/rbDnYLCXUCG9tKrwgfrAgvUuW8mdo+Ey1/jjzGfD2PhzuBd78W84C Hh6EPU9lTBNDqPDWo0V8FqnaXXDBUfyWDtKIJWwTnzWgJOFuo0PUBlyu8c4X+ta/yMfuzWAvCMX2 zp2EaP9jYKBzuEVg7bH+voAFdJT/6BB973jnPaNDJUza8rcbgFMeKKbw/H+knx/9Jn1J3QavcuVf AUZha0Z63IP0InVJTwcaiSWhJ6Y4fkJcvtBbsg+tMtxRW+q6GSA/+I0Ev62PGKWu4VDqf2OxHGJE u4E6slSg4NpFOakPDxuT//3WEB+usfYr9wcCHN7m7i2bM9I2SzjyKIkSp5Swrnfse5EmqY7DQuA9 v5XY2IQq4OJ11ML+41J8oDwXjs+mv2rU71250qMzPETW/xP+dZPkecnq7Bo1qr7H9gmngyddBGmZ C//s1xXDP7w3Fui7I6tWFqQl87CRE8KAgTHuzLP0RnecvZqI5qkWl8X9qavR+yPN7hQjy6/RZVyx IxYmKvojPqp/7VMMT7IuZKkUKCto/bGVjmnz1NS1qjWHqpBcg7lR1nHu6YP3HIkCAXtSrFM73PpI gKfwp2J+6CXymS2x2tQs6c7RFovvn93LmyJojEhkWLqDBOJgN841kFN+/rKbTCtwkSfs2ZxBiaDt KBKm4Y8+Vt3Lj05c2Hcvouzl7DVY1sNaUuHPhLSq/ZHBaccMeMquf4AvFenHcpi2d88PaZJ6VWcY yLX9aMHqmpvJ2BSW/XobtovIa+ylNCdYnSR9y7W97UU/zZz0c2BKRFc/pshnPj1hIBz8nN/5+7V6 7Tx6K6ZfOwmarMRpLp9pdi3qf82dCvFGsrqNlS0qiili7fWnhaRCCwl8iLwPGsC7F7ooFLASStLw k69aVyFDyR7KVLze3EyvUDgxMQeOzUP2Kghb8Ltlfmz1lREmR1/cXm7sw4DOrTTLgHBdKRbACkFy 6iuspYM31+M2odgVbSizq4mH8GYkG+GsffSRxGuvX0ptXNllT3y6b8S55QDLAHP2sKJl3BSqhksk FS9s6HZUBBjnVcLASXYmNLYzB5Vzxkgjo/MfFmIuVZukBFwExv2ckaeRBHbKnrVZV/2t3A75vMcO 6Ptzf4tWVK4dCytpJqf/ATPNoK6pOPvzBtDKrvu54lQqIfM3jDjnWP92XIUeAsKs/OL6rXmC7ES5 bUrQ5fgpr4yV862p+Y3hp9sFrLwUDzADghc64xYlP8NsYbvN8WkcJTKSwoub4fmRHjr0NSM7B2iS 45BtfC9hNNoxZOcC4XWy7WXUhruqMmUv52mJ8L1HyFfygHnJFAIzJD95Rn/dlyU2KsOElooGsVSG noBwAtnB4F1GMiRRBpIBoiRJILTCbxT10e5YNpfME9FQaPsGUoBygKZeCs5ktoZxbbQl4taz+Z3u q3o6eMRMbyVJZDlSXhvJ8QJV3xIDmbVMKE4EA4b2F7oc3EzDVUTFDXUeeFUC9bhyg5Gif1bUDLFD 8wQk4mbi4gl2DBYWcQFZ57nve95PB4ycRO5XDDn34AHrexO/mGhDzfscz9Z8Z/c4573sQ8ueX561 19oOAC46MBPGl2UDMKiRosJI+9ZqHTy3wj6jg19LlAoQRQcU25MF8tzfxPLPOabhFwh4QLdq5wQc 3gdvG+0wcTGlgVZa9Z05Dk+rSD1ZAHHWSLFQyMxggraNgyNsq6fg1ZnkFbyXGCZA7Vh6crG3iA2f +gdc7Ut0spXIOVeEyN1HMYZb8sbzuNToSLvVz2w/tKsollR0f4vHDqNUdnmt1UDAxrL2N3lgHD5g AyRVHe3XRRfLiNdVgjr4LGQNvaZb6v0a519XcqRqKMDKF1sfom6uMPZsg0SDoRP/kQKm5dC+Y/vA ix10InvVTOMCsG7F2Xt3bxBO2FzIqO3VQ2hXJHFjJ9cueyUvFlazOjoPSWT9OBrE/iGqBECt44Hq JM70fSoJFKLbs2/5bDsQK4+4EOss5Ad3Y9+p4NiJGdY+/lIhucYeQIjoNW+ZtFDx/y2ImvEBg9AU e/Ma525dzhfIMmq610qpTAVCnQcG0vsuiQKZDtCDWPJv7XU6FiDs293LiKjDb9Yz3BRtFiTvrVf7 gN7DDqB41JCXAvJ59TsgYxyFKd4GrtC1RZ/OP3aSQsciv4GxgaKz0MKCMTzz9WjCKn1rEQWFQWNc FeCz+SDaVT6SoLWuyz0knTHBfe8yaCNYBoDhjsBv0tjRGL5uc1cvdNSlTAztMsZIq6XcmL97/Qog Yk7bBS9eUqyTvKnnT0oucztBILFNEPvBGrn07mNhzQct/myfNDbb8Wu5qyTYllz9tQhlNkOBE4D+ G7BY+0zCaNO/luioe+276gwsdffT0g3Y3ZCTEGzli6ObN56fKBvb+qpuJQUrJWaGVVdPT3d0iyFU fKV3JzcWY/Kevf5XT3SExht0X9cuzf4yEW134+NuRtfa0pJ6SMP1+wq41R+FBnja9tqvR94ofy2q idfc6lXvDXYUOKQtMq2EZsopsKlJS0yfE9eQzC+cT/tskV6YnG0t7SY5cK907idjRFUSpVsLJeOF 2+p6YSK34sHZz+8LaJI+FpH6U5vHXOpcFUiohzox7/zdi5r1MtbdW3DPc83nj8mwWDK9Ok/Lgru9 7wD9vQwOPNVosJ6nekLn5G9oy27n7/2NLQzf8KYOJGRYSSJuH43TjK81uraB8XhJq010xas0O1OQ r0a0o6Zpb2bpCWFt3pzkJXh4brxsY3Urt6lkE3hhnYRGS2JRpXV3LcEAJQqSHs1xwJYDAJwIlpu9 8X8aaahEylKc+ic6zvLvK2l4XeyD5hJZGVXtf8TOKQYKaZ1l69Ppd1hI5iswOJaGlNjzQYJykwxd CBsvonsGG+X6FVDkL+SLCxP8PF+VmcgVwJFzG+PfiUKU6BlMkNss3CPjleBdZ80MWTtOYQ0fm0ho uN7JWWBxYDrokc6YSnHQ6GEjp+V9w8fuybiGj0LtZYVE04ZDb9fmH6M8VU092yQXyiv7wRGiGZdi pd6F+Cf8xD3frmqsK92HcUGhG3zeJVGaXG6T2usOUkSxq5LeQWAUK5UTsvKEB9DhmmKeM1rdwjzy BWPLqnj8PxU0KP9AL9LtzLh8KwCJ1NQZrKXjpfU6W6xBR9MXAr4dTAbegRs2lDud9qYwojT92akt rh7uY0u8eZ97zgm5ZwbHxV3PGmodt7bmSvO39BdD51QIj0FejeQrJWMAAxVBRHr0Ma5lAU4praQT pKkZaW0K3jYYpDpxePA0kMe2Y7yrhFX8vMOiUL16iSo99j5yiA0ESTAKv0jRxsEE4dH9CQpANoS2 UqohtO69uwFpiBvjdpu8n39A/R5V4uC+Juz3D/qYGxTy24maeWr+br8mVtABPY/trgUeVwc0JNPd k208KxCnlnNjGQIFSoU/beFNvJb1dzKZ4s962/yIlnJ1b1GNCAB06Tvrr2ALnahuk+lisb1DO1bR VaQDTfq5xBBknmBqqm1pNSA6vKyjeyAVjW55l3uwR43t9nq3M11+raZLUfE0VOrfXKHvV+PSga8j jrdvTgf7J71gpqeoTf6BwzEoW2lBRG5BSZSiJsqZN0D/oGCVL7UODfEAF67irhW7nM5QQr2UcLxn l5uc6wLPqRX5Ey2AcClthpQaIKLDhlYyj1L9qrEv36y6ITHepLplzYEDXP9qftpdN+jS2p+kVNjp Ks7GQA1cR59PsJrZlbgi9aXSOvCh7hE1Tc8lfqVcYr6IyK+fOkfJOHICHDYhI/YgnGaSl3dt3KbP Vznj5kMkcDRUVWCdyJgzWTDLT0pTNYIM1Dl2naTrV4t0v65JnKFWxzJhNRozdhsT0KAAJoCjgpUT w6oJ3tBVohtHDs7gCQdMgNUbQ4K+B2Rd95FTXlgNxAWqaLgzuz7txg1o5ZeEPsjpa31rC3SYmP1l YN0atLY/tw8bOWDtKFKlqXRRCmTZzvoNUc7MamR95/jDt3ELHL+zy99MSnOr4at7Igy4Q5ePg++R MSYf50bfE+ayDLMyMycAJVlqD8cnou6VdpvyFdKKLlFP9v3frZNFexKH341iH1ItfxUxvIFaIp9q yyTUlOPkLIWL+x8zx4A5QE8BLBcPWGpbBi66u6RVbVEGe0tp8jyqUTNgxpjSZnZXiAaOfc/IOmGg fSfidFyzochkIkuYjUUJQ6SpQ0BPv/NAJgmk79kvki+AAhi/PfxbL8fe1IvvuRyHfjo+k1U4bSxm 9Zw3BKFAEplJDlmLB8yDsIhk29UA7PXTQ7evzc0MwM9zVAKk24n9VoLRHOUdQPq2y/eLxP2McdBd 82GOFJV27yiHDv5cJuMUHQlB02J1cr+e6rSuxVU+if71rKXGfcViMZQzShzw/iprUS1V5V1Uf/mZ kTyBCwlk9+TvCX7XdCGnSj6HrnZncU++DYLWxDUKxiS8FEpUcDRNwQ90IiEb8tvpgnNuDXXzehjd xUCRoGJEV6ipY5e/jihlRSf3lNgWQa4isFiHEYvqBWPH+DJmbsUXXNuNOz8SqtDM9Vjb9gO4B9Z3 nz1CBxhpZTUxQfETVT6IIoDluAeXoljElvxBKRicNsHBwrkrmEbvMaSGB8ONRMGRSxBu++R4PTY2 H+z6kboWp8RZPLedCTC0qlT7kCApBLyp5xOJAGbwA1+AJEeyEnRsCjDJJz1f2LfOf81r3DQ8ahiD 3vATqebRyFhXZGUDNk16KRx+MSlbrsT7LrxQ/x8MoKVJ+aNLtV24W6l/TCd0Za9vVzAmSkiUklY5 KvQWdOqRtyq+FkjsIhAFKxrb+PgWFUbL0yUK/w0js3po2ZW4VQL4rssEyubaFAoAhS+hokU3S0j1 QmKb7Xh1hieKfIMVFb1ojlTVmBKKXk0Lkcr/8hdbSHhMd/GIGILOhQg8OQ0CRPxt34cyNuptF3Ni EMNSD5Rj9AnG7Wu9b8VbKCHNrOKtNPBTEx2piTyeTFVORgRXb/kzaXb0fD1qdVWJAxDtbt0Dcvhg KHXzS9GhUsv17S345OUioVO/0oeFzMRIzk1gbXtbqt43KrGjFAqBvH0z6JbEtLPMyfxkBNDTHfCN IVOFxxyKClIuKSKYViwLulkPq+MdG/mrdwBWDxmGorvhd7L6mepmYzVhHudEqP7TtAJnfWHIJsD5 +iZWmFtFSV8Ot2u6PZHam8WvLHo2/PBLrOyJZpS+jJBkzcArZz1tKiCrAxRdE2bK1+QHhaC0bTmP qEWqzWuje6F4VLsZdr0EqN6+mw/TIxNLAVepBkarWq1kAj6sv9dHsebtvZBms5N4yFiqTJMrJm7i yBNp+Kk3//ZwnY9opIcVPNY59SbQ4reurK8DJHseKlOZBQFrjVEg+esMMf2TGSVX0+aKdoyOyEeM tmAy87y1wBkslmgUkIXOsOyUVJNROoWmO7PaKIju7TpausuKlLiMiEq0JHJJL/IvhL2KiMzXZSgk Ug2PTCUA+qqUHXpU78AiMyEaRRAsJRj0a1IsbzAQC0EQBRRXOAuPy084IwqVYF39XkcdbMETURBC Y2X6Kb0L89pMUaufUFPfFru8Dh+6FisoqIEGH1pBQnQmI5+OnfC+ob80lhl9xI1Nx3df1+wYDSCx lrQj6oYMuXfRicw15UgJhM4qxU0M71R6vsgejydcxlbQn73kYYQuGutfuGKDeAxlwLDEj6obx0z5 LHRppjPfmovCZi+C+LBwUYZsL5maYj8PnEdP9i6S+TpA0GawLxlVETwPWP6QlUrOP9PBXGjiQT6S ERTxusdvi6VkIXyov46MqD5Y5yfCu+qcKo63JCk5hXkkpTZkdtDEeLA8pLtug3MTA0dEpRMWyxvA Wg7OFYviyX1qozc97BoAui5iHrP0To/cjDA/pOLBDc3z79AgPtSRaxAHhJlXqKepvj1jov3cvS+i b5sO+Wk6FR6vw2xH5Rhc+fxAtqnySA7LOk6ZdkIMxm7zL9dCbmWi64i5O1hMhXt3BP+PYcoPK1qH S5xi6Bmvghrozb7b4OJr4REiO+D8F2fOROgMdkiJCMp6lT8Nl2+deRh8qR0qCc546ynEh0jg0+oN +uOXI0+v7SL+6Wp64irJa8Kss2XEk7YXZDIOn2KaiC81IatqdTNXFIs38LzI2zOBCaGdXVqcJurz 8fT04fNUwaUO5Vm1XFbOGM0xiDGMpehCpPHpBps+w4Ccmlcn1ubxGroFqSz/rYIoY5YfaNzc7x4U +wDDUwQauc97aLwoIhS7lKHUQQu36hKl/3IESwNE9QX3c8dddd+0fSnRCrTy5YGrPxYDMGvKl5j5 qcU/qOGlrnvC/mOHlaKUCDsHGudu6ObrgN8Fn9uBVzGZKQ2WVZtGWt9u0vkKLIS+soDVvo19ObxA ajuHAUhxkbHG8bmUqnboU5xku+AMvikfiVzzjvduDD5NJnNh5BgKkmEaD71mQBg42o/9Vmc7WSKn HN4mf3hEZ91+M6U+tqz6mBP8odRXVnzph5M4k6L8tImvQNBYFnHyIf+4x5uU4Ox+3cMP3vA3YLYh UaZwgyz5OhntAC+HLC1tbjp5Gd+Eivkn9dhLi/c1ug4TJN3eftvhz2htvrPsxJd6Nu0/WBYG7fKH 6cv2P+YHGDAicMX9CWtNhPebpnBkeLYhwr4dyzrnWDB0ZueYgW3FzFsKWU6Euls4XvnJZOEsnzT5 a+KSDZHnZOw5P1BToFMOSM1FCxe3qL/G9TpPwAKiEm9qnsVhxYJvDcMZnVTIpM2obBbNB8CJNj79 xI1o+xWFrIzLYVDDc+DmjDpVpBJzV7QM+YnUiptIYWiw2wGMyFVl1XxyA4GYCqXrl3yor5R+5tpJ oI8YBU0rwgUa86MHgUKekXZI8oWmVLZjIyXHLfYuT2pBmLndtI+7/MgDN5HwMZgQznVfZHhauazT ffFP/gdAjcyrPN165fqQaSt7P9V/evf9eD7Tpnw2Ndc9qkdSJKIQNjHb4PdFHhcsmcEG36BIP1oF Dq37tVJUyXbL1KC8ENC7O5tyANPEtbIXGrlZcpvH8BeQYODU2LQOcqug/VW60SsAo0XA0nNxXQuI 36T78WWS2Xo/EgD98Jk/qi+IYh6eVVgbcMKJpELCeJ+YyCtqosDcP77a6lRx8T3cGUpUB2Sk+32B vg4ZvuxtOjjMBk0zBNtQFXEkXoS6AuAmDj+LgMDQPH/9rHQ66lG9DzZYUg6tVA80xK0FQKLIh9mI atNbFy9/UxE0y56oZA5Ky9KsRJjHfbZ5AwXR4Y2WLSPgZTUc803JAO+UZztm8EJmrW3SSxli+FXZ w1CEqoUX4nx08Osq9CXJZSIGZeOe58qj38E52dNiHEEcXCUlZIsPyUpL4RhuxoPYf+Dw7+ApnOZV iK40EPQqbdB7VvNmerLxIOMUt/Od6X9gll9xv9ZhciYtFnFSpXJz8wfPGcM/Nn5E8dYuEDbGNgS+ 5Pui/xCVM7oP1RWeQxGOhSFJjmfQCkDRLQFUTyo0mXanLU/nVt1MWYoDdu6eB3TpVORgIy7+2PWr mAQLH55/T7W87h4175M07IDeY1E5eMJHb6/cPwNs1tFHVA34EjYckKzR5RLHydOuFS8weO9GJBwZ L8OOdvjofuQb+5Qk6wrsHVdjtU8WERwSwGdMSEojaAaaS6DDK2HtOcPMYYtEYKmCV5yoVg0j9dkV 6NA16quY9MkYKWwv8E9Y0+iU+Ck2BYxHdkzgSF4JajsC0AuoyseN0EDFRNuIErLd8P5p1scB4dYN 8jy56rYe3PgyauVkwzRAAeU8aPYMFqe9Ccr0wsP4GCTLhquUlnx22T88b7xVu24Aq4Re/o2Hyaqm aylMJj6AgFXa/L2Y5a6SOw4nIH8a3Fu4xCHxTWZRnR3XYhz6eLObGyzPouTcXZBasFdQpGWQSa5e Ghdk7o/lYhkpVQ3OfAdBIlUwIzJ6dj453OBDOqoUb0tU04n6/T2PDV25ofFBDuC6ucXoY101WnUK u1VYnBhOcdPtuFLoJ6p7lR7xm9pMROKwlP8b9EtECsHGZRKy3J0JlX15tOsD8ZvMy7ap+lLFn22T 0CmX7tq/d7kYHtDXXsDsNgvpJ9k//z4vOq0nO1CTnLWqqD622hlz5EfZg5ccfeckA70FZcPMC40X 9KMtspJQfxjyVWO0iATu79F4LKNI4otl9bZwkySLXIMLfYaClVbGTyLt+cLLnfiDv9cfHnHdnFXj LO2Reilinc4qVKIVzmAjzuq//Eb+HaQCwa8GaX0CnIUrcOK7oUznkqIa9OVm0A6oMbWSPh5FuTnd hPX/pUAzVKNVK8QJYSPY/KetYb9qdkIEL6RzkxPNUwjhmqTaceMsz2U3vhmsDn4D2e9jd75493rd LOUahU3+9NDS0FJpk9Al/aalCfTaCRpcFmVLbt2bel3XHrEwEzu4xHro8OY7glc87S2tD8sePtGo rJ0PAz6EYQC8VPWFURCiwRv9gsQ57YwRrP7+JMkqPa8bGYrPfxKKdNMl0Pz2/xg059HJprsjte/r I4zMpwldD1eAwInb86PXZBuKCzY3BCpXAKAj3CsTYgPwd1R1PtXy3T2NatcDEUi3dppgEdOKjOFz qfCvSj1rjmuCwGH1YEXavnXan8/vKXR98rA2fgtzeBaiFwb3s0qU2KKE3uRNWVdt5ASecQDPWxq0 uSlKO0Pmt9xs0eZxDt4piK7DG5PoDdT2tiWaHlqL5RckcTmqUwU2pZpR7wdMqvGry3dGl8ygXfU2 N3ch4j4PF3nus3Se3JG94ZsgvJaoA2XHjTRM9ax3OMCYmUnrxZjDCpUmRRXf4qODAb3yqmh7C+Su reNT7qNRV5IzR3hqgyU3jeCDAwOuyPcsUEIj10YaITgU2jNwGDDkqw9GehJXN5Poewtky0MiPhdA zvsmHrfpcDGhyMovxX3b4BP1c4fl/yMd3pCiKmN/QMYPxrB7Uq1NZwmucmaqq4A5NpDBmLT26Zm9 5O8WSdIKIleMEFJf0SveEiz7/N4l0Yk3SNd+7CbkwtK9lrlRPnaaqKtJoBXgokDSVeYnRSdA+7Hg /URQGKF4oxJZw8oOMupi9nlPtZ3bCmpYmtgHtCJ/wDdLATvI0Z9Sfs1s0Ihj50heNhDOD68J+SkK rAkHL2PC90XZWgaJISuyHCKH9SuoxeIzdjsFQHBH7O+Qs1Be7EZQS1neMhZzT1dvZT7FR67x2aS4 +d0VWsDPtnIiwb/ztnR1mPYZ0HOg9jZUEDEHUkRvn/UFamnqrxO4TUxBxIJcEijBuqPqkLkdPF9t YCI6aV2+L5dmGmBeeX70Qm4wVDwq6b+EIHJcdgJbK50qUOPQcLF53j+yv6wxKGY5XKNtUTgJcGMW ZastZodpMtSdCdvWTdoO3zl09NaR/t/B0MVm1JWJSxYT4A1lML3TAYSjJB01hyxxfDrdeURT/bBY vpIeRTCyxPqDffStAKCp9skfshT/l90JZuh4+ke3lfJNgVmc8Qn7LoliNu+zgb520oWj9CvNkGnA iAPsCayOBJpB9IL16cVPMxXpjCtC7Q1Sn3FujUamzxwKH4aQ9waU0N1YiyoFMBSvG+0jb3yxFCSh feTCE3tpI8ngnnx5zyNZmxp+kcg8uR/m2DDwiKWwhY7gAreFl7j+p9hjTGT2J9siE+ypcxn7+u6D mBaeNomrdFVV2FUsP35tMLJZq07ZHYm8THzkpd9vFEb5RDOVkUTtT0YMS5XV7wp1LuFNjgT8MufQ a2oQr9pMPNyqp3wL9PrTVaPZHM3BmFPw/S6272MvOp8dEAr9iZs7CXrY7KrlChftLR+g+AwC/uU+ 3g0GGDZKNGL8eBnTbGp7Lqr/P6hKRJttIRKNseZMqxc/nJ+LaPCDSBhVYPeQ96WZEclbyKMktXjh ka6WAgXv3cA7wcHd5b5lTNC22lqlBzTWWrO3DOLG20pxFSoRMJTO5fCauDU01TgAvuW9UnMdc1bu MgDNO056CZ8fhVZBpwd0UaijX+oWKqEX7k/6JLouuXWK4rXj2KY1lXzpYDuHDyQhT9a+6uWJxw5z Uu2ndlUR5B9Zvsbspx3mrxrMcQmvdpaLa4O4oSh1RS4WaBZwjkj8gNXvBHITvH9RypGs6xd2nE43 HQ9TkyjxdmEoaoBordKxKadZuA2WlK16AhWuo2v7O7CDFKeFuGhiKeG+gCw32dClNGJ2f7Rjv634 H8S/Huge0mNWpMGSkKHHyGnS4vt72xAc2c5RU9a66azBRaKj34QcPNbiflbsYsjY1tyn5UjbyGxt ap7RaZEqafZzqcQtWndEBSnmzKzgA8/8V3AGw7PXOCuX7ZHzhsAIeRcn9vThdPPk4xoM/sNGvwO8 Cgq3iubP3GmQSofyYqIK/jcmkoNrnHiV9RrupO/4jzGr8EbSF1cNDTpjIRMhO1QkzbQRREPERiED vZxADB6dKFO8Db4IpisMaAqc/XjxjogVoREjIHWhGqcBDHUsb5gnIcLLI9G5UEaU1SsDb9h1ZFuO qoVLXeq7S6HyubpIxs5KOaVspzgUc6MyY7Sn8WMx/8zgm1D76DLQVJjlwzudlop9K1FpgwC6OMOM a7aIfMATGCENsmNbWRas1kmbduGSKaI8N+KPaYn0KrEMIu31M1EpS3YjuQoAn1ARdRZbyAXVhv5h /i7meDcIQ3zDt6UyBVUfoCgFMKcsahaDCy7lDBOqHCmC+ihQh5EXaSfQvtloxYF8fPYBD1FG5qBD BcKxZrtNzmvmiWIPU8rkKFoPL/1RP87WLkD/Ls4ifBBFrqYDg9Y9lTRhgjGsUMVKgdTrkCoPgmee 9DRfNeLDjgwFwfT7KGbMGbf+cjtVSp31taHU3eWYKmaPN95K3G11PFb0KUm8RLaFRUz0qD8Tjdjn Ilm30xPL/d9/A8xMqdvwTXTFdqolkK2Gx7H6j3ZXHvPqUxpgeqbsApz+SIa/8MS0QX+lB7vMdQhG Bylq8aF220Zaxee/rJ+uo1T7IRQ23cbjBt+fypQD+jAAynTgxMwvLPo/vQ1FBvGgPsJx35lkSBa2 L10VW1J1O62e+TqUQVgyPE/Nto6yUSKlg353QudHsP++nJvM82Pf5lg3dcjhzlOphXigvzJkUWEQ KJxzKKrFeyZHZaGG694U06pKhf0f54HSmyd1gfxpnpUQdPYys9IxHG/lNS/QQcSEQ/B5XUAaHHuz TKJu4Fjw/iCU2BaGL3Uga0lY4jJB/dKP2IyExskajkBqC3WypU3ixsrOpiz5b8ekVRDyQ90elB1/ Cu0BoCeyQMCLwYIbTjLiOhHXT1T/XIgvF1zKsuQLjTK/Z1mlY1GmMvdyVdnEgAWW38XbztQPLDTT zbjw+NR/pDTmrEHv6Xh/BDjpo0vz2snTf5kZbZyQY9pCnbmFvD3AM7fom2t4dG4kA+gGd9rrnSmP vUcwE+xvqbK7fYaV1VwqDCb5b74VmmAKUlB3bTnxZoo8NRJQYBIHmD/7jIPMu5iD//0hsvDVBZBe OHb9aFP4AOij6rdUJYmAzoO0rzi/Qa0ZGhuvcVkDmk27gn/R7KQaRoZ8uIzu9ne5QPj80zLne83p 1YE3y0OcatXwwvD3zJwEfSqMg1y0luqcY/kVOrLHPyaYcv72cN4/8nLwsr97IiP2vPgHBdzmWzeE tsjpcQnELa5Nse42KRotpqUPFEs3w1IXN3dZUEaddYYndXFXOYm0DL+CimYYB1KF4816lrPmUfmK GlUMBmxE55Nsk86AEHpMY9GPBsYz5JJpaVNlggYWQ20y1XYDZtJy7mr2PCVZcy5WyCEXnUFGmkOl 8y/g8+WMy1+leryWBeUw41Et47CJwHd4DAHqdiMoZl7nQ6MbtFkd8eu5FRPvExk48RQH7iLixVta klQclrO9xeQqDvQTv13QTNRp7wAo0ayQ7z/EV1mrN9P5gBkzB/74v2ZaWdMdb00T3X/V8a/jGBGB NPbQW6Z4QxzvUgpBGvpzXIXkV4mOC0JOX1bO4FWhP8EXgxxDBGQecNNSoYr3aE7uwkWfHZ5QML+X VOOP5iEon6kV6le/6CMe5aLtEb0p4PGNNFG5j4roX8EAzBsSS5qOica9+QcDMU8Lonn9XakVpA/x z3GxrEhufDrZ5FP5M/5Mx40rmRQ7XlzkYPHcdnfWbFqY2+Es87bBkhtspnl4pc8rYMhfYVtGK/Fq z54ZeWvHhN3J0LhaMrEjJG4AdCA0Kc75/0wCC1cdxMe4FbJaci0R1JGciiCk9bZCeRRMqYoMFNGT TiGEkCd9dgTsQlSXHVS/RU+D0wKiZEo7A49S9QrY4SlRc2A3fM/gwV7nxKPlxgYizwSibBoWdhVE VDBgJML+LIlkjvS0OxvgyYmiMZFguRyxBo2IPAafalwx8QoRxifbj+VumqCITurZP6SkHGCUt6M9 kkhBCZMAGLAH3vkjVxuuJxr0hKrpdhJlnOOPVYSwacIdKviYki8ncOCpae64aLrynRIOdqe+NFaA 5VKcZy8ADpUn0uuMEoMi5tWu27ejJmp1al2MvcchvHdcZoq6kKV4Uauu8WXcKVEGyO/nMyMTx8bh LWVUPVPMausn12l+W9LFkxxXZJPVdkdSF5Eqi3Kj6v4JInkrBMDuuioUGRNGIshI+//AoxcQdc4T 9dzsOmHeXxiRTtYtwXSYe4CyP4kMXM+WyqXm3aplk84ts3wHV5PvYJgX7ci3qQdv83aG0Wgk9Aqx b9sdHp2NngVzzlus6iMldkI3k6Garj3Zn5HtjkBGK79qXJz4Y0NTDp99TRdHCwkfm9o4Lup56g7t ov++fC6lweGwkcHknJb4pQlbpMyzCNEnZPXnpkqJMWEsUG21WpOox9bT4nJ8biXBy3O/GVf6j0of ZarXkZlm+7qdQ1OgfrdaN2Juh8XolnMLgcXXEm0fg+eyDWd+hWni4/v1NE6ZzQXv3G30qIKDIIGd OXejGfKBF85zyrKQtO9zq6DzZzU8EVYjCvPQdXgtRKYa5OBOR4wQOdTZy12lCTvU6LmGIWn0f9BB v88cV128pBCMAxPh89eK4k/JCIp7L5FJ0NAfAZ2zg1ZgRx80PouzVlOKBtRuxYwJmPQFZ+jC7GPX pGEX3QIx4EZSWmLZZ1MIMZFdNNE9NHZ2vncxyCHES6y5cG+Fmov2eGi1npm1X3ttU35tbdnjLaqV vFDz0wX4MqVZZ2kNLY98bVKfespqkKbBGOeszIOpeSVC8SdsZsvHrtzvS1FMBtun1tmnP8Ow9xKi bxHW9SYteWNJErrSdDe+EInfawJT21/Wu341SBqBil/2exvlbGjJTr1rdgJA1jrGYk6FG27GghYj dQ5bb7K2XT+TjK9tnWv9EQgn2PSKpU5m6vhjTphPhoeu1owoyyrLcQpBKKeD574WbIcKHxLjcIoh dHjvaoErgRHs8jXKf+0Q6J+DWny/J1pmohj3RhqG/aXBuO3S0AWBVfZdSxkC9YJYq7eel5lQsj3e Bfq0tfLHk1LRdmhLiqKJeBrfqHngWM6aYnm6NesdC4O5cdyE/YKNUh3jwYFQOBvhA4Tlp/H6BXqr 0U795ZkvlnDsbtHzH/RbqnkF3S6JVhjM1E8eiEvINWdsYapPWlFrrHmFrWFEj710I2mm0O26On10 QRPiq3A0B8aGm1FhyGz5RLoGHASmz9IPTOMOLcnV+oM6L5nGESs0MVMbtWU/znSxH/wKpiWC5F+X Zw4lmTaYEqSvUPG4dEeLD8exV43kVqkKhEFF4u8Y+zyoinlyVkFFN6sv0Kdztz+TvyMzQSM0Wu+x /6f/eCEQvcccaChfu503vOF9nQ4KB0AaTAyupEo5zlgRM2HVX23GuhLx0+9hnpWKrQEvNeSLQWCW WkO+KQWaRpv4jUwthwfrq1s8ttdItGv+kaHC7pBONLVFqogIuKMrVd5qMLa1F3fJWB/DoEihmFlm nF+fbHhBedCdZnTRkd5gYuOWINU8oCBYY21hDma/rRj+EwLlcic8kEq09r2cC1mWMzTLtMnwB02f HsR8Z/G8uo+d/jvsftkOAcKquzo1lYPBQgaP9tT9lQsCxZ59YYWqA03FM3eLyD3rnaRnjmxsD3jC wJTTOh+H3llGisp22P00iV0H+eStuQLEPN+HZB2A/poP13bTijqrgL1gtq+eEMgGVPWbU/AFo6Be CVAExLX6tGCcJGW2IqIHk3R1HxDkmg50i3CzpsUldQ/WayV7hLwTP6CdrBPS68abKrIA2Pi6ETui ZpEaHvONr1nRuszUNNyZAJp94CSPU1oqVjHfbPZuAajrC3H25Q7cTMLCQzdyrbIy7vQB/ABDf6t9 H6YiXqAQWfjkqszgrxZxwW+XrQab+wF5Erj145ve6kq7Owt9i5m/q3Kvwtx+tozCgEzHvpiYft2k gpIAMWZTbCq8Z1x0iY9tzu34F3fcf+pNfwNRPv2oBHPdbrfwv4LBVb7Qw2SdKJCo5bfH/pnJqF67 XplIh03vsen1xml+A/y99BC6v/gC9sHuWC0M2Y7xxOhoQDAwXbwbzJHHBJ1ysvlEPfHnaWbDLlCf 5uPzIpdfUPSHM1S8GYIuDcLnGWivY0m9swVnaAWl2eJUd3mQ7/VLSA+k64SM8Yon9qekPSLc/dxT 5ITAS7fFrddqVuXh0vl8vqGBM2QyMIyc8THjI0Dk80FgNqbbqxESM6iWjVHwbYu1p242HQtSEl9r Jlid7NEoxaeBVbaU5uHrMKRaxwOXRPtsDcMTxOSXes62KrCG4ho3NDKZSBKjVjDZiUlQWvLhq6aa RJBB1n1lvoxJj02pD+c3C1mwXh1b3TPZD+iEpMl65nQZxzpWUbLuPa1QdvUC9ar7Lh/OCYqe2AxM wM6Fi3vxUVVT1keY3kyrT8t5UDtf/M0YgLp+jexIVctU/AWx3Ci+hgS5dvXv1S3JzK+9LJtlet1M ZQ/4clut96KUxV/VkJyPC01g/kK2x/CpdjTHisWkUw/wewfIx83ux1J6gEhUTTpcHccxrmLRe4C3 YNlv2sGYjs6EjS9FiJK2MEEZqVjVBTcsB4BqKrYgiUoq8WwPqVSU7Ep3mVpmEX+c0X/cuGcTcAAg 4pKrGj8UrWwnoZuTi7536UDFe4az8Uz2uM5gwPeg8bqGjzpIV0twdzlGUisViYczgXMAJR2ddWYu oJANBhpOW4FrGSRmsRFVFWpAnPvsraOJNWtqrF8mhS7x+iUEGtIBCvHRACokScnxSpZXub95jSQL b1eOUevh1MTkd3sc5y6flHWd6pA9Ljy227dU/FrPMfymQo2sVYO4gE4PCzNzuWEBmkXL+JykLiGz EGUrea1qCY85rNJjK+p/41W4tbQkexcqmzOwj4+hKtDyb/+PxjGc+Ahjihas9ts/OTtCXcf/uLfj DLUqZlyDkzavAQdgRQ+lQz2DMO5HxWjeLtTvKrRSsWYfH6RedzhhU1fbs5TV6q42fzLS1B8oUbwy fR+u9PqPJjlpqdSg4SFandJb5SgLozSGfHA0E+QCk6wMgA1SmwpJgokUbd1cyqj/QmBcSuXRW1hK jkW1z8YSbOR7DNdlkHoeXthLDooyfzDNqRiiQvIYqMhNiUpydfIgeVl07DTHwgRR6ERbg6Hr+JUR Zq1Xh4hpWnI/fMcGVtxourvnh9RPb/NUWaIfPESa0bjDHSzZdr+op8hst84kPfe2k5XJEMB7zwwK nPxjRIyrPdkoaN/8GFNqeg5hCnFzChKKBTFI1FWKBkLKy+5RVAO+rh4WjPRQAcs7W70kMN6a9Wxv T/tTVal5/9H6uQQUtzAwl6gc2/3TkeafumcI9gSuHJNpCwCPxCw+K8SY1Upt+0jU+qEl/GhgOWyJ njJ64mU1rxTnTtI91U96osk/5DTYoQvJX8uURpWqqyXu2qkEf0c1LYbP+LuqXzf0GidqImmvyIDp uPQU2ZpAkiVUvZanzIO+ZtHr2fu7/+0Kbhq1gZ7OX67Ryjm0yRHZ7voSINGPXvE0Pm5tTIY0k00/ t8Grw2QLi45+4d4LbEgMxoYIcFTJdC59A6Sd1S55Vi16kqIngjiwJUkgrBk650sSTmEOAszCTDZW rJSA3DaFKzfEEnwCozIV07tDBvtPY8etuBhvHWKLQrf0Gv5HDzMiO0vC/yrquX8lgUz9ntq9YOs6 wC0XR/Y5YIaMFZY0KQ3MCXQnWDm/GKk8R1o8/yisVFcNYnADmQCcEFZ+DsO1KJXADE9ljeOV1YYI 90SYMkXfXaPe//JsaGMxuZczeGpUu2XOz1YlLJQp4UKPV8a9xe6HEa81YJ6rx3se+wLhN4VmgUfY ipupDZIkzAmAm6Q6OCA4Pl0hDRwJBtgukwKLONrZiEL9uhMwMv36seaHJ6TYnV/HgF3Bllce+Fto u8l7b4VMY9iv113mQQc6gEST+wFVWd4BFSSRONRIjtrH7Y8m6t3t+4VSFWh+RVPjIcAkjmAF+7PN HwPtuAofzX05jQVr1jL6PGblIplzfNvXOeWHIdBzZRpVuM6pkbqnDRsQ09UKWSImH7tR4TbqesEg 93IQDsi8HtXv3X/wfzeBMk9x6W4WY1Tk07y/goUovgGXEjGqeb06eP/NtBg3/2TsEwjKhwumJRSB a39PeOARezT5eBcpo3xcqCV88WTr7d4SB0WUaLdqu5m6giBLSAAbrLiHE8BNhJJjwwByJMf767ru yGexbdwP4C5O/G3q7+WMI6G74OGceyGuFm1ZsHgqkMp8T81pxi/ylKo+1iDKkFx0vqjkkZzxMMdT kQ2D/4ZauUqPMNPguQTjK1Jh9BmrYd3lTZB2mH3icsIi9FvGCbUQvI4RcRNNJTbGOxfIDdJwYKOE nDFp8BqPvx9iE+WfvTsqKzlbFIiikTcwytvrtKbaTHeDKwv1E6mZp+hpKJEZwVniVYcx3kcUtHJN 7OsjudGtd+kkLUvOpFToeJrc94WCNg/k+y+4qmwMBPYjwCNah5KgYWGCctrAxm6cxuTDGSVbyDZ1 iM48SBHRRecWfQkZzwGYGi0GdyjkKdq6bc8BOMxG4Hu7rA/SDUxoZQX4h4WHwW7kH1BhC959Rq6r KkxsAVZ3yhrg9a7JI2RWlJXlSsHv53d3wf6vUlIpk4ChTFn9pSp52vYwoUOzxH1DvUnThms2wPDY 05h0oEzFBOMUQQzqks6/jcM4TcitJsoAJgPJdF2GwLvc+qY3fu1wuxbZTTACoOytB5nExDS6xCBl AH60rJd0xZOcm/vIeBzOah0GIFde437SSjSw1L5vTw59qxRGsJwLZsmdQhC+Tw27IMvag++MwBzN 3PJKqtC1WMjsXB8NZ1/O3ODPrJ3/mKNNyJXWWmmAO3fS4sg5rqOFKlEU1zGE675ZQkRF3aXwyKII hJ9re9DdIrXQ1YVyGwLmtveSReG6MvzVIfahYVQuRcylmFWxky6yzQUcXi7qZ0vpPQGjkkfVY8bw m3o3BbK5lwI+GXg4vxYEd1C7qGn/ubRfaqIbI+Lr9KdYo3BroJmnEleasDq3wcdRUJjXXBnj/Tr2 YnbB4Fi/DoBNzB8qVtbhCSSXBLd4D4YoENfqjU2Q1VuEgf6gxNbSnEwFErTAuLL+JO82BnxwOheh 3pOrANmIYvrgSqctaWMIBSOhVuaCPmYAGX/XlHmCAXgxyKI6JT949+rMe3NYdgMDG28IKNf8NvgM gfauNzI02lQO8y5HshGvEpCDIll9jkNopCBY26H5Cvz5S+tuyK8rR+ZfuFBMmgshMclG6nALWoku YtTfCsSvYJ7J//RQY9sz8elxzj5OuKZ3q6BbGOPb8fBu+JwBzNH/bhA2zw/1dvuzONG/VhfiqQva Kh0njVDpOnZbQ+KrE8XqesbWSfYZW38LakT4R4bCO1awcfg2e/cDC0teVvgODSO89dPAC6MwnnEd 4nlXsv4ZIgeEVBp/5za5ATL3KWyjfPU3M7HiqNJPO05Phg+8Ymwy3bCuSUFy1n/Na8+9g7JCF9Ji 74DI2BCrr3ryYF8jMvW7iY5/4yR/hAI1JAWEDKIWCwcw8HdoXoqvdm9oArCCQWBYTBpfiBg23Q2Y 0sKV4Wm1TE4y/AHibIn1fxDStcLNG0UXulRAVnCp4scPgA/LFf7smd4vwXq0bG+miKC20evBnv76 O39FsqlvYj7SVIvq/oe/f+1q5Nl9Z5Ecjou13eMJHHA0O3rb+Ye123zkVJtozQvsUabR0QvyOtd3 juiUI5rma1wHxF++rX9M3FWq7BiKG3TebHle1vgnDy5baxSuuy5tjrcUdHBSNLEYk94/M5/rzGRY bKGJN8oAxgQJEtTlk6613/xTFWjF7OpKTt7oeow+ZSMv52veB1G7Inh4VtFvyq0DT8sg9j0OwNbv G7pKPgZf7Bp8u3S5drnlHcpG7zuCj4FQ/J1CmYsdD9GL9tBHw4+s46TdF534+YjJnOJcQNSE5TwH 89tQrjAIHnK/pJx78bXyp20QCuDMMsgZSD/IdTkAq2qNvJDIL1cIwnrRFHJgYaghjymlSL9Llqgo tKt5k1B7LXCNjFABFgjNDgs5/P8YcCh96Ykc4R/IMn1ePR+rZM2vhHD31+bddAJCrlBzqVzc0P4A L/16My595V0TCsshSvOxQDtvuW0Jr4xmNYEeXC/FIi7IGoO+GmKnJdiRem2vmClRhInYULdrHPrp vs0rC1AbHEo1YLd8LU6AgKQLyrcKUln/ZodXXPz+Y1IP0oDYwPmmguIXmpRM8K5sxxRoyzSwvjtc rX654trrE7KZU/j6lGIBPFCLF5LiVbJ4szN8q0r0S5cYKcTfBbvHEtAvhmc42pPyvDF+7ZanjFPi tAjOqP6zLyyzpqijIkod4iz3f34v7vMS19a78SdyJk6hwr/7Q4jkJk3K6yUXx6B464yMxmUWie78 OYEA9+KWg26Qr9P/VSsPHLG4TahE7Al4zcYyX5Z43v6YvYuhZ7zQrDHjmtiYLqxVEM+ZmKQYINz9 XwqB8CHTxmKknXZyuAf+IGPKQDT0hazQOXcJLnc9a6MW5sLjVJWKMtJbONikacUhMpqyfbCniyFv EIXUan6BCZ085f2xz7fOtRfKKdI4v/D7aH6kmWx4VbmopO9cyj4Xn4IZZo7H3dwjHuIOIXcFIMYb ZvHkfJerkg8gsmn7rbWU2303ZkZQJwL91McQF09uTae4neDpjCe2rP/77OHzzeLEBRJTf5bNj3pa EYvdd+UCn7rwEGjTmiZtbFpaH5ed8ILCqW24qA0tXLi2PWcuTsXVGyiWhImZ0SszePHcbr6AVRP0 JX5BAqMBKbUTx4sxk8PHPa/BMI45iZA/7Hhyf6ZjkxjWmoNqeaisz9OqL/olcvCJ8PmaeEaadrdG 8LBTAg2zI+ZIEIrPvOHNZX2LSQD10/J9REOaqiq0M7w0IgrJaCwCYrZLumswcssqMcekCw6+Duxs efOPJqGAyxUdB+YgSK72l03aRVsqN+Pkh2cse/0CBaEuoZkeqaNLPwD7Q38KGwq41rfLE8i+zP6o mCWxNRdsnEZPy0ZHrZ4SZk0wDSnxf8vup0uyUSQqoe+MNr0SBoQXDhGQItpNQd4w5Vkov+N9ihMt Eje95mkKhcwBA3/OCgd3aZ/Pts6JKfFZP4r75QKef4q5ylhebEU1DP5dg5c+J3XGo9BqympW3dOb xLujeBE6xXLwFAT0fChoLRSNjcLzddSxpkThYENTAUPau8ASDKYIsNQbSTmWfhCz2drXd233lsN3 FYunbS10FstaF3kCk/FV7UTPF/tVafn9AumgYxhej0VG8tydUkerr3tOMNj9xJN885b5tfAke4I6 +Da4UbZvfj6X/wI/mRv5kdTjHXVN5gLd9ezwx/QTx24rJvjao+zNI9ZHq3A+qkyKtN7DLd/ivD/c lCaZ+GShBmDQwPJGiaFQnE+PJfR1wClHhtfzOwBsLb/0EIUlDbQ+MoM8O69vEWr20HZS3EPdK+wt HoMpKRDFaQQV6SjGwvXj/r0M4jp+FbK4hNWVr1sexQUQ8/6HMOZsrpmTzHlQvBzIP4ZBPcGTiYIV syFAHKWHfNbJCXj3XhF3bEDHX5GEA9JUlLCb3lNoj6Torl1JhOVj/+eVNT3toSD75qIsH5tGkBJH K/7+AG1BZRKn2/Sg8Tz8U/4pkBR6aSZN8X3phvIo7odoR8MQ+n09Vl9ARywdujh6ZEw691zKFoqH grWPVzkKf/a/oUV7THwzZDZ+jbaR1lYfE/PiWXzfmy7mKSe/V/IuF7S5D8WcqMzjxQSA55d4d+Ry 85PLHGWonKIO31NcEi2oWGtVWunixmKkUJn6eqH8Q3scYLdJ4YwC84Kh2Teepx8jKs5D4KPAH7qv j3hirn78tdb7aGdsai8bDOVyrZCqxmtZim/u9vLPdW+cSySpXg3SoKMCHLliH0CySVPBzqkSTkOk /oeDOwFof51TPYpTEE0+q4E+aYeVGU5CdbxV+AO57vqpcxsU/pF2SxAJKuEeCbaWX56DZ9K9l0rW 3xMHSoGtjkSf8gd/KdM0iDojQ+EQpj5DYBVM4xS62pV+4NltZAA8FaKCMo1WYbA96WrZxFoZiOgc 1f2UwU/3sXPn4Oo7D2JmRC4RWPPm5U1SgF5AgjY2be5fQ8czSVYfThEzw6v/Mq0m5e8TFsEWpJL7 Xoeeo4PwV1y4Sd6GfXa/LK1j9TC11SSxli+I0yg2cLpoTpBYNBOaKA2WhjJAoyAknmHCWpvaQT0L oUzNOuKKM3wYWTlIQGV3nkDliHFMNZ5aPhO2SJhNu2Fko3gn6ju+Hsk+Hxhp6qOlUFagNtRM53XW Mtmzn6U8yFSpZQIzJeAc9xYjWiM+HIpFqrD+c8MVR3fgqpvRJxDEyouAR56F4xr081S9LdH+lYdJ CxsEaP/gUPXU6ZnSDL1/RXFX+LthN8OYYKChvbRenfTHhIWyqT4qC3PISjCKi85LBp5IUptDF7Ow Q0zE6t80Y2YqUp4i1qSwStNu36YRsZeHBkG+XBq/bqjBHtYpkrezNHIg4kqD37FwU6ruiW3x67aS vG9xu7NxrFEkDhpUEcfL/gRNBmuALULL/DtHKoO4cjMYPU2DffcxOOjuAgeCgPh/FIrMgUhxbm4q j1s/fVE9TsbYKc2JaQn0lQ+jYTPeJSvcswhc7FLkR5uBGZ59c2rK6tKJPVWgw4uEF8m7FZeMm/2M iBP/QLiMezhSUw8HiueTmCvLHMhLuxF9dMUAmkXvQSFwrx0JxOugSaa4R6EhfMDAJQHgL+o+bfQQ zKY0xSZ+Ebq4nE4HolKtFAeDUn2etEQz3p+PW9Hxx/Du25gtxjssdEfrNckeor1DGym0Xe3EotET g/xqVO65h3jBz+JEq66SehYgsMJEqqhp1ax2UMpWhS96ceb1k1VDYzFaMUK/PKNq4nJzMEbtSQH3 6C7a1Ji1AY6Zxj5ocO34chj9wS1kwfyqn5+genBVCWWrGWes4aDlkDKvKWVnbRH2v8wfKHvrYkUD gv6gNInRS/6UHsBpTnMmyRLSNuruIvjEAX2uSeE3EoPYohVL+FEVEUVIzH4a3jTDwXVLstkExYvI h69qKMcVvZcBy8p3b5SLUsX+oGOBh8DsfrDXhJBPlpJMXknZD1YFoahnd6VspV+EBCtoy/rxesAZ /jX5ta0puBll3OVY4Q+yeO93xAQcsW1/3FY1XAaVKuPLS/2jkUcgko46uN6vIiiUI0VtTrVgdkia V42NyQ2C5zvD/6DHJ4fSkutiMsxorb5MJUE9JJcyJpfYL3GGlhd7bZwRL7XMMJn88ISuKjf548PD xVJKy7hgV7fX0sZdU3R7J1ESd92+QZc0t9HNRXdi7gVXhAyLgs4AD6T9NqdCezpx70CDesdwtzvB VdN9avJDlmmVPxpt17LOvHe7BzYf96TeXzTDW9li7kSp2hQcZ5UyN5G3PnB/v2C2E2kZnUZofTVp oHKibWDJOimcziTinqOPkcH3ZymBsxfCHaOsJBB9WW1zyx9odflDYEKsggXknDwsEyOD+nUR31Av 1RzF5N7TdkqbnIWO5FVXN+QXO/Bi8J5lduuTNM7AYE1Fh+X4CFRmmrnI2PCAMcZt2IFoM9jhw9Jz 5xrHijJ8ROsSoVATDuyaQy4jk+RXXgLZ42KX0QRRXcHj7PMTPYUT9wLpvavU0HaRQn5/wQf5aapR eEVSqBFZK9WrZYqpJdeN91h2tejiDTVaXkw08GA258B0AqXsNisyicDy9UKxKQx2QnWGdlJdXgl+ MB1gjpoPAkVEiSCLPMqP/B2uemEk5oE9sqXWa5heoVsGcWThaASK9TPoo1Ul/bHH/fChwQ7j7A/2 xczP8Nx8LbWm76dtnEIU3Tcj2bhZSrHxNs4RDagV81F9tHUb6kZtafU+OlnI8B44yQVEA9yFBzKh 37X9EStZDqtGOjAtO04Ecihm/K2gwuN6UAJAlEy8rTF5ZiZRmox1XCvaarmQU49pdrwMoYK4YQCm Kr+x+KYzvrZr4yeCYLvJh8cur7TOd1b89XR5oYSFb3iziGfXxO4HvjhSzOxpD8n4i8/c9VsWmbZr 52uWwM37HeMkMJ+fw7kNUBPvyeo3HHpZl3HBlz+HcHPYbTVEBsh68/qqYisqSlojDnYGpaBRiw+a 3dnim1bSvLZsOWG+lCXjwOEqC78mvo7Px+VEZwaAYd3mxaSHZtHcCHmd4WWZNuwBUkK78MYhBBw3 PI2SC3GbH8i7G5OYUXMkyrDCxcesGF4VS7wVff9nihAb3wMou+fnmNRpO4QpuRj/l54F5X3DJ5R3 7ZpMAVkiLlZkRpz73aD/p2A75ePrOqRi/meOQOXeV0LpUrBmtO6yILcSEausbd4xxxaHQSgvgFD2 TLXXjKUYezs3s1+Pc0l9LV4esdMY0T4PNGy+U9SisdjAVWBqK4eyscMImMy20KTbSXRBTgg/trf2 dpGSn6YTDWYmIbI3kKSjxMa94MkoGqFEyruIsUZ2g13hAEdKjp+vnkby+/m4VdMCROzVgJwXmSjW HaZBcjqQb4e5SiqTlMsqf86SLj6zB1sa8ZGB0UiLKepBS+mAUpdWv2iwNADNyI3rxqKk4PuOxc4D cmMRnrtvUy7MQ8/Zf1UHxLy3Q/ydGFyJd4ithKlYS1h3yBbeaWhqleESAGotpVxmw0C2aBxN1XR7 RfY5t795EtxIlQNNX9XnXT3tPF6X/Fs70GMjPrLFAFAG06Ld/YmPllEGbIE2erV4bUv2dS6I1DKs DfCHMnD6d3Ws760Xb1neoQDrN9soVk+dcGYp959dx1nDqfQcTaRxlsqwnNxlxoaQREjuY1AlGZtw s5PcoHwA45I+cQWXqv633v1rJwytC+mckOw20lZBhzg5qApZUV9LqvLbXWbpph4o5SXi48l6YAO/ QvoBarYaUOwNwAwIXg1D5TIGOzbQuWNzYmdy6iQcVOmWwWS/k9eTNYUVa2s3baM5rZmimGPzuCRB +9AAyuNzEWNbqsIelw2jamps/ZJ0p4lGkn4S2p3dAP/rA9+1BIykHRPAYBRWZinvkt8Ec9/C0UVZ sipeAq72Ai00FKO7JKIeLpyNnrZRK9L/IG9NaLJvl7VLJE+ZKC3u2aP4U4CxX4o1kMjbE7l9g/hm +8c5T+7hVcriIFP7CNG8hXe0ur3x/Wrwd0pNFFnJlmcwb+FWrwWpwQZa2IhuvuWOBI+AdoHdcmM8 Sb8z+NHN4rUaz7kDYDDk3yGR/k4kI3Z/FzXoH9FoUlquRtWv/gg5OF2e5I97t94X7ixbbZ4B6eJC uMOQXhvI5C622g+Usb93z3/7c36kMRIt55Mwt228gMixEfkoBtpubu02sCckmAZgdI2pvGoTCZYn h/jYogYTaf79TPT0Bt9QMWL3hKxpbvxA15jbEZ5BB7qxhjQlzerKBMuoYMLZP1pnghDPxTWqc1ar 6pWtxC69icA+NrGAb/uQgscwKu5E0YwdL88cG+lzJYGAlcV6cBmm+qa1Ka+FnjsZT5Ql3uDNAh3P 8vMlR14it02OHY/++LccIX2HP6TjaHTrB9eiPNgSCbJtaD9qNMDCSHqpdW/Brk3Pvr9aFnw1Zubt YmV9I2mFhZqbBWoWGhPyzSP0V9us7bD1Z6BCEdmoEu7poU3kw9FSwB2SVTqpJvFWzzTjMyobtWnP 0KH3Qfqv1K1Q5RFj082GUlFsGamJN2uU1f39G9ndtekoeTtRh5t2ZBUpcn0bec8OZg1Y0sUSgTHu 3/envwURK3g/nFV8hLLiHF10vlqBe9Q7DJZErz0qQhJqYQNMLHUXpdL+wiooKhSIPCA61qyqMhQr 8shJMvJtqddmefLjZNg++LsBhH2EvyBpVz4Auo8KxNg3lonEbtAv3l+AvtTY7LVPXyKwlHSbyk0B vH7zA+dvp25zEpXxiVaUfx4SgpMahaXlKfP9N49zxloOeAwl7HWN1jzmKlt5b3ljzSDs446x8rBk rYjG+mIcwH7thyPE9cuTqmVu5DDf0g6bCBaz4v5mEWA0MUFBvF+XNtz2N2gxm2vH0+ikseogqv5A 9XxPNslLkIVfAvkvN3GLWBt18BrFq7L4CSsHBtzPTCLB8Rn4lnKPd9Guv6S0e1i3zwvhLlF0xRcr VTPQ1nk/uPL09mR540G/hNlne6zuD1wFwWINsaTn33k5pMGCwPa/BUx+3+p2KGe74bKuSGjwxXzl HQtSF/ljcz0aIuhdIOcxl0l10G931FlQBjF3Ib/KvlwvbLzUwpEklgdSeg/w32p9Am5k6v5avo+u mdUYgSwZPMhggsXKg+uC2h4z1F3aqG9Ns75D2PNYDe1ZJBvSwqt0G+jncQ4gPK1aTpEB69vCAl0N Zomz1npruZdSUK33+2DDhE3/Lm18SkzyfwHBkv2OWPAPD1pa2rOXOvYNj0fQan/u356JO/rs7ptW Ds6+DT3S9CdGhOaqXN3mURk8EjK7M4l/uYzoAUCbzAubzxKGUsIz94ti2wMBzWP1UwEfk46FJC7b l6taDwEj77hQqP12K0pGU8TE8j/AMPvOM5hX9mAXrNsksYSNV+9viajjd6Buq79s/4eIw7QpGl/z g+M7wIJMfVUEfjLXYlXMaCnDJ9T11vx2jDZ/dx3vYLEXICJwuIhz2WpHFuG3oHtH5VhDELwAdnAn 0bGARM3y1d9XjAinaFeIsTsFAnDTZAayISTemd5KGbci1rkTZmJIV3mlrs2qFbdaSfqxIzUtWmro mMioXK2CZWMIU0mr2RQSY9j+7FXKta7a1HqGeRjzF8I/hVZoxuGkrKoHwB8Q7pOGXxxshjM1IQiu VLtoSiXCIcGGMYBziaV2UA9lOJpwQCTPJaAkhZOUugDahbuQIg1SUSEl2WGX/vI3/4NaJlxkuJlR tW3B3Bq06v3j8KIyATRacCxe4M4jdgOYtn+aM5OcsYaGv21DT7hWLfPaXzOYY6ohlb9lQmCcIX/h d+ff/HTdCKWakHyGCQkYu4IZBn+BG8nxzeZfgZIvWhoN3UfWv96m3cQZP7MWkQTxDZy8IjEA9L/W yu0+QNzj1CgQrPUfpG7u9bpIeXUNeey8nP2jrG1IGn3U/l7FPBF3aeOURxEZWWjDXOr629l3Crfc LPukjltzM+pXz0Bnl0iRjS9izIOF8DzQnjLBzB9BLd1Q5oewQRbwpJ5ot6acXjYKq2b7zmFCNEua iaL5C55QMyTRgT90s0smuj4BzjXxay3hzdL4RibfivdevDHQZA1vZTytefCpLru2sLZqt7iDt5eC fF8mKKedWAvGpIzySO0osYrB5xmlL7zc66jLfOCDz26z81IzLH5OdY/VZsMrlDfegmlpxL1HddRW RSkTfE6aet5aB53UBGTvbaXaEj3VJYIkDOmcTbWh4Y27lsvv2B/OqEgvmhvOaLxvILaPVMlZxIB2 qfoMTn64kIGgFHdWyilbAwru1Yp9lxXakpSRz4HcCd5UzQsnOun49+/rTZ55HDvCKgJqbtb1d87c FJkiXISc+gGpgY5mBuSq/xuU4EUdwIXIWgu4qZAB48ELfKFaSfU+HfsPT0wPuSXrhIgXQmfKG+bO D3CJEfl76sRAEinz6iwiV+0u7k0TvB1LNGJidU4V4vF1ooRvb6wyGsU759sUbyDEWH2p+RnuSK/c baRlarE4WtUbsgp90V6YB5RBBq7e46NJFyeX6Lsdp8eDH9naonGKAMER/NKxuxGSOOxLTYPh/1Hw hxQZh2YnAW7tkegM3+Vg37Nx/M+JeXowIKQs/OyuL54kNeeB+12pPnjds6J+T+a2Zrebu9WElNpz LVBESsU2ENRiMwE+z1MJCqAWV9xAFZmUJ7KFfffVW/Cmf/rGZ6XdUFXsv+vAOj9TK2qF2BxzJQ4g cb2xnLrL9qp0GPdsCh6fzbUp6xoLctrv7DNYzJd2UMS+ciOsJXvledn1dTxjkyuQzJUlyRoxPgAa lpIquuUbwtBD/OI81MoEMa11MiiXGltCqjfUm2Ok/d4bl04x/5cUhCQoMn8ny+TPNCOntZ9IIc/g j9/dC9FDzEIP5J/Fs5gv9yM0oVRaT1hvEIMwbj4u6+8FkAeVNA+Ys+ocGvEw5JN0Dqcsd/Ca+uhe cKhbUe+Ox14F9tHx6N0SeDFgNQQ2wK6bGwubEoFHN0fl+47NWXW0T7ag5Jkh1yC/aw8A+rk85nre nQuBHTrsaGo/EKoDTKMMzcn+6tRt4UHjcZn0dstnGAwnkjYuGzg4udSQUjdc53ZMLR+tz+14R9vc gUyx5d+h0fo/HEWTXopPBEZd9Xw17dkOFOkOy5NBn+ulDLepbRffa7Ft/6+eGB9OmNLwCCnNU9R2 F+wU94TYBLL/AU9SR1Ome7N0JA2xlpj4gg6xJHEvRSSgmn2w0aaaU+vY7anbb6xiT+rSoICCUEpW HOB0vJciQMMeTSsb/cGRdpnVyByeIUEdcMo4mmzZTUXdG2hA55RsZulHUTGKrqJzx21sSQ5BR1t1 7dIQX+xUWLI99xfb6jJM1rdYCoDf3E1KWQHUQMoXt6dzHLAhOypa84tjy2QVnNuGV21EsHooKp8Z 3qkBkbLIYt3tNN6DOQOdMnHFAUKMn3rDzl/SeS1AXj7M+OA4FWBUIIb+i6rQxQjIXGPguhq3m3UF kJheJVB1FfnU38myn/I1kCTD817DWQeklhDAlgMdmgtEult0D3/Pmv8hmVQUC9SpzCORErXsmOz9 5+NqLYLpwS9Pl5UfTSFtz6a7uXhgMkb/Jr+FufsBgnh9uDuRiLOY0I2aVZq8YCZvdSF9H5XEVAGS lm8dQuXDpIKfCJIb3bWhi8ElOtoS8sxt90sT8BmcKxETyCeWVcY/cb03kE9QoTDHb1ECcPeeT7At HUaw0SQ4irohdjH9iIMDGSnCcZstmFtoOi90dB4IOGUwUmnjoXvLfmisGQUS6xoMZCbGSFqFZ6DD uXrroeuCKqVRKv5SbkGt1RvLBafTS9gpIGethJoA7FTTmDl80CaK9+MbEZjnV+9Nc8h02Nigqd43 bbscQM1r8oPsSbyrVjAShzClnosa68mAKZa0q0so/wIVBc9dwfoVK5iOlqROmzESoHea8IzIhMlX 8H3m6u0GyEU3ZSj1eC+4ifUzHcnxZmHITZYj73yV+zprOoJK54H7O61f+5aojGqJGuSR9yX+MFzy jHxDrvPhBKuQBXal1cP4cuxqizVOBipyOEGEJE1moq6dZJrGN7U/hLoJW+K2aECWAAf6sGS60qVj UYXtrEzOyHVrlfzCcjLhf47I59T1jSNpmf311dHT5G60d1qKCE5pOJDDqIg4kDgdDtnofqrjUqP0 JDpLQO9mDBgKtgO5IEAp1jRQCdaP1v9A12sCbrIa4GKdLebwzFGlvijdwgOeuq2siyAEz/7QrDTW FZpnkxp/WpsRoLIu+nSCySRPa+WfZNW6VUUUj6kse1+9hU/7g/HYXNqxExKJ+jbPhXHNi+W3W+hX +nyhVbEWehzfMDGW7AMj4qPDUejheXq0Im5SYsUYelSyYX2PvXNHVtMrBnnTlN2yqOQqBfxgHThs 3gDxBIZAFeLd/l80nKSEuJuzkWxQnB9x3mMQLU/DotbQsiN5Hp8dzUmpxC76QzOATGxQ+mevwPKm o7kjG8xrpyFCl4SJ9UiEj3ofJ68qdsXG6VqOay2rpuWahRRiLpDqs3p73UlwrNgoXTJVul+Rll7K CqGt7UUCu1PAQo7hkwRzjEcPc01umH1wtSvAn10C0hezyjh2Um2Bobof22+ufmpvvR///w84V98a W3FLF9bE9YWG4O3rJXBLWrvGl8tHa9Jw0qyYe9HQKqR471MfDn9BEgE7jDTFplWLAPCCBYx0x2Zi q6y1mNRAZ+IAjaYP/QLRBD3O4zM8TrHHT4xwcMoQLbc0FqzVy9pXybJ5mc6yGkvxsNNY0ulGovin ltLjgruJiueWTbtVcAGwXqucYIRTbupTiVImArPWA7AdZd66bjQBsK+rfDXoyEfxrBSsmUVGo0VW cpBKMBIlTbfA3OUMeyF2aYQyvz/M2zvnEkD9Fcm9Po+kxDPcbGTngqTieSNZVN2gEjDNrF5y9Jk7 I+/z5FCmrdPCFi7rNk9k5Px1V4Yl3yXxhO8ly9mDPYvpL6g77/zbC8pSDppUxV91r3jlW65ekbCj +QEPymqSftt2xr8BdmLz6dj0PvOl8czc1GKxEeTvkXa4qZroou/uTQAETjpu8rJN7NgcCJlv4hYY YKrGSgHo39r8XNIuZJFNDkjhUU/skXL19YppqaBBbYPzKSCSAHHvtTCWxAAtd97RSq465+SbgpqH LNcScvSD2q8LK8KRywrn36F0zmAmNJG46QVNaMxJetZko+z5guugaRnEE3PeM7oXwBHRb7IfurJW zQ/9AgKPnWglbtu9vn9DBlo1L9VuUY+YNeC00zXcAcKQgngOWuX3tCk6aUjWHFMbkZ3NN31wirEt Gfw7Ct5J2BtyAwyqaaE2WJJvqfV5FTCQU+GANIMv8SFTvIHUJ2aer3UHxCSShDFlY5KloeDreEb2 CnXimM4m2l0vND0sq12oHH4TeCZS0vSKPMJn3QCDScqReFVeTloyGN/Rvu69X3Zf1ZGN4t4S4/xo VnY/FX3BPmWHeUaYCkgmqPxqtzBXUVsrLf2U5MziIb7Mr2f//PkVpLXIs3MSCIBlyofabKhkfQ3D KK2HdS3cdiAKDy9tYvLgFSaDnNtwE8na15AVEeHmbVv5kKejRzHbjaOKYAQgcJ0rcDWeMAYcSLT0 hEJCeis4HLiSCX0B6bqPP6U/2JGzpovs3UwZC7bvNk2YhiUxWo991h2n0hPVq31JrfGsZZOg6Tlh W0lujxhwnNMPnKdZFfWXj0yPhAEmFHIRTlDpHzFjU9MbWSQyNFqA1H5zWb9+HFgzi+DJzToenI3j gR+EkZti+eQJc1vkI/Qiw7Lh92g/L7eES/gI0vkCegfPEic257mTrLhusONHLlM53bb5qjmwufeu Mstn0jmuTXEjWJfbPc50oxyHN210FQIbXxO6PLQJmGJSU90CCpnHoTJXp0Cg0F7o9Rh8IJpcP7aM gSFlO9xxvQnqPh0udP37x4V+mCs4Nq+woOHHfbG3UbwlATcgbkNavIdsrrgCRtqjdNXIaEkeV6VK rBu+rC1hN2FwaAJAf5IXJH7kslMYXzpwPVEGmVygOHtJj9cMUVCd0sY0Fu+g7JOV9ZmgUNvG44yX d8u5mjUKh8Ay+DTBa0Z9w0NXlpFfq6n/RtiftlaYKDgZjo8450VH3eOR+CcBj8g8svFbDwF9Zdl8 yjYnpz732u5mwRjjbhmq7zBVa850mu7/tmF0tQlyJQQ8SXKEkutERodSO2wWb0SdYpf/ZA/JaR0Z 4Lu1a9v2ngiGgSsV7CufuQtrmvdf5h+zax0dwRBF313U3s9w92Wb6/1d7Uh0ZYYGSF4vmxF4wlyR HZ9sdelyJrmsmP8LZAy0aK+aF0sDrFAnD4vWottYiIX7Ezv+KPvMYvD6QArghLxErHsGo25wGCa5 yp8YMLLdCLosHorGFbrzIT6j253iWM9W8Bf4qstDoHFJxryODFKzNXjBxQDM+2lCQYCGuRe/0nV+ 814f1hcI97Rr8T+4ouZAuM7McgRPHWT5nuQWYDOc8cxg97EfdtFw0Q3X0INxX3zuW+GZxDjKyMT/ U3afTA0vJIrv747bloxqmhrCVc3caNLezjAvUjqc3IO1kqDMcLQObgfFu/2zQs0OVoIC+d5a501h f37mWkaCrhVc/RXtaJN+MmVLyyD8iCFE+32G0CgB1fu+inIj+XYI9Q8HOlD9eAHWdhnmw7i5uRbI bMYW9l2TU/UkUHryOfpmcqmk7BwAZ2uwnOKqFlNaxxg2Am3xcj49DNWkRe4Da6nnru4Z9GhaeEUh e2QZJL6o7QRWD9sm6gl60gfCR5s8FpxqHk/G24D7ZopqSY3BbV3cczXideZLE78nikEWWKKW53jH 9I6CklaTiBmoZl1/MCAR7VCbzmEn6UYKrFDHqG4FFeAAiwrmgxcJ9tZUIj4PhdM0DVuZo8+XZZiC /ljevEk0T6xM8oGaPyBgQxOVXAdT+uC94irZjeTLu8ldbSUAyGoW7BCh9Zj2/IXyO1Gan2pNLELl 3AN0FgoZsz+MNs10gHeEs/VDoWaxaLSghV016xqJmRGI9PQIo+D8FAZbVNvXlGOb0+8DI2KNgeP+ YaLWGNY6MiP1l6cZrRe0cBrRY8I9XnqvVW74EARNQ9LZnu7cDOOE+OBacoo2aC1lf5GYrLMo867C hEjAn219IGo8vOR9BNPPIKM/TZDkr7qGfUXYctaoJfIkCiIeJTXLduW/gy7XAocGITVYx1Axpb5g 6HghV3RHmu5y8oB70ORJBOH9aSqVySfAfF0KJ8wV3HEVDZa3CjNYrRwrYwpHLtQEkkFMEogseAJn 5Qo3UZpAQtkOjAXRASzIgn9FTmPGVcPjd2gUB4wRbJ1Kx8CI5oIuQ3JBjSxu6u0AHSOFWltEHPE5 942DJgQ2Dxe5FyXXlOtixpLaaGU74DtxRwcdUfMQolqXDnfNHKkSKGSaefF+fvA/URqi0YpYhqHP 6S57ZJh6CDsPPj2KTkhs+MPSDVvzQoE0ncehUOU8Gnn7hfcCJRoSkA6FlRLRGSmoJOwn8gXs/Grr W8Q4Refdz4MrQz3pXvPrw47BcJR1On41up1ZxgkKpDE+7qll9LAtPcgdkGhD8kywDPyerevQP2mP NukCM4U4MFwwL0rKaL1eu0glkNoiPQdGJIM9DniBzHa0rbBmc1dBEN2dW4MnLaI760AmPsQBw550 0Ew4nYvnvSkAMu6t29O3wSmK+HUCc4CQDFJv7MqZpJxGDNeZJqNK+LSI+RyOJcaZMGG24enZMAuv PtSUWDPWrSr3E8wYH0Tx/9hRpUy1Kn3vDTxoUUHrPf2n+A6C3NVt7DpEiexSu1AQiiu+hbFcYY9Y t3eMxojElcdI3DuQwgppABxBm9wQWjeDM/1HY6BFiMUavY41t9J7p0iXwJZ9iQN956rbcAiAio9U Gd2WLtjX5UxDVTsBkuAE9kvKMWWZ9Zg629l28iTMVFVnkXgYh1aRk9nX+KVq1lNMDrfSZov7OI4m z0NHu5wIG99qoqCSZToS1GaXK38hBazVGGUWoM4CNc5dmULylg1HV6cpLd6zqd1YOa+GBxFAQCNH rQiZ5OhfGAHfjjCK6PTLv2yFq48JSLpFm7JDhzTqvCRxlIZNrGMKt5zK5Te8IpFK8IqwWuIh6zW/ N9DpOOVJY8tjv1+cEw38MSYSAQqhcH5PVy2S9IxCybK9Gi0OvC8sdnEfoUjW08XCV26qb+Dmh8ka 5+E34/6GSnZHGVasZfDpTMwCjtXwEV1yERtqAB4oS8TDQX6wZEhaiewZltElfwtM23+gNUIEb1rc B1u0ypuVEvy0oy98lLyOLJS9wbyZnLe5GeraRAa3ojP408bT3n42RAtWYkshu5g6p1oPIKOjKvNv 6U1gbw7IXR6F546h+AoWwSQkUmLWooNV5usysByBTtzsYL1PvvOpJMvjNBeBO6d4IxYYTSZE0zPV 7joB60dlcE/YWeglmHVMFCAw9K/wUPfyEG4Zbb9l8e+VDfa24jc1RTwfYYWnYipfuXXIA1WEhpHJ wspV6epgqGCFrmZC2L8A1n5CDFyqB6KbypGfn/83F/+DQVLwDhKHimPAvB7LEvclXuHXijRXZzcd tx4iTwO33ryWLYQyrDdmG30y2aVyxCGjjt2//v7WFBM2SJReXB0My8fpGPdF+2zKDXoNd/YdzvrM T657BJFXI5A8h9cnC0zdHV8cweIiUTJGYrdDdPcZaMSEAI+qtnRvXrFdXE85yYIMt6peg6pwmi0E Ba+Y+FTgdGS0Osjux8vVekPe8MvmDxHW3IjmYq0t+lHQkaPOgLWKcUNCH0H3SRrLUuTeSCloxegN TxGl5JbBs9m9WNooBuPE0GhBfJ05X1wxPg6ti6xONW08SMylu+VGEdXCDJq25q/RQbg63UVYlAu5 gyclVN0kThief0jTwFizo/Vw4L8YIRviROf6Ej5bXZNlKURbyA70XySpx0dmZFgECgIGaLAmsrj0 rX7uYjcn7OushfF8yvj4ft7SeglZwOZg7VbXTmjhrgKo2kCpQUNsn+zpnsF4fySpuLXePRcXLdUC Berd5ZOaPdUy3/AUaRpGFORfyGlRhxjPoqDADSuvYw9CysU/PURurfoOV1ysDQHB0SHxrEGRy0ym VOxN+INSw7tSwCvN5Yc9aXOdPYDjHy1k+JWa/gJ/vAeDTi9Nzi+WZUn+AwAkd9zAjmT2pibfr9oC NDWhRdkWQ/GD60YZnoFUPu9bGMnjqx7vTQG+xfN3AJ6RVckj3HlJfgw/h/EFT7Y6q6/LHz2kvrYT RyF1UQAdqttc3Fgy87YQQ30ppLo165hzcbQSFTYiNQSFy52Mbo3DXmIIsPtfBMi9LErkhpxycfKH ZrL7c70juLpLseYwx0hyxVJazSGOPC2831vp/iAn/Z49GvljylvJ56GVpNqNG9aPrd0GXxL18HgH 0Y5U4ad/p+mCnW3C4N+RRX+srH7Ao1revOK/+m9M7ty1+zrTEuO9BQ08wpYXLxC1uPdFMXWXM1R5 mG2K/EcNNAFq3vt9vtK3QJYcKK1Zh8o9+sLV3skRD8XkFqVBYCct+798EPhbQgfOrJrjx1IL1LYd oYK8TKwm2Xynlani//Ys6n6GBVbT/d8pOT+qCTI6mXegbr9sx0Ogk22acHET4ZUV33VyimyqIrz+ gM7ZpNrLuvPOhl6cEY++PIn3zF+gm3P2gNqkeB+w0ELtaM7tULH6FB7a6r6VLCTsVzgvBnaygR60 Pg+AfcGA5HIsrDa/YRig3G/Hmsnz3KOej69D17KxNiFPLMm+kPP/wuZr/miCOE0tJgVPu0OZn6vN 3xg/Ma0PilY/BuPF8o+o4+zebt6GGJeEj3Esw+3oHgKQfeICljQjzY5dZENnP/ttIU5hlNmzt1oS UGt1oPw9DG/CfRP8HmY07qUUd9AmibQqw+vJ9nBbBxlp95lnZq6KiFNQoYCXntBN7kfcb5ZKrhRE kTTrHEZ93RTSoDS+Q9iVneXoU2iAoYMX6tNDv+mvxsFulyTeqMTymLX8TnmI3rMR5gaR34z6FnTL rWfjPf2PG8PPyCb3pBLmG6jSWczbUywRjOHnqmdAHnUiZbahcantzgD9TUXD1uLvBM0/0Fi5MbsA lD79oQdyP1d4YKrYhbpiaDoXpNoOTXz3ODe3SGafi52d39pijVPdXkVDhHBSsPSGAXfqFkWOFPqd cHukIwhgabL48IsP7kAzXMxHhslafnqgYJTwF9IwQflFIGSNlO67/ItpTcQvPtboX13xXZHHr3OH tt5KFfadmLhBPQEzg/3AxSLndIBBM3098Jl63i91iW5fE17DzAUBz35bpuqudW/1T7gm+qePh1x2 LHz8C2N17+ndaO/tCwBpndRrx8KeYdOQJOoBSxVMbTNX9tLzAevTJgpJpPDINqIYBvPOIysobBGs 1hLNCKeDU9cNJvhCr8oOSVXrcRPzxlz2dJ+YCCFwnwnsZHzCYj95r+AUQwjoGlvrMV6eNkqj4CPj 3gZE6793OYTRIVB6u4nA9w08+bP1u/9k6fhviN+TUaqswQswRX+eoyJaUiJ3myG0F/lKVaobv+lh tj3LkMxQoxUtJoa2hdKyG2ayMIRwAtFqkgqz9vOKqtznLS6B8bNXc5UM3rachrGr4cUMbj4Pp37O vUd1qdDntNHywgdsffK5sXBU2IAiBG3RL6wR7oKSB1GbHr6k285FBm3bY7Wfrg4aX3+8AxnXDN4n j6aTpa7/KAVI/AvF2Ufemr4mJ4ZXWY2bPaB7iG5zlZTvPPZq1aFBrTJjpuIuqowbAzJz6ctebjrF XZGuLm+364qFN6x0Mrg9uAURCx4XVCUB8K2ricHcbHSd203Ne9H17SQr2NYrIXlu9PCcx6PTD9vO NN8rIn0CnMbsgg/IbIUBBiqow3SrkHzNa+beEwzhOy6hpHmziXp73zDHHcGO4RQhUCQrrx9xKndk nAUEANsRK1egJnFyQ/OAS0oPlATEEnzX3w+qRp659zcH4daieCwM4/wVELdLODmYdgJfQczhGP7q PB0NKVqpOs1S8c8ceN8OCxxiesfVmq6tVGe0xXLd/C1LNFSEPtT4Yl7X8VJKav4OwN8CS7X82hfj tLIpoWE/h94PTqJDPNARwhR8ZejL0VaD172vSUdpGYjA5g+EPJzwFrLgQf/mLTIwkde05u0GWM6O R8XJ59NR81540qntGzU9xpOp7g1KwNvqItS+IWzou5IUmlQ0ekH3ekQfyaawgLBynRyfXACK2pGp x+t80z3f5wf0WLcD49CsWWaHfQ8jnBWQ263LAaJlD28ilW2uxo6N0rx3K7vmFf0X0UQKtJB839z5 GqhnU82D5NbDyxD9brrC4plUpfEPhYImf9g3vHfPPn48+D3VBPmYg0kv5OIZN4shCT3eCRNOvDWF leJkCokpT+uTfJHKauw/o5cKqC5eoOdmYzccl7fSXFikLTZASEz3uIe3v/XyQtUWXbQCGN6b8Aum K79yzfJrG9fO4KBEZbG5srxR7ssam5jjAyZtOE73EqQlnzQGXlbrQrxHyHgPgyUqD5cAfniqciAl p+ADLVjqiqJB+K76t3mWNW3ICfyTcitRRVih7wX6IiUL0GElUNNZ1qA2BxZZ1clefW+qjoWzOIUZ dXcpxu0m3ciRXwayNOmixJGxa2/6h8LFWv5sxBpyskaFQB6Em5YFxollBRD1Uvz/4otoW+2VjOVf DtuRlEvsVL24wJnmCfKloYDBduBQ+DBoNSsiNQvigdsLAzzkxTf2BEOyGywOHdAzZV5Wsclau/E3 6JUjaKOIjXrGe+QTBovA75razGICzrAvYEzu9eMtmtJF8jxkZaVlTQwUQHmznbzQJb6kSqRKFxbQ tg/x1jkB/WsUPd6yTh7/QH9Iq3WM6qzXU4j0BBdY5WzT1u0EJs6LNjpv7aRTplIHSmlkgZw5iNCW 3vGrJusx+g/fMpNBjeqrjNBwPtBzFD2wUNNkRpLsd3Tu0ZBTH8/L2goYW48qvneTq1kN1KERHOL9 hdVNAGjxvwquZST/PMKfI6fx3JlvUTKbFlK3uIjC5e6RxRUO/zqTFrQ8DZdW2C2g9Kh3LZaaVaIs GkW7wRtMZrD4ruswd1TgdUK4Ju76Jpyhb/0R4AUJXMcVUditlJr9QSJ3t77RKKwo/0XLZRALldK7 gQ2l3m26GJSAT6Qfhnaj2Jlv74vkgkaV7Sm5JqJg+UT6b6LSsL2guu7ydIUnjopPwMsMipywjcvS Gx4yUx5BsiQ21ywXwU7GuIVOZ7BVWv5zQMKuOjJbGVITm+ujy/9LsyXdbjhip/30X4F8fJDXCZIj NpNULYiKwxcTpAZ71f86sswYE39SUpawzFkC9aTYLqhdIinuLOZxsuZFKnehGNQKA0ZV7i1U2gWn Vcm0MkVIcjoz0l47uRVsf7RKngefSQH+qsk2+/geU8Pho/oVSMZcOSJGpXCdOB7CRCjJbTTSQseA AvmcMezh0wKPhSTHvHtY8Pl5LDfRcTr6KNDA7oR34pWoZa7nSKsJBuVyVDL10cMlTQvzG2cxc9lC 0ldv5/jzkjcDKKdjc7EUgjO3ONGfKvzHFp+2K/L7Zn6zT3+Fz6gqXsDadyStbJu/iip4/mBmZM9F zht+gxLut4gErYB0xU92b2F/xWqIwHS8U3UXCYZhgidM4GHtfPLYthi5vrixnvpcSANFQBKSb5pQ sDuJ918/Z4UGy9oyio9slT9glKvJ/z3lyCm8GVgPILQlPChma3FYQXgKJnyewTGzb+HSWmrChQyy QeZ12sK+Woxc02BQt/p60DyQR2lD97S11jaT12L7dhnd3uiwzblmSnGvRqbWQWzHh2ap5IQfqTPN AA/Z44h+mqp/G9giz8b6C5qC9Aroz0tcUWo25vLvS4Z+aS7AFhQ8T0YsxuA9HHRSNfRbq4ptO4ce UIdT1uJBhUN9+FIhQzKEDKGCZQlEje/yYxSa26AO1RIQNRPlG6WzZCbG4uwEEkfnrtiF7RMBQuNX QDpRgL1vNqjOpDJfyLaiKfCPV45v0IBmDfk/I7NUrIGEpmoTJ9OwmmZ8yFiKQO7RrDZViPbsXFGd OE2HHJYR6iNT1qQ4MDcMnaV3cMoPoyhKiXE85/YUC4IIOyoZOpksJAFK3baJCzwxf5X73SyA8fOH YWBSwS267lWSlYnqSICxmDffe66QMz0iBGZ3oj4GGkytP6wkLbDA+AdJm4JWFU/mgfeVxea+ytax db7ueiBdQ1T3E3HsEeky17T0htnqBvrqE/i29X5oGV/k6evHJNTLkG1g855YXgh/Ggf3ym2mTopS hRGbY05F304J2xnbCf1qF3roSzqqMt9mMkWSbNLLhT8OrcZIuP/n4Q9p1iqZymGH6jkG3CUbkMH4 //NrhTCOnRjKjRbim8Vp2/k5cQsPdNm0Y7EtUB2q2Wa0npGaMhWMaMOcJKBQcmmvtFD7rUg71ZxT xFaMbtcLppJq+8inMOIxrFIigqs2hrxXRBg/xTJzMb0Il3N0YFUlrwY/3Vamj0rAXrqMzfiqR7CY Ok+sAiA+FEGUdC9G/epIcNhuFU63qureOHRPVpzd4jtxt8eFHiij8GR1WydEUN3Vhx/4wShpbDD7 awTGSu3njrNdb91yjGouutP8hcnXD9EvtSHPgcEYbKTE72EkiLEqoqzWVNap4kIXg4q13BWGsgOm Duj4aHPmpLi+zAce1zetpvptMcnX3osEwH2xeJAQJtCmtkvtdWMmjX0P8wOR9QJ1RFYB90yCFGw0 uYGfbI2ZSFZwP1ArcsGVS6W13TiVNVb636NeqTVhyVMXGYn1WuH5hlignzTnTjIebZCjqgypLuKW VsG3Mdu6ZJc0/GWP1EIF+ZDL/aFAKVtUR4AHKQ4kYTWay3cU89kwXFhz3rb20jBhEURfqWbI4Frz SPQwuyWCKxwTqPysLV2j0Bp0V1P0vFn7xlY/sg540zAfIl6Nnt0jrODDjmL0WWbCLG6VBK6zjeUK S+4ie+TgYiFkuCPV7CfcLa53k5tm2gIlYSmU6UyaH6suyeHXsal5//SADf6E8CLnuKq6+iAPtCkp LuMSyhIW48hSyhNgpm1daYWRED//D4TaD+QW1shPYqjw8Bxju5541IcNJ5N9Vn4o1tEWA7HhBfkS QxynEku9th+/YO/CgtOwYpN7bKAlgya37PjCjcmxZHFWPyRprxpeNzsedzqv/XQYvfok5FSLoLQA A8efLMQH5T+tvd7KkpM7XHv9yXJrmz0ostlNoe90+588DnMmLMZPbk8YzRF+bhLw58xFcgwlzKpr HDXndWtqu4cE7hWns7Jhl+1Ca703LgtnXzIgVMVACcmPYsnG4BqfmUDHbkLF5Ah5mRsoiNDP6gHE wgp0TIRrqOXpZiFmUJzw7rNvgzwNoskerOlxmH2sgGOGay0kQERWatt+lNkW4LRKcvHt4sWyXwEi WJVY8EBqcjJyV/hrOJf7R4cip3YYkXdi+pDpK9Pl3vmecZRcT+zxGrypLh1jV9Op/J5BYXOOUeMQ TNACbDHd09F2Ca+w1nPRU9kbRukb0eITKWZZl7YQNMBaggpqAJ+wWoQgkgBXWykSxTHE4Rd+VpCB T4ncDtq8hmGQ5V9JeH9z5ASHoO0XvmvgyCDlcwipJsSsT1vPWYW8qPUyRRADWepl5THisM+TBRn9 PLhfMNnwpcWDnSJyz5toLV3zwsrgtkf1JpFmUDUz0H5RlQKCtPo0n++SjBZZH4K+uR0i5V3Co3ra ibAwlVU3Ii7rMaFBtuup9biluQ4JVFe7rtzEbchUW0+21YCl1sN3EqXuIzv2IcBdXqqk2EXykco7 JvfYeKGuHocNqiJ194YrQLXgOkGA88HOShigDxFbBzFAb+jqdX3diNNoGc+8iFmgkJTUbREMAURU lxQcAzhlq+xEt+5XQ2mA6o33B1BGZdbVnzXC02lxNtjY4mbTgxfcdmMntxBB4zKfBcOBP241D9B9 RmTdN0+RWU/0XOzfVdIwL/+g4Rq6bD/4sbzIXvuqAZd2wrjFgO/20DcAaF1pW/rNWfZBcAU7PGuS BKWHA1jPqMoQmACRxpkrbXIHZGcwqpdh6j7+APzNH/+gEcYPvr43TFY2uwZBaieI00WFD2PGlczn IxU3kxaHHotNkAMMLdPp/GnliXfej0KxoC8cOurqomCP96wDLqikuvkllpy2tzzz7ydRKaHGzi0v ilFZOh6DObvzCz+AcRwPxA3dtnuqYqbZ5eZQJMmJS5JEXmYE4ugS5jbuuOhnB9tdv6hGwtS1ZUr6 Rd3L0dRGepiiFxqGNhvQRhvqkNH7KpDetVrlkj5PMcRuy8kA8k9CF9YNTDz27WSnNKq0m0PU1DAE Fb+k9mymS0DEiYPoehC0zp/AOTo8P7ry7TXFNDZaTE49zLtI7PIjXhUl/XfF7MO4iiWvnNmaD+YM XrIw4V6c2ZRuG9oZeOG1ObFvMarGq06PDBfgcM0N08iKpgackWFdwWxbBypZYJZecoYkBj/nWJt9 BopwrSrgUv5NxKl1ZlcvlvPNyowoBlni9NcZOlZ5sbgjhmBZoRsPaP+kEj64qQGV95MJ8u8GWDkb HfXIzTBayRAV1J7ITMXne02LjuM6XojuAiT0ZBbPSgGO+ksKHGfF5yUxMCrPy/d5qUUWzcWg9nEW PGhLNTqKhh2ykD0TEZCrSembCDcpFfDIgtXfvtuB+x6YIfu+0Y1U6JrfCEapNJpkjKz0mIN2tb7b ceM9JHBrV3oApJnCDN3dW57Bg7ejjJ+iB7+MvAd+LXqM1bcH4G17WIGhklZ+Gujn8RiVUbrmUxGT XAsTfxRD/LgAKRC5upCqrB4mw5dEnACF4Apvd9w2gmZnC+Xbyesw94P4NyD7Cq7hwFeu3YSl+t18 +fWSiK6Xrihl1EPaL/BYFvNVSHbjlEg6nsM25EIlAd7M1sH9RptvoP/wjoGz+c4Kgc2kCL7cQuHn ram0U+3A67f/51dlWMvJTj6njQmvyAnErg+BjYtRwjlMqQFUiloVOVxqZwqVEaltP1ERooDQK2JP JViJY3nmxesErDwPYYkSSvElebrcu0OszeWCzGOkfaokPPw2FWu5gnqHg2+dcJ1+CaaD9F8xPZwG Hh+3sNcN6VQMIr40alTyNyTYpQZaS9KE35MbT/W0RGg0LgW9ashw+oOXXIurY7kzrXRJbrHP739K j5J//i+1cm5MgnBeVuIe+nLY0TfwDJBDRpG4MMT0T2hQxsMH9zzIfMSckx5p8XjD+A8xzNkuDhM+ t54EIVkA1dHbLv0L0j2OKB0XhRR0VV3/xM4KPt8gXB+rsI/v+8QJ8B7jban5khz62Yp/50A7ORd6 vjwcfdPXbwmZzzb5XAG3WVL1PJ1nE6qfbDyaCQfCeCRPQVZ24D3Nx5/Q1cDOQR598ELFBQitd7VR wotjSZRzlg84Ib0WddQXHC+k0rW5FUCyRdwprNKJxUoxXNSLNvtPTSyLEmOhthkFOyBmSp/bCD1Q Se0wCPtKIfpWrEYdApD/bWMyIbIyVSKZ0pNsYr9otaPWeVPcIqGkmk2psrTUy7z4CpPsL1x2LHEZ u0W02VUpUxWKnPY5pWVFuv/t971rx/jfleixXB5yYltmsLvXunZxXP6pRIE7kNm6F5YOx9wTrUsK Obpys8DIXowTLDpc0IFPJ1pABMs80RUZXALFZQmE2WwsI2pHayKdklBgqf5UwBsYHBft0nFyBJjO ep+CTel26ilLKZP/BoeSuK/8e7fSghfVr07jgJ7pmUIHdx7NpJYUYzC/KTexdeF60oJc5DzDwyA1 iTj6OalAQ1Uy528vcgrwLyrwzLG7Xh2jd04pyIhH3n6Ha3a0KmoTuqS85Yc8EDSpB5gOjxb2KQZL YwWIm+EKBvpLR3dD4HH1pPITtAFUZzDxrh46gAwqLDRfiLiPdMWCU8BeDbj0Y1R8G3LpdEmqJJ7S NgfujhBem6yOfSIymEIB/XjoNj+m0qrp4DzXrw+ZokplVAQZDxaz0+xKkoAOu11FoOHg7ZpwY8Vt FeyRIxbRrsH8KhGLWPU9VyI/j/CR+C7NV8whxx6kuvRHsHF8rtu4g1d2T30UEB9jrWep/JFS2Biu /IPjF2ktx9eoEiHwFBUHb/VbGWvpZ6WW1g5U8ss4g14Jl++EUGiq/y19QEW1LuRmNJejCY101aXF YGnkFKY36LHty3FNJLp5FPUcDmZ0fYXvGZkWH8z7IShABT6dQ/CgCltV8u4m0ZJ+jmt1UpNDnBWc MJaa8ZTNcI3MZ0tXxKevcbdbZ8n03l1IVktg3N4V2O/JMgkZw79TGaG3gn18uvcQeRi8f/N2XH1h 2Sh5+1vMWshOcgKxuqmGTDV5VQC7FGYmysRfqDEbuVNG66x0IY6rDETYNMEEDw1b+ic1GWgvKkWI 6Ft9m/AJ1KYWhpQPgy7h3kEWz+ncN8oHRmH+e/ECp1llqYwl7iBQVo+azpUjzkqiz3aU51Ji1CDP /50Pi4t3oL3mBWJyrcJGC4ondiqHEnWvRrYjxz0wIehQbJKbQPbKLh3TZwoTc43LHMzFpq/Pv7IM GG4mZ31I3ERd5tNycv3WKZqfqm8aFNvu/KeplPMmRPm2sZSWuakmPcmZSXFEHGRzibC78b0KxcSG U2rJRcur01J4WET0/Pl+l2O/I8hL+KyDDcsvIYAf69fgz0HcKXCFctbAB2adWn8QN0uUWD4TYC6J Vu0JBDoTtbvHLKVGYPhu87ovQIbF3Qn21zQZL9HeieDp1P1SEtEBL1D8C7sLhQYh9NWvsyr/b+DW EJJ5dL1RzWpCzasUgyv9u1W7eHRJZ/ySDKUwSFEcqI1AQLVG0tatVNN4p9eUy2qLqiulLIJXwynU 11M1ce3vUGtsMg6BymOqs4C5FdBODRNjQwa/6yRm2St3jLdbEMqAvwluqBgffNSZ4dtaOfz70HAb 6mVxnZFph964ncoux91wludoouPWC2OOAJ+gspJDDm3JUWd9bHykDwiYYI+IDm6FJyVCXDofpGTh 1fjhEh0hJeq1lcY0OWGaWYr9bSr94wbmvjM6b6RnKDBXJ3TC3xdtzM72gihKqtYdvjN9d7EBazDR d//69alyHex72nWe0RN9aWD6+lrkZ3Jgf3Wznqq6xdixTglqLMVj90NCCNjVLfThfSEHBnDmsTlo alok24Vs6YvWL0QVbGkRHF9NEchgK+Gv6jjKa7arKVqmgcnfmqAMNI4bV8xCFsThfVThx6iovj6R +6D32lDPuueewQtDG9VUb6TUBmnxb59nOUXHrGxaAHGRAT6RLMVXRhcHA591ZNbsfYHkcVmqQ+Aq rlrBf9tCBEh6iy7qZAXrYFrRRPCX2JTaOAn+VBcgL8B4/9wlx4rEQ5e8L89ShTt5zwXl1+f+x/Z+ aszIP+wtC/GYi888BR7C+6rtc7Eqi55gS69OkOd7nJ8W2fJ1eF6bq+Ru9k8MasDOpGib3FXeBh7h Yjbdexjwf41qaPb14ElKJE0/ybV8EaDHcTxduLHN5v9atXx2f4M/JTEfuO93HcdXXpR4fY1Z+Oly uhqEqGbueTMEHjQf+TRUwxK4isv9hrd8wiK9AgYAX64g2fdRxJABbm8CG6bQgtt4zAoQrFtXKcjG AF4LMjpHetC0TnWaLTon0uPG1XA5OQhH1r0krEA2ssNW7t6d3tSq07D9Km4hZjD3HUD6WE1vh4ON I5xMdrvoH+OhERU8h5MtuvXIp1LbUhpcydmln/D8GrPzTQC/FyerRL2qHlVL4ObOrdPi692JUFQW dfFDK0n3erthWo8OydP8pdxmmL1ISpWhgmdSWdT4rdV0yXMxo03sflC5Xv1JCNaSFTEDo8OAlVV8 gn5OyBQLxuyA3telKsybU4llawUA89ih9b5VnHnbbEh70gxO52rq9BLDVO6+Xy6DIDWnow9dXW/V Kh49i/uQtnMGiAywcdexncI3SkswDoHxKLk7YG5vPd8QGCEoBUNuTNDhXlIfkj5MicOS+UpSVZ0g dWeHvaOGrs8htkmIcIjHtNz1n3ZBTyKGzEyjxk+bRzB+a7XqYx+xVSplj98ZHv5Fg8t7APS7T3Uc 8/lAGMxU0KnS1qDZW6oIUOYLN2+K04afOO2vNIeRT1PM8AIwdaGT6dGXWJ7xcM/90402KiKLZPnj PTb3Qv/S7Ozx/8Qyexr5Obt2zsBRecMquZglp4XjH7hCN4Yu7OIod9Jdvgyf4qZucDJhyziqShP+ ZR5yMcqlf2U5x3s1s6ZamFPEu8uxJtNCr8u1aM9iydH9zyd++EwANYPPnVTytpohOELq16cM8grD 8ed2wRsSd5+705kIwQpy9rghuYVTJpcFE9KMCpgNUNwk5NgEySibfc/tFoOpqanIaIy1boremLgj JgBonQQ5405H8TDs9Zg5Y58hCgWV0VtAA+rGwRhVtJ5ALMrJ7KGNG+CZU1wWQhpUdTGCxHomt7px Nij2L49fb6VHRJmJi4dA8j7N8yNptc6KJHHAvC4jhYG2HUHqzPzWDRNmMjfUsEmcpgLrnlM7jVfo PKD0tx2UljpmE3uWr1VcK4lCXkGt0he3tlITzFae9YKFtQ1ydhiuEDdN6mFQs4jC4UpD5zii6KoO EzQdMh2Sc0EduhrO2geJfqO3/23toXQinN6veZ8bKeWtzuiWoywDfV9wT+uUTLn/ybMx8T0Uc6Vo lLk4P8VwkzomAE0uz7TTN8bf4C+Uf/8Dt1hhfDcNshBUasZDFI4gjiyAtbO1vqdXbPZQ1upw0oaW gIWWI+WHKhR9LDtW8nhlpdq3q/dAFOYzRHp1D4h/hcKLqJICx6ZAT1dD3rBUILo6wXevX2TQmy2z MyWhESri1SxFboTgyHx5KdFOzjSzXcy2SPmPCxN4LQQ9spbuZccA0XFng7ZSq21OXxbKLIbFKPYq DuNXYYdBqK1IowzI+CCR66WvQYUbKsCwSJYdSusRwc7wzJrimWmDcc7qVnHSYROkUnTFxiDhqsrX 6JbELBy23Y8r5Dsfu4S3pgYc7B+hcskGFDu5ZgmaxFOk4wquD8G/3gZ+Zx0OoMljNBdV9OlL+uEa 87cnrt/7YdHZ1Nysq77DpEtww4HO7mEM92S1yDTvQalRwzT08/lHjQLrf5Th0tjNPCaCWKGT41u1 tXVvTPldzOE6JSPPxZaJq3MmF3SoaY2qnxxORv1FrQYKzvt+JTxrclpG/HPuGfJS6+J/2fWPQPF1 m+MrH7kBfypCLVxrPEKpqqBVoFCWGKBjehRheepv0g4sUZtYBBckO0vVXyrPP2zQ/8Q5h98l+Dic gdLkUvR7dTaiFA2zgVlALK4dElb/1dPMhvEey8gEwnxs3muzK/yM78Xr/X+VycG1Bm3+Gzq46F81 C1BoZiCxt3czqoXBAP2ZQgXTBSJwWzxzCwZ/E830xL0j1ttwM6sZ2XG51feMpEL4bcVDCCBeUlmE 0hSEOFBKSAEfwsRebOVohE2PaoqVW9LU2Sts8KnzdmTVl4aEgF+wRNEbnaLDNA12dq1cK6a4EKrW D/vq4DDufdwqWfgQIX4EmtWnXbSAdHtm9RvXk4r78ASTq+BWiEb51ZwcjIarpwCaFA7MGfHxEomB W2TUqSgowSTUUDG+9/O4RWaUBmLfsycE41zEKrgbM12b7XMUIyA9u1UKc8jv8ZJkSbzUzsy6CqFd z0D67nfT5pqkBJtT0gvRBjnK4WKk2kY3v2FbDICo8jyFEPJ0ZFS+m/t/sjLw0uzz8Sj5dvS0Zlhp 7GIAAJi7A5uDOkxTzQ8qZ1/5MZocF160b8OZZBjJeZcfvfHFnYABuA7tcicZWRXEW+obn2pdrclN r7ZICKaSn3B8iBdytWoVAoO+phhNbAKd7S07MoRoRddXWpPiJhboxuMCWM2hialVUsEL5vQKaQm8 lr5FdUOzeeJimFptLflb16GmFd5jXbYDrxJe2TBxurbb07iT6mdcj/Qi5+e8F1fBJphEVu44K+lc SLsOlkpaodGgi6ueCdO0/Oaqfgiwqpto0t7q46v8+ohAI/zp4XpJsh9m3DISr8TEwjFbbiE1CkrM GUnyBEYEJoTp6IYV8pc0WShjiP18LMGQsAJdBlBdFf/ezHqVqb4ReSiNNR7uEUfaSKrBhnhkMzlb R55XJBf5YffGuuXL+fJtmKf/D+lxSXv5J88n75Hb7Lr4LeErt5XO7jU1DIryGfC53QZHeVaW7IDy xpauQGzFMLe29eW3tPgNGRjb32Y69DxlmOxOKam+5seDbIFHbqUi1KEa1l04fVpaRdPXKaZdvYoc x9rmUizBZkVaqKeL7o18spqq1icE6MC3Ng8O790lQXQBQJInPsHGCYxmTuThIkrQNzUg8CcNTcde wLWcVFlbuhDpKlGuUsq9uCDmFiawZE4eZxqeeEtp1tjzj1J/5PinmM2eM69YWA1rZaV9PJ1L8jjD en74JtT4ikaEqFEeCKowZksKle5zVVEqSdSo9jcNMMn8ZC5Pxbc5tIE4WJ2IDZQXyDfuTyspxkmT vIVQKfDg+121oplpkPmBbJ3jgP2Lm0bKu8qVtrqkyyQmkS9G7nhR2DrX11bNOXu/Xx+xGEUDMjfu w3HF6RrbvX4oxQRVl6E5byOYnehjhAbqSNpWdJuMHn6pEji5GN+Juqeg/qucKvCYV3kggnAzbrVu 6rnARoDoK1TNSYfrdaPllBy/F4p2yu0EXdak1+ltmMCji+jsV2I9QLuH0pQnZq8ExWjkZzAVbj/w IbiZb/qmEWqeBTWSUEKLiAVyNVjadWLProJ7MUsRQVFwc4R6kYdxBldr+SMdHb7ICgCueWmVl0Uy zQn9t06+ev8VJYvxiq8U8qfKViT/uRiO+NymtGYYV9Zu907Yhwv5Avb5SquR1/oWabM209pf3AIk k7NfXGQ8yUbYnfexORR07yJPywtO9rqehF564hftpksQPdPCOGO/Xl7mcmGanJgwZpP+YEB8i/do wjPM4qx1Q5lpnvaVX2sjz/erL4MsJ6vIOsRthN5wh39OZxltUEAoI7wDexLkUZoacPBaHapoasxe QKbPI6QJnsgW0WsyVjxFn33/f18N0jEvZE+KqMkrX8fw2ZT5kTozdR8J6yMvO/HRrm9zjcDDg4xU q/WVQH7vnIE6X2+JpYL/uMLvGf0rFBMPcNVfsg9xDtg5d0+nrAMHoehDF7dqABieT1TRRAlhIhqd HtvAQVUV1xowNYDZoyDeYHAViyz6ZT0UUDxXzdldC3ZBT2Yo/N3xeOs6YzutilWXejr8PE9YpAda 4TuAyi6iy4kVLYj+SWCmKiiskBaI4LAsI76b2G8+wj1NAQjshCGPjEjgRkJOuETO71ZT4Hufu4b2 k6M0LswEwuwFXe1CJnkVc6GM3nnIX2ThevPJ7gkxsnPKOMI170HdX8x8zXZxMNLVW9Xhz4Eito9U WAzsMi/jWxmZecfx0njJn4qwCcaxElJAg0SHcXTu3JlgN0/GxxG643pWXpx+tPDwNE0GSChsz744 W2wEu3RfA/p4UubPN3JbkLHBt4u/qUd1TLXojaohKLjUCe7kqDT+CtCTn8Fu97gvq45PAJgstUqR wvStShn3CLvbGD9ASD7XUL7zF2/prbLFd1ZAPtW3c4D9Rg6nWC3H+ZAm8LfMKKmwjMX43Lxi9o1Z xUQBPSNxXFU3IjsdSm4mCT4L9180tuBgmUWonkKpzaxe5Kp2bJb+JYCEFSAvQBSCV2208M6xvHGt QWSpZm2tgNfscNERW+84NuyR2Hi+o1CE33OKCsAWcwG/nYq7NFGcm+RnOoZgR7bZcm2aEzvC3qnl j9oVCQTwkE6OAXdsAOM7Ld+tw1JafphYpTRIhS4i4CxT3zYcrIsrsQXlUYqVCUTe6+tgnJRwMUGd 5JJ4c0IGP1ANJpYr0EGctONGzN0qOJ1P9hO5uBYDYRcJ03YGcObE9TjBhLlS19CMAORlbKQis09F e8GlZCY5ds4/uIWbPfGx1MivvEZ8F4BFy0gOiON1Ojh/CAVSTyhY7+XNlTMQEybmMLkZPyuaKnaY FhZOVR/w2CJz2ZEua4ELswdzsKt+VlpoObjsb7fHQnnj/4g3rxkVvPCrgb2Jq2m1BPVuT/1+xPWL w0doUVaVw8VqJXpDwRFXosnqB0RxplWvP2MqyQ6Wiq3uI/pN6t7TsKOTKl3HTHy5HHEbb5luQAcn NB4plTdP3gW8g34EL5LJOIBoTkreGFKBqrKJ/DNP0y2gIIdPuqdwNaOTxA40ly45v/dc2xGwAYA2 VL6W0z2H7uPnaCltrx4NBw7o8dW4dhC87or/X5p5Zwj0FHDA/YAQHbbEWJ7dZTOmvSILZKw2QjUY QO3gdvLRYUb0A2z/WsIJDLmqnk2oEsENSov3eh5UEy3eaV8cmhaUu4/EgwkggbI/HQFVOGzwv3sf WhEB5Xq52eXtraCpeVL5AKUjYSPSKW0uLdL4b4I2Nmq/UhHQbRC2TZX5neBgxEscMma/AAwwvbs4 7ySb4vputnYp/ZO2cB3z+ACIjmoRBIz+ASst86nS8dojkiM1albK/HTTw1VrLdck/jjjQomBnlJO GuGB6hBFkjVJwCUeXVYhP6kEo43VlHgFR0xHrBDcCv/A4nUqao8GG1xucPVLKor4Zm6nVXOfutbf wSQ5LT3ukGTtonLRFuu1vlJSWn3nIYghSl3PejXYZ9a6uHdXJsxUVE2fEU4DUZuYZpyN3Lrn6Val 7oIZF5ZqUB0xzKShDVxeIoVOqO0gZEadAmOU/5VuLpmt/ggxkNScN+geAlhgoaEftCRoxGT7FCL5 YGjEN/RASCsaPFmo0GwWuERTGxIL+aky8iV9mqrIl0XBj4Sw2XOqHmtuUyGRT8Efq2Rd4UiErHyt lL/kZN46iP0jGrW/OksOUbAxm5twA3fvGvYQxU+8X3eSbW+qyHS1GyCZi6ItL7LBV7/vJfPMW22S oZCebZxAIXo/HYJl1Q9yo8bZm9f8d0VpH9RGT5KflgfI/dFs6JYirUbtZaVV12aSAlsTVqKolku7 fBvoC/vMa1rtobUDTcC2rJc1pRRRk5EBVWjeWnhVWIOh9BL/rpwGJFQBtoaUhIZmTYJyvGl9PoB5 furpRpiFagcy/vvHTObqECdWQzy/+6N+zfo6R0XXJJgTPtJVI3zb/tHeg2hQJXTwURuAjCiruFHC bHbHhhVUBlWBsHC9opDXoxG2406KNZl+rw5t5jiruutGu5QDJyIaQ9YITbdVNjkxBtF+LCtMtkM9 joTOEGMhFsdcmz3KyeSeE8KL+PwJX9rhBuwQMcfn+cCXtOKU69nj5e9xmojzIY/TaI6KIN51Fsc/ uofl1y/WBGNNnCN6pagZhbv3Sw4H4NnPH7j/xjIRJVCar2wiOTJF8QCQ4mteoNNNf+/rhHoK67Ky mUdi4Mx3TDv/8/Y5jA2YuBcp0BQm3keUhNmw6mTGNdJCgzpN0sjq62WS+0oAME4TDmE5SswxdfTA Cjgoblj23Y4q79bZWVu4oeSKzb+pLOy6gEqTQMagcF7ZwCAgHEkUnp2c8ogf0XwGkilcNIfUper7 FALwLg+HdJPASrai8H6k6n3JSml/EiYRELgufn/KFTm0lGisYewob1j9Qkuue3oSJCoBWmTmZE/k Kuz6X47ntHV4RBiXLRrtTJwB/3PevwOARRIXF4qO5aMbNqrB6X5r/UBlD+U/JpoQM0RXCtSH8jjc m/BAmSyiNFZhcu464L4/nQKp2t3SmSJHm40RLmELyD9U2g7IWREPS3QKUXGP77J02SyaO6dxBAs6 d0xWbRM9a6lWxyiy8HnSYLZKSPDgiac45Y292zr9cqdbQpOqy8VTyCZehk4C+BKC5Ol3rSrrF1aC zzfFk0JCAqNVwJsUrPMUibR7B2nparL8j3XgTUEa4wqRfaFUy7PEGNKsMGjqNCOdjPCFin4kRDP8 +nX1GYptvEyLNIpXGXQadf2JY60hUMfkQDgjiLrc/sHQYqMUTEETfV6Kt5WW3YIvP9nD84yt2YjQ 6QhlFyMmgaIwKrLvsBxQsPre8zub/8nSc2RQkxPU80u2s7HT1NcF+xMFhfKlKOjSQeBN7U5zVOYB b3ulq7uoroTG+UX45nDdYqAiv2XT9L0g4KjxtbZ569F3Uy5BJT70hZvlE8u65IaMNgWakZDXOMEk WtdQER75x/PWXxTRjxCBSNe5CpxEHZZaeT9039jp/QYw3B678PHo5ckmx1b7F4O7wdD8dLUsNgaa ds0FtBk9stFP4ZbsRZTVN70eAsTc6+eENPi3H8X7cYBQvJ73+vHkcU0M8fq6f2lYuzzFdbShVK6r k0XE1ddmcJlC5SJirKVcMPIOsP5KuldJ3YtAMKqeW6vlNCC2bxkv0koYB87Bzg7fuilIupsJJRx9 lVX7nbNd0QIOMrKBtrOEVih7JIUKwOfkQVCdwGBCVb4SQyk2xSTKw5KraXP6fTkHZQdiYShUhXyB JYlpLAzQcSf/QomvtfazhWlQiIJFKqWnCu3A2+Ax1I0fMwM9wEdfmGbZQVKMi020j73N4J0ONsED ddZu9thN3t3f0NPrGiKEKbvmCRiJKXTd0CPthEQ5gv+golRT/XQLC3rtBtkfZyfMfh4Hj2CmkjtI 7bgUmVfFqv/4+5h+d4Uo1sBy+V5KtAxHcXPrRdhvspI69VuvOwIXHemjMytRcUbnEQYk8ZSE+bQp Da8PLBwyMh1KPXHhUsnrwMuH7+krxciJR1PKJLP8nIUbYGkxQEqG2zvoqeEtTS9CKBYqpHGyCSWy pz9CbRrHymQk85dzqdvitNspfJmZxYwOMaNN8CnCD+DkhbMvjJShCfgaPCW9xWPyrhd9q1ZfGfrD fVTfFUIlvFpvSAzaS2ATh4oDmDV0p1K1jRLG1rroNQMJUkURgcUbonNJ8KwprIgslmuiMkA4d7uP Cc8QWW1Y5lDHYzJmBxYUyNj7g+yhJXX4Tj6HNObrpCYS7CqVixjKGSqQDdrIMxhk6P84vRvUDT8k HdZrJu95czCPR4h4VsmSqsvSB4L/Wu8BR8GZmOax5QMrJLaoMgvse4y9evN3MrQDAYWgzNiAHdTN +4cB4yt2kwsicehNAj5E78fxpMu+MTiN7aT1xPHkqBwVt5Harwz5ZN/xuCtQhPFE/wm/5uULQ0JO wV6izvrpJediLy7kD6QYA10aCO6LYdae+3yjEgkEPb26gz0+9TvQrZkWAxMsyow0jlD9w7ZrjFYH nfZXx18mxqrwo8r5jDsG9qr2GiHRmaw1/MantWZIXG6TGv0ECvKh/5g4RhQqefJ1LJT/mYsqT3ZB ISpKfGwkUMOKZQJN2FPi0ibmKw4jYpr/W0PatuKlPym0XlU7t8M2NtLmJVF5yCMUGjwkyRddQLme Cz0C2evvbSyAfrOGknNvJfiB/vVW1L9KG7EMivL051qIU4rDoMJVz8BXu35RpprdKj+4eNJbsUzm htqHy77kEOSStENl+iCy7a1zmSRrL7EBnCi/QBIQLGuN/TgtnQiIe5EPIsCzfYaUDMzYvBMqY8xH gjIn4cN3UoEa8vIlSqqxKTjP3R/N5ZcC854G+ucwAlVYCKCCgQ+XoYo/UkdC+T/nnwsKYe0PGjJp SAsVDmvVmphyRNVtttcJlR9WW2/eAbxT5jwN37zHIx9hFUteEAd7sAF/P7wuwPliOqnoJJzWUuYZ 7CCuYwBQjoX/1OYNGiiKn+4i4onqNMU1o+2M9dMwcyR3xw9flm83VtrQs4HBAjNpz8L6vc/y+NcA 2Brhhb4SkLIuLC+MYP9wJDWr/BC2EHMmHaiPUgq3WsfxKz2A0PQoZMvDTiQiTb2+Ey99w4JrP0jY MMitqKmUBXQNHX9ZJZq72PkGCXisQQfnvBdga1U60YPk0iy4/2mE0/rTk4a+ehrLQ2oAILjBDtZ9 CRf2/wQrAZX6yYHR38dAVxNTBZscVBJkh9gc46Te/n/mGgqsEUi1B+kJCbm9lfYOz0NTVvYlB7Z8 eR1sjkyjKHdX1HkvZovLu7PkB8giO8hxr6BG4efQsr1y5p/rVdVYj5MATTj1A2WI39nkbgiXYhhm Vt522Tyv1dKB2QqcVc/fbRLnWzHodB/Iv3m+Cbq32sMS1F2Hg0b/eM/9ZjCVZ89dbN5PZW6fLZam cQq+HjqF1CQj597nf2eXuhhbUMZvTmfeTg6mCv0+QbFr/2lU//5PDf/PkSaSC0mQa+wYxs7SdZ32 TWxyjg/6QsOJECbk7NEG1jdzTXTnrf5nGvixR5kNQSgN128FGeNXJM1L6a39pUVygllEo4UbxTtc MZcf6Gakp4J5cZJPfDxFUNVeZCmAn3emMPsghAqCp9s13x39G5x4239Ao/4uWdPLuOQPyfSw3RDp mmMMhOLiSW6Z/kCi4HKHvO1a6kbwEQqYh5o4HBoLY45amz5TZeAXA/+hwZQl8uv4mUtAzfhj+w6v J7tjLL+nBiy+tE+rk16SdnrjnZ9Z6xPwkPWyzlCQTNIpT3Nit7pDy0XJFMVL5GFUYgPJRuzxcoza uVGBQzLjAAzfMMqMFt4VGU4Q9sdKJA7opgRADYHBRoT6Ai5fs04SF9wDBLiDhhycMNPoW4MXXDIs io8z0aj3v2qbkLwfPehFZdY1jd2hYbdrEIQhhoaWMP/LrkWVWCZe+xASA9kGT/ztD9suRf6luRrm 6lq8Rj3ktUwajn0cssuygeEKIL0F0idDs06CrzMOwNAaHEkGwoWFaATtBD3Ayn2PeyA987nfZbwb EvZ/w1bOoogvlsSKzPhQdWQGSP6qSc0aei+WfJi3p7puTtdDlFgvy7MhpGkP9bH/8M3WA344XLAa Cs2W3GeilllQL7fIqH2YHByvz9ByWj4rbJPAjQvZcwgyQHsiONnD4LFcT9kCrbKByooQj/nvfZtY 52mEAPQ7uKqZERzRHKogPfNDB/rDRjeJd5nXsPYpwz6NzzCCU1EoFuRNkCdHoF9rIXlilJmp3+nW qSeR7UcmGjk0vsIL6jL0nKjSb2qe0SAtyvMHcIsH4ROaUB7EadID8N1akQ/MiYU1stJDlWYQGXGU cKewJx3uFq1K1cydViChlXoO7TlqWENPZCX3XdeDbbmZn2q+Jn6/qsGyZrCgj+TBeFbx3ZF9YxHp dj0UwB5v80IpRdzsrDMIQSgCAmzatZVBji/D9kHNGZH/PqM4UF4yhJGlX/J4oe4A8jB/tCndQ3gv vaQToBWGe/PdNMKXxyjv2hmvlUK6KFbfU5PgX4n8DQRHGXCQd1eIYSU/KSWijkLfYOujBrNd+esv dP0p8bg/LGpIe4EXgfts9TXdaE8JIT1Qbvn3B2Rj1z0QnqEXDx0c1zX/WfqDYwjggTu+Yr8QFxxc 16jUjhaGMhnhdr8tRFhRSDrLVarHrwsbrCVBDbdESOPegLfNEa7S9Sg5y7T6mol8kEgQhjbh5TGV jxTGv9WlW1ii6kkS2kiR3YR1zpOF3AnIbmcKI0NFN245dh10kyU7RqFewndmnAuOPcxUj9FGJocu S+m8yG2iCsRlMscHmREtcgjQ6lVLdk2KNBFTLO9s/3ahJQ2rZ39RGPZfrc9B6F9faxJMwb0zc8Hn 6IaRPdUu0EEH5oS3g1rR9eii7krtwWSoGQsYJ4vdPhiSCAkQYROJlVD5G9Lv4MJiUwtxLIiNW7iS OKVGcnNGsw/Jk+LkEd0EddHC/PC93QRTHiAXdQccmBAsJN3ZSohwGFJOcnQ9K6pTtlRGMYFEGJj+ M2UwbMbqHl3RikX1w3BWqkCtCFs0y8GQCMZP08vxMsr0I0QpTfHR07T21tW/AQAh/Dp5YmUhueNC S+cdEzHBsKkNmhCgkuQWG9w9HJhlC3wzE+R49jpmEni5YYKB3JtbZ4bnONSY+YYbA/fkISetg3Vl /2WvzZPQAVGsAR6UVmiScEt5EOEX/k02GvEJ69c7V2/e/dR695ahqGV+PvBGT4bmbbgoJgK0wqp9 pkMyCWbfm00wPXl/0N5kLZxpx19XoRuHxsNvSRRWhp4+4XABJcDn4s+6k2siPzqkrUYlIenhA5NL +pX/UtC/XVbyNXluX/y1I4Aij1I7PPgaBmys8rdIGVa7eWZCFn77bedgzJcWiLA5qPL5kEdLFQRl +3j+1crnW96JTZA8D4ZH36br/Pf1fJbWptV8Ifcps4/GhIkaMuyX8uGftlv58E/5pHI17OTttbXP ceyzgQ6UWZF6tE8zeKf/rZwtDvl0JLlKXv3waeC/+KmDUc9IMHgy/IjyI2cVC6xqfjngp+SCoQQu LRRDKd115R6hTLOwzpLT07+gokdDuf7R1zyGjRg9Y1/IUmT+j8Av/SeSv858/AjrfNl3BCabdetj 9wWVXhwM53Y6/MMrQq7VJ+gjWZ9a8ntyczqdphfgJoWvvHPfiGspxyDwBF6v1P5B+9wCGO4ekCFs JLrAmHAKsU+kmG1vYA9EDloqqQXJHDr+zTHYEIO51jd0XAoY7r2G8ng43fAMVrWMjWf9mHfYiAGE glZJf5HsfYMJLUQ3lJ4yoocwJB+bMt7XrriOSwMYQNXowaR908l8L/JdfLJdhPEy5Ma1JF23KsjP HpSGe3n+f3ASWIiWzc6xcx35f2p2U1ijdVS30UJBUMFMVSFtdTnmWxviETQhgyOV15meCTqsDMud JDB9Zg+prPG7Q0lvYitccz05scqtMU9CUXdRN5AAhodh/E97MOw9Ti9fQGE1xX3bVyLuzpfkHIap 7LVab3+7u3m8+ViMTMjzO58K0CbEaf+QM8m4cgyxIPSqY2KsYsbJh8TtCEhGnSysTTA8aPG161MZ 3xvJ0BYazcM9pA0EPEHEQdymKXvhIqJaPyONnyg4I3QHokHrrZAHA8VhWc+rqO1hnLBtieuiEK0A qRaeB+75ohVcyJ0HOcPGufytfwUjWsbNUS6exsh08YJGb4SkxJxp0YMqPLHO1ZmSCS0VrTmRHrES 4GlANtcqlJPKSUAxL9RBOjSqOxpItfQDCSXRxnKTUtf89U25j2iPgahSw5vdC3QWmGE0dMlPXbYf FHDeomZWY1VgGNl3deX3/aRysWLK0+4p+jxQNrlXnhKnNnx1Qf2IEFhesQv3VJ+NexTQpAbrhNhx HvquDrUPys4muW/bOZeHAiPGjEeQfDdOTQt09z7GbX2XQWSfDT7p7leHF59AR/idPp6e8RmjRwJK GKDRECnZf1ba2O4Zx5unxcH6GB31Y9RsX+jR0cWMfF9LaWI3rZFvEVpNNlUVs8QNuIGW6wdRtl/C Jjh/2L75X3Ovad3eRRrvBLOc24MxJv8Kn8N9fjTDVZHbV9mxtFBqKl5qORT9DSffcnuHZ3EQ7ll3 FeyRJXLYRrzst1536X18L7Lq+LefJsnDpBtlF1nOG3c9IlCP1i1xbNchbsP+Qb2RDMP+guRtF2g2 TVUs/Im4AaCmPW/F3HRHf25zCupz6Xycp3LmzhKp4Yd0T5IRcLcTgrdqJWcuW6FSBYps/2pPgg/A pnJ8+u+aehwW2DNNWZJL2iT7/ACgO12wR0vmEl5MRSUN+kR0bBW0YL/709c/jNhY6Fk2M37tULDp CGAkvZ3jjadW91iM0HBm0Hp4lMrp9WH6EV1u5YIpqM1EDtA91RNkHI5vLYjrug2jes1Rf4cWLZYI bEZ9Z7D3hRg4izCmeMUP3L944S+kP7vOi9CZ7A4FKcoCQuTG+Z7aGxI5q2jutOyV3SwtOzpva7XR ZJRXjiT6iR7Cfye8oFjunxnZ4AzvVi0mQFOgbhmWc+JNtPh1spEL1sLeuKLzKGH23bODOSUIBjPn j4p/tFZAqBTxcCHZbFSIw7xt9zMbyOoYoo5OMJsCtjIdAgw6+dSZYStZCCdgIaHzcSqaPGUQqr5i RAoJofhPS/rUPcV/sScoFyrAQ6TR1ykhdsiNMYk2cZ8Eol0WAmAH+hJjmxmo+Wgo9CAU/R578A/1 Pqhc+IMdRPjSqqPjNj2Toh29BLYjYRfei5Q7f7F9lnejkOvo+xLp5Z/iWsfxdj+1lkb19YTSFqft hDuHCGFY7o6lRetOn1eu36Ab+KDjPI/LHsVv40tPPOne/LkGZptUCSZ9FiGDiLpb3wIgu6Ca56lm h6fwAiCJGhdeSUfgY3b02ocrZoR2xtYS8mZm2P4qHG5wc66y3VY4+MZx5BBCfkjiWvw/WP9brYSs QVjzQLOhWgBpntUqL37lnBC5mFmlPT+v5iJN6bZvKjsF5KzBTZnRYTSv1rJquBnVo0IbZRflfezg sIfy14e1Ipzw0pVtqOiNwKjiY2f8PWgYv0YwZGMd7HJTWZSMFI0NMTVh5aO5BiQYpWFdRsCz8Hv8 Syvd+vNjOglR1hPnoINWPjKRDwpTgullSefXkTv8I7AAm2I6qiOlpj3ddYG8XxGjp60XaU0sNnaJ vhu5FC12nxA2b5VgP37py0GdkUGfzOKgSWOGxOB6ebji+hrQgyUMTzHgE9OnvyXQ1oxc5jU3Syg/ 9cJwqlsK9/kiM31HoKUunyOmkUH2hRbQCEJmp1nWOYuVpxTkK60VcgCzyVDqDb16W+o1WF45UOoq BXiJSjkIyousrKfmP95guhPki44tdIJTtDRIFNrZmnLONT2pzVZJodXk7ZjJXWFKOry5qHKj9l7o 7nbezf+Vd4NeyEpZ3JAg9fFlEZfx7ocx9opdQBRQfNI4QEwoYBE/1iidijYcvUjqlE4BJvZmXQxN clW+Le+j0uUJCOCGdZ95ZOmz7TBPbfeYW4L/wOnDVjEsEkz6Y1ligd5vkRiOGipLV5FC/md2A6cB rXlcXl7rySy/fjk6pYcEC7f0Jyn7psQZMXsqCLI9987sNmJOC2KO3OkfKF/fdvz/SoxpTH5qQG2K Bm/mgGzEZB1cwKndbalppUpChO43/hu0tiSPmuRjS+9ZtfZg6nJCda536ENB5JkcoyqWHvnFFFOX ea5O9iq3G+rPHfezCGut1Zdaim8KwvPIh5MKXQ3jV8dUxKNaU5ijCAvRZmK7mhSqGws/MRyC+2vH JbJ/uvTajSWuSHLwkn6AaCU5zp+o4E+NFMecg9ZwPyt4tTB482FKtLli+V4iRpk2i3WkHWnJP8hw 26Yo4FTEbf4o38RDY1G64JjgZAhuCEJc2DGXjKnz87CoVZmdQ47+NwZN7fmbqg30c6sirTK+G30c tnetnWMPGyWzHqf61Ia+NGDWjkQ7N5pjN6iumvc0hwHtYgWH+3E3Y6A0YKcSxNkzHiXQ8PZWsegZ GaspESr1Fsh9uUrdBqTl/g+0MDtZxYN9G2d4qx6FunXauZFFshIMG3pjfM4sdDhlLY04FVt6kjqG V7BRfXv/VQ2S2QlAkgNJhupZ+NwS2FSORHAccmpw9MwRA/Yk033lEq1dasiFjEd0SS9iYOkZyf79 Z9kAg8m7kKSo/j/Zm+4tjTWqwXrMHM0vP0i2Jx0VUud4Smv3Eq9urRBKaKwwmsOr0i+oM7Xuf0bL U2/fWEjajjN5XMPnEYfK5vS6p5wF7vno+WAujx5lbBGD2i2UgJsB7ZaA1/VUndccydSe7KxT9ylN jCigLLR9wp0RiuUlnVEeSOxX04ieY+fjURRYYGVCsVAxrhSx1ITYdKvxiCj7i8p0g7cJ0bBtwHk6 o7Qfuf0YC8ojixdZTy6aGpUll3gEwrAXYqkcMiPqeQNdmsRSRqhny2PJ2b4I/ShGybrp5Qq56fNC GQKpQ8KBO/bd5cq+B0ZfOhhJGyAweYnpTveZVJxhjvel+bbD49OPZ37alUofb8lvPzHyhZ09lFPm 5IAebkKPHJjX7vwM7SxHRXvwHMBgNc6Xk1YdMncBe89E+3f8LDCP1TRxVSOvD1KyHN2OXRyMMLHQ 5qg8GG2kOY3SbscAhsn1Qk102fPyrG8q7rSEH0Ba469KNXeCTXEd7cb6+OmkxLKGnli9AQeg3SAP uTkSzA2tfRPG7z64C+N1oE4Yb0u8M5JRbNCh/lcxzlTYJ9ZUxmjK14pce+r+PZKumQdAApMD8Xdl NG8AUsY8OF0G8Em1g/5dai2mkoLPzOxlTfyrNPhOfuXWYIylCshk1q3opI8Iriho5uxR6CnxiWor j5CAwF5TRQ5S3KCqoJMMMhxd55LxjRXFOIB8O5igJN237bCPwHMHt7DtxCfhYzecVh74681pMTGJ LFTixQ/l6gpRa3aIUffoLuy3MR6QO/vhXJ5TCSIDEWD97ggNt8miLjeBCbBS9CLEB/CHUxfkf9wB rrJN13OJd/ZjgFAOTXf36di8YVu27fzNIGzl5p5HiOfS2ugvonKxFNEvI2ZoAJav0VzPJzG7a7sn EpE1bQuitBUMFjNpz5XVuqYG0/TFF62G7lfOMr6N4q8obSB/Rq0734pncy8+bRZ/MNQ8sajob1Px n386MzcfRXIfpv4cWtH7iQBnEvgrEbKlp9Kksjs+j7Un0cymBSbpBRW0fFwhoaO49livcVuOxLuW eEbHbjJnZdB6XJrrxitYofbAIpBWPlZtw4mN+VUAMupPXhpLCnNwlxrv7RI4IqyQ+5JaAceojaHf a+ODfsgw37IDnHEiu8IdVETtzhU9JSQO6ObNqcP2+cZ7FYU70YfhQqq04fFyy0WaqfhUtt8abrCI TVOQaS9YlUixeXod1n605U5V+R4D0wE3PLmuRzA1inClHyXsA8cJE30SmfE0uyOdr0OrS98AX5zl 7xj//RiMw7WUUTbn0gZkOT/BUNYgFlkcOKtVVfPSunweP9L64i9tQGhlcBlQ3QQYea8a2nwk820n Ko60gw5YFgWgb99TtXM9pycJoc0M2aPphlpWie7Wjs/+0kEvK8D7eSk09n8PDUitwrq42MPtWoqU qcqf0Li8N3RqT8dEE/2ukPAGxphN4+tlT1qbKc2S5D1BIn7Mdd1PY0uGdGNJ9o1D0DsQb2/shzeE 8zZeOZkkKEe4T5WLX1lobD4VbKWn4k5qak02hzzckfZzQZcqZW9mOStcaQ5FddpIpsWbCwdFH7LA cyRrjqaJTMDC4YDNXtw42JCs6k40sjYLa4kIP+at58hE68bbq1UjFcMvrgxmGZJVqf+GNAGpKER1 jxtvfjkVGchnB4rpO/0gIyqlaxxLolVNCjs+xPxNDt4kwqfkkLp31zhpLwGuONPRwG8B04taJZqy 5sOgd4viuPXkljCj5QcZ0dUyepgsDho3K9rXXAG7QPx+uhSwjlLIJsmsSyCBHfY+SEJamoEQUq1i Ae9g6s7dgOn92lzvKHtagpgENUSPJ12fxrKWbFNGD9yOl5d+GOCZelwBEd9XiBoePFBJdvxyuApa n5JU8tRu//N00CYD4MLWwr3lI41u4/Qj9hMxX6Us4Q1AGQO2vl+Ui5DAx0pUQGSHbLTUqx04YLaZ hmwAIJ/gheSgtdX3pgrcl19vAM4lCoN0PHPjBv+xdPF1umA+r24DVmXMuoAlBx3sHnapaH0AaT6t jZEgpSON7LjIo9Fr6LbPNhzXnalYo41EcAA6l9qkv+0IMKOAvedKQt7WBPLnZ1+c9lEPt7OjmcXT BU2wORSLZgcrZrLvqy+sx9n1KXkwOs7GuaypHCtCJ39cC6j6rDx1867R84jDVDkF7Nqb7Id9Yxm5 mTN2MvZB9MTvHw3UY2xOtN0EJPQeyTBjzqu4PQVwZEl6noeEmnuf88U8ggCVwnLsBSIWxlagOHdU SuwgSWviadeMwqwVaf50F6ytI70qNY5pt/pvHRqKnvwxOM/ga5Adk1xjyJH9Q2JYfxtlKrzqNcQc ITxMOUZ/JVY7MeQ0O7ZLolvN/JitYlTkbr8WhPRREwuIEKLY/l/YAUE0AH/fV4gX/36KaKY/3yG9 BVnr2jWaEEPCIueNjzBhCPJRDdLQ0hgw5iGEgGZKUyhZ5FS61R5qti2EpR7ErYce2QYJFC5IKyaS R4hO+8/TFR0vhD0P8R84q+VqmdhnH95TgsNcqn1iFOzJQnjeoAXGgB52b8fQ55XBResAjaln9eRZ LJJ9Kq/IBVbpll4eNJG8jJGC44T8ikOTWyD8jHnYatvRJQfsprCNaJvUW5MB5AAPrMwMenJ7n3M7 0wM3WiyeGmHG2/r+zlHa7ydMV0GbAZzxuCTQJ8XpLJchIMt/nlw7fMcwiVk8D/llngAbk49wnTQ/ Yl3YePjK0fG1822pwX8K01pUZJMycNukVU6cIgrkeIgtOag+IIjMRJq1q+G3L3Hs4avuPHvA0JjQ akNAyyGKMvfAXbdzRRxrXKsuuWraq1B1medFZrbOxh/Ws7/7TMvWgX0PBP/LcC6r14ZAw2rU3EmC nldMAb3JTbAglfLui9EP3P1N59pFqUjkOJLFF4rvsDhtgeFgtxYGbTrbMdANct+2TyuOppi6X2XN yO3Y6mghx5ySB3wwif9vbBGUi9xG7SUc7jMuKfcd0jW1jA5qj12Q76P7ZNnOMgafxPMap5dtw8CN 3VB8qJ5AM7bW6WWFY4GZZryF0ILyn+zhkcnOcYjeJzDBLeSgyg9g6oDS6ytWExhvx4dDNk8eEOuV c/yqOFrEK8VzGIAoXdi362Q7tSCm+0VT9eV5ZUdBK1zQjPqdW9rG/YtoFvScZ8jltl4Ekyw8Xt52 GqUkxafjLV93CeFPPW3mg/d41uiN9KhWoYkSBW88zNVlB/Kt2Kk6PeY1tGZpJDEN/1iloOwKvCoh TpU+8aVkW1Fk5UsJlfAUwSq6IHf0h2w08uY/rRCgtRv1IZaTn2I8uThkjFnJZtKC1DFm6Qh42Oc8 5PHa7oigT9is+2HpzagzLVdXYTiDUAXSiDGXFH8+KBVtmJmq0KhoVr59cHjGfDvdFPzokLyU/u83 GQS0gcf3sVu5OjHQAtmBHCvABJRsDYz3Pj2oJVty+RNu0qYN4TThr81uls14xSsqrtBSzyV6xa6V oH5f5ZHrWx2JsMhx6EbMcL/j5UJN+0SOKPtAabO1EbHv8cpjVEQLhx1TJmecChWgkOOcZyU9nIrK j0TPT5s6J66Xl5dN4D3vLuBpmIcxweH8IqL7iqeE5TkZO3RDrwt4mKT4uFhuTQxrL8zkGuh7fpe5 EOeu+WRnzq70FkkK6jo5A0qru119u9j2mi/Vz3haA46s7h3FOhI3TyXVm20IOA1UX+q3NRBRxhCN YZZ1cjkAMjgTbryhIRCXxNsR3q+g1HhrRK6tJIVhf410JkelZGHOMIPfjO9Voizi3mjbhQR+yJJV adeN2Vvh6FqYUFTTa+vFKeaV6C3st5b7a0FcSfaS2LrYtqMrbnj1zuApcVRzWNUNxJdrg64ZA51n VJ6iJIGjOdiQ/rDZGSrPcOHO7fL/9eb4vw0QOyQiIDggl/sDnGkWPMSNcHEf767H7ikkfKVThbNv 5gw6YAmo1V4XYQNy45q4FtoUpmgZdFWFDae3vkgipb+MYzmB5ccVNYjdTSeh6XO3OLJspMXOdna4 GY9i/Y5PP+shMbcpvX6QuW7qP+vYBugsPRrChfQLCgPezc04BqSTnHQpHNz1/s6Rb+5Y9rdY9Xzt 4Q4X5kRhyF5GLTp+sGwWEtoviXNGG/Q9qLFn+xRJ4N0S1Pp7gdJVQb10vOZX1M45UcK4WvnLk6Kh sgVdMgoJn1nPXulfOwKwdPoBmrVBckcpuW75EnNf8DeotT7ldntfEhk/8MsC/N5Jg09mU13HHFu9 S76AzO2ggbj951wOAFfb5TzEPW519SdCvjAR8fpd/TDiYdTGxWF+m8W2TCU/WkUzPk+s8Rv/6DC/ PrD44KKw+8aUbpOk20HvRq4N8+higWt/JhaDsdCX4F9REMjpS64rMhNcd9eY8J3dkFG1ltZA4Yre HJieZv5oDmE4GW78gFLoqqIkCcGWH43o1rqF7i2vPv8vxMYQkF8RteXyn0NjoqTWf87idEJsGKrT Hx30ehiDF8Izwrw21dcURRkjgmaTAriksn40M4YQZWdH0fPqB89CZMA7HwxgGypRaft79rgT5kxz JtihLMpo23w9Mu34JUm4wug47M/huc21HJArIf4idDHzxYnnkSHXht7pFF7mznR2gAa+iBPt6Jod viIdn5DLcfbfk7Rerj8E2BFeG476s3TcZh3ulS/YzWTcSk4kh4jsNhW7y9hHAXrsAbfclk8xPv1S TVS1I6izmA24MDe4wN3HWkHQNp5yh33t59KhQD7JEgSLaornNz2JfWVvfafiZGE/AaNFfqUfSOZ0 FnWCM92FJCQy/jcLsDQ5mXQv1F1aNLKYrOdst77NNv336J+JENRa813CWI4DlHnrY2GeCD9mKD4j /VBJpa8I0X0uPvPPFKe+tkj+SDlgGGshA6D6YAVjJrDchOwleKz8OK8rcODZUPqGaPSfuXO54KN9 cpFoSn6BHmurw9wZcQSiICJwQXOnZpJrFemRr3T25TwrRsQADFcDot1N8tPSUGmSYFTMKa063Pju u0WVKtCP+o7AowBdu7VOXp7VjgLYIx4/S0RcryxotJxmJZkHwcgp7Y+vGRCiHhP5G/eNyKPOW7br nWaI3wv+J9AIcqM3F6ejD4qML/+cSoTMsOrY3fAAl8aUhuzOU7pxKtyeqQlYnUpBg0ydelUutxbM dF5KXmZIKHsysid+dox/KrtZMnQa/jbNHNncdDn2QQ8M0Xfu+eKQSHjKXMnHC+Ku+M7ZkaJXWCQq TBwqVfSV7u9v2mWEbxE7PNafCaGKdWJAp0UTMFMS57iR8EuTentYo+K+CCDKV14M2v2Muiam7T0a U1S5cqdOJauFHcJqiYqjGrc0FofpZXXWRiTmKoFwq6cgChJldWFCTplJAkxQpOM42mBQ0UFaK95b AFJMyxX87eNgzG09iE6xEr3sjMMVSYJRbA4fl9olXY3kVlsXWjaopbgcdq9OVGNFVzmpvZXJEPXY X02nBQws9+6MTs/AGOhDtWWm30D/mhVdRFH4U+EJCWaGkQvpzTTKTFcbDyUuiu6bCCJYPWWWkPDA jz6Lr769ndENni9M29XxVZoN3T5IfdQPr+/BRomKfBrUv5oQiB9sZGMEx0HPCn8hpJYQ9Hi5ZiTW ENbHS/AnZZW9abVS/vobaJToIURrqCJWqGuZ21mwALxsehnNQ3zvKCSJWk+U2pX80lqx+XlS09AR 1SOILYbZAO/eYJWPXaowR+znISIkL3sK5HepeqQlnvdci/CK9BidUJZgUM8+Zg+Thdnr/7IUURox I5PLQ5EJWoQaoSWKjb8tVeYw2vXS5kK/ImIzBKKGmJufhvaVQKS0Lsdn3pp1cAk+wXEGW3N24UM6 nCXgYHVMZlg6p3UVwN/BYZEo2F95LT6T4X2TFpIG//0Ume4BTgPui98hkVMVO34xlULdEdJSlOwH tGdR4XS64ze4bafsiqgm0Ne9d2oX7zjwsEZVnuQ210DCtu6ShoBKrb+JvpelLR1/pcbxe6fL2N3i LmRe9GJr2SfNX/cLbm5nOLEBv3TGBWZ3GjUC9KVKUalWh6GYgNYCUxfYTF33TOQAwIu3prYkZPDu cZXUJqJBX70YRztOoqX8xbsvqvkn08+KqpV4Des1E61XdZQQv2V/YUqbmQ2Sxgdf20IuxLp8GlIG AZTAvZhkkfaSGvdF+TfB0owBtPg83mtziSg0EB8MlmLnYGQb2ABD12c+BREEXvAxTmzag4/zyk+x xC4YgZZ60NAqTD2j1x8tGF3hdHgQmvKENULlmb0lH9AzCoUDEjXKgLLfjSXFMZyxiTMeiLrOWwrL 1CBQyRq9ATietu00reAsk8NG3mnXu6LGQDDLT5XbjwKAHdYBfcjZFKOwf6m0b/OdHvczILbf6BTB rQBFBugJ3EWq3Mwsa/+yGUsGg7iOyWvLO6jy1vLlcGwmrqw2FQdapALTM4fA4lYrSYYT6pP+ruNY x8c1iTe2ykG5DeWBsZ7963CH79iYi7QptlAJh4VRou6mXoTsZl0x6oqHS1n5Lb0xlHccc4UqYGsv 5CJ7tOV2CwgW5Yzz0w035FhnqvIb7bEH0PAKL6eGaaWP5lxO0kh+fW1hsQBDwPdDvomdurLA6ptK cPUovvNOgPQWUu+53X9ugsPN8ZDR1D7EPshZOvdH3zwq5cj+gByT1D49SeZH4W+ZEKWd0oIY4Mep APq9cMX/Ao31EUhcJzShz/d0DmSBglKzpg2zBV7Myld+a+Si6nEQX6Jy0aWyo2qhQiAnCz/LCNz9 KgqkBkh2e9uUA7U4M0eWCFJHEokXn8ki5yLoT7Q0DTQwOZ8QDvwHdWU6Hx1JHfXx3dLhUJXI2WCU 4fFWY44aS84nRnLESFxDylVJerlalpDoPYAV1tFCY5SPRFVgC7NikGYeh6rmcxdOGzmq8amGK39y AO2Q3mGdo+NM9nKNaI/lIS6wo0Y59Z0JZ9GACS8IxXIBjiDq80rAdP5HyksF36UQa5yi49xBvxsM CmRwcht2GIWXS7vnfMQZi7quV24iIPLc0kzQKGn+/hOJFWGxyQTS8G8vAUhQDRrL4+5AQx5/TyuA 7nkrdoo48PHQItsmX8WC+bDLRpXSDlOwFgcCt2cKnT/UnhWYJRzIFwoIoSe7ZNGt8KDW3SkZxvhd eOzL/jTH3p5ul6glWdT97+QI+aBIonAnaqzdC/jzgtQuabfJKW98spEmFFqosUTimchhDXfZ1XM6 P/s48BphTac1/+a3vrJ87D9c0CarVQJVwPQQzRbpTiU1EXUFa1eI9hIUytqq1nVvDw078KtTwOcu p+mfVRMImxgGYtlEi4A/7ABs20koLsfLulNeo/oANFJx38CygSjomkOJyGrZQgmYPzxHOj7dWRDC 0yWhN/i2fFkcUB2QHQUHJwUOtpQz5rC5WnhWUOAx/QutOe+QctGXPS3Y8b8Y+yYJPiXh8NP3LSth RBYX713mdT2wZgQBz1fVv5u+D6z8nuRlpXexaLG95pfZsls1gzhRmlKLvaP7ZpGLe8xrJ3qEUKkc CoTdhHHyNlJWX4l0T/FwpWfqrykriL8yYECQh6VKIZn4cWTxExnMqcDXvQj4oLYyYRAh+7WnwS4J IbBsPXPAqWIQu+JkutJ0QknBgejB37N+2FYBvJ34zowPmR7p+2gHWPhGDVGwLVBWh0xPnF33BPW2 HGaKevRFLipXHa1IDaK9p6HCDl8HznIJLgjXKbHVQ89TlrzIApBMaf6x5S1X2TfoCJOQMYMLPAmB I9EwUWXKC3ewu03PQx3xkxdRkj8pDqQIckvoZHb8lTtYPhwN6RasiufKYmDIxtCsSgZCjKpzJjF6 m3ynx9FMNHFoLxQvrL2Ao86oXuL0jKOO2xx1MMO8OGgAOczPWx40Hz+6tpkatR8+Ev8/0rfVIPeO DPkAxaPHyc+FPKZT+o1Hv/Hopaeli+VuUzKK9ps3upvvpUoU7HvOPJVaH0zkCq0YwlNfQgKkVdtV HhnRpu23jUxhdc3tdT9CQqbUFg1XR0EJ/om/I+t+B3TOK/2bpVdU0s85cJntG/MywOim59/t0B64 /oMG4ywf+HYfD+PbRRCVNcoopu1zZnsErokgUJc6NGhkKMW1PDV1NW0w+ZWLvQbdbXl4zemM75+q Mqx9WbqBLwzow3Vca7ADxai0nAXePjD48WfLjQIS/ofUev2gRKdDvowgRDIR7ahnWyID5N8NuSNR w8qfBmIiQoyi5lKdjOPUQhtu0adAih9Zimrj88mj4y7jx71DhQuwEWpkQ0PnCpPfNbyVtBmC7Ptt zQOF/G0T5RJkM3O/Y/u4tYHdZH0Gpo+3yMW9V8yRdmtQe3fw3jYo5Mq6LYDzRTT4DPczi/kEArLk N/XXsuFvEVP6XtNM5n6UbLVkTl+7XNySp+1EyYc9Lsuy75Xf11bH5Iv3ZO2ofzfMiuc03ImVxSar 4iHhM1XSqcGbqzF2sFDeWFsx1MEnQW6DwDA4mF4Z84JJ+ngG75W+NICMj+0EoGxYJdWdjgn4yUNc 8SVU0pJ422qU6L9o3pUPS1PLNX2kOQpSOoDQuWXa8144LVq7UDyjI2Gw/JUb//SP+pekKbtiLYPt mRIc7hyLBDWyWjJ1IaMuLPRZ+dSsC1KazhvaSeQHoGkIFOzTGgPvlIHDamny1DILOqRnMiUFDJSR Ml6lGGtXgm71nU343J/ZepT2Ym7Nrq8nk1wnVQl+spyhsrkDq+fymDFrxPYdyx0PC4gSqNwNCzT6 28BEEexNNxHJorTkp265YDSCYRT7FRPRfzfHcAdm0VxNxB+/+lM+jjzHPJhFZKmkyWQdDCQLmD3k qMPnGDfAlw8j8/DGq+a6RpPwINoAQZNS/59hMZ3dWaGvBFS6aNU6gQPCEA1v2YJrjkUXm5DXkjr5 DCYerbJFCIPgiw9DL1nh6MZ5Kb+cMH3Pum1B4qGqDBpEcxm4FJLnx/v5aWQwYXwPBPsktu0oOinc I3Ooagm3mAU8DEgpajDbT5CxiXhFVVAV6UfHlsZWIZC+sKzAwwFE8Ue06Hl/Ifh+vnEHBnB/ZF9I rFiEMzoGp31CMd7Hfg3hXYi+Zo+D02tAgpj/8JzV6SBvXl4bsV6uk0QUUUrYNbytap8gZzjOfxEI e79Wfzfc4n7OeeL5qrxKRW29oy/Gdu1uOo/XD2+3ATF2/8BQzHXwAiW8PVgnMKi7x6pl7iBC8rok cBgz5lNdY1K4TBvrwH9OY3s2uRnwBkyq+Gc64Arz/xCQtd9s3zHfoGk/SnWTZ2h6mHUe38t2FUaa exZXWLoAdMBNXSpW9gvzb+jS/FfWl4x5Fu9BRY0U61HXb6p5Qa1pUDxgVPXlXykRcZCG6aGNHGVT c7uvS02mlUWg1k8y4uAcGA01p7EvhZFjfARK5FicEgwOR+OxUVHx/wP4dqYHH4p33c+XeDwFG8Yj 5KfdcvD72jN9PHDTSeXYTpKT4ylr928NQA83r4X2VHJq3WYHDgBLipYepWjs5kXUO6ezUnK5kRXW M6/OJK3W1ApWyHzPSvEY+FBeJWgliwhHbk4JhdqOrtHOVBVZqBUu09NIVMwpsvU3TfaGZbVfY+n4 dJ37WwxhVLu+IAeAGC1BAWiCTBur0S3Bh59tulyriqK0KK8YL3jiSJOunZ2ZpJc6kPmiRKj89kQ4 QjoyEhHfKhCG/F8DInb2Sci0rgKAGenfPB/lU7oQ2yINHM7CrvdXIp4lqQHkM4XCEzlnSdOCehOf gALKJvhfzZuDGWOVUfAAIJcrayrUGit8256akAdaUOQJv+yEBDUU3dRdZwAiX6+XUNl/wSlWk4XD tGc6KjfIlDbfpRuoSgsx0buwdvZPGBX7U2CfSaqd69fZY+v5VkApyMax25djc/jjnfWQ1niTM3LU zrM6PbnyEKlR7eEkGgVw4ukweQSWYdV6yXgIH8wgcHZJLBbvZ8CzC7vxkupoqOudbg/S0av09UFP hnFmto6DyeIBMSszWRYyHTxz7wYZFK405TGDR2KQYJnnkEJuAIrOKymM4pdXdZ0hc+gcosJ1ayPY mwBYtFyHGxQErFzTV3ii1doL+K1FctCaXCrOidELQG7ejpDzC01nt33Ndbz9GyhZc+wVrsaAZPKH Kw5gkJwF7zy/N7G/4YSLlG9RJyzmmqMfOtPA8EcG10HmBesIhweQZy0m2MG8equxT2uu3cIXOnP7 JYFpGEXwVda4OTQIQMLGMiO1yMttuc/Weube2hPlmGijljSdS5VlO9stJbDvbRuopLbEvEfTEa89 XMVoue0iJOIZGgseCkhZwrYvcWsGGXMCj2QrN+R3zSsNIkroQFkPSeCbLrB1iLPj1jM1ttNnWttc 7iWGvogWrq3Vu9s87M3/HlaGW9i59dGOeC+NM9eIIPMfy3NyVWUdX7cFbSJrc9Sr1gx39Vw0+wui 6MocQGkoBgXHoos4h8ua14bqruvvwHd0qMhYH4LKor3w1l1///jmQiihvs8Zl4LCVub+dG8cucPQ UuxCGKFB2s368P9/3yFshadwPjHhq6nevZ/Hvihm4aU3rWRriM2wc1LTUQmWlUaPZ9bKxJ/r3xnr zNPewqzd+WegeXZK07YRu/NPdy32fZ/rko+/jiT2xqQfOuDQp3HWwKQtI8U70TVgWRBB0poNg4z6 f1eD+4EI8YrgW3NFJeYijHYUu6pSrxqYSSHaXVrVNiVFojCt290bGtXhHCE2ZUakULHUGBQJapto Mp4hacoNlnk1dmJ5oAdl9V8q2kJQ+HMSEI8zNXjqKYjIOwwNihNXf77a0b8uhGSoD6nFlW/T1OxS /QsS21mU6+oz6W6abE7kIrotMFSzrYmiJtA5Q7dw+2VXkpv199z+BG7ZP47tf8xDYMjAtLjVt3kr 68qjsnOECTdKHMn8VIRjZsUGNeZfjIVhZbNcPNLYDqLffAcl0TJ/HJIHbut77eirQHuc2WC/zPtW /4W6cHezV63LoN36Cvfgc89rS+TcIa7dO16bHgkrQoc4DxuUzroTteLBpJyg/ycRazyF+pf3W30b iWDsJ+fFM0RMbwxbN5QZousemBGPA/BpT5Kycrz4EFLra1pAUV6Vf8WATvWwj/vcOv235Jb9xDWu 3tVNNje5edjtXU5+d/x6UD7sgQhZfA25GyRr0O37T3vJBVJ0kcVPwAEKLxRacv6EMBsGIrVEq9ik 2hKrtfTG8Q5v0CwuzKT7He0fcow3pATz05SEyK6A5etxciFfagXXLMWyotSkHUmEkYkbOSHWhDpd /25ocm7hpEZMRrk7N0RpmxRTHBjK0bmCgyw2u7qnSOeG6fLjskQ9rmhs+vw+gPl7WAHtgJ3U7Ias fAWcQOzxC4Fjuqldtm13y10f5U82jEXP3sHmW9w1suZVvpko081/Abz2SLuCjp7bnagyXq/DJd7F 5tyvNomZyR0pX5ffskab/8Tmpr/KwDpT6cSgaTJECVFNHGI6qzAZWdrql6SDyiU9sexJmzuT0f5/ yq7paSdNySwatYXKxGZDjlrphChS9kEZKFGqfqSk9Fe9hu07MOmheogpbT3yv5fUf9V26yBwX/Ce CP8c7xY2mooSFHIcQ5Q41ndj+/OarbhwPDsSnNQu5gz+lBkF9PWvDWi91X6ueZDJechNpf+4zsNh 3o3rZtHbCreTy5Q0zl+iSNj6gPhH1fHkh7np9LoVEMzpESGxlImu3laHqRApzOlvR4mp5isnL/V0 TnC+PGZoSR76LFIeev1nv26DsVfo39TVa9SaLcoEz3JgJPlU2bS/Jgp49LT8vvCSZn+azIEEcC5L GAA6Rnx5rz6eI7tCXXvUx2pjrKsEQGN0d1QraYjSLVnImotqwDYJ0d/YhiHc7GvFbibQI+l4c5tZ N/73skGrgPI5tIKQatNgqiNc0Mf9RjUf5CO7t9OxT6OR80UZQZ8k2PeIrXerAm4qqd6bHMPZGWp3 Q6rNCTSCm3B5E2YRkCysSWlStSugLJexU1F6tuS4CQoFcIK9wc9WmrG4bPAPzya1PA3fwNsNd3Aj RXunkkK4InE5mpRCZOBrEu79ivCULPR5Yp66WRH9+4mdsinppF6K7sVkTlMz7icJJ7bEivLcJ/yp 2hEMdfjJGGxoK56U7eBYMQHj+gAvvkZYVJV0BhSmBxk8ZYvYpb81Q5x1jRCeunTnNfTBgMXm8gZD K30P6nYss+dQ/Ve1IV8+ltl5oSNUCCX8TdvPPO7yKFIh4DYuPImaIZA2vm1DC8W49DTf0Yu/kcX7 fKJgql8x+e3sVaKmogiTpy0GUdzrdL9z6JRsSsKsPYgwdHibSZ6kL/WJwNoDraEjNPglhSFbA31Z 5Bg9Alquju2wxYnT7Hu0iNbV3o6q3QyjD4/aSI31msPVeYLgY0gpZkij167UkU/B4HWf8zByRqsN 7u8M9QNEZaQTwKRYZJ1QKW/ooVphs5/qAafYQIKqNLOcFOzXH0aOKi5O2b/uk7exiSirZE2SCeUZ sBp9uFDDCp/uz10JQcGe6r2Vx89j0jp800dDo6F9s+SHSal+CcqT5pakr6pTOeLb0CUsc8loUTUm EXpwMoYCAFK1v1lthzsRQvqZXIV3jNwKl6dZyCAMvZPkzs9D/vFcVlaM/t8QCMgQZJ08hj0aQ7AX htvosE1xFMI7N1syC752ACibejwEYbizxcemMNLopVhPpgosY3wmE3Np0f+KmUFUOnJ73/0mQ9ra AUCt05U0ABDsT6avO6ExtLHM5vp+gp1TSW0g6tyCkBauTIm+3XRYcc58QoHq8JVw8WMlcK2fLmXY WjAv1dtuqwpvVTTj0CrCOnygB3/IykWMb5IsfL8hxcwfy4OiDwRA3XeLuRfCfsuMpX7JxwzVuB/U S5OxTQT+xc0gw3NttYiqMGgu0vvdofHRkcIfRnSz82e6L1BOWf07q+P/Y/rO0LPzEP72lB9U7ktN q/oXJFMMi1TlGhxV/mXDTlskooC9b1pPoL7nySoAru3PTMs9T81rQZ7+ObNGaVVm0WzAlYjgCTAH qY8PfZ/TBrMxDcp1RTpkyU7IhKgRwGP0PZvm74IYrqv8etzzBJF4ZaMZkHe8QF+9SeL2tPD4wSnr oZ+V8m/7u68J8BWInD8nZVuR7LkCSdxI5RGVxn2LagiQIwyOSYNwu6Mt+cI1rnofZmTJ3vqXQket 5EVwYXlmpe2JTm3r98jOlc/iV15k941e8PFIxOkSLQv3+D4i4hsHUExzqyWbYTRtbexBYStKBJ2U jITY/qNqzoaSyXgF8J5df1s8GYa4efh0iVegoJ+jT7v/FAQglIHeUio+ebqN+wVp3yjeo7cLOuwP /q6p/id6vxH4m1yKNA7sIEsKwQjFQTEVm/B40L/P+jWEi+6QMQYnu5RFpK6E3t6mTv9hMzit3VnU Kec1kOTdXwPNXKqFrUPKW855BGuGYw2qTXtWyN4fjg9EJwiBU2pry3cG28rs6WQrljxxqg6pleVe q5yTdMUh5H5eR2wn6Xdq/dR3kt5LMeP1IPzPmhnsaEa1g/g7bO169v/TmS4JdmqxUQqgzltc1Yp+ 2q7cE+z/XpUqw+P5jPxQJT4P/WEIo/JZCRx7vu4Hhk+Q9yozBM9ceJnIEkrR1JU9dKX3BLvhKJU+ WEUURrI5kGhM5OSX0VjozuGogSZ6dZ5hcddneYHckoE6xkwRrAFdjVRy6CDSRiaxjCTtC2G6Mp8o SNBGG44TpkT2i8cWg0hCOkDXqUB7zL/RG2AFah712wMUPJIRuMtFfg0RhK1ZRrYJEEJ/tBaAfZsU rdz0FQ5yWLivYToDeQQwP2ke61pGk5Ro+w7qnxsaoV2RJkrDNABvnA7I2dDgB8RDhx8Xg4RRoJGA kLzdOQgil8t4BU/MKR2oQeESWastqahszLWovRJEC1T/duXxAiSGEU1x6MGB7Pbl86Kl5G0lohRC FriUFSMmmC6UOdcN/Fqq4CIanyh4g8ampVw2lkUuBcwPvIifvIIMF4ugMlTZABOOBkP3K5YPOFPR kj4gh7I4BlueHDE0pzV63jANSPurQy3+PrMegEdoaURFsuHhaCNHmZ08Kj39KUV+OXRusHQJm15z MGB9vO+4rNBJcQh79RiQGcms94g4LrvADdwTXuHzYliW8NiS1UjnS4MSeRmpoRWIXMBvcVcx+ig/ MGZNkvCre2vIdI7XpKCBZWTWP4+b0frdHWAMXRs08yA8IzbykM0O7gQbjMVCVVgKYrGpyZhFfevV i0pvyQ5/IvFd0Ln0zQ3mymYfIAdc/JXycqnG5VV4UXhhtqwVWDXJhHUbR76Uaja3UfRsTOUzkJAd IV+eS5qLwl8VGRa0RM2im4RWOb7GCm+2/NnDcON6+7vCDbc29U7Bvc4yKyABUHS0jM6dGDsr7g2z pQnLNtd4o4tNGSbhieTTTry3Y4DvOlKMGfTAMqmHiMg17ZAesekQdG3XouNMmAGYm66JB21eURNf dUEZVs1CDLN9o3lxdmtrAPME1V3RjvupR+W02KiyY7Wkjf8zKm5Gyz0v/Xr80F7G+Z49/1p8mLTB aXhtghhIjIfxOJajjvMjbg0uSAtjDmoSVs0Z6aAbxReKsBqT36bz5n85ithkXtnfEeXu1LIDlav/ lOhoP0jNbWOMOUipJDlu9qev/vEebtyvnFLenTFmCP42iMTvMPBqNx7INTrl7JWQwHziHaD6b6uf tFDmGEJAloraCaQP6SXxJmExeeqmx29mSzxMcf4Kb+oSF/4yU3SvYogXXatXSV7hAr3RToaazEAD vawQbLwdX1kPoVC4oKMVZ5DGMT17lnWLo4mZ/wv6QUVX+f+HiP1byYmqBGpRkmt9kpEubvw5NnTr 7nc1wSMrgVlTwSRdlk27o3UE2Q5hJRMEDeZeLZCn09gmusnp7Rx64zzZz+OkMpkVe48eH9D9xZEe Cm7jd3L1kcBMRt5k/dNzx7ssOcZLGU7kFVRQ1ugQMJTIVaoVi9R0qvvMhzKQJ9hiqiWf7emNBeWk j8EUGMXaVgvihXIi6HZng2ABTIxSvMDkEY9VVHODXuhZYqWcy1V5RQKIDMyBUBeInyegZOpWgWIq BXvlDOayTJEABpzYd4AoysO520qcOqexSe0t8ktly8yOJ19T0jmZ7RaOBIvJQve/06MZuqPg5pU9 +SVVZxBul+HmcmuSwLszwRNVY+xUrEaO8FVjiArM6GSHMgfK3CAZC5YQvZzeH3pjjDiOEldkbKH8 T874yB0aaX7vsvUipHTQ24anZiJiK4zhwEBEry2GI5v9I+4FZlV0H7R2Cj7ERs/fvd9lczNZ3LY3 4DmY0i29Kwp7afoyu5u9BDsKPGtQLGv1OkvSgHZECSJi2BtdDdweT8+gb6NjYPmKriC6SzecUTn4 KaLUYfWfHWkQeuiH1HCe7LzOkd7xuhtXAeWVdnGt4ln4t7epvHlETZOUkjGNMe5953mqzDcJ2xsq QfaRu1rwQLczfhMQBI9t8k8svczyadWdDkjuz+DnZdPS8ZcJzFHPknuZ9NWEa5DY4I3H3O4eTZ+G 64C/uT1/b5TDFFxMzPn4RGJjgJW/KzIwpBxukqh3BDdQ+ZL80YE/wtXfR7H2iqw6lFR9mA60yGRP ntjnOUBBSiA142eCMGz8cM0w8GTDpJYmDP2QPd0ykJJtMPcd8dX2Yx2IFu1p7r15/hq5na5cjK2j 3uksqp7cp9JCklLl9bukuXuTnccuiD6n6ZMBOi8Z3sgh5+LUow489r207G3EL9k2I8Gj68dMyuIE sB9flIHkNTZNI9gx90gG6mKVDfQJh+GvvGFn4FNAmHRBfyrRYiEDGKtwQpLl76a4WggNM/RKIPnk jM/yDVw8NNuU8QgIjFI+NeQQ+QkhWtJ0VXOT6jlF37MS/jnSe8PxsPq/5A7mAQGDM2D8TJ2qEh5R TXcBSVOYqme7b5hBh2R0jNz276f8LqKmbLnLwUUwTQ/iU+/9QfcmAyEVhCZCbZx5yzFFtYKTTqEL GNsyYElzF2RHZMPn3i7h64w431U1XGRyHqN+tDkaYnJ9n3IzQBYKfJHhuSsn6CwyWpT3bMUT+gq8 vq+75/+yYf7x+sYM+doHIZ3Y3TIrLTPN+oQXPpgSpiO9s/aFyKLjqxcDmrYfIzMOWg/5tOOB2Pd7 FKL9xEOypypED9msiTZFn9tKuLjJWRLql37rcqPrpKvybrI4Wgl4xdVGdS9BECaLmYc0QgqFAqTU t2f7e0VCugXuz/sb3mrZ8de3Y6XdPGn0dih1lVlhkCH8Ro0P+oGwEQECK/eG9jSQWz2W4QLpQR9+ wW/JdoEMJ/ILyWEoh+FedCFLXKiug7sV4fZR3muYC65FGpix5TqZIufKEnytkp1AKnrK65E8BJG1 AM+m/ParficPYCcMfw4kGmm0+dNQQsmvcWfAq/7t2xqbC+Hxky3sCfPbFQa+dq6nRoubC/Zn+R2n KfYB4HQ1/E3ZxLGgz1Q3BDVZKYgAbjRe4X6cg7cU6K2d3+CRbnTH/kj9q5HiFKpe3SIQjFgVey4o 5cEs9zxn1ka1F7fF71YPbYlXcJiZZdU+oZWB8cLg3QZQ+yayBzuxOB/gMOkCoQyw077QNYzu6iwo 0VwYbO6gbn9k9NH7KQXKoWIgu0nb5hbproVHD9OVdfjgwEn+tEymI1/rY0jFh3GCJ+C2yqy1aCP2 qRkeH8HODBrZKAsWDxvLLiwIsjtkULOjx37nPBvf7KkgTCde4pQ6FYhqjvI+qSUi6R0uLyVEZp+0 QpvHDvj17Sf+pD+r6/m4VPESPd8uQYvOaSZnuRwzaLuIiee7TEqER2eL6wFEozI7KjWD4e/k01rL KOHhhu6/xXSGrg58Xqq44AFVPsBQ2Z5YrqF5jqD62t0MK6/YvGPAHkIvR0+/yoa08p+GWtRHQXxn /SRiyGCq9Tr1ATW33N7GeoLzgJmJJvnbCId4J0Nq3IhRBZPHHRoBv5t9LGOQOoJ3u8p/BLfXlWVq LUX76gSWq5J1mEw7nFDBxrDbappPr3thRQ7IZPZdM+iRBFNVaZNFOl+w/wR61aORpvzYsAVm+7mY qDp9jvLK3cG77KAIt5q9CERE97Vo4b6+kSBiqruW0yrtr5bMUNPkrGw8GdaTYjELWtgrA3Qs6jpR opwjfCn51ZkZ/CsRXCewvdpJwkDSGLDRSfp9nT9eEdohqsG3kxfncDiQrXRnn7l5R88SRqWu32bT 6pLgUx5oV9kTODNfiVx9kxrxLxwPIgZrYslNOvUjulEgd94apGwN+bunZAdr491lYq5gNrwLc2Pr XKI7x6hGbVRwa3o2mj2WBdPWwUeLW8ITxZRc2Bg+C0LChSL0T3Sjk2eTdtscTB5IbBlxod/orsri wQeuqfMQcrKgZ3RQhgHcqL2G+/sZtIY7liUhOv/z1q2q5d4oIX+VvNRl7C8iMowTM7mZhH8niO4M qGNn3syfqCKxw9TA0SDxslR3x49jJGBHz82P6cz9ldGfla/+GPrIw+RpeXdO9UaZGjKeQCqNhSqd vcuEvziXkZAXNKfHf6p20L0rsfYxsP3Qd6sIMz0osFYVdbTpP144/uo9To41Rwbl9bMZDw1L+5Gh pwfHSqLrpNCKORkselUBmLowP6sErJp89Zyv0DT7wmE4Ru8iAs0MWFg8paraRy5QMn6/Tm6Zdf+g X/Llp8/bsCTzCzCra3lLYuS3lz0437CPVVC7V2rnZ1lx0sAyonjwoUHIu8qLXpGisWJLHKa+pP2f 04eEEbdsiEXLQpDmuQrZit6quwYNgllsIs6GbRELjZVKQp/sceIUQYNSEPfR3ujvf/T2q9mbB6PS cFj/8x3RE13Ro/Y27TWHIKRNYoI7rPxSrNJb0n+uNRZfandMy4PSJz2qEJfJ5Vw7yxncw3mBwBFl ZIj6lCXHWT874nsBEWpIdDmiYwbfDnk67loeOkahv1BBYwkCGn6Flal/7+oaA6KU86DD+toLX0Fx YGNHe3ARMs6+17JRAYj3HQUiOMPYg3w/E3pWqXx890n65qLyajvUBGSepmTVVlZIvguatOjHYRn/ 9Edo23YgPjqZUJR87y8O8yl/5s3IOr07ZTRUUH57XRV9e6J3UZNrhJHozeJsR/3Xu6GT3tHhrlGV C0XLb3ZPd7ug2ooi9NCzA+VkEYGQQX19Ic9ejtcPtjraTOWkuY6RehG2L8NrVYut4BPK9Y1yVjZ0 vZrlPFNqvq+yc7xNVjn9mxiInzIfzRbx1345GD+DboHZ4PpPyfmjgcRGL43W7DG2Y/bGD1fyyFLt 4dui8lCLaGhblYMRQK7EDGzr0ng+YwnKtF6OfBXldwHGO8lLg+uan71ALEffzxN9PB2EAK9W1kNY TQjUwf0bP8KP3JRoXhox9b8CrpiMP6PTATpOeVLDwbk8gQCKUktvA2D8sdARR5NQzjl8plZajOv3 +ubhZp3+cZtbMOJQrc++JtaOGo8z5YKvixkv+ZRQabggVicxQDwAWknirvoUnMdB3pGZouuhiilS +iTc6GZtAEN4JTiKeQK9gA0yS4mVpwcQQ90eat/6cxOsyUfzcvjQ7b6SRRAXOyJZlApkrpivDLDY 9HuUI2t0RjHYHOJ7Wpfy7fEbUcy69USzcFqlRlSm619Tszi7ILNATp35mNIoEGpnf58b+waQcQRG Fk0yPtowb7jTClmZ3ZIbbU5J33YNtDaUYynws7ofgPzN47XyssgkacZzetEMzNaTz9ASy8NbZMrm D1Z+JP2YcPvPlEcrcsTSGNQUnhRJSWMBjFz88vBYXu0kgcHCbszBvbOwqj4xl1Vpv7i1TScqvYmG SqfjFhFPxuCQiAsQIVRCewklmDB4BH06PlzWygZaOLTO1uc8lr59FrbsJQ2ov7/KAVJ5UmvaHVT2 sMZ8AEFKww8Av3cCnl7ahMe5xVxccr0fF6PvsJXkdGARzuzRWrs8hU/bOdfYBEInsFo2jNoaZSMo vRcJvafI8QR0VJnt6YsCAPtqzEnl9Kw8JsX/TCxtI6XwIIUCgnG0REczsuNxbGhy80X/ZMSokCk+ Qj7zFA7M3JiQ5ZSxp4PZ90jtmXmyGyyiAnXTHRKSykWFmpIH0y+Lf3jysp1swcFKM3LNEfR8qGWY 68boX/kTDTrEjJK3EiYh5QoVH0yuUdr9cOK8nEas9mHgbeurjEKlmuqCQzCovKuUvnfOhD/OTjpH Uw52GqsEQOrjFvQK85ogveNSIb0NE3+JODQmpVxyrtAm01Kgz0bLHxUJNU53GfJ7Y57XZi+FVwRB XsxPSPh6tMq3D12EOM/DYY0SF+K1YTUgiYrYgZgOrbGiCyMrLH30RLa3mQ6JPlEhj5wBO+mdWMZc Lqrz96fGjkJmt4ne9gIjgAcVR7ZYCT8MHz2Nv08QBzT3Sl6JMy0+kgF9GpXNCuXrQVSiTd/Triun VqPP8MXQmnvO05I82v/+N/UBerH0K1FXvmlBT47fbUna7/gHR/csu+59TI35481ELEUPaEZhh+6U idZ5qK1hLJ19O85ge8jw8ytO40QUlC7O4q1V3h3p/Fy7sCmwVWkPdjxLm4+bkEc9ZgS7XZ5UsCBi 6kVTMWC6siuoCo2sygu4DMcUtNrkc2tG65AN76ArGYIYXZoq0Po56g1dL6EfQlS075B65oJ5x3YT i9IHTsAzxJJKeJ2bDjGSyNnsw4k2jD6waJnj7rLs/8D4ah5rnHIFogJ2WBKnrU38tggJhht56cx+ yeCt8qz5kv61SMETHfVhpHWy8fpg8CrIhzI46WTShzmGFaN3arzMeuCaitB45dZVwr9gg/KtCyr5 i6smk5DIThaZg9AjDMI/lNEYk92cevshGZrMNhB21ipC0SxWILbVXYEUJ4c8n+2GN5MJ1OJGJ8PG q8+C99hTJXm2dAYD2MuQislasX8m9dVIuJYxgRuxm8JaW7yiCJxk9RKz19MaEFIaurQE44+xni6p Sf6wB1SIo9bd5hy03HwWL90GMAywlm9atCWeWIFbfXLznuQuC9gCPBp0jEd/8QCYYT78XUYvwunc VSVE5z/g4qBzG4/jxr3/vLn8GEhQEWw2ahuZUlnPaOJySyL23cVBaqj3SSwZMGnqluoQWEAKU0tw a4tuga2WXl9Q9dArQN+bNnqNt9NwAZ3JQNmq7LE2Y6zW7Ssr8WtY2ehmOc/OccvJyarHLp0y2XxD 0bao0oeblNk91Gee4x4NCDUkbJHRCazz07IN51HEw5kEOgLqVqsJE99C+jonIrM4QeKXNlCP8htm J9kVj+IYF3jnLANlpsyt9MR0UlIsRER5zn4Kkqfj7O8NBs8Yqm3GE9R8QR2s `protect end_protected
mit
7cab57d1c9719259ab44235f52812be5
0.955056
1.831105
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_FlagReg_0_0/sim/RAT_FlagReg_0_0.vhd
2
3,343
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:FlagReg:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_FlagReg_0_0 IS PORT ( IN_FLAG : IN STD_LOGIC; LD : IN STD_LOGIC; SET : IN STD_LOGIC; CLR : IN STD_LOGIC; CLK : IN STD_LOGIC; OUT_FLAG : OUT STD_LOGIC ); END RAT_FlagReg_0_0; ARCHITECTURE RAT_FlagReg_0_0_arch OF RAT_FlagReg_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_FlagReg_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT FlagReg IS PORT ( IN_FLAG : IN STD_LOGIC; LD : IN STD_LOGIC; SET : IN STD_LOGIC; CLR : IN STD_LOGIC; CLK : IN STD_LOGIC; OUT_FLAG : OUT STD_LOGIC ); END COMPONENT FlagReg; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : FlagReg PORT MAP ( IN_FLAG => IN_FLAG, LD => LD, SET => SET, CLR => CLR, CLK => CLK, OUT_FLAG => OUT_FLAG ); END RAT_FlagReg_0_0_arch;
mit
6fdafc046c3c109951a05ce3fb48ad6c
0.717918
4.022864
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 2/Multiplicador/test_mult_BCD_comb.vhd
1
1,375
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.all; ENTITY MultBcd_1Dig_TEST IS END MultBcd_1Dig_TEST; ARCHITECTURE behavior OF MultBcd_1Dig_TEST IS component MultBcd_1Dig is port ( a_bcd_1dig : in unsigned (3 downto 0); b_bcd_1dig : in unsigned (3 downto 0); cin_bcd_1dig : in unsigned (3 downto 0); z_bcd_1dig : out unsigned (3 downto 0); cout_bcd_1dig : out unsigned (3 downto 0) ); end component; signal a, b, c: unsigned(3 downto 0) := (others => '0'); signal z, cout: unsigned(3 downto 0) := (others => '0'); BEGIN uut: MultBcd_1Dig PORT MAP ( a_bcd_1dig => a, b_bcd_1dig => b, cin_bcd_1dig => c, z_bcd_1dig => z, cout_bcd_1dig => cout ); stim_proc: process begin -- Teste 1 wait for 100 ns; c <= "0000"; -- Entrada 1 = 50 --------------------------------- MSB -- a(19 downto 16) <= "0000"; -- a(15 downto 12) <= "0010"; -- a(11 downto 8) <= "0000"; -- a(7 downto 4) <= "0000"; a(3 downto 0) <= "0111"; --------------------------------- LSB -- Entrada 2 = 50 --------------------------------- MSB -- b(19 downto 16) <= "0000"; -- b(15 downto 12) <= "0000"; -- b(11 downto 8) <= "0010"; -- b(7 downto 4) <= "0000"; b(3 downto 0) <= "0111"; --------------------------------- LSB wait; end process; END behavior;
gpl-3.0
7126651e91d97726fdde39e5e5586164
0.504
2.888655
false
true
false
false
VLSI-EDA/PoC-Examples
src/xil/clknet/clknet_ClockNetwork_DE4.vhdl
1
10,650
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Entity: TODO -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2017 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library altera_mf; use altera_mf.Altera_MF_Components.all; library PoC; use PoC.physical.all; use PoC.components.all; entity clknet_ClockNetwork_DE4 is GENERIC ( DEBUG : BOOLEAN := FALSE; CLOCK_IN_FREQ : FREQ := 100 MHz ); port ( ClockIn_100MHz : in STD_LOGIC; ClockNetwork_Reset : in STD_LOGIC; ClockNetwork_ResetDone : out STD_LOGIC; Control_Clock_100MHz : out STD_LOGIC; Clock_250MHz : out STD_LOGIC; Clock_200MHz : out STD_LOGIC; Clock_125MHz : out STD_LOGIC; Clock_100MHz : out STD_LOGIC; Clock_10MHz : out STD_LOGIC; Clock_Stable_250MHz : out STD_LOGIC; Clock_Stable_200MHz : out STD_LOGIC; Clock_Stable_125MHz : out STD_LOGIC; Clock_Stable_100MHz : out STD_LOGIC; Clock_Stable_10MHz : out STD_LOGIC ); end entity; architecture rtl of clknet_ClockNetwork_DE4 is attribute PRESERVE : BOOLEAN; -- component altpll -- generic ( -- bandwidth_type : STRING; -- clk0_divide_by : NATURAL; -- clk0_duty_cycle : NATURAL; -- clk0_multiply_by : NATURAL; -- clk0_phase_shift : STRING; -- inclk0_input_frequency : NATURAL; -- intended_device_family : STRING; -- lpm_hint : STRING; -- lpm_type : STRING; -- operation_mode : STRING; -- pll_type : STRING; -- port_activeclock : STRING; -- port_areset : STRING; -- port_clkbad0 : STRING; -- port_clkbad1 : STRING; -- port_clkloss : STRING; -- port_clkswitch : STRING; -- port_configupdate : STRING; -- port_fbin : STRING; -- port_fbout : STRING; -- port_inclk0 : STRING; -- port_inclk1 : STRING; -- port_locked : STRING; -- port_pfdena : STRING; -- port_phasecounterselect : STRING; -- port_phasedone : STRING; -- port_phasestep : STRING; -- port_phaseupdown : STRING; -- port_pllena : STRING; -- port_scanaclr : STRING; -- port_scanclk : STRING; -- port_scanclkena : STRING; -- port_scandata : STRING; -- port_scandataout : STRING; -- port_scandone : STRING; -- port_scanread : STRING; -- port_scanwrite : STRING; -- port_clk0 : STRING; -- port_clk1 : STRING; -- port_clk2 : STRING; -- port_clk3 : STRING; -- port_clk4 : STRING; -- port_clk5 : STRING; -- port_clk6 : STRING; -- port_clk7 : STRING; -- port_clk8 : STRING; -- port_clk9 : STRING; -- port_clkena0 : STRING; -- port_clkena1 : STRING; -- port_clkena2 : STRING; -- port_clkena3 : STRING; -- port_clkena4 : STRING; -- port_clkena5 : STRING; -- using_fbmimicbidir_port : STRING; -- width_clock : NATURAL -- ); -- port ( -- clk : out STD_LOGIC_VECTOR (9 downto 0); -- inclk : in STD_LOGIC_VECTOR (1 downto 0) -- ); -- end component; -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low -- control clock: 100 MHz -- slowest output clock: 10 MHz -- worst case delay: (Control_Clock freq / slowest clock in MHz) * register stages + safety -- => 44 (100 MHz / 10 MHz) * 2 register stages + 4 constant CMB_DELAY_CYCLES : POSITIVE := integer(real(CLOCK_IN_FREQ / 10 MHz) * 2.0 + 4.0); signal ClkNet_Reset : STD_LOGIC; signal PLL_Reset : STD_LOGIC; signal PLL_Reset_clr : STD_LOGIC; signal PLL_ResetState : STD_LOGIC := '0'; signal PLL_Reset_delayed : STD_LOGIC_VECTOR(CMB_DELAY_CYCLES - 1 downto 0); signal PLL_Locked_async : STD_LOGIC; signal PLL_Locked : STD_LOGIC; signal PLL_Locked_d : STD_LOGIC := '0'; signal PLL_Locked_re : STD_LOGIC; signal PLL_LockedState : STD_LOGIC := '0'; signal Locked : STD_LOGIC; signal Reset : STD_LOGIC; signal Control_Clock : STD_LOGIC; signal PLL_Clock_250MHz : STD_LOGIC; signal PLL_Clock_200MHz : STD_LOGIC; signal PLL_Clock_125MHz : STD_LOGIC; signal PLL_Clock_100MHz : STD_LOGIC; signal PLL_Clock_10MHz : STD_LOGIC; attribute PRESERVE of PLL_Clock_10MHz : signal is DEBUG; attribute PRESERVE of PLL_Clock_100MHz : signal is DEBUG; attribute PRESERVE of PLL_Clock_125MHz : signal is DEBUG; attribute PRESERVE of PLL_Clock_200MHz : signal is DEBUG; attribute PRESERVE of PLL_Clock_250MHz : signal is DEBUG; begin -- ================================================================== -- ResetControl -- ================================================================== -- synchronize external (async) ClockNetwork_Reset and internal (but async) PLL_Locked signals to "Control_Clock" domain syncControlClock: entity PoC.sync_Bits_Altera generic map ( BITS => 2 -- number of BITS to synchronize ) port map ( Clock => Control_Clock, -- Clock to be synchronized to Input(0) => ClockNetwork_Reset, -- Data to be synchronized Input(1) => PLL_Locked_async, -- Output(0) => ClkNet_Reset, -- synchronized data Output(1) => PLL_Locked -- ); -- clear reset signals, if external Reset is low and CMB (clock modifying block) noticed reset -> locked = low PLL_Reset_clr <= ClkNet_Reset nor PLL_Locked; -- detect rising edge on CMB locked signals PLL_Locked_d <= PLL_Locked when rising_edge(Control_Clock); PLL_Locked_re <= not PLL_Locked_d and PLL_Locked; -- RS-FF Q RST SET CLK -- hold reset until external reset goes low and CMB noticed reset PLL_ResetState <= ffrs(q => PLL_ResetState, rst => PLL_Reset_clr, set => ClkNet_Reset) when rising_edge(Control_Clock); -- deassert *_LockedState, if CMBs are going to be reseted; assert it if *_Locked is high again PLL_LockedState <= ffrs(q => PLL_LockedState, rst => ClkNet_Reset, set => PLL_Locked_re) when rising_edge(Control_Clock); -- delay CMB resets until the slowed syncBlock has noticed that LockedState is low PLL_Reset_delayed <= sr_left(PLL_Reset_delayed, PLL_ResetState) when rising_edge(Control_Clock); PLL_Reset <= PLL_Reset_delayed(PLL_Reset_delayed'high); Locked <= PLL_LockedState; ClockNetwork_ResetDone <= Locked; -- ================================================================== -- ClockBuffers -- ================================================================== -- Control_Clock Control_Clock <= ClockIn_100MHz; Control_Clock_100MHz <= Control_Clock; Clock_250MHz <= PLL_Clock_250MHz; Clock_200MHz <= PLL_Clock_200MHz; Clock_125MHz <= PLL_Clock_125MHz; Clock_100MHz <= PLL_Clock_100MHz; Clock_10MHz <= PLL_Clock_10MHz; PLL: entity work.mypll port map ( AReset => PLL_Reset, inclk0 => ClockIn_100MHz, Locked => PLL_Locked_async, c0 => PLL_Clock_100MHz, c1 => PLL_Clock_200MHz, c2 => PLL_Clock_250MHz, c3 => PLL_Clock_125MHz, c4 => PLL_Clock_10MHz ); -- synchronize internal Locked signal to output clock domains syncLocked250MHz: entity PoC.sync_Bits_Altera port map ( Clock => PLL_Clock_250MHz, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_250MHz -- synchronized data ); syncLocked200MHz: entity PoC.sync_Bits_Altera port map ( Clock => PLL_Clock_200MHz, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_200MHz -- synchronized data ); syncLocked125MHz: entity PoC.sync_Bits_Altera port map ( Clock => PLL_Clock_125MHz, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_125MHz -- synchronized data ); syncLocked100MHz: entity PoC.sync_Bits_Altera port map ( Clock => PLL_Clock_100MHz, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_100MHz -- synchronized data ); syncLocked10MHz: entity PoC.sync_Bits_Altera port map ( Clock => PLL_Clock_10MHz, -- Clock to be synchronized to Input(0) => Locked, -- Data to be synchronized Output(0) => Clock_Stable_10MHz -- synchronized data ); end architecture;
apache-2.0
1cba9fb0e560ad198cc9617cfa547c2a
0.537746
3.651011
false
false
false
false
MiddleMan5/233
Experiments/RTL_Components/CPE233-master/vgaDriverBuffer.vhd
1
3,916
-- -- The interface to the VGA driver module. Extended to both read and write -- to the framebuffer (to check the color values of a particular pixel). -- -- Original author: unknown -- -- Modified by: Peter Heatwole, Aaron Barton -- CPE233, Winter 2012, CalPoly -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vgaDriverBuffer is Port ( CLK, we : in std_logic; wa : in std_logic_vector (10 downto 0); wd : in std_logic_vector (7 downto 0); Rout : out std_logic_vector(2 downto 0); Gout : out std_logic_vector(2 downto 0); Bout : out std_logic_vector(1 downto 0); HS : out std_logic; VS : out std_logic; pixelData : out std_logic_vector(7 downto 0) ); end vgaDriverBuffer; architecture Behavioral of vgaDriverBuffer is -- vga driver signals signal rgbout : std_logic_vector(7 downto 0); signal ra : std_logic_vector(10 downto 0); signal vgaData : std_logic_vector(7 downto 0); signal fb_wr, vgaclk : std_logic; signal red, green : std_logic_vector(2 downto 0); signal blue : std_logic_vector(1 downto 0); signal row, column : std_logic_vector(9 downto 0); -- Added to read the pixel data at address 'wa' -- pfh, 3/1/2012 signal pixelVal : std_logic_vector(7 downto 0); -- Declare VGA driver components component VGAdrive is port( clock : in std_logic; -- 25.175 Mhz clock red, green : in std_logic_vector(2 downto 0); blue : in std_logic_vector(1 downto 0); row, column : out std_logic_vector(9 downto 0); -- for current pixel Rout, Gout : out std_logic_vector(2 downto 0); Bout : out std_logic_vector(1 downto 0); H, V : out std_logic); -- VGA drive signals end component; component ram2k_8 is port(clk: in STD_LOGIC; we: in STD_LOGIC; ra, wa: in STD_LOGIC_VECTOR(10 downto 0); wd: in STD_LOGIC_VECTOR(7 downto 0); rd: out STD_LOGIC_VECTOR(7 downto 0); pixelVal: out STD_LOGIC_VECTOR(7 downto 0)); end component; component vga_clk_div is port(clk : in std_logic; clkout : out std_logic); end component; begin frameBuffer : ram2k_8 port map ( clk => clk, --CLK we => we, ra => ra, wa => wa, wd => wd, rd => vgaData, pixelVal => pixelVal); vga_out : VGAdrive port map ( clock => vgaclk, red => red, green => green, blue => blue, row => row, column => column, Rout => Rout, Gout => Gout, Bout => Bout, H => HS, V => VS ); -- read signals from fb ra <= row (8 downto 4) & column(9 downto 4); red <= vgaData(7 downto 5); green <= vgaData(4 downto 2); blue <= vgaData(1 downto 0); pixelData <= pixelVal; -- returns the pixel data in the framebuffer at address 'wa' vga_clk : vga_clk_div port map ( clk => CLK, clkout => vgaclk); end Behavioral;
mit
d8560bbe1396b6c5b0dae842198410c5
0.466037
4.40991
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_MUX5_0/sim/design_1_MUX5_0.vhd
2
5,676
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: raphael-frey:user:axis_multiplexer:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY design_1_MUX5_0 IS PORT ( ClkxCI : IN STD_LOGIC; RstxRBI : IN STD_LOGIC; SelectxDI : IN STD_LOGIC_VECTOR(1 DOWNTO 0); Data0xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data1xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Valid0xSI : IN STD_LOGIC; Valid1xSI : IN STD_LOGIC; Ready0xSO : OUT STD_LOGIC; Ready1xSO : OUT STD_LOGIC; DataxDO : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); ValidxSO : OUT STD_LOGIC; ReadyxSI : IN STD_LOGIC ); END design_1_MUX5_0; ARCHITECTURE design_1_MUX5_0_arch OF design_1_MUX5_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_MUX5_0_arch: ARCHITECTURE IS "yes"; COMPONENT multiplexer IS GENERIC ( C_AXIS_TDATA_WIDTH : INTEGER; C_AXIS_NUM_SI_SLOTS : INTEGER ); PORT ( ClkxCI : IN STD_LOGIC; RstxRBI : IN STD_LOGIC; SelectxDI : IN STD_LOGIC_VECTOR(1 DOWNTO 0); Data0xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data1xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data2xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Data3xDI : IN STD_LOGIC_VECTOR(23 DOWNTO 0); Valid0xSI : IN STD_LOGIC; Valid1xSI : IN STD_LOGIC; Valid2xSI : IN STD_LOGIC; Valid3xSI : IN STD_LOGIC; Ready0xSO : OUT STD_LOGIC; Ready1xSO : OUT STD_LOGIC; Ready2xSO : OUT STD_LOGIC; Ready3xSO : OUT STD_LOGIC; DataxDO : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); ValidxSO : OUT STD_LOGIC; ReadyxSI : IN STD_LOGIC ); END COMPONENT multiplexer; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF ClkxCI: SIGNAL IS "xilinx.com:signal:clock:1.0 SI_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF RstxRBI: SIGNAL IS "xilinx.com:signal:reset:1.0 SI_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF Data0xDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TDATA"; ATTRIBUTE X_INTERFACE_INFO OF Data1xDI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TDATA"; ATTRIBUTE X_INTERFACE_INFO OF Valid0xSI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TVALID"; ATTRIBUTE X_INTERFACE_INFO OF Valid1xSI: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TVALID"; ATTRIBUTE X_INTERFACE_INFO OF Ready0xSO: SIGNAL IS "xilinx.com:interface:axis:1.0 SI0 TREADY"; ATTRIBUTE X_INTERFACE_INFO OF Ready1xSO: SIGNAL IS "xilinx.com:interface:axis:1.0 SI1 TREADY"; ATTRIBUTE X_INTERFACE_INFO OF DataxDO: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TDATA"; ATTRIBUTE X_INTERFACE_INFO OF ValidxSO: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TVALID"; ATTRIBUTE X_INTERFACE_INFO OF ReadyxSI: SIGNAL IS "xilinx.com:interface:axis:1.0 MO TREADY"; BEGIN U0 : multiplexer GENERIC MAP ( C_AXIS_TDATA_WIDTH => 24, C_AXIS_NUM_SI_SLOTS => 2 ) PORT MAP ( ClkxCI => ClkxCI, RstxRBI => RstxRBI, SelectxDI => SelectxDI, Data0xDI => Data0xDI, Data1xDI => Data1xDI, Data2xDI => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 24)), Data3xDI => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 24)), Valid0xSI => Valid0xSI, Valid1xSI => Valid1xSI, Valid2xSI => '0', Valid3xSI => '0', Ready0xSO => Ready0xSO, Ready1xSO => Ready1xSO, DataxDO => DataxDO, ValidxSO => ValidxSO, ReadyxSI => ReadyxSI ); END design_1_MUX5_0_arch;
mit
b652d1c84c2ff7c64886917eed3bc617
0.708069
3.729304
false
false
false
false
ErikAndren/SG90-PWM
PWM.vhd
1
3,481
-- Simple POC that tests the control of the SG90-motors -- Copyright [email protected] 2014 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.Types.all; entity PWM is generic ( Displays : positive := 8 ); port ( RstN : in bit1; Clk : in bit1; -- Button0 : in bit1; Button1 : in bit1; -- Display : out word(Displays-1 downto 0); Segments : out word(8-1 downto 0); -- ServoPitch : out bit1; ServoYaw : out bit1 ); end entity; architecture rtl of PWM is signal Btn0Deb : bit1; signal Btn1Deb : bit1; constant Freq : positive := 50000000; constant PwmRes : positive := 128; constant PwmResW : positive := bits(PwmRes); constant MaxPitch : positive := 40; signal Pos_N, Pos_D : word(PwmResW-1 downto 0); signal Data : word(27-1 downto 0); signal Button0_N, Button0_D : bit1; signal Button1_N, Button1_D : bit1; signal Clk64kHz : bit1; signal Clk1Hz : bit1; signal OldClk1Hz_D, OldClk1Hz_N : bit1; signal Rising_N, Rising_D : bit1; begin Btn0Debouncer : entity work.Debounce port map ( Clk => Clk, x => Button0, DBx => Btn0Deb ); Btn1Debouncer : entity work.Debounce port map ( Clk => Clk, x => Button1, DBx => Btn1Deb ); process (Clk, RstN) begin if RstN = '0' then Pos_D <= (others => '0'); Button0_D <= '0'; Button1_D <= '0'; OldClk1Hz_D <= '0'; Rising_D <= '1'; elsif rising_edge(Clk) then Pos_D <= Pos_N; Button0_D <= Button0_N; Button1_D <= Button1_N; OldClk1Hz_D <= OldClk1Hz_N; Rising_D <= Rising_N; end if; end process; Button0_N <= Btn0Deb; Button1_N <= Btn1Deb; OldClk1Hz_N <= Clk1Hz; process (Clk1Hz, Pos_D, OldClk1Hz_D) begin Pos_N <= Pos_D; Rising_N <= Rising_D; if Pos_D = MaxPitch then Rising_N <= '0'; Pos_N <= Pos_D - 1; elsif Pos_D = 0 then Rising_N <= '1'; Pos_N <= Pos_D + 1; elsif (Clk1Hz = '1' and OldClk1Hz_D = '0') then if Rising_D = '1' then Pos_N <= Pos_D + 1; --Pos_N <= conv_word(MaxPitch, Pos_N'length); else Pos_N <= Pos_D - 1; --Pos_N <= (others => '0'); end if; end if; end process; Clk1HzGen : entity work.ClkDiv generic map ( SourceFreq => Freq, SinkFreq => 1 ) port map ( clk => Clk, Reset => RstN, Clk_out => Clk1Hz ); Data <= xt0(Pos_D, Data'length); BcdDispay : entity work.BcdDisp generic map ( Displays => 8, Freq => Freq ) port map ( Clk => Clk, RstN => RstN, Data => Data, -- Segments => Segments, Display => Display ); Clk64kHzGen : entity work.ClkDiv generic map ( SourceFreq => Freq, SinkFreq => 32000 ) port map ( clk => Clk, Reset => RstN, Clk_out => Clk64kHz ); PitchServo : entity work.Servo_pwm port map ( Clk => Clk64kHz, RstN => RstN, Pos => Pos_D, servo => ServoPitch ); YawServo : entity work.Servo_pwm port map ( Clk => Clk64kHz, RstN => RstN, Pos => Pos_D, servo => ServoYaw ); end architecture rtl;
gpl-2.0
49b84635ebdc1609b597d5c3244ee4c9
0.521976
3.220167
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ipshared/xilinx.com/xbip_dsp48_wrapper_v3_0/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
5
142,613
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BRBZ7522CivHLmvslhUhFYQoS567JwvGFzAncA2rwHIAIOoihCOXUBzaLTJDT5qPovHzDlW4yv7r GP9s6lKwNw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block CGSFPehn4o5exPh9VqAEGC9Ban3a7ljD/wFVJ5Wiof4iJo3N7+ltj5Puk2trGNLyOVe/8cwCtokE C3EHNPrzTVk2ekZYItDjGCLqFEdLTZk767UGKtc4+KFQ96gRMZEqc3w6niX15G8SK5RG7cenh0ZV dIbp8Q4ZEYfKWH/MmRE= `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G3aXPMgU2hkC/UtzRmAKroBoUkUE6cYbnGspL6n4cjlcyPs8H46gbwPbC2jNdTaMWd+WSerVIBKD nvecP82xK8TcALyvl2FLWU2d/GuqCGUybrMythsQT8nDvb13Vy95OK4v7ajI+2gxF25l7rC0Qr/v j7xd7PVR/ul0ChVSfvk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NIkZ8LYfCM+oWqDQDCOPVNn/5LpRuVnrhJyPER8R+9YWbLwNMtzqu081+IpI6nfE8jhuyqGOMJ+S 0oPzk7GaEseAdqBD+bUmcyr1JlQ8JjeaAU3lLDXNlgY6nO/8uHaEkpEe0mZmZs5zWgv8yzjxqkDo AOPWrCo2lN+jFQJ/k2TNeH/vSSiVtB6HXA3nFY4e/eCw5rgRjeQzgfqYjdWqry8U0a8jgpzxwf9m yRMRYo9Ios/T/zVLHR0JYSjOSgxXFB/c0Qdo07KpaAMFIi6+Z7C45rZyVouIxrApxHbtqoyaA/gO swtvMWEQm4e279gQ7RfqtPd9BLx7hZK7ih78EA== `protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GAuXN6U27R0wlFvl2eGHX9UJ+e0dvj1OGcZ6Yt/hA0Al5BRoN3D3aNOgAcR2uPo2k3Uq9uhn9eKx XSf7G+8XNvMmZE+ysfGbox6tYuj374XWhhnQxLyXFsrfM56bRypB8jeFMn/hi4P37v6Vi/fACjMP P67bfoFJ37LQpo42tvFOs5Wx1ZBmrrNk/BKiwMODg6GuBytm1amZ4nFTyHRDz5vjxqfKesH0nsgv R9JwRuBOmNv1g4E5NoVCp2kemhpPGXtwndSfnPwKBwupzzD+hEtRvMChWzZ56nLBew+Sn54A8U7m RtrqXnPXzdWyxurmuDufms+p1LJQGh4tzVbRcA== `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block maXpToiMo71Hl7B4N5vlvINvZp2Q7Ni4BiU+wD4RisruBbnDlOxB90knT3A1GldxApCQSDI55vfx TiWWZRUX0LfkoLadGYU37/81cSuvFAURycbWadZzyN8qc2/SVUADxPU9Pj/VD85wLK3Jbm20/kZa 3/YA4HyakbaKe/aAuKYJ+VLUvhC2L0tbUKG2eMQub12Of4OOu4xVhEUteak/rtI8JGWOR5fJUl5Z BUcXeiUvRWoCm87f7fKBm3yke5OrWuvQICjezRjnx+Ia/zFT/yoLW4EVzuJUKzo77dAwDDhcln5O 899M+jy8zQeHABRBQyGkYvtkXBAMoPIL2lERUA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440) `protect data_block kEU3Ty/RI+ZYnh4G6ri5OcgjA1Rj7COU7wXrJBNpuAwZPB9pV3KB6IA+mknW9bxnS+M9xwkRY9T6 IExOG1ZzJSUlHkC/W/fo0+KfI6N1enL+qAozSUA4QtrhOl0G1lnLbw+NDvtQknOMY94xWW8xJOWS CgLvrqfDgak88UvC34FlBN9QBkj+6tzwURDQK1g583KeH5CmIUQMEIKaOSb04/4YbKpQg92d+VPD 7sYS22ZheFdCggUs1j/Xj9TL6lIO9fdjJEGVO/xnzJorVLVE9OS3DY+3dFH0kaQlr5V6omqB/orl 0jnPvgvCOAjIAIVtqiY8sckI6MeUy//d2+Q+y5jiTUHA3P5cYK4d9+9H9h6f/oCTQiupgIdYrGdB zbTw3QBziKWSTFF/mK8CXXIgNzxySX7BiYI1MKW8fFuXse/zrg9bPlQE+HKQqbLj5vUuuz6VkBll HL9leTC22RfiYSoClWHFp5y8hkKVnKpzLQPhvXafvKZpgdrmMvkOnhb0HU51nUm8g6uVpzE+jPUh 2mqqtGVGoX/KFxOw5I4yQi+Px3FLgx/hGylEVlMvZokNaBLLmt7+GYVfGD2qmKi6DMnpE0Zhxht7 LIRF5LajkCo1QJ0A7DvhjXcUiiOVVq6CelqyezUxjjMQQB5nURLOAuk4PKVkYXCEYxIMBH2BnU+R Q8H5rJPqrTs7BV0D0SoeUB7J47wNSHuO2Oo0G++F5Iqn1ZgAFLyeX9Hb1LlX/yYPAKCXrzVfACAV bfNNJF4H0dSA8VL5m/U9avrux1en3+bBXCym+iJgCGOgfCpyQSK87ggtCuKOa0Nk7w5/tEX4EnBs HY6dBexA5u0SLlbtWmnQ9EBQehDIHALozU5EI4q/cLXA2o9MKfALm5kuPE0GtahwXwaoAbJwi6Ce E4l//vENEqmeusnYoPfPPyan20oQjAGDGjejd//rUCB5+tkI+Vj/ImOtEjy/+w05BYBLsHmyCC54 IkxixAiyuLyOhdJr7uxZj3mewoo8yz8by/3ClhT+rlSv9YecCtL/t33m7lPzuEEft2ToklsnJdYd XLqZSYbXCs6hy0bTXTHenxLoc7lOLJbDskI6vO1xeCF5Axamr4WMGw2giBgnIRwBH/gsH+BIXDHP xcthzxPD6ipL0KeVbS3KmHXeSacXyhI7QVMIEc7M4UQOK3v0DgulHMh8wuUPK6oTEPC7nGFGsZS8 X7YAgypmG8EJp/VBCwEJMsLbEKfQrdi55lugci1Vm+Y5I3I6kTQSBzslpsPB/CmS1kdC6lJf9xvT e0CBL6uDQhBmw3opSAaGH9yP5aYh6oymlsyLbhz0hhjlDayfFMDxq93vvrIC9rD6LHh0dOn6RhTb gVsbnotAEwA+PbgbrqVS3PNr716wbShn2x1eg/Fk4Q2PyokuVY2h3VOUpNO64zsY3d1UQ8u241qG d50iTJnJ1uXPHVvQ/QTpXPJrD2CiV2tXShW7SCbpxn8g+ruhVwkJWbUJ6hUrFqgyPn37C1TtP8Pq xHcD9Yy10UDKFkT4LLDxmrf8zYVZ24a2ZILhVzcOlszTzwkV6nGacjDBGAtR26eWKEufDaRujqjy oFpN9GY7oW5Nj05m/J9v+3OFkx4PcopBd1mEc+HAYMoeO8xhNz6B4bQdNGzckrPVIL4gC++8Y6rD 6XX7moZl0xlFrpzWkDkk8K5gqJH2xk1dRMo6mXH3HgAnldBjaYKb9GW4SFnvBMdnlzZprp2Lu2u+ ec3Vo7cmqyyHaqnJ2PhQ0QUeloMnS2NM0tMdJ6YHwYuQLB9Ll0dERkXKvS6mqLVmYyeHKKF1oTb7 Wa0qrX1DCCFQ3q0nX/XeFpe5j88iG8xKJ5cqiR33bZrNpdtar6mzCJ30BqUbWUsuf5PCAOchpEUS UfQ+p2m+l63DHhdkTpNTxDxJVsNv6nyjY7FK3humdYf2rfeuS/ZlRrb+fqtwf1wdq+FVDLbYh/Qx fgpyy+7n1U+x5B+Qx2zQu7PwWXZPODwhVa+0Hczvxrs560uVpBW5W/PjMDISowrt9dzAoDNVpHf0 vVD993XME1mmDIjK1oaLvdbcNOQbJAWzcUgz5RhHlJ8Dd9XHlPz0oSz6Y7wDQgZIEruOKf9G9hVa jLvsNq7d1de6UDwHGQ+8rf2BnEI0lSghy8vryUR0oon6xxhEdDfPbeqhJS6v7BouGd4rwR5+qxit ZhZw5ld4viL8coCQFOpZQd6poGtQ5MsXiwudstqr0bDdSbJMKOHPGFNGW800UQDsfARAbYUycXKo WxCVVRSSrfWry3l4NEg3xRdLLL5MIseAcDT9PmC5HO3xB53w9TUipjONgGtm1gEnHMQ5gCNatQHM 6dsIyZgYksuKbl3Ei3Etb5dZGtYEZabs3cEG5JRYloTHefyi5OEQN5oFeiCsIsS2mkvqsVKjfg6z SBprI8rDQnpqwtcPtdm/zOqSll1MD7jNUE303ifNVtZRx7VtXH7SYNgloISVLCEAEJdNYz3jrDZL Lnv+0eB0D0i0AsXQiy6l53OqQUKaW7mTMp8RArCB0kLsj0NB/Xl9csAuZfCsg+YfVHBoViiD6RQ3 y3uZ8dbSQfT5bfBvhcqI2nEk3v7+BOdy4t8Jxr+5qWcHLehoywoB2vnu7EGkCTIORuQ4Cug7pzVC wn+fybN8nqfQOB5LuUP5zeUDxf9viWMW5vtrV7vQXIzgQbPxjgBoE8Ko29Ugy5R7ItkBJ70efEXm nnQPeP/QPzICmKT/O42hmyCQDzdcdL6qGukeg575e1kdUnN7KUhH5bJ3ozJ5z1IGPlWnrXK2OjLv kj68xyrVj/TIPEBCiVH4K0NAQVhplMCWzz3NOpCaYbRorzfPE+5UH9m70C53kg9CwaAIooiq7drz Vlw81zYi9iTiScMsWxzXgsxuYU9pRHcP0yjbIvXecKhaemSEUXCC5mJN0JsolU795viSAlR+FaQU LAMnhirYE5LdObN+Zr4AHjyS/lAFn3Nyhy/fgoMXd1/XmvwV1zxuOXv3TqnrSpmx2/NBDaeCPkqb JW4HhkwVTIyCKY7sRci/m0bcBhGMCbNr2Zlrdb4xyzmBKIw6F+OQh45g3ghN8SlrKjkzLeBe1fOv DuD3IxucOQQQhTbBjqdxP47t80p+VfoT0EjLgBPBelPZJjyrJ2tKKLrsT3IGerq/veUBG95c0EmM iMHeyhaK9dWitWCNc35//nOIpPvU7NuUp87z6oNgVUeC5CbnwuJqjyxYw1songnRdmUhon2NeyMm BGyoS6riAs1HuLCAQxoFWxgwoDh4gT2+Hn2jJg6U5VTR28Af5itHJt8qndOvrw/Pv2uldOOM65T5 K7IouJGq/Hh8F1Fu6nUoaQ0QUqCyjrf2e/TTw2yG7inkyva4hs98HGlZYRmjDrvZu2+sLw0AR2tY 6hYWGSVAijKIEkAfcFve1zigdD7DBhfb+JolgfXqPKotBiWtzGYW2zKzHeB+89f+eqOZPvVDBp7M QRwnZmY49eavzNCBKG0AgwcjseSmnjpymhIOJ6MncWKByhwXTwJPH64SyRmbhmJg93L2KlTJXYM6 djl5MEi5rTwbXcHWje0HuTLeVaWTwWrIY3dZIQQK+D+ZuuJ7ZlSCKbhSfE6txwGCp/QfHjbxELs4 2v99SOsYPjQ3GF5UXlexLGosAZ6Nqyj31C+6ExwaZtjZH59oa5f3+YPqV+5GWoGBgLkfYhmnkTul 93J4B42LXMvfUOYOGgEJLYsVK4M2gQU8S1rOcWEOWZNatMeF129wIARf3jXfFLZCDZs8pxMmJ5oA QryzGu9gbbF24WkmYXQ+IyZsuaQjqBOtW9e0LNpSVP2F0dq0dsCx6J/wFP9UOdGOGe/gIfKaUjYK /vd/ARM+HJ/JwHQAivN6rlsLH1o1+OkhBsqfCPZVfJ/zZBNOVvvwP9V8lXGvbZUQydQsbFWGEVFy IKzCMuk1p3urj/MtQTH6pebFslIEnNpehP0vbHTHZUgl0G5/UwSdo6o+paBwiLNKcGvQTmP6Iawr W5nEFj9a7SoXk86D8oahg7S2VIYiwdIRAQQR3ZZXq9uAbEpIv3qDGDID+3jjYYnctwRZOF17Wb4V 1n7Q0jau6OP39P9sv/K+J4jzo/3Jp4fO3pyIrEAAwHhZ2rDcqAWUGxFO+rtauQ8MerciHy2eKCf4 FqJjd9eRaTfqDWhnWcuLG4A08tldYpbKu6mR+VK9cRnNNN0c0vUKL07tWCovvopIAY/rnJK6YO6q w/duU7LF42jYpLIIEX1JhTATDDoNP9L/KyGrOUXJMMy3PDnGPEZO4mnQXvVuHv6qIra92+iDejfp 6sukkWknO+08PGz3QCxYNbf0dir0SVun4sA9A/ljN4Y5MLoAVX2GbOMkuiDYki0LVPC3rgtCP1SF tzKpkhW7bmK3qVfCFjD0Q6bo3Qik4ViwYd8+IVuhbUq27KD1YfVNr++nQbweTFgjKxL34PVsnHp2 LeJR4vpXUmFff0tlHb3CClQaq+fqByy/KgtEFx7NS26mTMVrMXGKrOFyQlVBAw7cfEE6cGjop2HG P8MRbigbu2nJIw7pjYlgmn2cJNGCxpGAMPtSj4qdvwi0nBD8HywvqOej7CCnrBDrrTSSovdGvYYE Z0C+MSbeie+4bwpIfO8UORVl/FGZKR8xfjmhywvf9rxariwIZhDtAL6qPfOdsbTq431JRL03KFR7 jnxf2xPI58amZLqZ/MwEY+YgYC+UN4HyaqzDvKISzNZCdRtIvGj6OKNyJllbO//v2U6OAaHLm+0y GLoAqa3somXqRgh4vCR7gwHmVDpsB1flDOOdHIKL9LqbS25wla7xvqA+by40dAznUK7oyQGIAVQu E3nlV7ypVNAP/QUjXkME5nb3sbCXniOwEZMgk3tplmYwCNYW7e0PbnVfZYbpZtiJdilG4HdXXVxK a6+nFvRxyt+MzNchvMFHfqtT3PXec+n5DpVlBWst8SQjr3lmO95n17tHstJSafGPAqMIS0C918F8 DqcuY3xLXP2orsj+2fszwuDDaSUJVHtOZQJBaKiKNpCvO7ps55mEwH1rifLoLumKfZfMm29Lq9LZ e8Jj90d4m5hkvad/AyfkkNS03ZS258vlPu9/ofptV1IeB2pM7Bwguxrzyecgl+g+UH6QB81b+Ugg 9MEoiJ6EFbjqIx6RwG1kiZqZ4QUovKq8OCLHVA/Y41zrMUYHNqu70tTKhCbGr0TC0BKJwHXPah6s 5fiThFDQoZGoWp/Kwu6PzaD5RDqbtiR06s6wobOydCGwRqzFdcA3IExxKfkqZNSm42VY0ZQUAH60 8TdOaqc2UQmg+5IEUP2v2tyHLvd3PT0sYdBxaunA0YLlyD0YXQMyS2EKH6Z8cJ2IajVCUAP3Ntfl COL08fhitgppLyLyfxtToYFU0Rv9lYBPLZWYRKla2Y4p7xTbRVe2aNdeLpgMWL2VJt/48b33p+Oj FrS7+Oziz8h8aQiN1ZqA/NMmNs/0fYXiwJ1ckKSp/ZmXMPp6U0MHDYIHeC2hnGffANjnCtigtEbE HJPc1tR+unKT4FRMV+y6k0skbCUu9Kp6l7AhR8Ue38UtdJBMpFBU456Y6MlI59Vw1hQ8lcr6Vusn bu19DXn7u+X0OFHAl/QaWVoaUBfbthuIdFpLp+X8Y96vvoKMXKeHSB+Ck/v+kUMCZrBwQfK2B3Di 1aS07lr3JNeTthaB4rD5Nqwnd31ZsPW9zlL0DGmOxS1BQp/4pcrXFjJ1AMiXxaqRBij+IZMwhLM3 thTXoK//iWPNtP8zv9mp35k9fvQ+1G7Ld/Gc7TO3OI/v7LKBPqXXyrGpRclyMA9dwsrwNwf6+Dxn RdKuUVzFjDCpCLAE7jG7o1vaHp4GdgWPc8LNqinaZ7M7gejdYdNbQtsBXkeiWc3B07XiYjoA9ktR Fam+YgfmYvv6qF4Hv0a/Ejrp461gTzLTqkvefbLMP4zz0wEmdWukUiOMw5VaZ1gobwnJkrq7PDpv DTcYPHefSQBGnliUxUQTYarMCXgEN5Vq0tgaSsgd/Ik5w9RSwzHYLeMbs5TT/G7kYzjI8gozEZB8 bfMGc+xVdxSMKZjc8FM6I9t40KGL3p+0jdz1OZff9vdrFI7VLofVwqTtd8WeYehY6fzKHMS8QdiD 8ePq/7R13e2o1phF6/nnaaEkDFXl96z7FfRqdPP7X7KJC6yI7seca4URdr+v0XK175LJdLrctx+6 Lfzoetruq/02E/OnpP9CubipYJwON1CiJVA3t2hjmVt5pE2TNTkV8mavAHV8i9ZCflMHWI3Mz4vR JNzPU4Cokf/t55f+6FJPh+Bgi9W2bqIji9aKN0JuvMmxWUCBv/4A4s548Z84wIhRLKZlyPhbpbBi 4QrylRzJQG52ZMQDVn7Ixpd/f3A5wbQbkHa1y+Di+dvui8JO/OGAC0tq0yZmN/IBJAJwYEYGoHf2 ZuTFzQTSLwZgkn4pW/XKrp0vtWvX5lqMCwc/vVXRfgAqGWxzXFZuIvIrje7XSI+74WWFLnJ4B42B O/ClWo49Lnm+pnGUxe9gL4a95qcLwA121uoT3zcGbyyY8Mh7Shr4SGSl4BOn4myN+VfONvgCoQIq LKzBvxBNCbKns8+GL4ruA/MAKLh0Cjpin6jOaKbF09kQnly30SFzHy+Q//279K0S3+5dFMTv/ZvO NhQj82biy0x3bUxb1dLbCcu7eEkstZbY/t4pbpTLaO26/aImuawX3OqUsN+nAOYKlMx3kihjjhSv YI9Xq9hq+Hr0R45J8mFrJsSywbqClOfgJ7aZEoN3FVsTjTnv8UAwX0uS4sfWl24FDU4i0121XR0e D8kw4dIwERabve8AdAK7LORfQxYYiYvZeP3V3Wvc0foghGioqofO55eSGUrt23vEqwW70tP7UTcs n/TwOAwy4nKY2aahQvanTQk/ZDdnQw1MW5zDMc/sF4In/j+USz3C71iqUVr5/PUi2iVDJz/eAvEd rKRb4dxTMP1SeLUf6XLrmgOFG1DD+HKjjzU3HFOZpMOtP/cVA8BRYVQu7mYe8gIZyMwnTBCQLfue T0XCuxMgudmb8iMdzLd5LGlOsteewjzpZHvqplxuhdT0uQF7thwtg9Ls8A8bOmFD9g+zBij+laHQ 3RhFujus0HbQYYkAgXCsjr/TjVpankQUZiAucW82XktH1MvmRAx2AIJpWjdHolcv4OD7PIWmxIPJ NaTcGcC1Of0G8y9HMS3hpvTS18HoZxVu7S93GXakULWFi4LqZLQ6IDLJCwNfd/2cXmXZ4SLRzyhS j0YMpKTSMo/B0ldCkvBpreP9j10A//eVuRuXSiXN2TaFVC3u/a8uXmogqgJup94PhAR+zlAygdL/ Seu7llt2JhhiqoPkWiaSp1HULrgvlliPYLJ3Stv8SkFPkiDbZSyGd0F8lCHqyEPMnQ/xtw+e29k1 PBSala9DdJyZC9WXhn4OWYoQ60h5xNwvoZo08NMlA8DpdY4tZ4lDdqOQNzLCKPG/V5kvBFKrf0+w uRMCU+7WVsdEejMZRYw1W4oDByu3L9krIdtlugcNkNk9uil7QUxl8aFP4vBxQU1CQ++aYEnMFy41 pb1yTCEmllaEhWf6oQDe3s1y4wfSp2rNoQR8yTWrbgkLXJmS5/VB/vuXh+JWNxaaTtw69dLK+muf wMTOKIUYZjmviK+MPAzY6GWUp424XX9EWup7d2jN730HDgxq7wgQIpst8qA8+k+EDsgeMDBWD/xo Lg4PbpQclZ+WlveAMz7G+1E14eAfkDG8bebyaTnNolqAOgcOf/Y36sG0TxKBLXhbjrtINSQDeOhH gRihofDWwvShIo2LCC8OZY/CKRn5ARD06MZerzc8cuHfCtrsOptNY11/IV/xn8FUCaqWRlvgJZrH Of4TGT9J2D5xPgq1Zoodp2mZEN1PlOQWl4JUqIbXoufWtd3/WLfnS/MFFdUAi3toP7qeLGy2IO1t ZeuONgaIgoxPiyG0SRNkU5Xw6VWg3If9LcOaZHV/ekXP5J0QOuTV9IJgO9Pxg9TvIz70KQHYsyHb ThGWh7Y+vOBi0tUemFAdlSTmvif1WVS8M2DC/zIqDnojHsGxiCcJpQmIz5t86Xs6VtU1agj4ZcvS iF4pIfv80ENDizs+yzBUEC3mZsiB703JKM7HsYdBGa2mmqdeow9HWQXLYM/vxHiRqAUqggjpgdR3 iXjdOdRN3+aadKabQHsZMx+pSMtBlQne5IY1jkuHuy9kJ4JRp5Dk0XVC9ZJ/F4O3oVRfDxyV5kaY hcFZg00V8G5o8RGQ0J/yZ0YOKZrjZgo0XVf0YnZNd2agwjIlECQgEvfb7iSeGqYeJ+seeHAJInBA L/G5U16HhcNCPllvIboJM1ZktvY7/ZuKz2rh60g81Y0mFeXspwVml3ysNNHhdW/qRe7Y8cU82BJq 2nhaNxZSmlZdo/HjDhVPueTw4LXym2mjqnsKTEXzUJ0EkDwj81Fll/dXczwNF90T4xnk3UIjQyXG LbkSkaILufkiDs8l9egIbzNDEhbNTExbLUJhg0yRD38oFC00V2yipFyXVoZVxR6VRSS+eFNGR7TF 5Q43skjwqD7u3HLgIM96f8kCnNSJzehHdsuZSZ2UiZspLNW/PORLFvOeVb1SPS13x19cE1XRKqiq yk6MTPiH+QIHeBOobonODVSit/jwGLKwRU3gSfhKUVBAe00TpnEd646/9TkAzjPC586un6S58mz6 BtB4xUxTNH2XJdILr2pulKhwwIFpEyl6mtTPt8/Phjnyqpg40p5YBvi+UlAOhlVsz/hRpZvbkLs9 X58HbSKarTXUV0IaxNhXD0x2Daq/l2eroPU58vs9LernHX8Xgy9+0KDXI2Lp5exMf6cRFJTA0I1N meu8O/lZIvyDSa4DtiCl9Zu0AXn3QO2u0OhaLuEH3k+3oZ5HOoOL3dyJfOfblw5ZXBSOLs23icG2 pOiKkzVdck5B5lJ6nNjvA7aOBwJOQ7wE8+/omZjPrqmDaqR8W8ojha5y9ZS4xxLBTo0jZeHItSOJ yddUJj81tpgcnGLOZph+9bb/X1z79gtv7YyRfqSqF0uH/3virrnVMD8HOSOKsCoFWexKP/66pJIE Il2U314ce95gvOAC9zcsnAN+mlXSSyfiNWQo2XoABenv0uqZQUTfOfePmxkRQZbM9n6Ie5vIw8OH EH2q8+WJYkFydDU+XUzeXPmIYRf4V229nO/WrFnuzLcIUuqJRgOdr6aQpgehxGyeEaE4TB0cSOCI wApaaPqvwR4+D7LgE9vf2Kk/rPi7X96byo6aAOmttRgBav4H/5vCOlKzEj3pPgayXtPkXrVSRLKd s+qFVBHuYERzihgYT3n6Bys8zseAPmPERlUc2LdU9QBgsol/AnE6RHkMeNaFphAjfylLk8sI9kJ5 qWYWgEnNLcJyZP1QnnFrPnh2RGvVHl935DKsWixfL+PTwyZnuRb7w4Bbh51wr162nJ9l1kAwve5p qAz/cIHzmczY9FZlM2x6Y7lIrP7fmefD21QGK7rnk0IM5DU2SStJMrJ3Xy8ywXtaQB1QOT3GlQ/V vdyj/76k/ez6+a+mQE7fmeTRBvUTDInJ2I4anAgLQ7pJM4QNw6O/WRqfTgz9xh3je75nDKIhJFLn LbZ/Lb2v5tQbhfo5OdHPJnJ8jvscl4sJbHd0FfzTVPGfrPPVR4XSXwRJ/xRqATcS6LoCxow1Xy/y AHrOHjqB8EQFfSSWSV2EFqIGkLJp1nfKOqU1LMQJY0XK0kO0zZg0Gd4oeuwDUslq6kgpzOcd7dYK vcntpsCvMTuTXqD9XRqG0p+zPHyhdu18vOThy/NTKxL/Fjjr4S12eM/w8phw2fhGgTzcSnH8+Rfi /L6aKP/c2AyBpJUQZA9BkKfI3nUeRukpFkohX/6wSVs1fywECIeRXZR3raPr8W0QFmUYOgx25A3+ e9RWGvC8Ib1mjl/sRDAaxFjf46AM8jmglRWPkdkgj0BAdwV29yGAKpX2FuIpeZmfBnlFHE1/7J9l KMF0viNwq0Ar2U6lRml4Riha407MLM9VJzIYYFXVadAOKoqwXJ/DWuA4CVYt/OPD8GPMdCfhMV3I dA3UE/kZP7wauPdd2FGuN9/gd02rgHORkyv/yxATZKacogKtLnr/30dEvShkKXn1fU+jDIFrU+gL toZNwyzuGtU6iq+NI3ZXR/P9uQz4FDsYKAsFoi/b4fsS2VnYMIcGXwm582U+1bVwzGw55PAxnAQZ AC2YI/pWg+4V6O8bxkpYP5KJiHBsY74ueTexP/ipdsmWeJxOroA02j5oyvU1iG4p94Q6m1Q8pXT0 QsVX9UuSNytc2m+41ltdJrdu2ByHMA5kkOAgBgbOr5+/u9hGlwGLzazynB7v4aNUXoCHqb7F6GCo BCTj0zQQ4MtqABb+QbvrTFXh6bTk+h9UGiMAZnV0nEcT2Vjwhy4/d8RM8gzOPqkV4aqanYmeEvCE FUcfnTLMn5+o2CuTZZ/g+EBLIKQw80NZFnctZFaYoDHyuGy4M9RZi61bEYST6+UsCw/Xb6Oj1WIN bPZk2V/v1MVoK5Y+brA7kvLensNMY3hg9XLNySWmyLSvnA5tk9IRTgkCw3Ntgm0HRKz5QsQn1SUL znb8LO3NP5qDKopLiFr+bST5ghd3KBusJVYv/jmuT/iRudp81hwX+3UoH2EyDPY9iqCbb2/wj2Ib pr4lGWygPWA5bqX4vCRoIwKX18sbFw0QqpIJQJsAD0pR6QiW6mg543yQo7mkPYpRu5GlXOorhjU9 Lm+tBXOKwzDK/CG9Qm2s/Ez/HftFus+XMdwj6lW69eQ7PpKOveJ6317DFPir8UMw70j7rVEhGwR/ t7DHaHZ6eExjcVTXNrRTjErVuGdW9tJme/tiwBuJXqy62Ye+kbpMF3610syWD5zbMJgd80jbqEkp BYKqfbWWs0GREjEwcSUKP5ZceWVVERwsVqNYd8v2DxcncTzFMNQ+zz9nEtwS9KH7HLqXw/HYYr2B aO+R+hBpZBQt1wI//usSS7TtLUIgbr/DwtxIdQud8XDc2wMhMT1UxSHWVuQuvcbWiyDPfKrPRyOh OXgJWimmiDBG5yhrJIbpXNCzx9Z2NaQbvg+4yy8QmKzRodxdzvsn+bPH1S2CM2EBTdmotvSXgIN4 tyA9epaJzaXQfBJuTf9shxY/1b5YMUyOi8AdvDPCFvAi9usnWEpmQ55kvE9Gs8PGXCI1f8tX+jwU UnuOwmVlDdmomoMK43CuoWf6KzHfPAF6M8WvJXHPZhONal86xCRwrPRIa2VPtNM3947iqdP2QkL5 Etitif2lsqT9KewRFxTEEEJ7D59v9SYU26lRfvCGtnzSfSOFYNrPNeTU7m7LUgJzAcpVtbSpEVud ZILCAf/Timqi3JLHPinjfsLVmWJW0ed99aWogxBBbfkOEpKAh1KAYfjBUqHiLAocjZQToMPLmkct pxA4XcLsC4yaZxEVtn92B5gm7WjeVJZD6JHAkNQBUBNcUQIUiipXnr9Vw3fcCLoFZbR+WQt0Kgpb Br+2c11nCRD/jpxYv5b6/0T3mnE7hyulQ0DYR4C5iU4gosozRc69QaZixtiSC6E/rH1T5GoxjHP/ A+gCgLOUnEl0xAtVT6VqOfB9b4tNuaHVX5y6GJcu8Fb3gdJZupus7mkiqSc6rVVRlQa0ZtvSUO8a zN8A5X+MMoDVCGejeioFF5VslhYDtdxol0jsc91u6SdYVln21xhKbv/8GNfh1GbK5dYkuEWM9Jmo vddH6py7fNUCTBEZBbVK84fu9Zs0w7JD9rTo4agt0heqYQ8fBGx5IlVhcNyicMGZpoxuZRzWyhFH JBZdBfRQp3CzVBInI090GuU3hAJZ6tWX/Yt0I73dgfuGm+4iHwJRq/sAJYjWM/OfmdAYWvCVMkSw Ssz/0YDm/yj1lgzLsWwwB/+MrGhXjjpskhNIP87wcWTf2lLrdi8J9ITxvoa9CzvmsJefWEKnGbx+ LMbJYe21d9LWmeewamHp3pO4w5qk9Z2vBXg9tNbhtVKDh4iWILHtCLiH/7EwGHnx6GYPUhafSz1D q2dpRKQ894ZRMx5RTpEqAZWFxRrOl3aSKwnu/4QFR3UyzFzCXkFis8PbnSh6hvKZEWeQ47767TKJ VhT2yWSyYxkEMh6aCHSTbRvM+hdSpi7CU7qXmIj2CDMtl06gimlZAt3JGuSiepro7fRuoUiH9Sbk zDd9YjdDgXeFG0hlDX4fuNjkVp/8IOyz5iinsq7qv7NUxacNTLRwbBRUIgEOgKUagNp/WLLaJRCs iBIdAcJdkz7FkVo+GMJZQmozAot0Zz3/habqeB0QzD4iUxZ5gjREOHURczkwFqU6HVMwRrr+o8uC ekHw8RXSHyfS6hDjAfmXPjKoS94qF6XP2SSQ8s+1rcXJ8xto5lTno+lqdF4Kej7u/oxAF8qyekcK Mf+4i+UNL5ldv6mO41lkahl9wSV9Gv81r3V4MB5CyIaQNOemVBaFl0dUvfFiGuF8oR1suP8uK42X Qw4QX6HwLfAXJWpgmQZUs5sG293hpaboH3WdJ5s/DcAXPEUkHKYN6vKik/IfGRmKxB4Ce7F0NWNW 7U/y+k4mBj5KUS+PcM3dUZD003VSejtuefvZfNfh4uUmFYScakSHAZk0dKlwh9Zdl+lNNS1Cccbp vLsjOlWsyC/Qs3hg8Sy9gDd4M80jb++X6tTD/YGP8/wij1S8pXgflrBokTKPdN1dBvviwoEPBmXL omFv3J18o2NDzQW8vCBcRLSyCqPzUNrYkJOEP7GJecmoyMflQKN4usRF6u+QO4CI7qQFq/bszhhe A3yaNf3mGzBrZ/jOJiK1hdRE93cQKXscRsElIukKFb7T8Ut8gcbpOTYIPlBY4gZCryWg3bj3zlwp BmeZxmLmuIX5hHrNWio0/w+CXlfN5VT1eRjaCywREq+GM/mXYhJERbCpmBhfvRpONcmmN2+Z7h+K 2jzLyaYc+igrmZaJyCuCKG48XFcUepIhj4s/DC+z05laDr9uhPeEdIrY2f6YRjgp5hsMvaRkW/Z0 UpX8QX4yH49oIbColYHnFQN0GU60zjy4pU+nz+cVWTSPWDbIrT6GjpJUQsS65sNf3O2Arcq3aWCF jvwzL4EYeA+tT0bOnb1N+DdfDvr8HGkCLNUv2AjPVHq/SMyTA3/9fnW207lCi6ouOsdnDnZYqIAQ v6vJi+bKdmU+fs/1X6J4/dgGe6e/1gB7XDcjf+WlM8ojSHvagluMld9BWt+0uLnCBiz2cpZNO20B x58ASIK9cTfuOwLcBtJUdsF4FXCsotguP5aF7EYWANW9aYRP+38JIING6LV5Mt9DddBf+0R+Co/O a+UokfTzGYR82vNNZngnh8ioiju1uDghLLquezxaewopTxZK3cRxYSk0f1TTmdniyKNJu12aqOii lVe851P9+HjeiOx67qnE1AM81h8NrecasjpoXC+M4ItGrQEqu8jg+Ohp23Nj73i/pSnW/st4kr/k W/ntKXvvaDQcUv7P85cNRYcc8HctBA6TtW7UFDDC+noS7G57QWKHp0kwnx776ADkIQlRue5s2oI8 VN6rgJk4wC1DbNhxawy5jYbJ65A+Baz1V+urvST8dDTGylRDYi+kyRAjLNXm0C1Hrf1xnB1RqB+u 0pIbcTY2bHovSbt6vdiNYpHPKZZlxBhIVqD9F0A2mRbW8h67ur6wzGxAhzOm8+fVftI8JYtKFcHD 4+/VKWveYgHrzXJK9/FzsrST6zMi7o9EuvKokSzk3HKnszzeFqEw/NyP//EmPIkUQ7uD4iq55oS5 UGEvH9Syhf3RpzCbvyqE1T0yN7Ia+ruAeKHKnTHeOyKOhLm7BEOKB1KfNhDtjWGVvVe8xKvkwkbV Z7u2gbdXqhKKWe9kztfikuIqsnOPhR48olXLWc1Hw+xySA2L/JJ/6eU7iHl0BQeZYhqm9cG0rtld Tnh46pMn2Cdm2R+iK6+yLsp/Ci53P8z9tbfVkWkUFmxWiCh3fwOHCe2kONSyuv09XswQXg0gr3NB WXzgTUtNyt3vm0dyTdgnbwLYZsnd1gEqSTIMWKNjkFDY8QnZdP7HzlHZokTKwUMLD/MkdUvHlv81 UlB6yF0HNzoiAg5waHdLOV6tlJ+uunJsJIUXyfhQmz/f0rUrzZyAHCRz1F3vZpedWTc6YN9gIIVW /qcxp97ISjfYbgf1xFw8JrYdCSneV37sMIVaHRyuMNkYgBTS7lnKpI8/jYgMLUxffEsZK0MUVPy9 B/+Q6kZZfhbe70LiA1NMcigqDL9RP8HfGX3zBX8sBdxTU6Q6DTYwXp9oGwwWOOPSCEGTPLmXtwRv Ht+7cZXj+96ichB1ENEOhYhAWLFRfXpGgxENKe5zvi/3K9AfkDNYxbChEi6WxJiIlLNZFSatPOKF xkPQiRJEgYsCRzBCZ0cNvSHNMjBseWYc0TwnshCp2PwRNCaiXOgiktETYvuQcCDjRs4kUvWWR5FD 5TSVAd4A9YgcLrh1w8Fj+xW14u4UKBvCjS/HrTUatt0a7OcuPuwFSr88lqABMEnDbnMsW3rSY40Y yrnR0EevIDaXsLGTcyzsS6bJZSbiXXgHVI06InioiRWHFWi097g5E/46xipKNdBEGDNSq+dcwhOB X+FbcUs/agNvwHOzuLuIvxFKnHSTHM/txvgX1yiVZolDOPiMdUr0UOfm9SGBf80/jr+FByWNvtgv +cHJJap+p9XsiEyOq+e1/46Loirksc8Whz773637RSAh1M7AH93SaK1T9hgRotv94ssFj71UkqUZ iCKM6ryd4Mr2VsIGIfMFxS0Zapiy8t+ItAqDmpr1em4HPHSg0/1nfqTEkIlFsq1Q5OMaM4MBhz2v 6r/9XmqX2pP5avwTpS92wl0NEXSlpSGzbVjajzRMxSJcPRQuOv9duVkG1OBWj9kf1unVgvGSETMu +Gi/0CL7gmZ9sQcXXHMHtriPsrokqwwiJdfao/kjrW6ExKXqVUdeVPbkwKtWyR2v25WmfjdTLmm2 jTmPR7lQfCohDSYo3WW9N4CDwJUX4pa4y4R0C9u8QzOjYBYOPyszR6vYolaKyklWhDYhhffZbYV7 Q4Chu1LIlZencbpyK980xbOw+zHA7ATu0sktvPyBMgBAiUinTAEvysVmOSVI0ldLBxSw1+ufnRBv yryki2/zk9auir0CE2JaDQJk+sl2MQakYAXnTFvQzEoL09YchqDtGFC8Pcv/r1fSvexwkqzAwZX2 iwb67FXgbKSdo2r0PxpSCDNbYBS/i/rG+aL/216ha8Zd6sDFMHCVtjIRu7GyyfmmMMxW07l5mOLI +haou2ohftMq7diOUIEsLQpzD+DohlYpf1MCpR4Zaboi+UMcUh7V0ztoaILM/GN/pH6QMXazhK2d Qnq6xhc169YbZGYoxYCPrs2b4NrumKUBF0TtG2mLVbln19negP4BIUTpTjqlOACx9BcSkSPjNC5u hdwXFlXYVjTxmYQCFOoZ/e+TGiJaV47a44UknM+vNI3CctDoH20LZ8N7NybSzcdcoXizgmAqRXQi ctiSdJ+EpKBTf/nO55cxeDOGsZcyoIh12elmuGMiH+QD48ZT6DxeaQtqHkL9rixajmTm798g9Wpz upmFVJ9a3ewUCALxp7gkP+3joP7ZSa0MQgG/bKGg2CY7b39Fa0bcfGJ3GX//tL0AYQD3u2UeE0CO 77sF2jIEIcolze2UeJC1l2n4mzF4ZQmOziG1FWKpSpGfv84VKfUrRYJ2evixs2b3QLMt85CYWt/W 8ss+Hf647IVVDOZg5XEu8T91SAoJVkFq29EUlNUtGKrsBsE60N+iaAtLeXhkszxcAYDMv4PlBd/I goG4fdHkzW6YN+TsLmglBjKgcuqd539PgBbHzduLF0E+26vO2hdfTLg19vPMIzc2kROUjy4nU2rr Gp9fbFJOL1dEmSq87wSTEnButHfU1fYM9jzoNsRxRiquQFG6Z74nD3HuaiXfU2EfzX7gBVAl+ftI B7LY7nJbH62Ih4ZFk9xpfbSCUn/yF6Reuwe96OsdNuFTKJ/hd0CFkZFO0owc0xvSmaemKJTo5Hdj u10MHmOW87DO8wSuF0JQkaOPaKOsPX98g3Xzf5QRNp1MqUzqgKg3jiyX16swJ+xrn8IwU4gFL01O tKzaS/4yVisz3qnPnIKfBe3LUjGA6wz1DaEjkD1klhl2zEI51PKVcbtDT7BR3ShX/PdyZR0tsXR7 yQC4JJkEW/mbcdq3HPAaiVabio4sPRfN9ziS446lXfHGCecZByYNsOSjrkbMjnksjQznMnHZHPcI Ehgkd4wck/1GzCb75V6WfUQBXOA7CSa99n/CSLDi99h+sC6G6jC57xeEqWKphkPEguOt431BE5Wr YIBf9uX5aJ1SgT1rwLrJDQlRqcuTwgiAM9IiWuvLViOOpM8XnioIgKTgsLzDUJAv+f1jybocXMIU Xw5DEQlbmiJ7uj7DdRDq0tGbXYEhylAJlZ6fNmRXDOsqZrk1LVlAZf0zXHUNgjh3Spgch+ZKWcMZ vaSKr501iCNs4YtMeQVGjHmo/XdE3sRVu+Gk1NJrmICutJIbT3RImLY21SQs4CSYKHTuxUrYSDP2 nKG9u+/qm/nMp3q0dQ0k+ylAufP7W2mx5+Nh0ZgbesCZ+ICx0acR2Djgdzo4uYNI34GndavPmdI0 kw0rAefUemNDvSNFI75H9YRcZLCF/Ek5oKkgI+DqMqhfCr2erIYVNfytWn90Kpn81jNWwgUEO9LI 5y/CY3BiT7rxzH2C0IXh5wbKkJpm+nUqS4PRsd8QzCTmgM4KesxcRU2IL4HXNfPhTmSqLMrtyg18 6wdpMJGWtRH3zZPOOYRSvHNEBtmuhKVGYRAMDWk9J+pCDxH2KMH9mM/NkB1FUJbrjmrAEcArevaV cEmkxmemzR3L/io4UdyjXABUsLELm2FFwxZZCq+nxbAOydXtqDst+Q6UBMdR17ARx/vCA+TiTXaP Y0nXTpuj9+CXaYE7sfpP2VUSnLL++Tep7IuuCHI+3EAa+LK81tqCCCveStvCqpe8EglpJ45GUdMt kxZD3Vjcg4GgJ4yKc7yEiDeny0J/yiqT5fSl7Z5eXdnwmIJsZ1gipfdo79URv3OXsKgbcI3Rablt tS9B80Dp10wBJErl3yvnF82BhqlcE9OYo/cmBAOEeLHtMocr3jHv8pRMne91clfBUSzU6Bvoik// /NXNRrL2nv6vDWdEr9Rp1130OfrS1MA8SbnMPpeJ7mpowDQEv++JPGXOJcasyWK68ZLOb2yCD8r1 EYSUKlhf8cQGUi6d94d26RLZNazaiFOkOzZxUKiNy2bl7V3xLxlgwmBCV5VEAuK4WSYEUs8CUZx/ hrK+hT9Jc8sgEOccdq655RQMtfFjQQw33HEsrY7QTCi2+W8D4saELMOI+lw7L4e2z9wZr5X+O5Ep unkYL/2PlEUp4uHAXALwZtknx+k2NOQnw7VgOMARW8kFgBqiLKzUiuS6/uPw/wVeLcrUx9SFvN0b oQgVVFwqyTkOy0WP0w37vhE0vo8+ENoP+nwjuE14I3WNwM2zOA6ml1+G4/agImY0Juuikw60XCVB +kjXXkJ3/4H+kWN+06QNltqxvr0oAU5M/ibAgnqjWYxsC8Dwl2i7uwgHFnbrE7/1oB3FWPsbIPpy V+P9k6iJ36du4GqHN7mmE1b5KhSJe+/cm+HF4P7w8axyrixPUiTlyxRLkNjxqmEiDxtGHbqPdbE6 ahdbLJvCKQ9tK0MJNaG/SELJgJQbWq7WIic8h8JHGH/4SGUCzavFYPLqBLqteb5LzwomBdtcVQ1k /IRSuc964W3lKtC/JQSDUYZmG/Z+EFAk2ghKlVPc+NsLrnTWcnTfsbsCUddbhEcw/v+/GyNQPMen Fx/bGqu6FuK72XkvDrHRcD6axE97+2r+RAH/pRzEFvtGnAgDpJsyWgiyKdM/kFHhdb7OfOuwzhi3 hJK3p+vCNnCdX0/3NnEy6cH7WgFf4A3pWWFECNatEQ5PJOhofqyjcE7PR0phzXi5/oSAW5rtgE+e 7BVGfyTOY++860OwF0AISZDpGF1bcFSkeuyCXIWNWpG95yJ2RgSGLoy9U3JKEJDfZlUbK1taXuJi R+PTliSzSosLm7q1lnZw9KrQNitrTZYWG12msfyOfGJI0zlsyVGqfMufu8aBXOIbRa5+xJvEhRpN EKBSZfyO7A/Uqk3VRtU62MxVJ9PCfyJkzX85rRAJ4wDjSvrSzX4zpK54VlWkRtUSTolnLIWpih1F tXJe0/iw5DKKTg3C+Poywm2puYfk+/ibn/AKSi4/RY1vkvNePX17T7BEr+AfqXA37S9xYFtAoacD vLwnrn4X3gmPwboq14ww+boS2Bci4Qcs9/cG0wb5aaKyiAIKZcvUHoFsH45whMcFcnBD1MNle8Ad ZCUmzq/zsGVamS4G6kvkLjbrgz5CX/JcWmatWbiL6RGCtrjp9cEi8WoslQ4C1hsWa1SJoQOnjm8V AzX67DIpTVn44w4iDaJrQjm5bDwTwapqec2dLDzkbI6viPfdhUp1L2m3E8Sn5may5QCdcIQPkaEO MlzFuE+9QaxResQoFTp7zJUX8wqaAHOx2H5HcLLtQBhyASrv2jkte6GHyavqGLbvctffC4/W9ws0 VWvDavh0R8l0ZdSaF4LhHGD3LleSC5n88FEKbjRWstrlk2eXGnDUKvzpcB3NcGDRaV0PoJn6ZddT kdP7z9g9pIl7n8UcJKlgyjD8tbL9iQXK7JlbN8MxtspcM99dczAXBw67Z8qefvp10SYa387iq4h3 7HdeOeSZG0kaF82z53R8UreM5YDyHeoM4OwHsfeOR6XZqJsl+eB3ueP4/cp7xGTJKheMcvR4pQPQ kkXNNG3ljl2ip+f+0clhR5ICFldHGnenmxMCWU10GA03Q0vlLoziP5dWEuLuPiFapxg53YmsfItO 6d8mjryeKO0xwqOGD1oYv01A8S9xUDL4ukoZDg45NUYdFprooVq8tOZw8khLPFqbhtxTqi9djDkB o/10hJVqeUonwg/JLyX1s1L0rM3yasjr5p0OW0ln+AqfNyLKYR9c8ViqUne7LPyIPUKH83cTFr6B Kyms6vEGBgEsOG1bUgbGaA9WT74Sdd76gay337uq/Qe4ucr1YHLbV029pHi9ZoYAhCMiQNgGcvs5 gF/BaLQYYdZdTx7HBvFkd+fbuXG4v8e7llx7vgYRZ3pOGaP893PRKXBICZn+o87wxgzuKlP2uFog wS0zk2iKDICuxW+WRaVPVhmsUzsJV44FCmawWjRxJyp5Fvoa0utDQwJN3JJJkauy0vcbt5cdmkhr XQ9GlpTxqGSlmc77mGHcHZ/aAP5JO2eU/vkW8qI7ydSVSC1WcwAmq7+FoAQ0HMURL5jx23oDij5h ZyW2LKzlziP4mk1+0ktlr5OfLCQwiiYFN8UHiTz2+3F9uPZAF+x7GY3saNSTsNjOXTgwXxniG+IO Lf7gtQ3JnWAiR8PftC+uX67le/GOnykBcJ6UZDeE3SJgVHhtGNu2iuQsmo73AsOyeIxbIx15Py4u N176jh8q8lVdICjNf2ZS7+bq/XZYyrGTFnZ6ppaj1JhhJJhJLmqlGHPljO3KDegGchYovgSMPqXE VwmOmG1NL9f1UL2hrLdbEAC3SM98sGQlMe6TsIKrV0D0AUXHxKADnPOQIKfN8mKPpRIUQ9k/dB5E DbB2FBlDa85ne2MdShvUEmkzNX+c3UUvSxd/EvWeqU6z+awxwfBs5xqVggFGGink1vdlvHDE1p/9 2MJzAjym+o8vgVkBG00VtDcHvwcs8uIOKHbmRvwvYbjK4QleR7tTOvmJqSJSPo5XhDNixOS6yAXr vQJ77VRtqTREqHHpALvbMjAirlff1XXLr7tumcCEHII9/RtkUtCGcRHPy2XdxvqOk4Hg+uHOVtEj 3KjW0TBScMEIteqrtdFB4XRt57IdbzIuAU9+Q2nH1t8TCfC2MghAz5iOYWd0BEFy46KcRyfwDnlb NUa0jnfLgRFu9KCry31+dd+aNNotgmUVk7VOB8ODX/1jbOKBhtNhjq0fS4p72TvA5dqtkH1WpUOo hkZ/zLZrV+oBV8A+3iSirhBdCqE1fSBLMYcPW5x2gufx9JwfHoX2sLiOS+sOnHoV1NFxNzGP9RIs EyFiT+ndXH0sXEWB/RvACZoRMF3exi9bdaW+gXUaN3qtsWyE11WyoRb0iF8CMgE4gj7cBNP+dF6F IKA3PFAOj6Ya3mLCtAn8ppkqgXNTHjVHVyxQsxgnqpHBf5mzp1MulXHBJZSKtfpZy+PFdAfibOxI z1yn9RLfvDgbo++0d6SivuQbxdZWdaTCzPlvpE9rO0qgKUfejnvQOPnacOf1Cj1LPRXmXtu/Y3VA 1X4m/A0JEnIkIq/0lKRqtBLtBo6fl2sb0lx/nYJeKIUtfqU90zApCbohrA/oirKVJSf5kq9bmdN/ tjqcTSHGm0YkEADh6DHOt9ghPiyrevNAd8boCuvss1J4jPnBUm+EEfvdVCj6Rjzb1MTUJTgBH3cn NkTXuI1F8j9BhYVJnHrr5gcxTI+tLz0pbgwkIyIWJ2YVaQAOBX8sD9xS3mOd8HrPtZVOiRBDlPbs /9i41Q3BNLfcYAjiBHg/fQFA6z1BaNdQrF0Jb5cDmOwJSFur69coRSQd+wfGxTfa3lPe46gPj/Ia N8Z67iSc7ZV3bfD3rtmFL4nP6s6O7pT8lfWH2W57Ah3xUTSy/FbgdFwJ56y8QsvOnbD+XVcu+SHB GbOxZeT9ymw1XVwaeGCgSyRv2PzsNlctORRiAb6qTQFV9ffV/NIha2YXUnU4joG/QXMN6jPWL9ic UYEKVZqxb/adlshUBb5BsU4JgfXAA181ynVshFg3nZNmKdC0iQk1To3wqK5I1kB7W55UKjEiGk71 QNN9OZGuN1qXktARBl/JDzsY2qqNHP5zgf41raqfLm6KiWN3ZoAilcEiCdPjA35J6/uPmD0d/4PF w3dSarT3cl9DXsW4fkLwm1yuvH+czzDoyUAp51hU+2MF3eR6jAwzGdYn4XBOCypTaN/U6pKKK5H9 BM4cGijqNi3IA82AqjVSKBToxGwiMvVjUBJ+cdjWqRNQLRrMmwY3yYvM4s1elUfkEHNUgMn7beWp YtVGAyQcpnsQSg8jb1pB+RwRrLCQB6CpV9W6ON8BqQheGwL9+IFCQ28gRY46WvRttVu1iklaJojS SqsyMeoXyiKPrBbdf/gdi0JL1oI/ZMGdo39f5IcOpslnq24M52pCf6/AO7Dbr10Xn/XgClPFWujN kNW2/AiEyq+GK0hXFYa6Ng425W5Ce3aelg0iZhDS2QUWN2uGl9zmbpWCOeGwWgC1DHfM3Ei3VRK/ sfKOsQBWilvRqGhE7DFBPTT0mitmo4ZxJm0AMlLeWwVHTcWrT8Dy5DnPHgrOfUUdusY4GOlWzdap 0OADusmzw7qDWcZJ332ttCSPVMsEaPAqo0F/ecbMI0jt86PyvKfkSRsCvKqoN7rcKmK9RVWRZ9wA iNoLd9pf0mk4g53/1gazLWlNOAippGpo4OPG6cJMBRfPhz5Ua3w6hSJDnhEXP9QpWrkv2EuRNB7N J1mgjD4GQkw3GmFzHyX5S1+2pAAUdAPcBsJWgyFWxSp67U7XbRRBIO+AxGGkCfPpO6/eGdApX9Cb IOQheK587BU637Fb8vdszV3IAceapeZfk46zbZFKayXuC7MEgdmeyC3VSyp1+269gu4uoG8T8cMk Dcaf8n+ywlrmfNKlE7BhBsT/wNhSfMHYa8Tc9KXq0ydSZheQWgBUe7g1xAkSsSSC9S2jJ6e4QrUZ IY7IjWMmmYx7Jd5HUw5egoq8WEyX4oIb08pfxmJhC1ciqZaKgV+JmvTo590vcjqGuycXQhW3KTIB hhzNSj8oMEpcsxMFhQY5TWoOWnDIU7Yf5CwgnS6lLLmqYxsgw0L1Pq0kE19uYD22ilPDGRy9K1dS mlfjOK5c0sEg02nFAvmyHAd4lIoY4hLLUmaE18VEhemiHGpKgWSiWpqCL2dt4erQd1LghdB8fyFL /syE4fEKH4RLgxI55yBQ4ooiYiPqN8XMEZcr36cw+O/IYd62FIV+Lg+b7jeH1Oa9N9qLvMOOWEL/ J3zVdSy6pgBbTYxRMT/T6XPB6vkvsSQAUT0ougnBEQycmCNnB+sy/X0liQDmkm1UZUnaiI2/d9Re CtrDEAkPt4UDLrHjBXZ8o9vtTvUDzYqMziFz64Ac93o9OuSiUOGAdAPE/KnshboHz/wlBJipW3yS d7Fu+9lSaz6sIEazMRlr4ZD+J6X6KTxOJOTg256uND5JSULbfFEhpdgCmsDvc6gPEyDBZs4L6wTH M6VHSrZzsAnvG+FiCYstKHroFS9yynaI146qnifzOrEP6zmTx48QLyWC7prAPRSpmnznbmtkFseh sIhVTGrU3gArBP3F1ttfob6RQL93zNJUZU7fU3DBkgAtvzj53xDbszJkIjugAdsbNoVfe4IOj2tA ViytNGHkwm1/Gb237zr1UhHwvT+y0kL0JK4JJZuDVc5sG+gfwSS9B14ONvu6wHFwQKBkgEcW1Dhb Yw9mrl/tKPzyk/e2nrdJzT+QbeOAcyJXJyq4pP4BFuQj/QUZqHY6VthcGQvON7ACZuICmhTPUFZa CltrPneOWhlDwAhzhArbQP8qPYJtwhVAV1z5HHmywM1nfppajFdaZSgE7BhUbyj44IEyyn/mHkz0 6ju3yrYROIXqSqvJWbW6u/4UNlEUNNxUiFfn0pat7zFXpkB7NPq63iJv+epAu8cyIyePPKg3a4L2 E1UyEkg8HdCvcviIMNQdUOu/ySGT4rNxW1jYs4wyujl/F4cmbFrDZXVizdrU2ti5hDESGPlAkV/H yji1orJ9fhd5xSyUP1q+fadUcHnHyjurBCHwLiMBGhgQXbmRUjYnMb4xQhecYJSp9MDxOSXJZC5d pVfZG4E6ogycRaJpzBS15qxS6nOqpcDsdnJ/5vqoQTgvF3HeObeR+5FEdUWb7xIS9YdjJQ149mPY vBtSS3tEcCjkZ1kErWwEsTdy58RLrpW/vUxbnj1yqrjiTe1W8GOHJlHPk7z6S+3QYViIcU6hzbHF 4/elUZdRbowjHyXgLqYZVLL/qzJQ4DwQfsoivj935Q7aubn08J66BaJpHknVCdcAZOP3CeI5Y+Ib DtIc7gKhu9dKAM151VDvDQ12TI3x54luDu25EVxGcVhwnct0+/rCQkymrd00A8F8K1NsyGcp9lem NXSCqNsyblulK+cmiScbC+gweWJTPwQfBjVZiAJig/IHjZ0gPztXIjqMpE1yhOwV1wrKEJH3+eAy 23iO+qYCyM9P2bFo5Up/g6KWKrWLiB9FChRWY8eC/IfOwsPSFd18qmjtaQpFRLhwwL+Rdj1xoe/7 McLvjZVwp5zjtSwHXQoidAjQi5REENoEHa0zS0Y3sDaqEiEZqp+quA4baFw3z1dHE0XzxvL9m/j5 hb+8dFyj4d8H8rNk971bKo9h5tLJfyDncHfgtXDR0slifnaJ3Ujm4CXaVigbic8ag8JmRjpstJnK F9bbDD+BIooMXIDAh/yLCstpUy7MVLL2Y//11+aU+mapC19rcYECuwha0KKj/QClaa/USiDPVcwT ZeDQZ0iJsef63i53ws2QYVRcj/Sv/sR+UMz/FFYh73ioYvCmeUsOg89rgXPtD75ZVluZp4iei5DA cZbpYmwJGyG/8MkWut2SwzrdFy1JPut12TFgQK2aX+iBtJoXas9MlLQSEOpFNx3poWgl6+/AUMHq TKlu0l3o1I+ae62aXFmLJlqsj+vGISlsbWmozB/erQIaAa4/PFW7ziFPOSfm4VV5s+jb3b7lnA8o uTw4Gri7R4EE+n7QxNPSAyI0IEBEYQ3XCjbgx22pMo9UHsGEvE4aHbsHaL0EzOh0JtZk0BOPsieu c22IfgM687rs36VLUqo1j4JB7dFiF01omhRyXVjlbsFy8ecmZ5RQCZioxmkeZohrRYa3qP1OOv/i 1xRuGKcEIoiK/T+qzhF94/WWHD1UgR1PxZBn3ey2zrYSduym52vRggRQBFTFDXJP52Kz+h4YwEnZ RxNWq8TAob4fHidLZ4jhvfaCU3nzWS5N9/WLNaD1KYNcyOM6WViZsb6kNHcXFeAVxD1IRAX53083 sdxxj7WtoIXdrbK57xTSwy/7qvZyyW9EWPncw8mHYuMoM8afehmY+nykIITM876jPbdhSb9vZkYa 6ZgO9QSHyrD/s0587wx/TpeueyGuNFyUU83sMNkCHYSepeO6DGSNOBNgkBLPeQ5Gcgd/h5dm7I/k aWCuJ5nyO9gyQs8MMsoSFMlYHabOtPfxoq4sS3M09eAWrVDH2EHGAu/JmkgW/M0ZqDgLyJG7qcBO n7jYzCHne1KrdqJWPE1qOsnNXnwbFeh4coYEYRZhggoz//8f9k+GOdFyl5FgU5/TIHcMPRnEMenL LlmlJjiHzB9FkjVsPciGiTE+yDqxwAHPnDw4+NbeSsDnRGxeqmFn89jLd05VsBU54bhUHuc2wZ3s 5BXSHFwFF+NBAJtWL1AxlJI86Fo0nlkhf+qXa0l2vvBnxWROzaBj+wmdIWb/j0Ni83m6yO1d5zx8 2+y3KjPfn2V6cc+geJyttyTw36HZSy9yolsJMV2mk0ybz7zbSVLPAVXxt2TtPFeau+3yxtI6RYJr DlEatYUe5n7YcYDlUIrMwTYPhjTwrzxUNDdgA+6yh/U2Dx9aGkdnUMdzyueUdCpsH7/kDM1vZXeE TTlCyWno5zDPzANRYRoy/IIK1WkP1GEKuSzwdJoudkXjSTB6RlKOPr2rg112/v+vHpFAxEuV3HYv SWSVH/2dOaXZ/T/N754HP5ZW8Mb2YHx2H+EJMnfYdy5sEo/Cj1FTa9qYC3u0QhLrqQyRoaLviVlK FeVAVoCWwM/HFcUlMw1HO/94+St/3oLc39a+TyUr+gVobTqeY3jX8TZr8Hrz6q5Gm+Iko5n2u0w5 cMwFiOjp2A1Yhg3lRRz21p/y649FnoTNtFsn64FeETlCy7TfJb8caCNQFxZptBkcSsbyaEzSLOWd 8SqO5K2AcYpMtmO/zuRnzDxr6uFI1XGCzxfUPbnPXroIjmDjnwlSdLRzD3PWdFrDxa6FOZ7rgHRF x0WnX8C7k40VwuCkyJOydzWaROrhkZLlXF9ulOKvfp63ALj7f4t+qND1RAbuWMxAUtsR/RB+5c2S wvR4iYwM8zR6SoH4xfwISONtGdErtWJkgSkCTdfy5NaZZHBkkBQcvjJ7QJmRhITUVk1KQddY0pyx jqqjhVumu8o+5e0ENNU4z22tCshJ/eAXfGNN6sH2maOXv2jnCTfgBDd1sM85B3K24ChpBes3/77z dVUaR9dVETJynlyGD3QJPGkxVKRQfWb6NmIBtUc/q8swKZsMrR81uF+2z2GnkmUtIrZomCIVOtPa /3UVb06q0TZt5HMDJvh+FsAzkzrRPd8iOKS7XvaI2kI3ZTeB10RyuXLblSsLK7hQlWRHpSgkYCbR yueS0+NvYaARFZ8agcQBAyXs55tBme/EyW6Jb7JY/APfeWpKoxGDV6bf+1+rrGGazMpqZ4gcbfvp kCh6zH0AJTBLHiWmzt5pVyA3diT0ejZfeEend9G0zXGPodHLVMw6bcn/WXVREw8/KGR023f6jC6o jXm0n2WqYr2WWwaJGSJuy88RdidBrejZYQv5WefqpYCh32G1gtnC2baIgfchjdtdsBA/4cOfG6JN 18jjWpekdxSZeJ0ip0ss3cyD80oVXrAbuM0zJMoEeSUu7JYD9DFM93/RYQWJKjFXH9HvJQDp7frH pu1+1jIC2QcgD+KYWYgmZ4GOUMqkeLn93u4+ujWGQm34LCjY/juB1pVigHoTkTsnrS9VyMcLfJM7 83Td/TWdPjHoolU9apqzDlD0wBsEQqTyz2MS9mpG46hfUSJ451lCi6RuuPYeXFmrDQDw7DSOiQIC dzAYfjWnHTT3AP7L/E2HfRNRib60wpU6cHmpia4UdRXtT3499hXFdM9Jc5i1443q/pkTlrkx9xVb SniIEuoKMB4A8fCkkkueacUeYTsnYKUQUplClrqDlTP7fqzQwQm27y1uAyufC17rNh9L6qztdemK 79Ra5cLGSWBzbA1zniTy3L9KvwgodyoUK8Qx6JATqN98jtQtYE15qnDM3JIpYLM1VrBKgDrQHb6z XlQ0ukhCE9Q/AfuGiAA1i59TYn2If6smqnFmDq4QkaMMvRXGbVPE0cXek8uT4rGGQgLCTpdBeHx5 sVmWrg0Z99GQ+hjz/vgY5koY3nWw8kHv7JnTSLvyezTnyV+0arx+QW5JBVQYQZY2TSgeZQG+UqOH WU95WiiI/guDmOxj9fCBdHO8phVTr7zLocJxzmYclKPTSj1tb8QmFGzu9fm2XPOWop8+kRrbcjL/ 5MT6SV1XXcMfLCZKf3L4Jxnv1eB0JaKzWbSb1FqPwDXE3SJcmy4p/ldJKD6SpbGec3BQT1bFh4Hs K4msmfxN5IyZA1JXMwnlyYGBvQ+xxbDRTNaeUT8T3AJj1hyEV8ip2itvfuvMXngfZzIBA0NGiTXn M5J9nSGFjIdnyEqF+lQ8DcPeZ3uamhx3mrcewkomSQqpjP1jlwutbBQNEgFy3K1wsZB3DR2Z0q16 Z/fHJ5ht1N6CPQV0Neeo+wzNrxaoHDJQyrBd8I57O15oabJcae4aR/ERoQmlQwWSGqgaIAj141V8 1yYB/mdVpy3rB7WS52W2T9CzbbcNqiQ1/m0l+EmhILoepa2NCat6PUZyIuOmP5DeStLAr+NIrxBK yOHWQGjwy5Y04S5UBnLDjXR2o5pjeSlrpLmIPE6zC7PkcFUPzNKQiHQIHy5+vPCGCfbjs2HefrjK rtBs8wfaA+HaYlbXaAtZYbevkrI+xtl06FdAcD2zNw+Esh0B/PCpRjgKkx0eHpbFRVjcoaFjulvW 7l8nazwbPu1sWHUGl8ILq6XnCfGoGRTZez7sUs90fzvaT+crtF0G1UVPq/IBfrIHLtDNyOUzUrc0 i5lqAJOCxvfG1dd2jflbkktqKZxq6yUtgAXQmVhl7S+ZXpZX9Rz/HwVPtD6277wAnl2OIEQkBUiZ SvI8mfcgePCGB6M7TdivyVpoxpnm1uefNmGSqjn1RC3wvT6ZAmpfIjZWTdH7mzXAoOVf409OBfnw 53nv7eq5uQ9ONK0gOfp5um6nRKo5UWD5s6qB/oH8rS9ehBnTVHt3dRNFy2bmvfL7fBINRRgEjA38 OCIKKvl8xIg7UCIA2XGZJEz6Cn5aniyxWZCr5z+oYXxd/macpAuwV3HuFlireP921IQIaUZV+oFB 3xDTVD/GjlIN97PT6SWfAj+PGNF0ZQ/DC8ApW86aEb7Gy6BUrOKbcGqxi1O2zku+18zTePgMU1s5 9hR58hzbp7E8FR5OzABsRgeOqf9iVgE5VooCPeQ1yKgLto6GOCUueHa7OD3uoDqmOT+yeskJY0Oh tFt8yzxBVSjRnX27GPsDX79V+tmxt9M+PJmZI0jaUl0xIoASKMTYDiJ6lD437XDcsOex3yeTcubq KT1ukUnI05UqgRf/FgAgFQFZDFQvCSz1kpaiiCBH7pwnfaQ0D/r+kPklgrDIxV6ZjEAJAq3NZjH9 jewio69auH4pvIUZQq8lIuVQ9Qn6EXe37bqWk841s7LeZgN44kx06FwGyb1LZgGFrsq/xhtbliws GHQ4fqcwcE6xgFQKjuzNft3+LYyq3WpmM+6P181aR40kyWY+Lc8bm6FVw7eWqdZYg9PcgRMmS7y3 MXCDZkvJVKlQ6+aYJTbJzuqMaC6VbjWVj/ZDcj2gEMLeFGin4xt3uzXYIrcNOYWA9UMMSHkER2Eu IMmr7taGWYqY5NBFMcUPjfnXgkpNUA+FfvsxFAZ40HdHbzj0uOEeujFVhF+ZvxFBw/uBw6TiUXN7 raDsQpAyaPO6tDljnX8JSIqVE6thM3TKOhwK8jH0pxYhxKeg7Pbkq2XBInWLm2m55d7/KFgoIXdU HOyQA2UOoDKSPJmn1HwrGXN0wVoq4L0gcBBk4L+ozbbHIu4vpxl2fGORr31U7iNvTUr+ZdzbStVl rL2n3p47aLFyZEnvqpzoE8cSkL+8nU66bCuOE5Ap74EUWsz3zqZcHWWd1ko5NE/U+S6rdFKw5IJe BllX9iyjSDzuLJ74kTNvPi1ahSztmtzfYb1nT8a9LSjCZ40CWhd0MP8nQZUYWTsNzTz6rKKgUg21 IxTX7xfVINZ7J1WhdlwwirfOe+pRY9ISeHJMfu5jRap0r2Ms6eigZRrTqVidtMQEboNu8z1rn2zX qnHjV4GVxDkqHoV7VdcTP1TY51r6aOTWpz1e0pV07corE7zCgp3/7ZNCCy3+PDGo5Y07cdzw3ABN CslHWLbT/qR6/lMG1CE+ZXRxT8/WutmXuZ4OsQpelnmu60iTRHNFS0MvfGMUHYmGYmLeE2/x3Cu2 jP1JYPbXa4C+ZlV+AtLY85GyWH6PJgTJUdX9KnVKrnS9ZlBgGj8L6KJIaewsEywdbUwqLIOxnTO0 EIDyxV7+wMOP57hq0Ei3kKueDVBDw2i30RZ0VZUihilZ5hhsOQeHcHRK9I+rl7OTgPMaECqMnPP1 1/9ivkXFtBfvwwcOWGoSbKoyesAbAnd9Kq+c6RoNY1vsdTFS5GhYugvn7MB9x1AeA7eGiwSscyMf jE3ttPOfL2vVzQqn49iMsHnnNrSsb3BOvr3RHQOuumQTGlqeHPvY2Xr8g0Pt5dKSpbQI8we1r6IQ tDTfRZ2iQwJNokC1u93RQK9VMjO7i5UItDh4l30sH878xF0qzRtbf6a0DeoEOn9UnCItUF4Xos+6 S4rsq9ON3AbgAkARerjw8Az3FU65ZaB7V6c1Xh9ktuphVGlXx65jni+xS8HGpdUUoBdEl2l6l97X N+bPXmjltWApICeVOTjGRWEw7h/XDkovzK+W1nveFoIP0YHy7V6DaI6bdPkK6cnSUwGpxW0iH+4g XlZMV9RM1Tt87CQP4xuIePU8DKF+7LMINlYwRequ+Uf6M7CeDGhMmm00yTWSr97+t5bmPw5iwwGJ 0yGxuPtNhm1AIJJyY5RDQFERwIG1bnMwBtLg7BU/48F9/skUO6usHz+j+LoAalEOUbBTGsyLkiBh g+6MIZwJDvny0Y8kiJVnuR1SSa8zP7aSP5VOHntYGnIeshbZl6HD8Y1zq6a8y4Cr54ZMXTNfJ3n2 hhlTXUjKWIxe/LZJoAIeUc1rAmu/cf6hmL/KIN0/mJsgVajN2B0mBtLXZzXwO3lb2EhwcJEr624J 0ErmTO5y1glmzkmzhGK50eVHpUxtJ8IOsVg2tH/PY2ggeA+yP9JOAbFJPVMnnG5Y+mLr9Fbx1Fjk usmmuYThORn0xF7VdbU3aCGl/GQmp/9CmmqYZ1oP4Xgs8BQPWcw3Cog5Edkjv7D/DLEHwdS0do7w ULZ3RENNVGqaqw576S+PS+48Z+I6DexUWMP1rS3ni/hN7c9/LVBGWbYTaO5s4dw5kDvjziYpXXES to9pYs7TaIOq+/oXhkB1xmK+oelPTrrlVgLsxik+gu5sb3fd1urOqnlqm63dkN/ZAyO6cQvrSEcY obyXzA7JegA2nsZecXLzQ7FKcY+SxjrzDYrj4yZn33zyzNmJ9QGD8xWanA9Mvfs0t1+uZ5h2p97o 4GObBoY62eC0iV9e3sWbMPrpHZzhpkebF8kJIbYoYh1zHBW9UU3NAMjzJ6Z3qrZvzQZSbDANmk4P ALyBdCg0tstK/kmAvqYNUr7jWSmgoUrfZkywJuAR2+7GllCy0W+OTAomdWjfW/0wwaEJfPVJrSLK mUKbvq3goGdin/ZD8DzBVABThhHp65KsBWHqj9EKEEKCzCmd/TMBRb41l6kOhvSpL6q/qxd7HN3O 0fV0f5GMvvdI27O9DumjynBJpl+FrtriUX6X4elrs40Msdul01LfpTFNxZoPwocs0ScndsMeelkk u1RddjbW1PS5CyvIBXuj/qhqKiYwsfUhFDdyej2mc63LoHYuxJbxy5J7LyvRePGnTTm5i7daAp45 +zgl1xHtsztibzXyHZMVygknxawGe8wh0GlcD1lNWEvzqPLL9fj4c+mR7JtRqiYvYourypbSzKvH uYFLsVB7Nz8sshG2ne6TOkcK4Idus26Dvs/ZprPtNi/ECo0/6Iw9IBCflt1KoeOmhm8hujuQCJcE Z9biR6PyxUDA9PBUizfCZN4mwKFL0exXi1nyOdYezDDMcGkMX5AbR2T/yX/qOBPpEMflpgpzABqf wwoLRfAVICzSzRF6GOWUzV6rWdXxLESBUl18kL0rAh/4zZOu8pTXfxVf0dvPRZE2jyptQE5CJsg1 sICmYUu5LkFJkno1kcUEEA3cBB+QDa7b+6k3mdTlMIEV5uAOCU0tu08NI+WcY3l/yjnJen3zWn5A LYG+V+zAiRUT6wA1KYx1duIJzYztgjP5WfN0N+WEUT0C7d68I3MImB39Z4zWPrOb0cvO9myWywzn p88uynsu/m1wT0Z9agxB63XHy57909Oc+23n58Z83uDI6B32NeCtxWaiFiygxnVLOUup/fgzyyC3 II5H6kA+xUG5rtL0FMK1urgPU550MN4qevLTsmOzAp3Aye+nK2YZdM9GCf2LcmPOY5ZbFSW3QE9C iPwTnuQTxjYsjMoi6LbfPMIgjkJaMulU2t1bnMgkANc9g+cOBEJZiB86T2t4VUKw4v7tLjAL+3iF sbw5KyZpoHCSnhy/JnVrMDnb13kIb5n6i32NIbc/Z7xwg3Z0oKLamuRl2ij9xiF//AAYR99BQ2E0 crewMQbJuWBQ3QcWkGYkD54uAL8xjSdueAYDWqHnZZnB3097qegIekklNDxuzymIGDUz9wsXa3k5 lL3go+mCmCYVQTBwwtQmmEtmoZBaejQQ8D8vphUM4FegWXHT18hVSKjLKkgoJ35nDwIgnVKiAgYj eYpdn2Z13sGDlKN122QXtBiWAPxa1TD9jPzifuPMq0/BUV6vOWm/IiLk7rH2XeOPAr27AB03+SHd 9wenu1br1L4CGvpt8gUmL35p9PFHv/qCndWhxEMfwfyWopbGx1FWRrIkOh3eUQY+q87nEINHFQF7 ZPzSUwTDKgHcwKP+8QXSyMMN/twnnIPU15xXTqiDLvTl3UjmS7Z3KUtcIk1g58bB2V0cTF6c1a1+ d6e6puZnwTk9y/DSc3O3hxQ4FOEf9elrlPUPtQzr2yuvqstPTCavs+/QT8hXQdimKNwZWCVu5iCO +VTn90w1JcDTq8fqhlBa6YGTJ9GDXMX7jsMxoWFePkNxx5SyqqNosBZksNJXH437LvbHWNHBe4OV E7i7NiQbgdsUQQQ1JfmYaUPTuM4hC1zb5mP3pa3PFC7RdUa/NkEXPti6Ycop07q2aj+aJ7FjAgij Gd9RbkQd24/1EJy9eyTg8wH2bk1TjKRZgza8z2FrkV9hIfBLxa1AaqAhvpfqiJgtVVXN86x7iqSH rwtPEHEGBuFOA9XVkLMzPcxWBSOobRlLRfkrGVxJW9OAUrlHMpK3JeJmcfpd4PhaVANVFUT8z+jw pt0Cc015C9SyqvzUV4UqdHmk+fvkpC61Jg4v6xnH1LcTCjKf4jSh0vwRSVnApemsvQFNZZ1citAI SPfzW+kIe+Ml5Y1XY4BtQ8HPnzYizlTa2H9ZDEQvvqAvFPwF6Z2ZROgGaONn0CrweDgbrH0IAzmb BHJMcBoqPuc0s8D37zTKTBS/etD4U2pY03tf+q7xs6PNhvWSeiH40lDTAEPIUX0Ae5ecrB0jFOdQ nOi/gI5Yd4ypVg/4U+U4HlIPOyn06mOR0W+w2Wx6XgBRBuu1JloJII2D7J/VRKmLir80hJFr4J5B 64T+Ofodi3gID6r410aJE8QOhdq+Z6cnqt2YCo/uWUHW01i9cwttWwcqp5yVcIPVOJJEaB4SDpkD AmjLmfMY+zjxI95tTqIova9a6KWyp/iaPnPxiUvhiMhkLletuVKsA2Wd4iah19MPheA/UEMLpyWZ SBernZRfvt/gNPgrgWMIBGdufPUOi9mIdQ9riMQB2DRJb7WVOmWt3u5hoILgHD0CgWKUZ7FtJa7/ 3AfKbY/BMK+AAtK3ufQWvqFkTp69zOJZIUXUK86Y1MzfL6G2tVgW9AKJlwUpO7jBX+TaJ4WcU9Bl V+qbsW7LmFiOtYY7ljwIlx6cHn+QaUu/YrS7XO4BavWGZeFPJV3mnHFPPzoPsN0WChGSHv/ZJWvN //eKtr4HJgj19aBfdxoeu4svA/W1VoEE02MglP6yrU4f+5SlIJ9F51A53wG2S7M28sRELJKXoLBt f2NFHxuq17h2MNPuAJjXnWPPp6dna3b9fXd5XUSjFvo1JpZIB+dD04EW3bLKs44e0s/n6Nz8A2MG 7Q6IthtvL/1YApxr4tNeX1lCvPoX6QSVzGWjy9dGdWLqzM+hN12VmtvcIJVU7NiPEm/cCp5fMN2Q EVlWzLuzDHF7Ln7vCXMPwD9CFBARfDW1xPI2+25BOqYaNi6KAqjPBln8+WPbAASwehtY9jubG59i lg6gmV+Rky0Ns9mszIhqNYW79KrJo0jphql6IIG0ej2tucinUGo/n6rTT9SvhlvLqYcbqzrrTibG gWXmC1XWNwGdljak5X757VYZ3Kki+g+4nFa0SzY19kqmQChv+Y5v8tCbp6kWNQkatJL6c5ndOV9p IhK/s2rU1Kmvsu78YMoaGMLtdhdUoRsNKCEoiZBuhQiA0duL6yorDp2G2FxmdYhQitIAH+C0sLNy bjiRcztcEipCAmwK/eLoUquhgn7fk1aCgftdDNj0ISntbgSDFaUCEimpJq7FMiZwIykSUV6YBbjH Wi7WuGWx83yPD6r+Ga/kLXIHEv8qM0NmOwGZub/THy9E4tyxvC17IN7+j1Ye9962o+3r116++iXN HwpahaHQRo5dmjwJB4v73WR98raB6CAJvRGyFZTRPNSKfc3mdEo8AWX+DmrvqPiPdr1BvNSbBCj1 +76j9KiJIzaxYC/BMvSri3AZtKou4Y2YVmXE375CDTHHLnOGN6dwXGBmOUoRdrvpQA3GZgaUdy5d nsWirzHBobiDSoOqACRpyKp2ldWA4PIZKmsMU2bcoA5Pg4tWWXLxMri0VTHNbMFPPnkDeKby/I/X OLHMAhDG9JJXUOEk57R2LRu6fM+QIF084NGrW0oAlHKgea4NsE80d5wkN6DRiUZOOaXeYrXLhh2C LaJJgaOv9XTzjo26RbTO0l9ldnPpfDDVdzuMe5s9oktrRnKD6tORBKMeVvCix5RmvnyuTT082Hks FOEcZJ8MS9QUHz6ax7JhN8cm0R3ZfdxmT30ItA3rEVPBvvekGHIxTsj0ICXXvivcCOtayXUkdF4+ mGQZlAT99GUKaqkn7NVaO85WXxmu6bq9Ok2UTLo8Hf1WysIaF+pEWxWVsg5V1PwI0LcaRDF2KsWq ERMl69oZGzRHb7yz4umEVb0R1QWzxE1lQQxajqPzCassHVvhBcP0sCVNvaV4S3c8neEj41a5sdyn Npke/AUwRjHZ84mDFPLA9P3PTLFROA51R2pqKx/fHvN0/XHLLaWGrtYn4JMgTFYh3j7SMG1O5ENT z6HxGw+oyonXiMq/nTYr1lM9c0JKXS8hfx2KajUUKCj7fA7yvCj+tW73rmz6PTwktOvt+JxkutRb ZUpdK+hLBVJV9l+6HnGOcwB80a1OMIZwicEAWRWHwOMSNNrFavwbGmweODmJG/MQWR7rKe+iuye6 AzX8okLA+fnINzlFcvy21tyA1sY3zILXiTBoCnierFZRO343vR8AKbCeNKtxDRiyth3IjF3Aq95I EIG20UkQJqEIrnE07/QtJUARiq3DxNZKLlw0ewKwV/TVu9xb3cQQqSbuHHFVfbpoPDyiTwRxretr lOmYreY5kcPVdjXk29jxAAYsfTPEXwFs4ATr6Q+uGkXXA1FECLIrCcJSk5E4Fdxy5YJUS/8ycQjR QvBRqw/lKvhQVy0VjUAeVLYWB/PRtAxQQZcchseH+kyquc2toe1YoI4+P/MINMDpRekDgDLvIAHl semV2QdWLOMOLts2y95D0nQ+PhVYGbzrJijjzDricopsjSK8ywOf14Qu0GPIkGUUtF5OCO3Ag1XH 3ln14LIjGcvpcdUwkcJz+i2QwYAVPAJHMNa1O9R4UeKqs2CtXgZnhQj4HGiPW4LLLycsIM+EshT/ 2qlMcNrjiU74MS/WkBR2KHtEqHw9pmMcjRQmPfttkk8HvcTXpGwOM9guWpRQQUOZqJrZL0FZgveH NUSLwKdM4NPQuKFKc9gmPIXkp0d8vgDdi33mJJl+KCyU1X0xvsiv9c/Nk4yHX1uiFyOB3SS4qpM9 /yAHZjX8qp0cJksR04DNFZJQAP39gyYtImS5VXkCE3dpfro2MyhQV+oLBsioI87p3s/5ZrqquDbl 7PVEJxHUjuHLXgdGGN3tGR/nHpWjtWMjCnaPS67DkBH1mC8a1Fg5y7U4ATu1pJ4GjbGeBw0jnQT7 +glv4vkqsgm5KwRzjAB1M2AvaYv9dkjngjXN0Sxxsiei5ic5qcO2xRVrVQy9CP/UAAgVMsEVQcL6 qbRrIxdyFyOSEoORxME1tN3m1mLV6Nf1fTNTsd4OovXUJ4OVzJ6d95WRcEcpPzHszK7lQmu4Tf85 Kq/Yvvq1kRWVU4UXA+SYqSL9zxJcWxxV0b8iskkDcSy4nFIADc4wDfwdu2bPZYz52uvhnN7+WeIE +KnI/f9+2sefKRzHt+yPvj4tSqAhhpNVvBeZwPiUZAs97aidec9Tj7ova0xTqI7tOuBlwXsPXQHF gZgdAzvivDSLS+wOH78ORq46cZC7ROUss9rd0jnLOtqzgz0eZOHj0dJ3O2sePvRxokAO07LzZ2oV OnSB7MmP2CSULIkIob+n7UFc92WC68BNaqPvMu0i+ob2/M2r+N0zsvKUW3/PvqexpAGcsw/w6u/u YMNI8+r/v1Lc0nRzyBJGNqGnpX9SQ2YY1lsnkt/RCcyo19cO2B48qtKdP8cuq97sQq7tO4/JSnBX y82BeykPHAGIUTp06ElqhoCsG7DBoRrA8o5PQT6VmcapNMG/IKQ3/OAYQCDKYpB6cJsoS8rcK9IZ KFxHnfpWYSvSM9Le8fW3FrNHKsbApmgpbsW588HOkL2W2zqNUVtgfd1XXIS7pTL1Aw1LO9/qKK8q x3L3cdQx3zwOE9OVzZ4GZY23KReEpCgbmMOXLnjkc9f+bmuw0UJsEcCQG5teA9oZYi9cEgJzVITG 5zTOyJukzqKrtahZ9mOMPVX4xOIDFROUD3e+7f37F5xxjdx8vVMmeR4ra5OePlCj0B9PZbFmTdbH W8hxg1p3bgKRwUEsWc3Stw5nKjk3OYJOoV3lynxT4WSvs+caqP6gn7kXRf7QX/YI9Mem4YwBt3Lj rkPzAQNwDIH8pN2T6LTGbQXLZYZ1LkiENACF0ALk5HyMr0PR2nwkEkVvUPc16VFZrTa7j9g348nb KDM0uXEjs3Z5iM70XF2SQP7YFFWHpNx8yajhVYiQyQn3w6PLwWER7ktyjIH0PlOwtfu32nsC+DXy iOmf+p4zaEyGafY9f7fNEEJXMxeM4RpwiNgKTBEqmr5TqF5EiU6fFLK2NFMS1EIZQKM/cWV9ODRL MmjWm6mouxhxFNFULyyzrsk7VqcEIGR5UxHBCIxDfwNkVNPb/QnWKerZ19zFVsEZODViGng1y+Ry TqdIK+8Ek91cx8P/C8PpC+O+aSoRU/F3ISVinq/8X002uRGFR1seH+LRMJwPcdqKCL3OL6sMEfDc Syt0bxgN/dAzOwZC43J4Vgk8KxRirVwFe/PizbJtWikg9GdbTD5RR4VnSykR1OR34YBLtca3q6pm 6nEXRIl1igTnROhzQuJvwUtnHF0OC9YV7lW8W0GkGm5tYIKHNMOC43MdAKRNjT+CAFwhMTC5uNka bNiaSVrtfWVzlo159taU5bX92bkEVIT6vnPYhK5754gEw/h47PCc6IiTJB3AxRvUDTP1qWJW85bn X6g4kTl/LUh0b02q2fBCmhsW5NgiLBHmHFFXvSOQRcTBtsGIKtPX9Lgx/PkGKUaB9zcPBwc3huqa mhVZioQUIjhGGrXXUCCy1E6w782d/O6FcAD1t7/11DTyzP7V8Yz79ck7r3TkiHUKFVnIO0FfJTrP Z/sg0r9gL1C8I/J8p6BJHKYyx0/ogPy9TrCK3Yp/IJ4IPzMDRDrwRmYe+eCRLga8c6RRZyrjKHqw 6XhXZXIrJvOr7eBk3zevU4CBOf/luGMZFylDNH8MEsbYeI3nGfkVb9OMQIhXFVvi40SqgpAAT1IT Opxx+64BgRIN8L5KtQ7UJnQp7NafcvtgPAuTKuue50s2Mbbpzz/Iowq7dgT8Ym7Y/sYNxamahbvm ynAvqP02mUnnaTdoqPd4RI+Uk23Rizf455TBh4BmGhrHEkbcT55N25D7PZVbGXpjwTswFb5z626/ N+5DHFkRLChlgyijpILKRf0QMiYyzBi2jGhf+bd0qmfQfY1QWX4xmtYX2RnY+IvWRgvcBeaPTvXD EOTWSXAL+8phaOTJ0zBCpfQo+KKLXmN1+kFX1xEVIwGhWiSeFfK1lQaI3Gbl98SIBWIYFE2rBkLA sYVvleXu65MP1hVm4BQcC78Qco/B3I8wlWsMl7uUy7gEonYFQ4sH0roumm8JI0O8EdMq6nxHVgOv DyW8ZkrTstJhGIjCB7MFkdN212IzyofahMs+zO68hsSyjiGOqzXF2f39wszLgGge+KTQyTEGfanJ lfS3Z1c/ShRwXhncDnxiRFKl3Lgx78tBHNul1LvKIScwuReAumRCWcj1v83oC8fiY6X5h/VYqz9I WINhVBEt3cwrsDdgqxVTgxL7qsYICG23AThcGMtdTLQYGLtYVN3xBmrb+4vZCEVMHi1FGkm6gXI9 nUmspBNLkJKlwCq+8M1wycsnsFkMTfoASrwWk3VsXjjX4lMd5K/8owNZmFB193akNarAkIfH4eME qpDopHW58Oz5coI1lCChoYDrz0XerW3Cn2Bk8Reba2k0TbNkKdToaEKMhoAJLLfsCOxVpDIDfEhv Cc+aFoJE/9r85G4n05H8DgW3OUt/5HcIsv7GWxuIj/rYa1y6E6RXqpV5SzZcu8wMAfe/aY2ChjB/ mnX/5f8Ux0b07fgUjAEkFKQPk4/9t1peFWUg1Rg/vTYEEa/XC2GRLv5X3kU5n5MzSeEnpL89T8pL 6YIHJXqeUENz1a7JZozOINp/htNbllHWfGMxysYYHqxhQ8czy0cLEloqVRk4+joPmIHY1uhrEr9W ZLMz+e7t4bG4YshFz3RdxiUATZPafOIpGm6xYzJAONtiEa8yVAo37wLBR4pgXmkg63c0jVD8f0/D M/oV2szpuB1S4Dj/wdymvuGf+4Mv/luyiGiU7+hwJNzsi0WHnEwhRFeAAngd0OolGnYeWrBmJN4Y eUx9Cf/hOZk3AhQEUa21PISeNP0d2WTXrMk1oJVBFWr8Ao8mEiLiQLyZZcEfdY+x/SFeSg9M3lYx sYtQh6+iiwcavNikAYXwDcvZymNLoZwtilWKWnN6NB2GL+XV6FGYa9YTxfnLOOxLzu3V2HdyIgsk cJ2B0hXVxdbgU7JLHQxO9B+e0+42m8IYHtRwFuFi3qBkf9RL1DV5KjEczew8aJT9Nm8QVXyrrVIW azs2MRgwtjDos50NwIaMk26LKpl24Lk7MmnXuRIyIsyca/q4jEQCpTRyyF0Z/ngQQbs0XHRBk/zj SmiZ519Gvpdsq2PLz5bVRrj1oi0a27AaK80GBLlrjqY4FhY9JXmYMmiDNxYwZlsmXIgBeo4vcY8n nDBlblXDBGpz6CPJXgb0Fgvyp++lbjOAy1JS8+YkUFscVxUmtz6p1WSVG4NeZ4MTP6PRkN1ALRxf l5AFTA6XlHFHEpH40XWBGgTGXc5jCe0HlUsgMSJchd0P8ENTmgx7QED63QA5VB7bfMp/ksQKUgoS sFjEjtuCfItae2mA9aimhxIA13mE8tNKfgDW4gIZcgY500wSbNutA7nHH5mYvSd6ameOzHxtkw6i lRU7l2fvrWML144y5GX8uR/eWZ3N/rQhEeNFM/fVuVAfYBRa8cuGvJktcjq9Id+Vn2zNCp9AT46X Xo2rKeEEpwC7WsCC+ZYzOjvn5c6Jv1rizAXudpvyQXsPtLQj9eYCR2hRcWRShmpPR/UA1p/Uli3L 2yvoqIO53GS+FjJr4z5Yp06h8dmTZp6VbYsV/tKyDbPYoKZSZUFTZEFdFXT+N3QsEy80eGEjDI9w AUvYNtDxf+3y81V0IjiF41h73mozHhjqoS16/f3704zpz+egDNv6mPxArfVT4LlDmHGM/auEeBrO n4XtuIxQ94v2JgZmLTxCa1kHk3SbOaZMZGDDjBmfJDrD/9Ii16WD4oNzfoGRLeR1FQkoaOmTkc/j iRSDs9L6jliYDGINo4zcR9uYcECNQS5Wn5EbOJHMZtJ07dnXOqgoP3dnCRGxnQoqBSWSMZNqeER/ Nzus9zPH6ogWgsyAuYyQ6Jn3yIUUWzdf3aZO9E++mWQdrftAfxo76tI/Qr0nZA+7QhWCzTMqR2lt W4CiCr0GxNDYHAmnwmr1IIiLx+XLtADOicHODTIMFKP/csaDX+WqHNBN57b3IHNruG7bzLqjfmBR UtLo+u40WC9yA/prwsZWEdx77ro7KXRT9pzY2Qb+AfsQrYssaiVqqygX4pNkedS9hDPzK8fhNRdu XHB3akNnjNPxHyigqVdtOznqUHO6PG7wwpS6Z6R3gMFCh2yCPBdiYPCIQOphFwZdYi/pe1W5o/ER N2MMZGMmnK1l94uDh6GQGjOF3o3tcUiGurd4ZzhEzBBUgl5Nq0MLnA861MnX85vR7mKVdFrHNYmg 0U//58BAS9qVM62dmWu7Jir4uc9L9VNckXwVPygBlkR8jFL1CEGuDTdB8gVzZlO96QGc/QdS9LSl Up7ORJ+T3AKWTMhWHIpvgY1K5miSIU7hJFpHu0GcJmwUBD0Fs6biudglhcS4LGq4f+/jqBPH/vqF ZGmfIupowwpNDPz6Q1fHB4kh3vS0ZY5YC5CM2VJ/lFQyh0oRanhCrRho6Phl6pYer9oIBVPNz+zb 8SysGGycEG+PsB/9uUNldql5XCsmP0WCQ8MSJ0NlTdrMdO+vTib1CL10gpBxnecgU2ixlkT4Crbz Oryfrirp1gYD+87gtGXdqADWjAMtyjO7UzYX6lkDvNNrtreJAgtL/5Si0ypQOb5WZEQ8Z/oVN7iM w8/pjrWdxs9la8o0O5TlsB4WAbKYNXXsxrpmiOuVSObXYefm/UYHdxEjfOqIxGIOwr4RaJ/twmzS 2zlTcUrCoP/gnvYIiCLdRj5f/I3qrPuBZSkubMFyvZg1sdZ4zUkMvAd9oodH5GTw8Z4LEL9FTsog O6jPdOkSczbDCPwMzftOY4VioYwU18VbLVbfRV8ff/1HcX8a0x7p4Eod/dzYOnXwjkStue1AVOK9 W+kmnZZK2LRzZ1nAgHFUOndnjH+vrqaO0q2sUvrr9KiBQmSXOwFs29aeaJLoXq5b61tPdtQZPqzN T+XZu5SvmJddJfNn6I9SC2zECHAP4IPDpbtgvzgKrxAMzES98g7DIwixEkZJMQQLxXP+Z6RjzNTZ hrKfvtagntEYysgUwD9wfYpiJDi1FEn6nHLQhfjoHDJy5JQ/4wHg0P5t25pgTSvrVcHXKpa5j5EE Lr6FnxwM7qJ9jiEtvLoWfBqFbhSMRRT5BXZyL3/eC0nw1UQnE4IzKHDybBhP7XZI64J+E0WNfHJX KuCiFm4KLsXBOOgbsZER5CsAdU4vY+gLSfXO6mYlGejz5rAWw+I0ledbDAeo3kX9E5solTSU1rlF cgXhDRcWrKc060didSLHLsJZJNwTk+NHgRFiVQ25BBWLVKiLkiuL0ETxaFVLKAdVlPLRCMPgJ7KT OEXlSInv5fvlmFMWu4zAxebNrxdnKu44KO5hYuhH4TlBvUTI0k6XwFbDwyen5WnOwVoS/hvnRdxY Q8qcLP63ofMb4WheL5t+FcVHqLmnXnls6dKapzk78YhPV8j41j4WoyTfuhKfxt+y+k/0y0Drdg8Q zNlk4KF/gRXwiqopYj/yFmnlxpzfrLqlyeQSyha2AVZdo0J3uG5vhr+g+nH9T8aMPjhqI9guXHKJ u6/3hSOMGOtfTOstCAohvZdZYTbOvzyiMqpHVtQi4K4uVv64Ny2ilUqKxz5d3i4vUot7cDOyU9rE OL/jllgrQvbtW70qyzWs4HdeJ55DGUWpWPDD0DMTImLfjTYuHTeDAZpF6sKNs6Cki3CYjmtYr6HE 6T0zgYq1whzECHy9/Pn0DZjFUmKBOxzbimXQFjES3ObhZA2bG23XvV8BpVlQsJXfSX0r9AbZI7XT /7kKyGnbnzJ/ixmduoE/w+FQbkAkXZF0IBrpOkVx58kUBgA/cPTOzGuikBe1ImLGUfvFSrzO7Kfg 0EwegW/QHZQAvkUZAHa0Gw+LFUCvoza0t1KnBoQRWWQFlrVENGDGSuygV4m0u5nWHmSV0rmnax4+ cLnofv0pG0oX2ZK1xVUFKFKQWOV6WTUN/8GNAfZD0z8nZX413aeLyIwe4sT+39MS8x7avSjHOupJ rg5lEMfabxjKuYMZCmAKiPNIdqGZ9wtlLbzhjmw+i5NYvi/11TNQVclEt+wphCEYCGLGv2J2RBjV arDv5Yh8Ls4pI1mo3I8j1cPj5E2f6WJk9qftjDBivFy/WF/hztgrYc+I4glYJZfXroZdj+Ze+8ST LPmo30+EpUsY6HjiZvi7oQn035FrM+1CDzsK8WzCWoBCA/f7r6qtOfazGRqRU0mPEpQieBk2cjyu b5F6NqoONAdiMTijkcKojKSEfEkIFKi4CdpDm5jn+IGOODh1YHR1SnDD+Cma9MDKsr0wSflGsZnD 3G6bM/QlXgAE0LaV7qZpRtTsnLorDsscvRhpEHs8IFTfIPR9zUQtNn+PjldPb6LwwoHD+PZnib73 au8WoEx96rxSci7chXMnCVw1er5L1+h5/jHEEi020hADQtWwbRxaClnowIF9m5iaEwubKi1yZX8H SQPABqoOjMaloZk8o/jqtUMLvi6n41OXPecWgFCApQhrd3FP+/GEAXBBjXJ/VznjR8aKgugHspuu a+QibymJWq6GFEw+cnE1hHLtWdWHdyWAMvSPy8bX7jnzTCvNcfl/u3DtChspIaU+QBiagxCPmzOi nVzXyQfczhxvnQE/SlEA9FdlcIpay5fAm3e++wMpNXeTh8Mz7pAWxhO+aCxfa2xYaPpbZp//+CjC qx8/1CR3BQ9nb/LP+eX0C3AQ6i16qANyWc6htbVmJ2qVU1LidYscDEoi3PX1G6Nh3m8vAkvppLhw EW2XeYzMmNvNJF2Nw/EnijSV4Ocw66NVMnj1q04fHAK0+EfhG78Oi47/hbtMAxNdhoaveyfigMbw IoSKCehZgfNld5iRNlz/jtfMa4qVrBvuoLcZtbt81aQ1/fqf1vZMR7FOYXJ89cc4nFIIl5hxiIuD cU0E6aOHEqYpw1y8Kn0uypYaZK/V86CEVzgNEweY0qIXAkNysVT26JZ9ZyFTNC8hmOQK3J8e9RGN M0fV1OOpRwyegohmNIRuGIvwSpy8S93PlqyOVfWDsUGIBy5vZYBakp9P+48k7kDL+4HW/heHGbmZ QhD+ebLN16oMP+wem7UsvFUjOQJgFhWz66FY6jRQIx82n/aNJKD0ShYpMI4XbdyX51BzPsKOOuIj hr31YzQKy3XtrA8rH7UqBEUxqJWCH64Zx1xX2Ud5gbERncraYcnjyz9+PWuByhD3Ol3iSdPQuLDO 4iWJJ/oXEfDTIaXpEEbae2Fcc8VXj7OK7Znb3J8hxKdShnVL+pPLUytFNe/YF1iTQv9vJxa9wHsQ 24Q0KlKM3qE8NOjzuF1h6ajRzMMiQGaOugERXwIxVqmyg21t6455QW1O5o4qGQKZlGmo5RKB4xB5 1N8ncS2mseLLOgCR3FX2WM/BenhFdKcliWM/iXwCMl9A+J9Uf1AowSyTlmb9339VosULlfzA0G1Z vXEGAlIuqFJCGJfIQwLXKzetPgvTzvLIr4GmNacxWJgOLf+NBwUr2c/xojZ7suMitL9TleElc4GZ +d5Vzeq4j1rcK7DRnzGJ1OfQ3+99dGxlGS6ZYrlWH15sIQyjJ42p/EF4melbsNkV7Nm/k7jiw97L ESXf7ghM2B1+pkmPxoDSA+wC+wbVWmzlCnUuey2Nn3ZqwKCe35x45i7Ur9o21AwV4mGJPse0Je32 tBTQaRiljRhF+OIr7YSSE7AYe7VnoEYRtTynOz6VmSQiCTDZE76mmxOGS0PKD9zvuOLtauWk5iKi Nm1N/i1iaUJE2YkBKzW6d3cNtAJvBz9BSVOWveH2m0vSZPblJqJZiUMnPokxcBbC/Pmu/9qaLwDa +LCBfF4eBpdVExMlkABg2IFcmdcXtnEiGkSfltCSOaokAaDt/MaNwfIP/nxTikxl19a1AuBSOZ5W Mp+WeuJOI6V8UhcqbpObXn/2w/XZby4Lhkn7IojjsNOr4uEouJjjNpRi2m+WhK4ZU4Z1ZENEMcV4 b8+0lTPX5BMbH2Q6gpa6W1huLTBdX0/MuktVL3rb9/5TiMQOB2MmLikqeth/3zzXRSGhT6wM6Jap usoMgvhUyx+KPiceIJuiwsl2oG7vK85ojk3SKeZELMTQDc5LG+sVch+53617g42q5Aak3PzHeitb 4+Gj3MWQPvMOl1tRwWL5Fh1swAZQTxtI3fRLxuLhJofm3qO9rXLZcc/RxDunin2vFxmA1VzwK6zr qXv6PPGE3B+fcn67wF4sR69XuCBR7to9JUuY8cD37yh8Qv1eNjVGqk+OiALvh6PimFTZ9xvnKGb1 jgHWakpYZlFxS4JlILoE3D6MtqvMgUkDk2NjGLCnLG5UVz4K2++mKONpyfV+9E7gbQRhYmGxaag6 8JvGu0hFnipydYq6xIqA7J/px/Pgk6P9YQFYYawtyxTEYhexfXsdvKAJL1oljXCJdzhZcsS1bWEj i9eBW2oO9wqLpNntOjyN04pAIiRHsxJMs/meme326bLTYk7vBkn1FGJRBk6FGj9e8TlefS5uvpb6 mkmHBplp8Ck+eco/wpjJCL6+iDA998NSNd873311+bDuvpNhrZnXx6ECGPGGL5fpkMgcNoCJjPAk SXu0l7GztA2scT4T3YxQHNZyburIpfCrMyPwECVSzUmPLk4/xfID9s9TrioZInBufuFHPHifvjwu AdduQJr3XfXNwo1tOFqp+an1Y6TblV5n9hYfNLK2pxxy9g80I6vS/Q4nxh3r9AT6TduI28ugOrHX HYSpUyiV28qa5Vwtuc4PgPoJK5+bmjC152EmzPKpnKRJuGI3zpKt0qC58EIRB4baGwhMfhy1PJxP dDApik7wTUJP/3+PZoWqADhlPlceAHmXS4JTUFF5B00EesI7m8oqUxpKfnuCjO8Onsv36avi8xqv DlgvIjBAoY4zhqVlvIliT8mxyeF5pHnAsSxU+ZadI22duCuoKzM3a4KthXF8kWmFgqs5LKq+sN13 Z4aBGYecIAp0ELf84uhg+cYk1NVgGUR+xwXbI3MPRrpukhOMYaZBYYR4Mw5051sJh7EX/ymTyBZc JjPfgwi87YVPX3X9RnTnkpGDg8OoDc8qxuklImosLLhBBF4T/Bm//NOLXpXQwu+ol+Cos+Bt2s8R 0DH79IY8zXt4bJvtH8b+p0RP3kmOHCCQiZaAJHk2737vpfSox79+la88RNnHP47iC82BrKs2jude jyQ79JaSZZdUeahNyC1Z8AQzzLZG9vRMaBHxR4iZjbgZfkqoyXRe37RxiYrczkI6er9aaNI1nyjG gtlQRffS1XyltnKyMPDZ1vUvT1+97K5ZOdCSgfVxEbjzQgvA9WqNto2z7c/nv9i8P3rfXnceJhb9 mawTewdLxgX4XKEOXVK7hWFJLHIOEEzyneClhu1CzshDvhxcMC4P+GeevizBkB9vXlDUTSFYfMY1 op8htQrfLQTYDL5FgvqENeivVgCFI+epKi8VDB4kk1YgdidSH0nEvWh7X9GN7G318gDD4tuFCNhE kTykXcDkXV7BYjnz4yZ0mtVswCnth6hOiCmIx3/bbQG6/EQOFUXg6ft8ZQZI7HfIr2GxyvjWIXOQ MjwLnU7PFuBxG1BNDYwEJVC/4fAbT4FpIVagCDXrYEjQp2JkLAVss1sCDzdG7ekaT0vP7gbVUKq7 vjbQVAiVeAYVOujxVtRgwjGuIqylTgbBeYlMxHGTN1c+BF9K2ZjJu7LHe41L0+S+A+/AyfaUR0IF Y75188leoARH1IY9bh0OjQEAuCkAqx5feZcWoOu5lnNaNtP7Zc8N86K/IpZYf3kC+/jsx2/L6Dwp tDaM29uNFSLpQcv8QHaPRS5E/1YEDLZzxprrs7xDTv6kClWz/V6LM/R22l5JkUQ/QMdaJHy+J51+ 141ISEEI910L0PWdRpSPEeScKkfnPCSkNwVDLoERw7ivuC0kAlXGwDfdHHqAw7m1NjSTq2m9tYg6 OJfiQyMlp8uAvWRBGC98BlWFzd5Oe3lYpqSSejq5SW7e2WFXgyOmB0z8Gxg8rV+PmWVJ4NhzQzPM xqszEhhCTpjY1ba0I2vlxFJkE71zIEsQftfAYadm4POizWptnfTU7Um4NhG1+3UWKAmf4vj4ztLA SIsTR/n0PxTI0iI69M+siUGUYSBNg2tEMe3FEdlXXp1pwL+RMkZ/plO2AdE6y6QgT5PkAJQeYtSF hIXuLuDTOmDJCrNkxisI203xuZPpf1yCDYBVkla8h2yQN20JJ0YHDJN5bV06fm5rdeblqj8cKyA/ I3AYWn2+N8H/EwLb2MuTnpGpVGwUJp6LHneHRuxMrEPkU5GP8uOPaBa5OcS9rOf5m3xEW3jKWtHy u7YABqgaznxtVSD+EYwNp2q0bKkE+gJPgI+j0BYBanp3XEpAr/zeTLKjTS73HHD1DpvaUotm1JE4 EGldL3gmbYG/BLk2zH9n6bCNMlUSras55qscBmEK8cFQS+S16gqzAoQl7LG7XqYMVKxDqa+sc3f3 g1PuJ3seg5TI0yyQdOyg5fYJsDBA0DlIoiDQ6mJ3KYhn+Q0cpTZOsrr2XcBX4ssTeeQOuKV+Qyrd lILlzI4ou3UtMLJXGdQVI/wCDqStV/1KrPboCNigau287Gboo8wNbUrwjBSTWIeQOGBXrKHqzRz2 AqO7jzlVyIEx3tAZTkLqb/HTfOGBCnwSMsRFoum+/txzKk3o+LdzpTz5FtgQYlJuBw65McF8wmYO gVkLN/j4nJa1V3Dq6D+YQpGmVGdv+4jHg6S9KIVAdUjeiWgKwEzmYfr0yUoEG75js8+VUbyayTfK EYQPGrfQYttFF7M4TU60t0HXQtrId4MNBRCUDxS7AXzG5PpCPkcjGlLnQaQ5Y3qTRccfZuflYRvO R+YDMqv/SzthE0g2Xgr4ByllnFWYScm+u6W63aLUeIQGsF1Vo6hgILDcCpceh5bYFPT4q7CMviiQ IlR8BDGxrvzD6u0I40hxRvl3CNXRjinPicTTYKtdBNZJhSCpxTQ6oGiwRYqW6kK26i1bOoAD4xh/ SWf9jgSTqKuOlCiyeJVT3oJoUXk79wlW4wTmxpRwTStQE6FXBnpqIY5mHzjnm8q7NtU+kTvuMnJx POM8G9f0TfT/lUISVhegoopsrGsKVJi824+lxxw87snw0R6hi3wdHceAC06YMHthp7k8YNfNjVpQ AhfQ1353NZgfCGouwzVu+mz9tcabRVWhOv2FMwdz9VsM5MlHrzdRI6o+Vo+ED5f2Ex45+XEM08pW 84m/1JTRdeiqeVVZfwN+8N0+YvX+59ZFTZ8o61vbASgXr3DRZnjgYv/w4v+wyEiPq4OuZDOGmNsU S21xjMiJ6Jfh6bgymxDk4Nn1wNBPWRtSnP3X3Etkh3xQXbuB6xtuBCfkBct26ySLvgJpFljmeYlv uYprGkPz4VAeIYxCAS39zNuHT+VtnS1+qlyvoMzASeBWgKx0pPohHUWIH/hJIAEMd9JfMBIjHrDo Pux8yCFNO8BK3mikqedqSm2Yf3COTcP0GXMzWtKQrMNt1wcGtEZ/8mZs/0Q7Ze+rqZ8e4Hfr5lJ2 bcumgkN24nnBg4h4bpq9t6T1COM7236oe+90l5gsbLY6jmbo6phMuEBgokBgnALABoS0OGyirB/q k2jz1dHGejfEnf011x69K+VZNw8kxxnUiHjBawziopaoqBrcmcGQsCgJfoR/wIi9IEV82b4xc+uk ke55UKF9Kfv4z+vsiypuWVv1WwM60VEugoz0Q8r9wACVMepjBCPeGUbDVeweJvNlZVs3ueY4//9b 7kk8Ej7CYwN7mAkanGaSjB8RTodDJSJ5zZLeSBICy1wS3hxzOIDfNCpuc7nNTvfmULVYJJGS1JS9 ZmyhmStIqKQbFcn1yauy1K7ZF9qtHwWfySt2UJ2TzyvelVttaJQu1tefcfq7s4MOcmh7SMf6QEhA /4zfk8h5HWLr+azOyESZVTa1a+hjqz8KeNfh7y5obMDtXPi5LEPWbFMo98Iv6Ys6juMXmgiugeaZ gdHhneRemmAqdX0Uvk+soGmRLMFv4zgN2VpV8SFfqBFMvJVZVl1iiQTVgMuBSP+s5yMfqER+GNXz GGr2sYRAaHaOD/u5zOW1lSlXTpkupgReEitRkDhfx1Z6lt03U8efNPIItpy+FCiJqnIzT72XBv6D 8TkrnlqEQbM61F41IbdDLSoDRbs56816kEL4g+ycot1mkltROfXXzyEgwYRRWbOaLxyRtDzZYwN9 Y/5ihQR2tfqtOvioYI60/77xzX+WOl9ZLef7kz7TO+FK7zn93wHi7e/9x9RNmyezKIJ5ZZYfQD1B QLE4SmL9fbHFaJTcYWACufRyoin4Fd0KVt8SnaI0tqwyT/OaP8e9ISpB2HrBdsQ8a2OrGNZTJJb8 lSPXJA3fN5GpHXIDgP5i9dATPi+sZldVwsvw35qk5Iznw8Ek+CibzHiKWWRdugxSNTzi8nCxPoai 1YyjVn7KzUhhafaFbyOCDr1YyGjrJuMAwEjHlATJ1bB75edIRqwNCYrvgbOfB42jI5mCuBHmjgzr SOHC75dJtEO133YxeZii3zxaF+6QhD7XAPKNsL/tT8UfQ9eevW+hmpGoYcXB8f5XQlnmYAgjz1+O pM1cqlvpgXqqYoof6qmHBOI7bp2INdO1qjhJMGgRrTLPL+pScglYw9OEHsowgUQKrlN61qobS64k hLvhBEbffBmLi2DUSVGIH+NL4eOxSKCd8BsVPRCmHTlFkA0QOJW8Mm84u2R2VlXfk+3dvMG3zIQq 0yfrYSzWAMIUZfLjVAF+B1iluMifR7SO942nU6kU/FJVXns8Dvf/wToFsvePie+/PcCgyIa/u+b/ lVwXgLhFHypMfgoeFtRsmto5x24aY/9bMqH43cNwmMA+Q025cDpI+dTNmWeDR5Gm/kGKfyGZJ6t6 mZ7L06TvD9sobuZvnjbt+xQkLShLOPpfBCdkXmc2D1p5o7cSFbgiVNxKqeqkKoR6qIVGCQ/Yq+Hm /1hJRhDNE5xFhiG/yfvhZ7kAqky1L5RHmNPzamfwrf9FJVMUtz5dOgcDGcnPB7QreBxUMoWPgTBK V+rUPLKR+uah5YevxhusS0DXWXMHf6j4+o8o1O4RxQDoy5xxyeMHPZ0PSodQ26zZAgGd00bnxzTx wWacb6wFVgbePgOh+NnG7tVSGhW7/fx9oZZi4kYdS0czud+TYQRdYqGY0NfdHz6fQUDHKDCz2bfx jm1rodCAqYt0KRcJAXZ1M+Fiw7WBsgxf0tNMOnEIDUfxcINVdZUQe2cPz6ahLXVw/FIDdDdHnrrF Be3MvUTXnubY59zaQWZHcc54uo2AfC4tWj11fdwwlPvrha8Lu3tzP4iZ0nB6letq5qyb0rIoHpKi CGVjSi0sTqyWtPLkiDw9ZOLGL40OYFSdK7JOruG9JJZHkrfhIR52gqzdtyVrq0jSdGa3znzJZWIh p0bVO3B/SzkR7xSHH+R96fFW9zq2mA60pMQtDyH/yvb1rw7rHQs3CzAW+ZrSNbWHzaaWgjk89eES R9NRFkFRpzrY4QGAvGybPuKV5YLuDHCWrGziiO8+T2MDAG6e/eS22l9hOuIamdpmvIUxfhHmSvMQ oevnyvzxoO6FjrEI6Q8G63RDGOZVqZ6eHgN+NLdpSDIdtTpoEZz9OlPnOml+fu9qDVV2dm8mdoJl VJFasV5sQYgRbVXJsc9FVV151OR7JjRVkPW0AHX8Mtakz446Uvjek8auJz71UKdX7HZJJT3gDpDG gndRvlLdIqgyv5eTW+iVL6qeUx4Uau/4WpLwSMhfAH+X8OUrsYd2t89uNOezZjorY+bkSkdnV8Pj LYGjhgj9yO9Iv/fQ0j7XJ2YvhqjqdrYe7JzzpC5tLrBVD35IQZACuq971WIwk+3TyHGEjQmV9wwL 4kRPYdgISsIChLxqjCmpOJkFUJVjiGPGISm5bCn1BCFZ5cc+W0Jrbe3xGBaxkn5x0U8TtJRmuF+T Hyj/qR8/3uIYy5KljVhjoMqpM1lgL7j2AQD3xK5j02+l89mfqyWMk98FO8BTItEWqfJyooQLZEP2 bEV+7Nd3XtMfhnFzbOmNPG90fC4Rh6O9BGbPR2iSO5lrIG0E3z3iloq8RSNtrl/EY0dia4TKjgOh hMEv9gDLscrhS71rs0zaMnGFeLu9FnHtcIB9bNWGWpM+iT18/KuJak7SmdHCoa4Xsw75XLyPvP9W dHYfQuBuUQxdfgTuHdpumYKqd4Dnfs1mVeintEHXeLEuzwq61PGSrJ+qzW5GLpIF1oAFMF7pfauZ kfX2XejF2/W5plYimSijPSV4OLIsfOVetlg/hXeUKe+z0f8X6unxJiqL7ZrtIbxvO7P2oGm651Y4 TPMK28V+fiw2ZBIKK/FYM4Uyjca2MdACXJEgJQptfuEtosUvGCgNgtD+77LiXzbzVQoPrwF/w8sP Ajg8DphANibpGfUOC3VjGkvrcrFblB+6oPIB9Is0wBkAyFEwNCEbRym3FMOA+ihyl0tH2QAiEoim nik+vqR9whY0C5dSqxGPy6rtIevGQTw31hdfRxgJII+zozemRuD5zq2kELwFM+qEnKYiux2ueStM oJnoHDWA+Vds7B1y9viROO7AlYdm2oI2wFOonTT+iXprm9kJXdjlyxV9L51xEGRlOKuuY0ldPvVm y2pkk4tTulJHxraminZZkgE4EIrtzv9B40mJ//qtPW8vAYM3jeqSRjWCMHDeE6AlSpH1ngD9RlSH HkiGMwo83ktdUKJfo9swgJ3bvgPlZyQzw9/V6ByHtxomOOPPYJS/qqtpVDHrbDwraEguTP9BYW0c x4IZH5zBzXTpdFHjOa61jgqZ7jUpSegBiubg56aa9uQG8xAVhauFyvyS+31PFF6PfDquPW33zpWK wbFfJKm/MVbCmLxoAt0cqkMfRFYWWFObwrukRFKvuNfD6lnvZK4TdWF90lNe9yl06cBwTCO/B6lm cqD6ftJQqFkI51dMJxb4AKgCfwHSiMG2nRDjlwRHjUf+onitFc4FvWSxrUCs2RMr/vqEXVrA0Sag S35BVn+MkkHC2sHGya0ZThNqdt1InUpHFUtGATyYeYqLgyaTui3qbpu/c3s7nUXPKwH+JwkM/z2Q 7jmgx/6Lc5MSkVADmgOI3gLS8Xyp/0WKCG10BzuyF8HOWYriPHSmkmNr/b8OYxuty9695OQlLOFF 1Skvt72EA+wECakyXmUArCXAoqtjEItQZy7XajaL6n8BYy+r03ZwY30sdLRe1SuzafJ0Ju97ibLd 5iDL54rMdtGeY5/iOpCxuwmDqp3NrKz6DFvE96K2Nq6VVELGF/olrQGTZEfLpLtTVvBBDxCxfR01 4sTKENDLel1bOSTlQZvHcEwcYIzp7K5eHNcI8gmbJsSPbcIrDsFSc2AR4wM/OE6kWB5biS7M4+hP +ZIk42pAaAp0n8iqqX6xPmNbC4QhisOYDnm1HNLa3nhVNhk//h5G2j7ooboUs2NnQ5BUjyq0A/1f WmYX3yjy8u2RVZyd2K6Gat+6ZD094hrezWeojA6KLQYNln9tFmFTPaCGIYGVh4cEMzT65e1nAQ3F /4QkSEA1a2NXG4Y3mmZErB9Z2XA1cWaM7Q5LWP12qtxMF4O6ITxah9Iap5gn7MeVjsv7mkf/q11M zoiSuD6g0WmGOV13doWMDfWpilEe+VIjmbw6pF2+4TWNihgwG6k+1bw/R0hpPo4BvOjx2tOqlJJp Lki5KXQmiRbXK0jZ2rj3Zm7hVQFtDgdbT6ngn7uiRy23rXx39QGHHr8RmCidYFCwc5GlpOlNwd3O LeWyu4oY9cRP3NcuD6sNxME4DiEDzwuPaajUhDZGFhHPgzE+BBwKIZlR6YGGwtFArM73yiBZzH1Z rWr17VQuhgB+FaMU3PlSX76tNhnmGb1qXL7PD3zIhLIxS0OHC1FCCvinZqPWE8s7ou2s52GZmHVM U/lVaeOfv22yNClCDZXdmgMft9j+IUHr9IJjCCBTpioN9oAvOZbVydylOWUEXH0CDR+rrH4sGyfq wdUly1mRPnGQWOYr6Bh8za89+mMXCVVNDT8r1RWs76e2VIK+gPkzwBZPm5C2NjUpHFxldhWHngMv Bm2t5lddamzaRZ3dkdC46bEdTM41fyf4HSlS4Xdeim3AT5Vi2uz634+/PcHmfNtqcCyX0uItCU7J 9O7J10daF8VrEfJXaPOCxNgBeA9iCK9QHk75KAiV72F3IgLO6Il+huBdXO+W+xfggZ14wAzCLkRg 5O+4lAh2OnT7A9yH1C/AU9lchaqCqp8TJOtYtVOeaYIdokGz8Bzjtks1UEyL3ftonnwgkOcI6n5I KCMR5g8V7nrFz7EdYM574INDV/u6Z/8kkKOElKNRzTvhKmORD+z8CILbWo2Uc8Dupni444XYthBz OObCKqI3FF0NYmMZwPr6uQEEk15cvAHsyCmV/cNazRoxppnn8YqVSf7xHF2YcnohegkmYJFGQ5US EaWJCCaXREZtvUz77gJkQI4blKQm/7Yu0Fnm0M/FELOam0nNc3feKLmNIkdP/JIYHz1nX3obQG/l 7oFQ+3iTbonN0XTXC+gfg8cA7378HW7LvTbbjmbQmff8bAHKMxw4xX+mxFh3ZHTQopa8FNVANTKA UTLtyQq7VVvb9pB17epoTc60znzUJwR/pfRQ9xDG3ueKoXdLQhW3HyCJ1U3dZIcOEf4R5vZn/lI3 okqmK3YYfKD9BNSyrQXgRGIYtcqdxUHZ5I/4ygen/aG4OZDbJC02RTIaqj3O0CQ/gPMhpFMoZOOZ UxxzzNY27YYux/fBMNb8le68Zn0KAk9n9Yoh1QLUiP73Yas5WQL0X31fUSL/Adv+2AyUs2IM0sAf OWtRZ0CrS3ldhQfkFzrWjaiKhDjHEmRGRqwMts6WkuitIUGAtrTJzwatGlgPxJm3P46+rWAp7BSD 1NapSNGZrhCeyyTuZxzBxGwnSyh//E00fhm+alk/QQmcwJSq50VdIiHaEp/BUEaxidDDIOmJiSXx snYWeXMnT4sRTYAtJi+N2lvNPHjvDyRfy2umiZrz4qkN2tJ61uyAB0JJffbnu6WOOovIBA5iQ3rR vHJWKroVhd2EMMIyoLMJG0z25TVXhuso0JkGCVW4hK32lXQQAqjUbfMrKW04ng4TrZ2vaaXNHFp3 ccUZKg8I9NC6CzoXfNwENdZmu8unIsEtL96/ILbdGJYgpOdIQDn2K1EsSsPuTJjjuZ0HwbQ3wDn0 8StcM5bMIiagfai8l1a+5DsuzRSDtdV4aA1dNfmyOG/wse8wjohlCCortKrozIua8DF1pbtFgbyn q13ypila0LmTyDBLsqXeQqPZ1uQUijuVFHnGEMhB43S1Uh/xRNvrjIpVSPXKBGS4Wbi8zpqhPERz efrBzKxzj8sMLqfuRPv2sVLfxcVSn5oM9mfnCZIBYBAbARQ8DgHcsHbcoCDBz3QsHA1Qybs+6pRI yOIo82DLwv3OAMqbrdWSxbrFqcVHlKxz3u8CO/KH006jtR9RrEU4bQGMkcWlJlBqp0unbXjAstLG pqVTMSeOLyTemZwUVGFK2I5Cf7yM5NTv66z7o74Gp55ffofHZ/j8i8l1nJGrwvEpA7W79Vc76U/N Feiesk8nxmVYly+3P3nkKf5dgEZSjW+Kx1d4rrk5eOXB1378u7igqlyCeT84pzpa23oFW2yJ7uyT 5X6+u88BMR/kWgF2PQ0Qub3SalHNf9vuRk4GXJ8Wug4qT7JMR4sKsM2o6HQFBzacY+tYpBSeu+vj cU3lH1CXrNrK3TJzdvFCHvbOaZeC6n91dXkNRJJ41JkLWREbCh7+SmA/sldOY0rTqFxzWZZ76x9x jVnAuTBDSXcAFrQu/JXXyenuwfHGSXAC3C/6UNpw9b6Ed6CNS0BYIzKlcOnpRFICk5vVXv+tiqA1 xVl5sVv27SkHFvs3eT96C5UN32vG2y5BNpx6nUZb2FtXYDy6SK/PkEDaBRRPZ25mOGNu7BoZUHt5 PhoT5jV6CQ8g3++bLInE5Wb/RLiErUBad+jk9GnbGB4us2AgTgSeLIfUq4HGXywR2Hj8wH2i3wPs cVNfRfIHF5am793mmkSx/k6Yj1vtEjxZa/D6yxkc/Cj3VkOV7z18SMMR3WCxAl0VKjLe1kaykhn1 Nf4N0dpsJNv1Xlo8+sICbXPt6MREKScczf7Rs0hS4/+qv1RlEb6Gqj/mL0fyMLVHsBgzRLO+Yv0r ivcuQ1JWvcOoy/BxGLtLqFCHZI/BaphZ97g0JR/P6sAfBp0vkwyYk8cMysIBF1TyKPX0Pq8Z7fye lJTeynX8ugkBXH1jWCEzosqBwEkH8R8vvcX3QP1UiVvUMDodHWhGuKeiEsv9nu9pTgdHkd8+Ouu3 RvScig76CwC+bZ/2vww2i5ahfCWxWtE2btk6Ck4y9hXiEETGWjt0HZTA9BfCOsyM9/IPzdwiD4Of KmiU669qrav0DgXCWfgB4XdBIkNuE18ZpXw12qJA+X8Zeb0huPQ8aGye/9801fkrHnjlZicL2NJ8 IecszoWhbtWblXGZo5qIO/K4PFUi8Ss6FtKwl9d5I2lcWqj7OQU1sTxFomsrYipbe4WRPNdiTVnz dMy1hrDpgFxjRcZa4qRmolXjt3Pu6E+QrgAYLosxo/luYHdrABjziyeUL3765elP/ymBzPNU9q5v heAiIQkGeys0L0ixw1q8ySUFP0emP+l6ZfAqUbr0TGODoGP0x2zJCz0aJgEMgLNZkMvBV605tfXt /pjDtMrI1MNJkAvjU6forr/puiA+5i8YPTdG3KcT7XAVlx/zjEFQB8xm4Y+hpv0FJvfdwjHQryu1 6oDTmJIYq62DEn3HmGeOzdDJ0tLAIykJp3f5PPMEsQHhQejFfL4UttUdJxnITulMiTyLsvobzBCc DxiQbxXXu5P9h6/vESJUMDoS9CHBf/GzGpp7jiOC2L/sxWmshtyMC/3dYlsRBDI9fgRkWnGTRU9j 1rOtoNJX9xMUexCKIXMntmN+jx2wob1x03Yyem9p8/32cAQ+3zDooHYHcDDkh/sVVLmmRzPIbAiN PbwJJaiiokrmmjVwBs6IxVnTdTwKC+TfEZiyW9VfMV90SV1m93uBD6lM/SWZ5Q+C0VHUwZBzwl+Z S4m94g4FykYMUKm6tA/CrAp4/XuPM1wIoaysyvAx/CLgQuH9BFZ9Dcmp/l7LLT0xdkayTskBaRQx BZMUM7KPFYYhHWrzC8k4GzCmsQn8u0VDW2vpRyTC4ynY3yAvT/OaOLYMbj95FsDeUiBoDBjqq1Bn wWP1pyUkWm1ZMEDHhj3G6YlK8UcQpHSkl5/SuNaGWOU9BJXXXZPU97VME5uuGO+nitcCpN8tdu69 aa2kuFbzwPRLDJZXd78XFsT7jbRNzLc/gR71m+9UokiwmE1pO9X/hBmvGC6OT2DuWYnQIWunY0jn 95EBiyi+/ZHlEq4cRY+32BxfPkfvTtXDm0t28fc9SN8C/QhX203vFHbPftbDrQRf33DdqV6vfiUZ 054pVPG2IEFj9iyi3XPr8RXNLH5Nc6nuqjjuXImjgeeq7BUmhUI8AFFn1sBX7QYsR+m69tJiLN42 MFH5KmUURl2hXVSnYEeynQ+k/Y7GvmyLlqrlsxU2rz6ZpOfBhSP1Pe7UY1rmUAQyQpLumj6aJ+Oy 6dDmCZvBharqp3yoPoxofHxXmth8DzO1a2jnbPfFklud47BovBu1XQnpkqV/8QY6gr0OqT4WLOgj pttkm+jIT0rLqxpBesj1ic4R9czZpL8GvfhLgUC83IWBgtrj1UfFs3F4r04LBBpuVkCwXxxqjsFl NjvvviO4VkXASZmLsUu92SDvtNthMDR1OfaU9vLVjzJvyND189MzadIcB17O27Yd+sp9fABjSjCl cXYFHrkDQ/eYfpwAqJs15s+81H/znvPfOtvZeszP9ev7NsnAIpIKkPKF+uS2MZmIAewfFcQmdAms aIAYW1N7uazdUQgnrQKWhPc+uGBNv6ZuJ38AYO33OC/6C+rA3y1B/AbxQ8qSVAAFgVIdXs7HHGye e233cKIOrRUCJt/3yb96FyIvQdPIqpD6SX+AEImjwuy/+9D8CEZpCCcooTA8/Zko+PjJuzInQPzk hlKUHO+qkNsPEFX6t+FC7JZv2wrJvDVuIZn8e3b/5unVYm4JphXmKsb4TT1FVFTTc4pAyW/nRDBD nsBvLcXGg2PQhLMns+HLGGFW7fBnXgtf/QW6B2UrqdCHXa/wxioZwxzR97kqTnEpCUCmO8iMi/5v +CLqOg8oDOrEh36ZVFx6sVgB5p19TCZ8mfbq9+S3/r6/wfS2keQASM/nXhImrvNp+394sMsbbGlN VgtYGDuzgFEpDU1rk+jlHx6+yGXxTNESxvmrq8144W0w8Ufh7BNYrI95MHJ4HDmws2KIqrrBewGh cZJ668FzK27qJm38QfoH+b3/vIfyt3KLJsXIdj6BDvWQ2RiyPio1NIToqi14e4RuQXMvscVVdIVf SHpeiACoeYit5livHna3e1N+4iJIbh0QxATRb39+UdQnmM+AS7X8yGJl7pBLfqDLfXfp6Gd3MZLH /wjIZW6DXAB/f7U7ZPSSJgW7xTnk/LLsXXdJIRV87qDpH7sQvVl/YDcvbSQo8vlQ49DoB6fYXy33 RUg/9nUgXZfSUHnX9QgDtGJrTdI1Wik3PwgrIXvoGre1sB7b5Uu4QQGswj1ZoQrOJdAyuvCorVdv 8xCrAxhD7K1taRkuNUQNRjCi68Kd79HawWKkXGTSwZXEhQGWsH/LSEP/DV9PULcWlMOInQ56xjDl fn6vFSxK/yYBtR/mzR2OvpfsinwUwA4+ZiGkTxwIb2228DtQWs/QEce4uFafsxKazV3qJBiRwTO4 htZdaxOQCUZ1O5S2mDAJ9jrt9UNglnywPNCFy/jRf08M8d6SWDaGHpp0TS3+idPmgKMkZ6rq/AKg npStQDFx7aBFBB0Hs/bg4uqxjwVKa5GwLE9iLSJYAakp2iQt9EK6wiCmhZW0lJROlu6U0pizSpIw rDHnLKsF6O8aX2goI6yfplvLEAtohnFIcSgip4dp5JcoiTtG8uYxewiZCWu1aYA0RgR+0WglUsWe OMN+/EN39dKQ/ZHutpRajE7qjnFyxdH2+vTDYf1EfoA9yY5U9qjbKxm90Fv1ZjihNXiy3XZUO8e1 BP7S7iwapBHa6fDe5sUl6xr+vXG81hAcDwwfKSVF6FpL4qapIHnicWqifvxd/edoBhNMfNmtw5q/ 8Ld7HQB3wzRQKARW2FKtPYZ6rE6+jJi4MKGwTaZKr1jYosi8XKe3yr3rti5hE/RL+VhEopmgeIz5 l1ZPd1p5PhBu+/WOi9fDXv5pLE9Lh2X7YJudbPETg47L5mKb7r9w0tByjO0yEQjIV0C3zlX68/cS KYlQxUZj/yHgY+TLMiJk1Qfo1P2W/Gurdssl7IC2MZdwVad4Syoo1j6E2p1FSatgQHbP4gXxcXsI uxngQXgfP+ngZgxNT9WeBetaOQseuypFhGkWJr04fRfCUEOp8Fh01r2QigelA84zBH6F2Unve9J2 1zECdZzeb3uXerYpSlvWTlhfbcfwSmtE4SHW9mEbiQcTf53eZLMBOhY2/UQ0FTuYvbRFI4InZCwv VjqG52x/KuylKFeJOgSNhDFejdnGzG1BWszOX1hv7Hvxmwl9ZWit/xGarqOWzuiZ09LvYgx4KkVw hAheaCP/NTPLeOYklJIsIUTXeDL6gS4grzsJ7JrBI92SPFixOe24ysk/vK1eriJbIURVR7M6F2Jq EARa8JtjyB/myCQlSZ25PWcm3AQzT6bQh+HDiq/4AxTdxUPAACnE69UnQh0Q2NUq3vxyGdK5c0JC c+I2lVWi5LQ1h/rbbqFLKGVhnczAhh/57ElSkjYBzY6RSRZzwYRVIHxV6/NPoLBS9rdDcpWeWwHJ zKDUnVfdwMBUsfER7GwTKmzL1GdL2VF2aCAQtTXbNpgT8cS3RtZd+jZtJDE/Us5bg4ZT8SU0G77K uoi7NB17cEKJZK5XjeTirEVmCWT+cjGIIcQBj8GrEN912INbHrOh4zHOKRnQHsfdksoeiqxHIvDS KR9g2TMo9z01f9a5o9AUtNKcbPl96tYoOd5mdNmNQWCgrME7bZ0BLrD6CQ8E6Cn4iw+hIpNirFp1 9pjOBtGPgbKnGyfR1glOG7f/cqAiHonHhwGAp/IKq93STZhvzxfT4MslttzBTYl6Jr+V24+uXXPU UqzMuqUhtVI45L9hD6+Z0+CzhhRjac+na8W56wOAk+u5F6zfzqgoIscKFAol0vqEt71USkT2vSM4 1HuwgQDZNdb+kISR7ifR0OVFLjTikbaTGOi2TF8vVcYDQC5p4iee8Mikoqt3WGTehyaAJOy8MkT4 lJe97yPNfQ7QWTQpzB6zNOCrzwLwJgYUYDO2Ume/HzfWcgXOp8W0Tg3b2v4n0Ea0U4wVySw8CVlG vDU1oG1c1ndSI1NuGo2eJh+DZ+vUFdJkCas5aM/uRzzM5CkUkEnQL2pAi3jYqgWE9kr7fHcQXPWE +8DO+9qhOU8BXp5FiL+6+lRUapLIYsG0b+bS7kBO/DEzb4aFw+MLWW6TKBFKA0RKCpQ7D+ROTHa4 Jbe/ERBVhLgBQLOx0gZ7W0swnxPd4t0UtbHdjFDCAPDnY9ZCoCl+pIf93Kd6ObnP6g0pENn8u9Gs yshUkARAws1yLfy/+M9W31qQu0mR3rXjz+Pg2cxCD392EwWXvPGnemDijJheiQoQzn4xd9RShoan OBth/1rxyJNRdOghbkzbEnxOyZP18hwTHxDdZYjQxlEhOZzxIPiiFcJ5xE7xi69oSy+2Og8IHUUb hm8uhVsn1mEJAaHv5O9ltclgDZ7bt4Ym/vOMrqzPJ/NZLVzBcmpEuqITSXbJwlMDinhn93f1ZmXL LjycWAa4HYO8sup1vRdCFYFRtybQD+pY/KJrmIQnwCSqbTewBUvEK3EDxhol73P/YcN0/OoCXTqu M0xxXlOwSBQRk8C6ycW6yELiyNcSpk01BaKs/TdmgUnKEJNgJiDpESejF2xoCur4hyqz8g6CoOLc YXjwC240iEr+fAn/1f13anwJdLDgxwDSRFTkr1LFdxuk3cJ+5uJVxrpqB3lOBxF2xV/shPFHd3pZ pyQNPBW0ORqblMhJKKb1gq7vIRRzu4kwkhuyAeetHCN2YFPp2zXZzYuO/jWQddUdVKXtX9JAN8TH WT/FDEzpIq8nuRkbagYINLzdJ505GhYN1FNrfuAQ8yThnBF4JPZIVivrWNkrUUD7CcvoYC/TE3z2 Uvx0Jo/Xdp1Icylicp93BTOLDtZKylc+wbsboMbw+BY6Wpp0QWU2jiubNAjibHwck5njJ5WJqtUc o/o0FGnM7MsT/x7h7eDHwCSKtWkegJ0pd9/jHmjKZe4H9lY6Mvax4nZ6tkc4ZD4XjVrSIKd8Butc FQ3BHyUkxojHWQjhEUSlp89RU0c9/zZl3FCL6aODio6YoqGdInIqJw2tr+TqvQVwYFLqSk4KXAc8 /kEjZC4KMM+jjC5cqFFC8KLVdRvOfBYDjjGCS8dBY6wsCEX3A4JcaSW/BlBD5J8cveK2RtBhO4vo SRPipwNQMLf2TOelC4le80iY1db7s0TcolobcHfVsoAxNxywIYKJxELzl+S35US0rgvZsTIAyPnn Cq7v4ZcrImoo7tknb6olfBlZ88R13VQcJ72tKVIFLQhBv2zPN4+8pi+595R8atP2pkdrUvx3CYK3 tvgXu4KzWsFusG/N15q5m/omRPtR5ZnRF1iN45PsxhVUzvEqSvg/y7SU+m35VFzomNHpKtm6BUQR I7DaTBfhD1lp5nmVhcz++2QsdFZmKa7piQx4BoiHGKVYQ6DjZdS4dSnnsw6C04nnF3+r4wbWS4Go +mmnqtdmfKCTMWPaLDAxJDWxdTMHMs7UbabxbROH2eL7H3bnRFLCmCl+ZTL2kLlMeP/kh0YCR/u+ HT9Rlj040wtrhMwY52tDWcxWkxltYWNOcQa63/BbpeXp5CEY5MkHEbvLwSraMq4GP6LQ+Upwcfir 7qFRYjPZjHmQVEjz7vRpjQkkRNrd9CsbIexhgRyzUZsIMlY3TxkcJAl4h5kbBMbgWO3qDTHokB21 octLW0FZoNliiBRD20DZsucD4FqaplEvWDu7gabdZqV6U+L/qz9n6FebGRVs+jlCwhzZRvqg6A4T JPfO7yYO7ZBffIYIyHUKF0gOqmhPrk11lCSsOVn3tiZp+qNkcOXp35Uq0i1OR4UsYzWMEGeGU61q IAJnb0ITRZOI/NTfPxXU6QWIFY6eJEqW9B824ESRWmCU7NN0aX6QrPgHbh0P/U/tvgMO1s7mIpCq tP1MntzbqCUI6mLH0oxFMQSn6lq2Nc1JRUAoOM5HC3YtPAXY7X75MRgUEQ89VW5ZV1sNmWiOADCV HB2wC7754BSGDP1XiPmBJcKJLrBaxK3xQPJwb+qHLyLKHE8ewAIZ9+hSzHLzvFMunK6JQsO7Tv+Y /M1YyJ6Jzh2tvuYsQ79imlAegLRKraQQOPWAM6ePshlCwx0qXukIfWglvb7sGFwl+h4lJumeIy/V lOTr8RqF95yXIcXFHpj+BwZ0E5R9vhopg5+TM7TgD/ECiGym7ZqGopefHiIPBiYa5E6w2woNSN1V mWtqhzfP6Mf53nPB/sv/kvMyt3CoYO2y1kbeKZ9tEpwi0G1W5i7mTiBuMYxt2golYqjYT4eKkRHF 9gLsk+b7OfEwNxsUeGwxMS1QSDJjmRSdZmsQ+2OS2n/x2+tkPMbIg71v04SrRx6wSu0Xop2nR7TF 5dAVgNQbcFveNmX6tOm6JNTQQgDl0B4jIY2u0goZS+6sae53oTnNGw1t9wginaNYkd6Ev2KqRE1o NjD0IgO02WjJH9UT2wQOSLbMhcGCGilE+OCzMiHtyk1gIK+lw9mEOX1yyUyH5k89QAl+qUImvCeS EsHMx/JPlJF+rY9ooE+3yQiZjXLfankPBzXGRU3MdBHf+5g61n+R35Te0V0/8nlkaetoQFtdTHaN B5A5bVxWBooCnfEzmWBffFMhrb5t/JPwkizGUVs97RlyvqJhcIOhTS9FDRm4X4E8jF8N5opNQZH1 Nyd5BDGM3T/iVV00sb0KK9ntridn1fY3YuXFMhrxkq1iNaHvvMN78UtyE6wL5A90tgvv//QvlfEW gN8UhgVvxxo7F96BUd/WnK24PNd5zmYKh7nF4zDKENU8/O9Wue73S1Sr4eNnhzb5AjE9fNXatvGy pp8qzBNkabZw/SSGWOrFNMsvlLhqfCAm1aehj7vYsV1wAeuQBHKwWquoPjCw8atuMkIrf+H5Q0en vESkB0i+PTleNg4nBwSey+ZKTNRh7lPGmghGYyLkLRg7iuBwisbgBRifieGINeguUiMZrd2Bx8KW 8NEmyeciRPxj7QQO8jybgLiuaclN51Pq94PQXYuhvBS20FDIXSzEiu/ctj/wd9KFXc5jMl1uqCTz Nfjws5evGMjJw4EHd+rnSV5U/R474chE5Dp/WKK2Xdr9OUFuDuTJTRDu9RD4S+uylJ1THlrNS7vt bxaS+p8Yp6NOu/pIi6XBTCZsUnd+kiZr82OVnruQuznHNMabC8JsYSAB9DMzoP41b7S9dEi4Vg5N cPnavncV1DzsvaS93VwueY6dPMKYItjo5hwwP6XX7X1w+4RRPAoiRrqChD6Lu6FDDJLaG8Lej0dP qRxiFQYsMoLUwgc5OnzO0jlGZwD7cHzwACQthm3pWBBRxyz2sXHCj3iAs0BNEnlo32DpGeXMfn4I IGtmwvDEXpBEDOEU4z+so1AS0cv3fl+/W/y/yWT2/Ru9jc85aM25339nm0ZQAOAdi8QgA1BIuH7r uF8ODWjbhm2ahJgilMJehv6IslsoQmzrtIp4acAtvb4xkkm6Gm79hEDff393sek3aQ3PB8E4emeX kZyYwooGpL5yIKvD7qWzeSn7nQAvsCiX8o6/yUi4mJlUiRaSykVjnyPelAYz5ZYxAnMQQHfsb80M PwVa1FdveHKFwI1bGHhWM9T0tDQf4aDZGfYyJ57cyS1rRe22Z8BryewFqbaVFwEaxOZ1bMMSR+1x GYcaMv3nqmtziJqD/DCpoR4czgu/fwfYyVFuQwn6zYzPtsaUZvoyXNeJGik1Mh2n+1lawTQAtmR3 9dXvd8yIG3UbBNqMyTFWKJc8zsrQnGrj7+F9BahxzxvraU93/y5yH0IaAatXYKnyuTEDJAlerw8j 5CCOT+V+0AeDCoJL+BmiXaBynmCLswTP2Ht9W9Ph8j6OKykFdYlUZKPNCba27XlRleKCPI9UBH0T EqX5xPM+pBu0fGa8HdOk7wxHisr/z0eiXF7FECbisKyLWucmZt7/teqjea8WU6/qmkYpKq4o1mPc 7oRD8fkXd3b0rkG+yAWnXnn63Ux6mKsyC/VEMGpkndEl4EueewgWuRK0J00AH3YEs6gBHQVbrWnZ 6Q4bcBhA4OyJ41OEBAxh6LGmdBEYCN68fDr9sa/1LTUxxnHY9pLhOF9p+tEGwXLASXEbw4nZN98l 02yi/VN5dleN5Mq8u5UKfdbwyZsglmjdWcC6ZTH6Wqy2rQ/TaJYkLtgG3NRfXckAHEaT8E2NIV+t 1owzOIEe6qSc1hE/qBKOmDns4gqvWYqChSjMFYWnn8hDqky86L7AUjkcFKrm3SkjYR50PiwpUK3Y oy0URYsKP4znIajJXOe5LVb8pFDlaaLdKCIZMNFvVW1RX63r3nMLZ3FBuWMFHCnp03tUw9yauaK0 wjeY3sJOsDlEuXSVpWVhIH8hDOLIrR3PJ6zClkf9XuljHfziXQwvOIDYEr310HpdquwEYR2ZqZlm HdoT/kIr0Txa3oquiz9MEr1ZAhWgYFkZGaG93Lu/uTtRZ5IygMWsLPhmKQ6qOQsVeaJWhMDfO8hj jV5/52ddOhaLEbSumdI8QzBsy11bpfcpJW5PCiwgMBkdOkhNfepRGoRDwn37GFkHVeSTpAPIGo13 Q8uU5PBq/TUIi2S1oBiGa6QY+P+GJJwkqGS3iQ7M44MyAO50JdoqM4Hpqgq4Sfruh8zSYeWSbdZU TIJmK9uCIyWS7mJAoagzMOr+kMprwcNbg+91Q85v2G63gH0wrrR524iJqhBSlzi1UzcYkKTdfJ84 wr3eVQPbcmshTj1ZnTNvryke2bH5GG86MteTQ/YszeTGJHNsiamaIeJwg+1IJPktTqowBiq/GERP ZrCXOJRio6UNosGseMOlXvcgQ3vn3fWRPzZpSaXcmB75PSwk2elmw8QU//QEQxuABWNjn76Q7Vfr qMgUmvrkKbMFJ8MDN6LiQX1/H8/cduk6LzFXLjy5jER61tBinHJvVzEsWhZF1BsoLuANb83att7P gtYRf+uUoUV2V1QBYPsOXNs/86P3KGJl+01ABsJfWmInhWGyl9G/cTwvVbvUoyA95wLV0rRa/1nu vSGkXEE6jVevjqg2BS/jigua/6Ps0ygBaStajVAINh8+NJk71917mA26GLAL/brk622QA9dx/Joz jChlDB3Rb2DmyFM0kvhwXrZoBGWoVo+fUtGXebUxW2AeXlY/59aExsox8ZIuFb3zTejWbmzx/Nks FLQCRpVyLv6m8+jw2cUMz9iKYnVCFQUigJDlPSp+ls229sEGTMr+2T6xzVenVpAFx7s1bdaeWnBg F2zxaSL0WNHbcHj0vxmuD1oqdOunbHOi8sfN32O6kQaNXdrqdHGYyOXgtfaNbK54NRy+3t7AUPrK XiUx6+PCeGOvaELiIm95Yh3WQpHQiOeMq31KGjThYOoDbgVWcCcx6s2xnFzfcW2MKWm2bUVQnvZt gJfbV9vJOVDaolDgrjyrEKz9KNY9/xbr1JKy9uH9ljllwQQUZeIRBZGZyrXJjo61QXIPmBXdAupM 0JRoIOnnJ0jymfDo9qaC9P5Y61+Gd7OAPodNcyPhu05fv4F4QCnp/ASfTNo9+fLiHEoAre7cWEqF +cKvzXepPZ1lj+vL1K402n9WfsrKsiE3Ykov/5CP5nDCMMlhtt6krC8tFgpGzQrmhs2RoGOsFOL5 7KwePSwagj8cA/6EV2THpR9K7AVU9O6soW3Wns4Q6mQb35z/dxuc1WaqNvDwrEB46py4SCVjiKHY IVZmnG4qYEi0mkLiZU+i59g00MTXdKMjBJDrFD9EwQ6jwvinbf0LHRx2PvBMeBpOiT5S+ZkGY3Uv O4gB7n1NTQBWzt2N8v3OKPYXpPe2hPd11DoIu0G5Sb+ivjIokMJD8PzA76XF08586ysQvvLQEQrb YX6evnW9gDFe7jPHhZBv0nhgDE+zGWAa5jFj9I8AXfV6ay0UAgH5SqZpbs2+HriiGNPP13iHNAvY jLDFCvBERxA5/ah0nVg2sF23rtt9GKwD45xsRIton4s7gE8ECSt5LNCEzAraD0bHI5SopnmUBCid KanBIKoSJF0KuHFH2s1wJkifHmXwO3LZqm1yD3lNqwqZaDHkvIEsXh8eKe1rvpPlNs/GFjhX0i6j 3MNK3zWZR2KN17WfhIM3dUS/atObUmn8pRb8R7CDuIziC0l5W/JkE+zRkvyAQ68QVjjJYvEwR5Lx hsyqzpnHgGKlE0U4dz+BGiv6t7IP3lfeKOqE4RLekdxSx9lqpSTK7l0HoM4CO1/nzKmuuzsuP73M aZNgvvATKXASqXiIP7fZMe2lUue9l2VaqoUmrjO81jmmDJwk8X49q1OU8DbDmjcnZmbODpOOCIUS DbsHPVjvcjem8KtY6kc6J+pl56ciW2aOkrl20JR5THFNVKVO7Krn594HW+dphc88alg90lR/lzF3 pZ5FjXnmRpChS9KtvE/qWuqPydCB3YA9zKBtHgvRLjpXuDrO/op1+WvmpxwDc3QUmdDO23sIvrlq s+AE+nTNa+ODErgHssvVspAfC1JBoaqkJ+vjx8hh8xfswV3s9XWXEu6dhqpDIqOorzhEVQp1EjeF Yr3WLQUv36KaB+JU/tFyXEfyDlfmNliEGAFvTPQoTy4Z/MKpeFEltgc9A+fqSD55zk48w5+95oSQ 2wCacR9d9XiBqfc9d1k9+J7yzyRl+NW8BUG6pmBq0mtLmds//asIqWSdvKwvtg1WfIQ6wr58ZQrP JItz15siKaXKQ6a982hPqwlsZv723j0SJVDX4+UJEda5X77cN/8EO9Y0KL1MlQjqw4M2nSKdEJp1 fqWn/ph3puCFUXo1t5oRGmUZhXmZ0bjaED5gP8iX+bFg3fdVAaSCDBXVBQ3M0sYvQjUEbnoyKRmk skjcHs+9qhuPFpCHoPaRD2Q3zOxgG+n0Ba1L0wa5MkBctqqcZccPCYmOJ3c1fL90F4kVU0kDfbrj ZFmbUVLK4S+3EsNBFmsYsLWgzabfLMa2dBL8a7/SAqRwwuQhrzIvauD8v+pr2+JOmQ2Qw9Mur9f0 EvCp7lD7XzR/jXzLQvbgjFRDP+y52sZfoiLbvbjCJoGkqGNA3k+QQg7V5hG1Tq8AzcbATUjLBDd2 YciywiBvhoEcDAA7c9Xy1mTEuV38yBYNhJrT1Z4RMJqnebo/UzOBq8EQTy+zuuQkyxGV5K8h4QJ8 DUl9P6j3FOYtJjMyyn6RK/pWXp/BLz1nd/WLmlfk2uwcCVIPB+pIPKW+ZU9WFo8styAjuAAVrpT9 IiQqBfe9gPTYCq4GdmAlC7kgC45oq0Tc6nki4jHdcCSmmcVjGGxlgwK9hs0wy7JEDXMLEO8W2ssU DzregFVq5a5XLJIEkfxd2ysiLFw0T0qrPOfOPmHLlmgsQc62qYmvs6/1nykZnjzx6ebyxmSpkOdg mAkZ1ih1G9UoUFrUzjaKUs+Lbso0Ga1s2xcqQExuIlweE6LPe4IUAABwiNtamT/JoLCKqTJ3bXB7 iXQg4g/CLYn6sOCcn4TwsW0FlicJoWeVYI/aQaknX59DPVwkti3yHl0V4ckOZ6knPnVtZI8mwdaF pq/PY9xA4InXMWRHNVndr3hAiWtek4CY1jAF/ZydEDSmYFmMS9/ctlrX4ZaK4k03ku/JjFacPLIn SbNrgiTL47Bweifis1tFOmc86spsw0uNjXwx/QGGlDfPHjplioPnm4nO97wIToz7GpJK9KimJnmu edAZJqa90UCFLfYiM7p8XRpsK3nRtdrtpm3rVg1jYqKMw9nkVdAPue0MOLyrru1AkwkXLlew2JTO vHcki5s1n+TkkhUyLQDLvWPBHhUlQ5jpRbTCVwtxftCYnop48nNRkH2ygPDRQzH3wIx7Wjm5xg4u 5MoE558/KspyGAGqEei596hnGnVbb2bK/pwDaaeYQoOLHVz+j+XKo54yOGctQNFA1E3mHpHAzxP9 0LaIv843eP++Yj4DqAW7GJc8wV6StxROhpGFMolCPmwZ19zMlEK7WQ0ZI9AtYY8+qmscqLU5Ebok 24rrRCmJibKqDRaG9tIIx4sCmrhJyIoWxD+PGuo/g1NX6716uP7ZO7c+v2/zgszCQPA130ZYtP4e LfKe9pAG2maIg3snjKu/9dQg5kdvTBwvWqZbiyNcuhPX2/8L5I3hARt4oz9DMHojLasrAfN8V+u7 Hfpj1GM+ZyGpVvlMqiaIief1dAGCw8bWTdCvbLxONkGW6K6WbwyudIEUjVaSIrz25tkX91+S36q1 boUu+0LFYxPEKpGldFxzlDKuO62/5pmk0Pa7COAeaosVmmv7XPehEAEH0woBEpHFG2EdUOKnnZIQ 8dyoZDSMuWdQuWMuCkv9PAVy7+tMCMZpxxnuOikCXh0KsVdQfi3o/BeyN3/CQk042qYhjP0WO8A3 Anq5Fkrec+aBdkFYEOWlvLSSt3khWgZjelOiZKUo04iy/5rt8FUlL3lRT9ZsNXDcld9a50dWMqwd Z0Q4kyvH1vfoP+l8nHI4N2TS4Tknghksfoadvv/JAbLOZ8pcy28JjNqGBcgBw6mgJqDq00GAtZAa 6+fAzmBaqalIR+uG095zGEhYfF06fALXcp7k9PIKGO8UmlqZ3Wg2y+r+a56gAqqezoTB73tDfPHC gwldjYRUV2Ut7RPMg8e/w48EzNwQcDKOMV+FTeYT7Y8WvPyM9G4tXIkc2DxLCx5uCFVa7fRWmsG1 H+UKceLwH54Pt35SEqL6N4LqsZmawDTT922u+oNiFAOCmQZJM3tDH9oCbwoul60fGGULycOt0bVy q3elFLwd0APhpMw5bp/sf1CSU7H+08DMyKkbL7y/+fcC69cFvB195lM84ioHbihLC4sLkYBcVf3G DY7uhZJXUNCBY6ITHv4lFeICSg9BExAJGk2BHrf0fOzmBKkAXbR3VsOmtnbu2TpoJPSwHwMgM2w7 8UUPJCwEGCH7oY34Wi8f21n4kAF5oOewjuYORaQorF2wTaGQyvJr+O0NSvlj1eJAXG81LjBobqqz M8wKr8/8D5nkftFEw9Vdrcyc2WvjlmzjAzK5xK9ei8Tmx7br3whBoDB6mGYIz/g0uHMyfnRbpwCY Z1uuiZPykVgTtLABEShbR+qOAHFMzMdU/cnS2hKa7TGIQM42Ye/jzNd6mo+nfQGgBAMBpWM96ARg 9ByFBMVSppiaDB1KtNXe7do7frpt9XODclrI5U2TbjQXYD4pTcFHLT3KzUOQT31Jyexp0XamP2AN UVtjSoy/xeCgBG93ukNROBgxTNDrbYLu77pRgyPfqXVh0bZ7kYAsEwUtkLLxPW29ojr4lElU5GNz 0KmnbZee7Sqv/SNt1AHSIFRq0D5hlKrI9CVIGG4DVm1h1rxz0Gzm6gpz75XisKdaa6nz/aTJxi4L DKCwGmmloU4yIz3pKy516B87WGhFOQ8QbDmCk7dCaUnqoqiLubgXRX50/dLiVWMq/OYja2/nVTIm sVl8N+BEzfE+Dc1l04YiKhX3sfrnXxJ8QVLxHKbx6ueV/uRvOkI3C4pueOILSeDn4I7udoWaZ7jX m7r0bwG0Ezdf5N75HWUSF/yS1EHh/kEm1xG13P1S4szmjYW/oKAMr8NS7XTd8VCriPigZDk7VsLH 9n9oVX88hiSMUkZ48oYudz4hJzGuO7N27Q55/WnowOwA2NgM2plOK7bqrm5IXk+H3JfIp24W0mbh uBFyNn0U5xLARbjBIoKD2d9CKi73KqcqRHgN005Pr6ALSIhn/G/36pKjQngtDHkDHuqf1F2fgOZ6 RkoANra5u9gPr8psrqV2qnoTkeUnZqXYsFYpcQ2p3G/G0uDA1EJZvOyg1HZvqAnJq6gaZJ4OVZyD R9XX5fGUVAFW0Hd6Wa4Ve6XfmWVJg2xH/yN8SjuuyTvJpoYH2u4kZJRgdPqjIvj1zn+/8Nd1dzbk 8/rv5l+kg2FAiYE3ZIoYZDlcNabh0ywwLwCh18o4mwg9yflKRUCz13ywaO9CPJ9Z91X2FgdMFIJd hjlVD+NCdrskbWHL1OjQ4/RmISqGUyzXYPgjqPZiYVrJlZnBM8+DXbeE3AtLejlH/dVMdlXOROOc UcbeADAez4n511WbldZSw6df64JOlxvIHQZ0POWOsX2b2Ae1p+8oN7gsMZlCnbSJKO2XhDpI/JlC m4gJQiCpxDbj6K0lbNmQK3D+oxPr9zPF7F3S1OnZn2PapkO9yg9pNtIb6rhm7Ic568Zfj1fw2Dbl DcsmLqP/5O4yhnI43Zam7da2063Yx7oASWInWq24quxJ3K9QJPBy9poLz+S9DcTCPIBAXTmJJfV1 2DWNqSM3/lh/tIYUjbYbbZDlPNI9OlxgVOWn9HlQwsh0aK7+vooEGhOWobaqJnINmBcBEDle7kE8 BrGALExfgBjZuis2p51RulaGplxrOC5BGAzPwsyRZFEuWfXEdGZfirIySfhucroAKNCjb2bC19eS mCfo6BCJ8wyPYcok8cYUqEebrhK0YFQ98Bs0Us14DjQJ+6fizd2P6TvdYMCHfrVvbxxvieHky1yY //9gl4VbOZ+8F9W+LTKc9F3IfraqP8uURpLroUY8tjQpcsULW98q7w0plzD4Bxv1oOn9PMI+6tkp iU096y10dVWi1bI71iALk0qtbanMM6Uf0dgSvcxBV6mLiZfc7XhEZGdYU7BhN9/ZDzlbCKMPRrab VoiJWhE/ZAHyewzTjVk0La8x2W2BkVCqzRwz0yYhsXdrr3INGbmujJNhyil1SdQBfNRMziIE3QUh /u3GpAf5lLEGIQTVzaHV7nlEBwka4m/AwxuZUbxMLULdWTneIkPsRul+vt1TrKIaCrtDdZiNHr9X nKNPZwxAuVLTEL6Cc8EB53lXaumGQ9n+FHK9pHtaKBAKpMq96SjAhzPr1eSgvLIhkOXL2n/DzZfM S9cNMd5Uj3N9mHUXabifyrb1a5Y5br13wnteA52vLd/hBcytitFnsTtoj/zp18mDP1y7QVAun5Ba 6oEaWD9tsPByQlFkSAUSkjQEaf7EwzPW852Aw3ufYRxEk1kexsUxdXkqL3k4I4IqAQvGeP2WbS9O Ey4O0tKmiAKq3pPvnKVJCdwfN6Y1WY4uJvc7Uj09MIcM3oxKY9aNHoLmb41KMToyqtCV9Cic7L4a p0v2fQ+UnDShDkVAhDdYoOntbN7mCuN5EhDj8NgyvMIHVq3QFHqDS0SfNPVxzflpkMvjh8ePBfvT zrtvsKjwBoGByX2j+NohqRscKsD15dj5OADh1gGAaaVJifM0F8rBYuTwatnchXzsZcgMji71yDBs 7oUlJojyHjdsV2xTf9WcmiRvD7R2auTnHm0LwJmaFm++8SdHXNZf5lUSKOzT3oT1jDe1oxBravDn gwObpLVwhVJazkTdaFCgLCW93Gq5DiMYGEu9zSc+VoSP/BCEaLZ528DhDH9MC7jlh1dFplQt9OCn 8+R1RuLUDO5dSv63SevrxcZyNi25TLHIrSxRPL+QxtbdWFQwhQ62dZmgm9xNMCwxolhntNA0BreG EOqFQXrp2fodNuvyMtDOnGQ9isvCX+NN0V6b6FmqVCGp+Q3A+M81Ufeg7+q5lvGH0qSe3rEpFzfn 2s+s4KXSV2Fv8nSuPwvITVJ0kGM/nbRNl2nEW6aySzWd67dLTzIlXLLmFOm7LCxfUn+9gY4KSp6L IN5kauUcbTNVeCMSFHPozJpvl4bfpatK3RFurtWkYb/F9QW92l/wWrfEHCuWjLwxPX23xOklW6NP Kf8IiG46Vg7Pq0QromquYBDYzrXPuGDthlu7fV7QnPyj+jGXW75OfgV3LESlmRvQvP0sVAxTAhPR yH4wntvJeezfmpGuzsGzL/39o0TZ9dCaUbDnnixtxRSLUw0jdvJo0JxCqA8cyDr87OOOapzsw2r3 tmLvC/vZUnvoGS1QoZ1+aIr65EMHryi5XU49nU2A4Kxebew55h9PtCDTP5IRbc2B/bGsNT0UTBUk /KaB8IfDXaBGntwTDDnMNKR+IcFRY0wcHtT5og+y5PQYOJAjYLTw2qfy2uTn6jglzvYDDNhYsjz8 RdTTDT+VUozRhcWuk2Z/mtFHDA1Cw1H93NBYa6BvK4uJHV4NhxFhRZAIP91afOIcWGtCbG4c/1N3 LjbZ8n3+bC6VL5suaTQupyvip3WTpCc4f5xF/c22l+ewG2h6Kvb4OxlWEpquxxFkFkXMfvayGy1M fu1X6Kzcyimbj56J4UpfaVFsP4h0y1F5Yufiq/IuZfHh5K1nMs5F1sF93zo2QkgEipWEYApEGSfn fbLv37bLOgdkORJXdEU1YX0IMwOLlBwYSYBMKUQyGgx0y122D8Rrtn1E9uDE+bCc0d+k8Ux8XZt9 m8u9XnQeiXFS/oFSxB2B2CR9pYXQ+Wi65CPk8Lsm5X3VKAXEfU65H1LLAUkcSgfGrLy8Jy6kZlUK stYrlH1OlcmnShrEuAg6leQzDCsrs4VgpeqIFB72hbpni3hbCn4PrYOsNF/Ve2gYjwRbpJbD4qZ1 nQVny9nu7eULrjfmDxB3iQisj+ifCNJXeWlOxS+bTyNoUIemo2lHVtbuC6FXrDBgxkLQwqoEoO10 j2hU1g5YdV104qatX3WHGnyVXFP6NFMsb6f7ZvDYvmytLpISEPwtZMAQteia1Ygutha/h4r3aKKq IP5v4SkeuBJSH5DWkpX0DIjandM1sySAzfpuYVCN7dTYSYzTsjfx33Nwpoy844tXuYvqW64addih zuRlzNuOyxdsFFpPAcSrJMNfPijooZ3KIE3SbDhKvm0BE6RZD3Oj08i/HyEAqBEd21WT6yJoKtmN xT5oskCwnG8pGWPqYGLVpREqTEVM4Kq1+fYRUI2vcnfoqgCy7n10/1vLevQGhvKFklIMF/hC0Rkp NuTL9m+IAVcgZWuMWqggAUksJdEYetdtM0rW+xuOmimj1jP9SeU9bHmjdbIIfWTEZHa+KFczJVv4 YPjGnJFQgCobtXIn+rJPY6CG4/vrA4fKc4qNrNfHLwcs8ydWc4bICEc/3ffR1wMrsK4E615rBRoc gu0JPceGE1iHJfQrDAr3RAcarMRin/yxU4d1ZyuyPWKqgiCM8wuIiOL5dGppm1g1lWF6AKZYmo0O UvqzcGTisXqJ2SoQqzhgvooAaTmUb81pK8GYKg+pElIRDwoKa4XLExPMLyuuSvPyqk7w3cePtnfe OiDtZSY1FqUwSkFSd5ZgeC3a5AtNuydtjxlGPOX1qEKnsjNW/qNnpd3hRrms0tU4GUeTdHlfdiRK 9xwovW26E1dVA2XjHwNnyl8wWpicNj/jkVU4eGokZp9XZIGCr/FvXM2yZi3IoQMb2DNLIB4/Ouj/ b+WRH6dZ5zTCfsDmEJAjWJVtfUpr+cogNI0Ofb9EPS9aLHQVTcyIi4b0/Ix+mXOl1FRZYF7Wx7HK 19uZgZN0fLPlDGWLTPwEjFFC20TcMioBfVePMzF5foHG+QP+vYN8zznkzDn3zCoiK0LIB4OVtaAz GkDPwmNz1iwPd5vpt2iCeOSzkE04pKPdH9MXflkAZhX8JvnYw23CfiL2IoEouvs+zzfIeU9HhMHm WG2vqCvDrj5+vw8j51dYH7N4RQ3OavSiNnYhAOwkVtdaGlEETAsgI7T6CO54tOmHMfD6KYLf55gH E/HcKIE0M9i1XtcAntDXECZapW8P8YkxaGG+3TzBbMSLs6MPpn7ZZ659MVaSyCBCXTKCGDOiwSXh SXgoklkq3eJcK1rU2UurAz9BvJMjJymjwary7pKw8S8Of4/RBbkyAQ97k6o1h+qbnfQ4n+wzyv55 xCVQY0w1TCxorRh5BamecdM/GqweI7TE3YyLAKA+ZzNzgFnggoAKoDe//EO2XjYUcpwaKECUVE8I Nwfgy8Ucsc37rCP9TY6o52bW69U6GTljacm2zi54Bv9gGTqhJVRos73Igkblx3swkZU5MKRWxtun 4ayPo5yrGryQOEKNxHfAUqRbAv11UnXFb0glEVg+eJXjZ+QMcEU/yJc6eNfVrtEHKzjUPfI2e9MF 5KoPm3AcwfCAtyepKYeUAwDkO4KxpM9TlqZja0TUpSGy/1PsTHSDUF1SGsh6a10Yc1QrSLP3rsXf W0507aIPJs+X/1pQHjZNUUITUOfUcI5XWkN+vkHKBhuvMFJB0c8moUVMiAFl1og7MRKnwDw90mPe EAqwFi4lEEUk0NiE0Q/1Lxoiz6DXMxHzgJNbVcrqCZ4tsp34CYhsShLEH+Qr34goveOkI0ky8AIE Ffozup23SBGcktbXtmoT6cSPlHfPKsd4Xh5oKItXdf5spKetTushlpD69JvPWxNoXpweGGGVgSTo zIdNNMzURgBIKixmiyHBywo8bGX4JhYy5WhilV0KvIj8tJ+L+nG2baCnHHboM/whHo6hXNv11JNH n7oBwEd7/zAsGwtcNhLZe+sgRA4VgAww2s0EyN74NAnpsO85UpySnw8K21BtiVZcp4llS1ShNxUa AxZD3Z2khbu9cgegWhddsOHHAW7c8HTikCRjghe/C1HZQ5w3daxHOq1a93KwG5F9WssY4MXHi9KP MwL8f/gDO/t/BWwVdbnnEVEVBNQipkUqy4FFnipU1b0qPU2MbJt2Xy9q0CtUNmEqtGFPXlGjkClD XKeEmhcd1IMvdQi/Br8IonXzYaf1mLfSfwGrfcGK4yPuYwWRvK3e21czkHlecgcRfd22fM7+lCBM ZAVot5CG84xE6goYzHvIA6QahaEeRANVZWmsxtvMmbCjoYlr1Ncz/FHIdcLKxQqPZYLvKoybxyQg 4SF1di/2wTK415wFI/7/37/DGz+EA02AK8w08N9SbM8P+XiUSeyGxbuD1Nu0lEl6lPm7K8PLzgfi Bzib8PI2WEO8PxsHa++4Gkqjy0tGWfi2i3p4yvoX7Qr0uLYKNWWbQoS+UfOaek6+e5kYOph7/7+Q 5wSrtW3kBf8z0b8Mls5FvryTYegyuQPeR+RW3GZRSHa2ympS54lIVmU4Wvt6X4aRHrcJOxeGLnOd NpjUhFZM1iMjYXLZd9oJEeloHU7SYA5vDjN2Sckuhy4+N+2FSFD2n6DbH3etZQzvue2B6w2A3kSg EWNVeEqpe7msijg1PjzFO4/DggP2Wzu39eydAgZ+GA22mStDBIak6pZbBvOB0UOJr1JMjJUV9x5y LmdYb5I7Ok675QFb8ZYYr5Q+CYdkrW1no3N0kCFTjJ+tyuLwt3yH2++2byV75W9v4vZqdKOsl2Hs isPrTm8EkpP0YOMeJupBkSJjtaY/6tuttoKDJwgJ5BFTV68WHyqE4b40nUD5twQN1OYlWfJis7g/ HDz+iaX9HpA35Ls40aQgGJivxHUouR/Lwb17JYjT5d+31uyJ/BSepYv+H0sm9jxySDXeqv/92cJf iaVMyrUk8m4P2nUip4MVNXefmkbfF2jaypFMDpe/tLCfn5OQ7FOIlfnEqQKGHOy/PyLWRZwTzYYS VJoJW5XXaNA3k/kqmgNdHWmvWj8hQmm9BNqbp9cIvla9oUwtyB1ztj4WQLeV19cb38XX4vi4lSwt eR2BFhf1hwF6ktEZZC/pqZrsyJlyvpE073v9kAWDUwQg107ThBXOYxnljMwCTpoew16I8E6V/DA9 0y479Ray1sldTzpe+MuCx8iTyQsrZAAwcBCdg+U9JN8ghBykks9yAxN4hC1xQvVBG/cztedyYv7k iev3fpdGrszLJysHdMXqfRHajX39p2CEFdRm81DNHrNSZe7RA9FsLee5QGDdRnxzjpJWbzAYuP3i Wf114mVDQXw39fcfdm36zmieIgvonO5g+2ehAsdfJygRu35g+b5H8sTtf3kihE4IU22CgW6s50CV gELSNAAPX49I2rWxaGCnsBBPdrZDNDRcyp/y/OuUsAVBzkPwI71taeQh7y7rg3t+bhjYUkycG8WH aSzmuwCOi1Nw2KSuA28U3Ef3V16Zv8qDBwBx89bMUzjbZ4YCyVH/KjziVlzUU8Pbdddlx2wNmJ57 PfQEtSD+b0HMwYLquknadDRONC17PSXbSczEsluUSOrQIV7xKienYW7Nbugm9uuPP3vcR0hL4mDC 47oTZNWI3Ox2MezmpZSRP6x0NNN3fcHWT/V4tsCwBsoHbu1XuQvLunZnP/oucQPwgFPwzxNpDjtR I2gFhJTdwBd7EmU4wvd6GCQlMs6foOkvH/+Q+4Yv7XSm3kVgP+8o8tSDHQGaz+6W4jOXBgkpzEjI 0qOuzFJakJwmeNzIvYBWVh1fF6bFPbvd8DzBhQhNgsoyrPiyO2WhTEDqkMBv/MPA5Xyav+6sOjvG RcsYV0BkhdgkNgF8xXTDU94GagIcO20CzKR9SiGrXuvaPsBysUmhwe8aTb6rQ0frScEiHBTaim1A x22Spug1xqpLJQPd3nPBIsqCjDyh89Xeu87VC0vvwtDw+LOfL30JzTYBZqq7tHlJ5NoTu42ct6yk tLHbUz5UMXG6WX3NWOC/MAf87i8z+NJqQi/fFYFhtwsy7SnL+mo7OF5C/h3IN84Pa7Mcy5NlzvXH oJl8+x62FBu5aa+Fl75sQ60DuxO5BimWTeT0MSy4oZAt/jmTITglMMgzbajb2AqJORG457XPNn3c D9KWqlWg7bokl4Dmaa6NjXgyhv0VRfhW+4t+C4JiUmOqQLUYeMmC60WqoW+aoX42ulbuBI4A2nVP mpL+HsNX+vyc5PXTXYvWDaDmGNiX2q5QRncqJ4e3FicRxC5TyXp7Dj4Yk6VVzbaMo9Pxsc55kkAu SmVa/SVA1rhmGYH4i54tpPde7zMUxWQoRF7kyhhhlY3+RefbyM3MXcJTFVVGjxPayAyuVsEkebq1 Mv3Ts8G1aHASVbkXg18MO48GF7fo2jxzfll0U/cxeybCR/SIDfTLfshN2gXuQG8ndDuncAvhZekJ gkpGVdwa+CwdNmHLfBEwhhAa4sbxo20p8tSi9kOugnhvaQRckAW32JpHm1Kgw35k4ZFw6eSU6O+I tAGAo9aN8a9PIlOPfcg3vPr8DQ6OmUjuFA0PPxB/iOC2Sin09tNBh3Rzr9R/TAwrK7RmIxFOtz1J hGObAvLSn8YO1I2vl/wKVFDvWoNNDTQ0eOJjQcOBJYItCta0nApLuvfLz3aOH5yEK0A/JOG2BLOG MV/rzGI17VANG53qmdZ5aZABngN3jKkuIhcO1x10CtHU/kyaqnmWWl3y5ZJvx5CsmhVZ2+uoNUYd FCVgJ38d9sr8EPf5FAseqP4rCVBQPApeEiwmySnNsZgLf1qQNpFrEr48o/4fz40Zs7w+G//SESIM JlvZ8b/8fYi6vCzeMzA+0JumhdZrLgglL/DlcDTyIRnafB9rPLSgApq8rQv8Vz/OhArHkymM1uCf CBX5uAzT/794B4rCth3Zvfu6JBzYFSr/h0lKcmAYPnTspxCHYF3BKCIBQR91AdDH964nHXPr3dLp GveL0LzHBOJ6+yYrq5KT3dN5QzyuuMR/GwAa0f12Lbumvzx66cxEP1o087ksj+ukkVnyttLAmW2r o0r3oqOoVbO33DgBr80j3pFz1Kmo8Y+LNuCpAQPhA9nL3Lt71efcRUvIWWW267nXrcoxkFMWwDR+ H5jcaDNW6PZ9WwoGLNNg24xzVxFGhR/GmcwkiUD6SP9sdCSF6Y8yqNtTaxHPOt0LQ8YI/iAdH0e2 WHUIvZRkbl9YQp+5Y6jv34AA/M6GXhygcG3ZN7YoELmHg85Wg3xlywa+5DrCE9gFp0pXa3nCR2F7 F9UNnaxDhB9i+Tn2djZw/ZmsDc+fVg/rOT1TeezHw0gri/N4ytzmXbpDEYH1py9fTZ8Jw9VoT8yH 9WMXAjQk5EYor1U9QKPcFkWwU5yscktKOO9vbWssRwjstUFyerXyYh8G4Hqb/DWhjK1weAe+6T02 vD3mkLw/5EJOeNs3fAGGHHWifu2ZHJ5+zkkVKMhABlQ+E7aIZFaSCd0EaMj0ZKtckGgpLHVlSEJH mebIc0D6YWE5Y+g4BAayqfdk54kTfNtq/tZQOV3YNNwdV6lZqlz0xfYmmXQ1Tw+CLo9ENJ2tzrgB CnXKu6DUcL/ErIgBCn3T7bwzPH2522UF3LsEqT88+NYQNjgSkkl0fTQoDR3nOHXK4A8aRkIZsRY9 ohAXnctNkUkk2lHVvD1Y8yjo+bK2deAbRAPX1X1BBH7WYt2mFge7+rUDfOUNgQ3ERuUekux2iH8Z d5FpipiEw4NYYPz7/QBsiA/3ZKFmQqdERi5qDrrazkCYHfr7oSyiblTDMFLoDBLKHGpHIKqT0NMx KSHPVvd5MUlBwgxyYcLcDFIREcNYFcwe4O/YXZWCEMi+iF9Ip4Blf0bvkxX3XNeXxpPbPSESD2AA FvT3Vy6dc/qUsaT2AA8z/uyvVzPWKPP099Azbf/BP3Zj0mhVEJnHDsKBciNJ7jUZ80Oac4kMYvrz ANw5/cWR26EN9XujyBNPC44r6bW+4tdxKjRX2I9xVsp5jTmUdo5KAF87y2AGWtxOtHRLzmmlILWz iPeoNolaMTq60CicU9jN5VUbTDIQQ7fSJglskBEKwqiGZ34DRDq+zc1tI1mfsymVnwIKChNs1Xzk N1tADgCeejvORmvCbhGv4kVdLxuKLc8LrUYvAx/SinRQFeC91TRqe7PwYhhY7xJgpICpjzbcInmf DGhUvXilAdaYYgkR5P9L6BHteDUhsaz9YLYY0AEgzTUbbMTqVtaogNbNwURoC86RhD6CmY2sAXu5 AX1xsOX9sYp2aTJNMXYbumdS0ffe7zaPHAT0fm6komvjDuOIShs0cJ1mVb683bkf8TVAt0HNg5OK /Uo+3Q5f764bkhz56Xxq8vxeRzaDW/QgmkSK04ybagQA8r3WqP2tXo0qbf1nfaUOa4i21gnHludY ciyl0x1/8qi5s7WXEx5JyrfGiHV+MIboIfNc0DO1LI3XGyBAL+4oSLh3W6h8NmbSREgeOHiHHVaE qCk54BiJGzs6aY0oqL2mE4+Ku2KrcBxRCMnv6s1MDvGE9FjuDYELar48sZdx5ft5fCaRo1cOUPiK sqtdhOD88O//838ZJfIdoSY3YFdWh6Bg19S2dIHMmhB1ibnZzhz7JhKO1hZJSxJlNSwYAw0QKAj7 ksEDRdESr0QAUorHqz6yMxH9HZTcNV/HcD//R/iizCa0YjOIJncseAlk93KamAaIeVD50yeCoLyC R1aqo+FkiWm5o+hIUfm+Jh6vhmaUDGiWc2G6eMvE43CGKdEKM/BTLeEap+7do8yCiaQpvqjpk46N GZfsBYnCI5j3g/vD4LDvq8XEJpclxIdyy9ICIw5LyErax0V5fmAEGM7wtXjBdDRqGcgqpHgwciNG hyZZmCkX7U7K3qnY21r5c1/FWFmK4C6yePAPAzdoS3VfmwWPwKUh4VJmwO5VihcN9VaMgo3kn+i4 bvKobbsrDLuvQGWaEmYFDqM08IjrqoZi/bzXah6tF0BFAlrHFxCkWf+grfUjUA5PnGAPIozpMF7i aAw/jvQI2tw4Ku1/As6vl2Sxsr4cy+5J+31iSdeZUNXfECdoQZaYBB9YeKouOlm8leANclLYtVIa GiMbP1IR7bA+XGAo5/YV6WKlmBN0DjVrLfg1W7B34ox3FeV7EbK60yurU3nOJ5T4LZViKeosWLbp mpm0fhAnq3M4skuhViPdu/tRE7Q6kjADmqWQGt+5cHC5PcKmlXCFXYgk/IfimKuRfJhb9HTIOvUZ oA+z5acdcZMBb0gwVrkl5e3g8MGc46Rl/XBhk+lSOnzmTfZOtGu0ZAASUcsz1vFLVlUwf04b5Yuw XVFoXfo7XL2yO320Agf54P6aMII98oe4hzqUvkJuZgfRB0x5+ipugkZQz4G42v/YnSOA9B7IOYD3 GQbGGt2+KlHDsLGNSQqHiF5LYu3tMnWb5LcINuw4t8iaRbgl8efkKIPMmyh4ZHhpCR4xC5HHrZB5 3vQGqD7Mj4SiTC5Tkubsq0X7iiqxqoVXCezXk0Q+zsDCbW6CmLwV0PDyaClBpHkh8eTl/ta/06qI zhwoF5ozzKHVVPDowS0KUuiuxbfs/xI0egz9vC5MfBp3YmTCUFUV80ozQervMc28Sq3Op7a8groe zKjIizMKru5B18WSXoLi6Wj+AMO+Y64tWtfENHx7jut8LzfXHjOCcFPdDUapE5Ol28N1vpmgScVz qoxFK0nO4GfBFoGKLgz18O4OUKY/A6TxylPXutw141RJ+T36wyA69cPokC0Dtegy6o6DDIH4lwvw Jb/1j3NXVzIEWQ81tWdR3/FerwX5IjjvyjkTNS2C/N1cTvWZ7tI/g/ENXYTSIMdxYHTGCrL7dWYs P8nOjaagJrLniE6Hfdus6037f4bN+5gJzahAvRIklF9/bJxv/mmiNVNz/Xt1fn1xgmGxJ5Sh8Xt1 4WSXGpVpxISJVoCJD5pHvt5e869LyvRHzPOY2waRcphFqtjL8i2pgkNrKjD02kN1fPnfGvY8eIXi lo3mJ7iU7wIi4LC57AzeCQjwGNLea0mMN4TMN6kG9U2/N3+l4fAcyYvpXR/IHJB6s53iPRTHB6/l zcpRxNWJEgKU3gVAGR+HLqqQ27eXi5TI88BgE69RldMuHIyFRwhiMctAGH97kyXTP2jbDg/iPtxw mNODvf6MSrN3cK1UB5NMRqNXls3Zaro91LFVANrSbZW478MMq4qMYLllmGniGZ24drJ8HUopGXXx 3ksVZ/tlkNm7/UVFDCAeQfK1PXwsV5IX45lfTqzSs2NDb5E4yZdjFSBIZUTUmzfO+UKXhdIXJ9pJ W2e2QAXR4qVtApENjZrdMHIGJ/WyM10m4exPPcKULeBuQvS2S6ruoolgfYMKARV7NaDAycucsWLI nKeUIdM9QDHIZc9A/RyeHhAMFKNpXpMdETAWetwOA2aIfRpDZZDhqYfl4roRgyjGHCsc3fRM99WX f5jt9mBnNYM5t1fopSqF22T+Y6jmwldbGGKz37bL6uSeo6PkfMHkqcWMv9ZM9NbtMPhOswWllU/D wNSMqQm1mR4k6nvJCWkq2NgyBJys80oZqzQJFM2k8MnHvD7YHNPe+UIVjr7GwI34G/T/1WK/vggI 4pKFCBDcPv/NaTUuvpRjSLbMfId84oKsU57Al0dFmm/wTWSGeaQfkc30xUUTCncgL+x8EjqW/W2j csIMkeUDrtkuD7WNUm7lBsuhIU8Eae4I/L2ycF5TTFEMX8v8ke2WEsB4ZZMJPrfoiewz2AAAWrFL Ke6TY/15kGmxDwhisi+vC/vytkqRrQC5OthD3t7bYgbo1U20C2XW3sbiFUjOWgFYWkWdjYFrONhh 80zeIxt4Vd8FlwS6b8zmmnT4Kvb4cXCrg2oaET+Xikr/t7jOvcS1FNur/Tndw+0BsFuKt0Y/9Q9s aSM84O8GuqPkFmBck06UO9mX3oU+Vlt4RIFkZxRSHIH/tWkBLPcpg6CIxGKyg0LIQeeCs/Ju00aI YwXI2gAFnpgzpVJJdqZD3a3xqxBST77+2XeI2u6pBgRAHBswPjzRpQTzWLDdymgcD+kO33HGDcNc fzkk17cY0E+EhibptUdXMaIyt9rmtLhGTI6qk4zDcoqHQ8QBX9S18139IdPgRR7ISubVG3AiTi6S MLbXTixfWdni5Ub776cFSOx4Uy6WtBmjis9puvVm0JUAPehSOkFVQ0w7iMm/SYGNpRs38apptA7y vPi8NeNjkRPg7rcdIWUnvq4uVLvwcUcHfuRe+Sj4iczj/Y6T7EV90G6MI0dB7bxmvqPxZL9HO2CM FVMrjulApj6Rup2G8+GcPFEmGfBCidimbMjJ3gsxglEzcKZhX5jEOJxqlPKhkNbO/EtPpcp8pFkS Qf3PEBBBOfL5zFX5bkuRIEOrp1KwFnoUG+sKH2vJZuxLKes5vbuVIDb86bP9Nm0m9K878iRgdCSZ yWX1wpvx4KYPjbNItx7qgYhqLKiFHp+g7Knw9NrBh/dVEurSHi2gOmZj5D8j1MpN9vwReQl8W4XK 70J0aBdftnJ3D+G5DoGblDIxbGl2oYxIpp5ze6q0aCa0hKtLR4Q7xuYqtCrg0UWVNbrHtsjvo9xC STV8II019jq+q5cZ2KKgH9f280OVRLquT+ntOCzDI679kBzt8+1mclK7MDxdVb9PJ5K6n/97zC+y Bh7ODXugfhV82doePIKBJJ65mw8oTSUbNLvUNJir/myyOAHKHwRco/dsAZznQtev4dBop0SvTWJA y5YXQjCQTNWd7DNpt+Q0iLDFci4FPxSkVhcE+4uT9o1DbX+m5RKMlbO3aS+oZMGNoJfwOIetZ0r8 VBSS4i5ow7+EUIabmx48VLIdAdSbXvr6v9Ecmp9hFop3fPtn/HZNI16h5ZWjGyGvYrKkHjcRr57o APyPwhOSpMpopGzuin801qMo2D9zNdQMVoVtNcknpOhqC2nzPKMSAPcsbomp0qPHf11D682XhJpY ktGdaCYCrq4hPL2iXJWNaCjCDqqwGfqAEp7Pm9WIZ0Yv0wvAJJ0RWzKy0eI83alTsTVAdBfoP2On 6Tql0k3KZrBPqHiE8N312tE41AYfepsJ2doOmGzI28DsgCIgDE0iCYLPjE5JtSh28V84nhfRsGk0 OcdL52Q5ogfspsQqLXQw2voudjfcs7nVJCKCwglDwNS0vRSCmY8Ic4YWWgm+TynGNNgN+/+oDXqH 7GH/RkRlI+lRQX/7KYVP7V2usw2+EZ6r3cpRtkHfBU1Dg2Drh4mNCa6obfT0QLNlOHX/R3pxcV6P dOdZkoIgi+wCT55OIWsncB/fJD7IgR+hNcVXcl9MfjI5DHJrxYCgDUaJomgRsdm5OJsxqz38uYT6 zUlB7cHtDL7gkK6Xny6BNpp7whzUCiXpA7iWCiZElGLgAkRxKFwQ9vJsGbFAgaHTu97ub+jJu31n A2HGDb8nBd49l6V89Ecws1XKr4k/G+bqheQ0JhvsJNZX+/3Db2lyXeBc9TIqRhpEChszvep+W9Fo 8joG6v/R0xkW9Z+wsdQLveQj8wDL+JUauveUmzg4FufjUNqcD48zCZqxt/SYvkaBF0hVcRE0keFV yJ7odqG4HOnIXnVWMSdnMfL2++4RxBuVx8ApUcyPPP/R1q4D6rJlxrDdzLKMB2Aetk4u8k6MTTnP J89FuAByZThY9BmM8A2agjewm6OBJMZA0hr84cieweVZtxG1iHgg5nICcmHZv4HKti0EmQ+CNODS N7NbryhEsRlxJXwigv4dwYZ/s/WzJ11fcK548lbnhzP07NV6PsTZi9WT9CuntiM3MsDUc3Zv37Oy A0ZJTsLfZl4Uln7Uafwp1/c3oQCUGDh67m0uR/8/jBpt4MuLGJbKFYbXZyi2Eotj2H2Deq91RkuE 54PD6UWV0+DxNa1QTjyVCLkCi4mEMmKhexMZ1G4HpQs7fpiwlO4XWRV8FIAL62nUGYyZCWc5PriT t/x0Md9y9Fkl+Xa9G4aNIp+z87WGKkY2S3nx2+dRKWxfIGk292UmJpunMV9u6Bp5k7YJifpn9pu3 3dfO+ET/zdNbrrwOieEo7LKl1jo7YMNfG8wQMyIgLFYTotzhPqZb0GVEDoXjIFadIWKY+cQpoPWt cocFJOB8MXTT7jBKgz2IafD2my96dryvC7aNDbrh19fYWaVxahYtGQwGdpOdkV0N6rYVCeS936Yq raGcmzv17km3DE1XR9I0aAbBEZJqRdB8VYkBKw7/fQ/ok6i0Iv/CiXI0vQdOx57SjS8/M/Dy2vsm ZPCPfgH8bSW0P/mtoJmzDUyNtOPgp282Y8NNAKr96L7EgOa4b0vIxeMqg9SqhPr8QBV2WsFxfojf BnH5TcpQA/JoHeMGUrdSgSkmWJa1YwR8h6vrRxvSlCTviQlsFcELAl3SrQjY0g7AoZ431KgfbhaH yJTb2QQFt1/x01dJ052CEhgYISplHy1yy+TGQoA+vLI2Pd6uUC8LrDHp0f6mZdeCGCfmHT8HhF7g Bn1EoiH1nSPYvVjbyAWXyxubAEn6Wb4OXuB4UzJb8yqRQ7a7O0O2PbP2Y3YpD6uCpx4MaIM6FF0d w/MamEx/MHw/9qu0OtixrbIzwRMnzRxi4JEjO72O+fUvE6ih0hIhpmTYQfeOoRUNf/XqQ/Jh+Gx1 PlWvAwOehbqQgFV59k/AzB/zHSKc9RGKUUbNQRGwP2XJL89EI/5yyHbR9osZrlgd8hq4BzRBBsiO zKkvnAxJRTxv+MYdYQmzlE0qpmZa+eyobqGbpyXevXUhL+rVDgIEzUel8QEUZfPvKF8EtBcBXnt1 RMn/YUpbzLFHEPaFLMgv4d/0XJDhAQw91bmeg1D5tJdGoYbKlIxcRSJ8RSXCqc7vfCwpvjmPqQcZ q5NnNk3IvtH++xU2hNT6MPp3i8Up/ylgW72EoODlWZiLaBDCFW8evshV0lXBXRpHsLSlY5rokvbH HHWMce15/bRZCGMwePWHXuxTWIP1yFYGcaChmMUv5ZLpPhjWb6R7lAbGZDUkSi7Fbe7B/Emo2PK6 bQEa+Jz2Np93pBuW2kbWz2IGBc0MLrOnVj3n6d/22vamFq2msd2f/jUCP+xxyFuiaxIEp25GgxFe Bxzhf8w8zJDAK8iuwUkPfmyVr3gISb0mTBmVy3qWUEkjFzqurQXzXLt1LoNiOmA1uzu2HKJQZ0Z+ yO07GQsFyBav2Z4ChI0BKHk6+p/sX11xtTdFrYAcw6xisajCMwCOl5j6QExBw8YiwV5hW/sCli5F mNlbH3v1EapwTw4pBpL4ab0QsL6mY2iN/UZXpK3H9IDYlSd2giusErbGz1Wzz4sj6+RNrM9BrmAc wVQiD55FsEPojfqtsj5QtSPhwwH+QnddNOIsMqqOsA/u4hA7Hn9Xhb+heGRavdMzJWTYKHsjCizo /QzxnfJPWHp7DmD/RLPLCjrBNuSwdxs7hhFMub5nC9M4GSPU4OvHMoy91cbkCeOCQhTf8/goSiR9 8WiqWxLBhxSgpjiL4MRklLNO9yrnz/ne3kbr9eSkB6HZvvHS3msNcfSvTP3Q2iMqbSgViX1FMst6 zlGz7cC74Ba6/RpHgKkztdcDC100c9vIQRt4+RNlnRRaXQorTA6FUe8YzpV9F1RjGH+oUq+uYVWP NCUUAv9e+mDZZw6A/bzVR4Id94vykrFWabbbpdSZmBRik1JNvqdeBxAr9JC1KNDYkUSAhVhr8Y/w CNPpbMF3QVRGLlMj7P/Xx36a9WbCgQWL6EA1Q/PS0wZZeyyFheie+HxmpvX2ZmuZeponad9Fw3FL 2NQWyUdbdg9T12BM40FC+fOZVMl7Gh9s4NjlTkPBtLg1tZdiywiIe4frXD9el8rqTHy4CRjqiFDy HW5pvcn/Pk9Mi+zv0h56ymZLM4MT4gGiu1OhEmNs66BqFmmuL6KIBUSbAhPcDX0eYxCWlq/0oMmK LeZfTmr9GRghWJIKO5DKhu86ZH/mM5vucOOlBHJ9ez9wxWhNqEILfydcBJmHc+lu3dloOu+qiA9P +HIw7BdYf0LZRQcP9jYF+FgxkeXdNvZLoML4FH031KGanqMAzAOBd1thEFI0rOJyBpGXjrk5uNJy tl73JPSEJ9zRSkhFv6S1BRvXR8goK4eTlaj2kV8OO/aQonFrH/ltBkW3kstK3nX/MfKwI+yRDS3Q 8CXb1rYMewgw8xsahL6gktvpKvoRnRv7N6S9148fiYDQw11ljmPnabvFG1xdD4s0Z2gL9yINxOQe +p3/erEsVf+5wT9Ae/ulro6rCdbxi7KsLqcoW+SwoVg82DlUmAhA2y61yK7X/fgF6rSoXtarC5Ir 1VDEsbtYYsbMhoUvmx4eEHxanic82xGFagPSN0MCUHRA44u+Yvh8Vl6W+p89L9mEp06boyA0l5lM HVaDlx1Z3rq7oTLH3HlXhR1nc722KgvI09R9lV0Br2I2TMDVTax2qKZQbWFaCKXfZpM9n/TEsvAt 4TRoAf6e/OsDWtNg9kQ3bDwaBEBeH/hd8QTmPE9Dpb7b/0espkb8j1H+c+tBuUAkDm7w3WPDRu/b gkBqCepernwdcvOit2YBdvbjXNGrooWbpY4J00gbXMwxlgIi8hoZnfBBNcLdF7LGs0umPSdpEjms E7K7Jo0/TLStBxYDACjvnB4jkSiGsShf0lTHAxcls6IyM/a0PFphgBgXa6R0WxabxKVJt0QnYEGa tkAtSa6ePOEpwRA01zLu9i+lkQ+SHdBDfMba1BRxCX3zLA0O4FBfpFgeApLGIM72UwLbtVU31AZi 99Cyw5WAX6k9ngKRtFUKsQVbY0VzDIRt+d7M/+ZunD7a48tDnvcFLD/KHMVuwuXhOzyHLwN0CqSH K+q/guXtBWiyIZKrQ0VsmcsgTqEzSGa5U4FLNugGsDwPOIN4P48hHRYYnnq8gn6T5r0IFhl5Na5u UnZx2G8Z0GylWqcmDRUO+y6Yj/KVbqVH8gzrNNy+oswZwpK+jy/aiLl1wtceUjUClKalmLaILk7T KXVKZgRZwBjplXr8L35YLIZrLZgtNwag2tCxcoSSftObB5a2kBsJHZg2UA4hRrQIp7pEvU1yS2Q5 iVKMwZP+ZoFo9oPpdxMTizX658K30yPdu2Tc3HcKGJwBeIFakNQJVddJ6Gpevv4UF0PSn9PEjt+L kMFnn7gJFYOHvGpcLB9EcM4jmRJ8d7Doi17u0afJ2RtTx4KEQnN9DQdbQKH0LJnRF54wwUuQlVxn IsqzROyQE5M6K7QBCv70d8S5FPZapDphv8611zNzkwLC8ZQz4qHW/1cDCPZhFu2Yhyy1t77wzuCx dQySe3om8fPuOJMaAiHar2tiPq2S2flXk07Ir3S5tL7K0sYHXQXcOwQ5H0f71RjJqcqOey26f+v7 WWrkibr4bHkWl5JlpqCQFP1rlFXXs6pTGw5wbisiDU+aF6t8YZtx8zr3OhfvsAnj6DF+tHJWMAk0 5Rh4jFB+b36QbG6yDqUUG0uGq46fWeZdK/xhaB9ibm6AlO1+Dch1S/IS7N1stVbkLqzPEF8K1qDL eYWM0eUWOqfHOcWLeVzYXtUjN+lRspNxRd/5DXQ9HakQu0FSiSlgAm5e6CWRMdhW/wDxnCsbOiO/ VeiEzilMlMFFC8Jc9QbY6l3d/rs8yZcQd9vKWNBik2dmNcfrHsOAAUfDKzamS6x1k7lbKZOfwFUl 4+W2UGleNMCmFcPocxNEfSH0qyKlOdOeSeVHNuUOgnLdFCUO3zlBhZTZv/qeq0Q6YzFNUg33bu3+ yPH8ugryXDGZ/qhm4QZJDFMuLCkl+dNgj24Q9O2sxohb2XR9og+HKKxCHN7aBTbZ9W3Qb1Ga1REk 7n/YAAxbFdKW33zE2llUh7ZZiX8P0d5i11LjCcE/moQo7xnX9SyuFPZZXweD0xTLIoVv9UFNcxu/ DWzLlkyaT3vX98dxD2uHbhT0Wju8jEeHnffh2ah5vr6A5tv14Enu3hlSDEp99NkNCMDism3fXdUN B4bzA1qAG04CQHgpD8cf+u7LUHryDxyZntGwWIy4rC+xi+VXIzfkgqdxIYlXT+UwjFENHn6lHzxw ETPK1ny0HXCpvNdM1b1mgmF7nEfwdMiqrxNStWG6+SgKB+O7K2kfufcHUs9LQT5mm0gPhH3wNRyt bFvlGU6ZFhT9Ldy+utJJu5DYCTmc76VWdynZUXj+FbWpR3LhnqRr/LwVoHRqHxjf5hrlfX40TxM0 QIGQ7k1lBvn+tNrE3MIw5HpAky/mkB7oSP6VGs+Mvk90+7QQ7u1NQE08pShb7/ai4PzoTu8M9OHg Kf1N2K9xzSw/VvL5oCRLfu2b7ti7LFyAmHwNXKIAqjL/aDZ6SmwbTefTOv8G9QwWodpft0qK6PQ/ t8HEajRrsiwaVdEnAGw4cIhI8IVwO0mmzcn+REKOU5FBmn7cP9II1oOKaJCDRqNvWJ2YUr7InWN1 inQc/JuJG6g6lkDIQnCxgCkBefSNMtjfWHKAPfIc+7YmgBaKaoYAAXy8qV2HU68EstiMQO788NiF cajxnDmeyHE9kezgrSNyBHyzY14OKrviIiKCK7lKp3e6wWB3lS24Wl8qoCa89hDIZg6uhekz4tHI 41l7ilZA4b5z8n3F5bIcw8gRTouAC6qF+4+RmDZN8X/i47GWTqS3hr5bj9Mx92GwiuGSTVE2GZlu BsATIyFx5Su0PAtCnKa39BR8dzaLcqfuVyTAH2NGWBBT8UYWT+sUl2DU4e5L03qdr8Fg/D8RqqaI WN8pceqWLPj9ilTXziY+7/O/q7zpnziY/Ted2LzfRqeJYhGVdKlyXOy+wCWwCXVVcjACHJESQeHM nHzJNXgzs1Or9mKiySugzj0NWTkSsfZKWqHB0T5txyAJPtQ3MaCGbXFMfsKwedFlltcs7kpN28bX 2tj+uwJjdqpbTFUB/z7e1SDmy8Ma+RokR3aRAuze1ALUXKW6i8j46KNGM/oL9bHj/HFjavhRgHbD 254jIFqh46j5k4NOBUS5xqQ2/lSEvWPtUmAmCDbD2Db9elzfJyZYSWSgYblm2v3KgWOKckQmD31X oWLwUoMPWm2CwKZO+GnQWKA5L+r9HK6ORaPXf4a1PfaaWx96VMvLzLBQZEOZz/3OQimJYR2MO2S8 yWs0I1vlFLCyRJ21qYANyg0OE3lexWx50RhecSNZb2WjMwLmV7nQs+Y7b8eEqqeMkvkNrah/azM1 VwreFOMScuKOlqUrvKEkbirdQMcEjJvW+KEcMNKyzQQ1PlrHGHdry1OMdOfdodroRogEY7lYPcf2 AMScyMX4l7TD/N3NzQoBXVNqValKDQhTGu1SrTGdtCP7QkznF6wBLuj08a4AkgEwItVorpcx1CVN qg8kaVI3Rj10jRusHguBPEIl0xpRZU2ailK7CExlz3ASWpTw1JYmRXpZgxvBEsjmCNHvaLDQYB9V ZBxtQ7S1d7jGk1VmFEhhamiI1Y7zcnsY68xtb+EdQm1l/WV3AEzlB2HNQx3UZQAgh6HQxj4ZR/A5 hbATVO71r/0oApE6ekz2EfsBFr4zjaBZnJHzJLv8Q90Ab3ohiE7OGo800s97v02vyAAjloe8RDh0 GkElfUxrR1wa52aALOPadKgKnolGBKMxgAHAiccUZAf8iRBuwyj8idUvn8ifWR11uy/9qPN19HIj Z8wYQ2LWpFQbnBKJf5O5UgTScIGSB4bqC3pdNWbI5v9PzrSUj5hJBzZjmWWu5a/KRmAK6gK0rO5u QJrFDHZWgqgxPvHnzXoEz6ZerLuOhaD+8Qqm1dDySw3Nr1kYFkrOoPeQK5P5e3E9gNGPs08L5qXN 08JcnihBSHWR1U684bT8bCN9k1BpDeoVgd/XarWnjTd5t6oD3OTCkHsYdf0g/ZEGuOLboZqv9usf D/vH++suHZ9gU9kw7PGo9ggmK/Vli/smlrYSSMz4uwoyC/ZegDyOO+GE70OzFk0Wox2GhGfFR3ot Qd/VtVBCD8Xy+Z8PMhpRgWGLvuC1FW4AFu7jMK171Bd5vjwsH90QngKto47A9zE44WjyuH9gmlMt pJmUuXzEtTX7E3QqTvDFOTulztNaTdXdb0vEPlZ+ws5TCiG5vgLBdyp8w/BSmxUllHuOZM+BIOX5 nEMCcqu+F88MzmZyEKJPONjnDrf7JRAHaEuc2zrCgDA9fFo1fGre11VuCZbPQf04hVggfYMMKV6z 1gjybmuZcwXHmfH0mDaclwBufr8o89iD5/kpLVbEbf74IJYCw2q8Ld1T24Lmg6jf+abg+BzxPXSJ +432ooj7ttzeOY7IXawVxszZl31V3iBPNCxBTmJWNWhq2jAaSGFvWVX/3MNIU1FT8Q5VTL7J5CuX y+t/6jTVN+bqS/IVlXBIb+d4QTx3c3h6fkLEbMnjR4JJRCUEQwBAG29ehfa7VSw6pyXx2p9cEG96 V63JgnVCFVVwxYBryTWyuqMdaoyaKa4XRSLLsn43s42yeXkFzmdivf2Hx1VAhMgLEgjLXflAKWep tKsmHOKveBM3fl4nRijxUzQhgJGfzXMR7KCz6MS16PBtIKMPDwNeIlbWlT/rEAby8vwY2Xx7ejG0 lEWthjaseA/CP0kf/FtW/69/JYuRIPzDapRzhSeAZm9n6BRpxHKs0PDpQQz6sJG2l15IPbaTqJDy IDsTVlExUu9hqejZ93HZa0P+SjXDN7VJXmD3LFaLwLsEFJ4eFP6U1/Y8is8p7tz7+ffOwswHcn2N czQzZoRFhSzBrgMyC9eN597u85QShq0HvJYV14EZiy/2KWFfFrdgVjGKzMsSNJ4g9wZaHsuIlNeV o4j7jkLZAYGXrc1sscjwKbQBSYF7Kv7RSw2kL20cD61nJBgOn1TEn9M6bFqABEQZr5sMXYXolKPi 7YOyhTyPG+PaVstavgAVmN1ElLwvmIbvYnokWhf1CWy4ttrLdrHbO+nP9bZDhX3xE2Or1HhlnHWQ sPvTmw0/vCX0aaaPF+IvA/iK4C6Q3Om+euwZ1CtB7NL4IX1hMOv4Iy0dwCmdH76rj8MsyOvFzC3b 15GtXRGAbQefaHTwwBVrBHeQJQAdMtBEYdUs27ed/YA8mJ8k/unR94fEWghjlMJJn2AzwYLh383g bK2YZgpDJasZ107HU1wweTfrL/5GFJGxvF1/D4QiRkOfR/F5dBsDug9KlFyPqHod8mZ/eA18yg2b yrRDUm7WFkIygrzZzttY0qIJvemHl7z+DJpWA4ws2NmYZkdFpyJFSFg5vhJTgu8+7CxTXbtHOsi6 tOHPtP8Zy/n6Zu98Rk9C2apsuh5RvJqVAi8xOihmogewF/RzaVCsHLWZpVky8lul26S1eb1je7Bn QOzUgPzIKVs4zaWqxvMUwX3GWhMaaep0mSKld2HzhhQvRJmvYtyPu7d9V6K62G/7AHMVy0OAKc/v P3uiOJnaS6Sy1trOdrdrnmY242Wju8l6QpcipS7ho7/a5ZOGs8Csz+PGsk2CA203Dm4nNCFc5V9a Sx0Vog+cvKga24GcTnVZb5c6WmyUjWmpT+W6nVTH6haunwrv/uNPJLGjOqzxAy293wQEXIcCJc6D I+Bkoj5uRE7X+BS81TL/QtgEPhGcfRA54ZQaW8n2qvhObFhJdZRxgX1AUSySI4gSkRqlw1aWsy+j uoswsaJqtg4ZdUNHlgRjFi8UQFNXtw656VUYQ1Ld9SdR4qJmQGZ6xAmnW+WboNn/SkkaBeUwSOpp MG7sKQfI1O1XrHh9nQz6Xq647seDIzQEzvNCrGuUWBgwirAxgHooRHEHAdIS8kOwZmicSVXUkTcL RxQRbxkCasSdcDKVMNO1qgIolxpX+7vGRwaODfS2JEUUFUO5h5YZJKMMp8341+q/zgQcXg8AU3BX eemRNFRPOgz1k8uWkEfvVod88iFX133IbXkc6xqWQh3MiIXRGxiFNQ1xEMsmT9+jWQZueirGn8ZD JQancu5qC4+QefDVfoTtk7T6S+76eMI1v3MyKCaIfv30Fi9/NQdxJGxjGMAL7YAz0L1soMUQhnCL v29B0JC1lImmEb63RsiMBPY6wTdHbhcOU8D5um5vkNbqoqjN2Uwo8YWM9mRLBBQ58ORqrlmtvC+C DJvwdwM3hkqSQlHe7SVXWBU1Iro6xuYsA1YOPp2/HI6I0IV+r3+vWN7tsprH8RZIKXS/LtLqj5xh w6EF2qu7pASi2AO4mw2wlopEBrIf/A4AHWi50gWuBXdqHORwlN6U7PrzYX7z3T9e7RwWKFlYrMZN BquUG4P1b7Nhd6iXdRUQecafm87V/UCD+6ONR/1sg8wcBSpkSgZDf+SyuoPo0ilVR/Y09c4mm259 NAjXCyzDlK/yWqr2X78EK9jf4TmCyDm4qunj56IUBYWBWV5GQUie8uN3C3+21dAirPx9hUFIwy1U gF+6Jd8kH6C+n7/G6qqgHO8n4ZOgQILVhIVunX7TREgvqqIHTlA1G7wElN2U9WENCd10Oeg08kK3 TnguLUpQhNwyIZ8UDp+49/J6XuY8bPN5GmcvQ9eW1IMYGNtMIrdrVowqFHCtWaFoUOWsH1EZZyF7 g2vMajPJTsovt2LKFst7J+5Sta5KqR6QsLFPwPx0hJFVDwIQbpSphkrh1lYazjkK2ce9i8zcd7xf XNMXSFzrlWAHrvqJo5SqbN06MDmatffbOVTCuZeKBue51QKf3ef02GWOY9uZKTixk3mX9hnPnEyG qUGTO/yUEYBD1fBQYpf2On8Sr6uhKyVkmwXLA24AuLLpFFt0SYlbGJ+zZbyAfz03Co4pL8DSB87N 6LTvFzgyQ/txx2Tz69QVVC4mGwfJn7+iLyTZ76qAI9JeTpjW1DZjrmyEkoWiFcENzrvS4oL0z06Z dE55dq4g8dhVsDnLtZ+eEVCDb2zOA/3U80oDsR8CBX9/buazDQAz9j7/LF5hrrapyBKGz5KZTTcZ w+vdAUNfmmpHsVxBnTaCuy800mT6PmkKYIGtLUvYq1tF2usgRfXt1USNI2klcelb3zGFJ8G72CUw VVQhU1cHv/OHSYyfCpRqa/cD7EThWbxXmNcEtKNnMRm1SdRdlQhbscD7+saslohg67o4ng+QAXS9 o/M/GaLjHm3qcfAAE3FUv3WQzdQ+miOrM2yW2BgAF4+0r5cpPXqj9M++LWlBPjms83FfrRokxk43 gfmQ+wk2HcKSjdlilgVZgL63zGeiibdfnEN4mXqnnNnR8qo5rDnRqyW2KVWlqUFKm7xyAP2R4p/k /YnzE/zmptsa5WQ0wawt/XQIjdwx7RY5SCOz/JVPW4fzufVoJzognI2o8F+Rxm083fid8hHcwR04 kc96qJTFhQFZvRrQK9BNney6S3S1AIPguzOwy9ME8IfqmP6CpZD/Gj2dW2HsVUgK+T6BxbaNziBG hwjoHaAMVw0BhjxgHeC7aEfncb8pzV0y++vwi2f06zB8mUtxoeQsmCFNYFJU3JtSHzGk7GOnw8rd L9jm/SZ5TpSdnbRu7+UqCqZjZupkiB88R4InixmvoEYzUCb6B9i74lQIyzEfvTokogBdQJZn8l8Q SWK33ail/N+2L2kuHIIAXGti3nGDtxUE4ecSZL7axMRHoAXtj1yeQo/6gsP9+ysJ2oRn2c6d3ztL 1XnM4sVh/83uOMieYgm4+ReP0E24Dk0yE4uhiykLJXalt+l5YY86wiHQUUxHXD0X5hdXBWuZ9KqA 4XZrkP3bS78OH7Eq3x5QFg2Ugna2IMNXoO+uQtqxIiQG5XG6pCgZSAKBthX+3B7GtH+nPPXxWb54 Q2YogmdlvZJcZxG19ee2vJgCw6SZmTPCBurFlbqLw7TYCBQxaFtqK0jVOxWIx9n8u1dHIX228HG9 2aHG8PC9B6d8M6NM2NE2GaQw/vbf1NWdjvKuNBDhOMDNHiTkM4Q11o/60knXK4jJdVgjC7eRpO5p TA35WJYHxyMPYbKEikO1L2mfn23s4NyG4XiowhQX8M5f5iSNxBPOZ1xgi+fCwn7qZB6xzvYaV6Da OF6fIqDXlQgNrUiCTcVcBM6Z/PyZOMTVUl/+4+rBAqgs+eY1zhTpMBsKBJJ8UgZoTpUeBjuGf0B6 xdDBWQr/P78QsnAK5bzBWtRJD1jT/TF+n/soBeWREDJqtYxXbU/AT/HNlFSGKG50Tuf6QTtc4h3/ 26FDeMYD0ynNNv92FLguN+Pbwl8sQDDWcWTVmM3E2mUSCCeUZGN/CeGl92UzVgCvRik4GtrVVtvN PIZtp58uhlYNA3UdJ0nwxWW9C8lSYLwyMDPPXjXpoWKzRFUhLYlU3/Ls4bMOjraOegpPmpj+H32T YGpNifX5JZ1PnGFyuhnBKAsWVBuO6HU8ChOYcQdenjei5qajhmaHe+1Nw+fMtp3EZiXMSZt5wq86 Kdf+p3pQHD49XJ1RAV1hl0rBQpKbxuDr2IIrBwqd6pN5u2aBPdtzgHx/KqErEllwDpB/ks0BPIsV FFppagiXDoq/VU7skElR+tSiCNkj7JBf5oggzUBkra4zlOhDtyd5YwzEbmz6QmR5MnRbSEuZumIC WuTtZycU+sgpPyEEsCrTw6dNFZoxZh7+Ckn8fA1T0yfXFS4SueuZ79fn+2ksFRPf9drAt20D9oRn GVh1E2yl1Bc1r3xQR28GqxlN32Dg8qEOFp3eWC+Pu0HGDbMDSryknXIOQLjqgYIKqc1+0bFyCTVu Xg0cEhH+GEQYPMwft6mlziEoq+9Sb5SZI2JkfS/0ulbT5xKJY+YC5ZeXFsekbULpNIzZ5lCC+CV/ cStw0rephuMG+n62mrRmz4Bt/SgZgSjijkzNp5JpCNqUSmWDqHFSPDQgn37CCWpN6/fM4TPw77bP 9MZdrVjkH1bhpH1VoQ8QNQlODabIZGi5rXpfZ/nKXGAs9HMlNAjHGnIyFNd2tuh/NIdREoprYJyL guqhNNUroqiQR8tKmJow3DF2rtSNQQchqvuduSOMFZTMexAn77NGFR9w9+gcRJZNXudAUcB364qJ nLyy1lhaLiodVr2JqMHgYiitv+DgjeI65D+lezhfuQ2nTvOMDhFAWMwEgoK2OB7jK58Wyjrpi9Y4 Vj+dJ2ywXVSVhGNsMsksr8XQF2E89QvZ9XNQvW6oop/D0iLVbbAf3eDh7j1dHJJuR403P/+wlJSl uY56a6Ga52I8a7VfKg/KWKo0HPK1w+Ocf1NUR880VWPul6IAVkw7CO3kPDYoe2QrzovvQM3Hm9Xh BDaYQ25q7KB5MUzfmU5O7fi7Hb/KTyKkWJ6/pnwlrFL+uxH5Vs/i1zLeKCLgl7UGuX9qx1UwwFk4 feNTTBsgBEMpsD5TSocWNltWJtfxUZLzJlhE08Uuz/RXUdyiqQqjFj1jcQHSANzKFkOyswltzmhH uOnLM9LQutFfDNxAZ/P1A94waKgO4Pdj1tiKO+56/dvyHGd83l3psxmMwXeuFnvnRm8+WjKyK/sA nlsNKavE4lrOgWZcggvjvSIwLaX+9vwuStDgtXAt4TnC4L+5mkgtxJSBDD4vqlvWIC4r8M9n/Fic ZBAb5UhAqYFYAJWWPa30GiH3iInCGa1G1FgLVo/2CVpZcGrOp+bpxv9+3fQcbfKDurV+IrwJD9X3 jPzIW97uUaymBZU35oyB6BldsJ0+8NO6oohEkYVx9TGgqs1qNLOyNbZOoT/lxICYcUY37d8jf3PG o9TUMVv+VfNSVjTrRnHe008HHfdCQhoswyyuyOei7uDwWcTeshs2qhWEmWZV2R+2G1IHk0cHjj4o 8satnaVpkuokFSalW4G++KnRWotxSXgnTSijGAOdpy3kX/rmlGLKzbbp5jRlNOJezYNx76Jo1W2/ PzXDdnMVl2T4ZieInni3ixWcysGnAvJxl/2uMUumAaE3Hakx/oTNjPvOuUqOBxfeingR5GEglX83 1/Z1bW7PRpPpGMMsH70L7PjgP4QMtlFKX8nzDX79lndwRUQfwdPnRpCYGIB2kLupyPBT4gSpPJwq 81p1ZYx1yE6gwnnaIwexZw06YNWn4DKMJP1Hr7CbQyJHuCs0NkTOJv1DrT5faAgj2oXKnV+l/c1a EHr5iFTqqG+uw/1qyUhczq5dqZWUpyxtXSY2KA1Stp1klmVtGYCy27yA/LLwpqdSjHjysUEuYaBM GahZxlVdpcNJ04FscmtTnGwtuyVpHIu8myCHk/6xOi5pMR0LUxDAqpWVd1Yj5zWFQ5bkVet/DygT 1xPwXYIi5A/nTkB9zNnM57gDEPdbEJIZF9rqcDHcGHjIM4ccQb79KpOtxD4QqaAhzwezzypfNpgH M5mGBC9+jk8gp8nIq07a3RzBfzMN0EM4ds92GD+vzz0N2HiO7lI1uQJhZ87XukvrljC882C6Tn6n FEAd22N/OT5KNP3to86BuJdDb3zpZGg9MX7qHBhJvarhl9rzD8MtakeUxOdpdN+8SmhM9gfRyM55 5XbDrAD5SbMi1j6sntLMUgyR/kIl+jHmHtHnJ2Ni5fXiHCLb6lJB9Yk4yzmSU2mmFAxYWuBXGA1Q ZFfHKKX8KUQxwzmszKc5LUdnWYU2rFFKNpmoxoK2AZX8fNwJLlWUIWahlMYWYBpOL4CJsp7cgpTD pga39YdR6bN9zpq6fRBjjnzeoPtUd9uimYP2ApLF+fdJfahufB5tj947vSZ3H56Yloits5Zd6g6l kXZSi1o1rrHIZZFmM0QhFXmdRwZl2GJjfj8cwYLf/GPchJj873qflYL+ZM1eF9D7YX3L7mCw+rxm WGDcWFL4N0Y5sgPH/GuclMZ1pSHUXITuEwwiesT229Y/s6ugE+Xb/3Kpsy0FpzCbjFT5lJqPQ7H8 wMnLT5HUrSpdVlprFoXdPxC52k4u8mUmthhkw+GehuQmrgl1CYoZXhEvPnC3vwduMDr/YTJAHVbX Swi+104oQtm3VVesnc0n++k+GrI0uP3/UA+SLhmiUBoEky+xpGq26v6DoFStlWwNV5fIXJylPFYm CtheCe8nDbkxK4CPT+H1T5YdxwGGhdFH0ojZdUkiQmtXY0Zb18HRYc4I+yyBbnllLwmgutHEzLlq q5RAdvIm2MDh6dQoClLwFo6hv2dvmWBlNXZ3RVvcOM8R5hKzJglBocG+PFGr8P1IuGNENmd1XM89 NHG/HyDFtdWo3tmWQzEE4+DJ5Ty9FeZRzRu6aXmPcOQ91xRBjfmvOZzCOrSKIjeBXPoUySQ65NRF saczjSTcaWahvy92BjzVmybOiuUhGKvwF3SI35hM/EENmNF801gV4vhrdp/X8FxPltyA4b/3ZMBg WiIeoV1WBJd6vdUGLin/f1eAPWvZIsFDhK0+cvxgWIo0M9v9/VejjRtz/jVEeFJfe0AIOfyT/kDj Hjv2BXeJ5o4EtnAJrNfN8YuFcVmyrt7DJFGWB2NeZO3fkopI4L8iWJOife6+VKIcs9XuqR6C6+ph FRqu8g0DEcTLXRZJ43PBW/7dczf3TR2VxbkElT6n9uSERjdMBVqs5bzrNrFLaBjb+rkTvPQSqS71 EyVTiW37JbUv4rPjGta23kcBlrCA9x45u2q91YVfVKEpKo0LflrTOzYVlbmrmof3ZbgOPMgR0Kg/ mWII1IPnoX9b9oN6iaoPKL88IQAHeVYkggNdV3wORwmtAeAjK9Ny7GCyZZ+PEHDDU/Q5kte4FXJt iyO+0/hVCXg4aGRIOTRSsO+1eGmXs/PNeY30S1qt2x+4DucW5B85mRqXh+kJ72OoIm4kvXvTlkbu o7tMd+Zn2itkhcrMnlAB+KLi0K/K66xYa24BCbimHgjHKXzPqUsBIJYbiafT6Ue5hvMM6gURPYxp fS7Ms9ihgvSvXDr4HmdpF4tvIAp8gLkyhghzH9B/l3Mym07PA94PGfBDli3za4VD8Z9IyWaMR8z7 1Ium5q+D+MzjXzIAYJCABWlsb0afIMc9kx2b6LAewQsBAgxAEYTwyP8BwyGQkzWtjpQ4rYt2krGq FyRyJhvlLTAVjdDU2wOZRIWxgBz+5ql7RlE/JOnMCC/ZEebYAbxGFh1xN/teLzlL7SYejkKT3xep sHJq4dZMinvAuLd+1TDQzPZiE5q7k1ZGsF/w/dxFTxQkJ1GG8uvRAhO5eVCQ5IJRl9k9hIiTb0zP 97qzc/yCM5TQKowcOV37oC8EDQPh7x014BV8RjtBbgFPVjl69wLf3v3zyogdOoEcuscAePC6ax2X 9x+plfUxZDpSei65luzWvijd6wy3Zwzy8O443ak2BjHE2NnMVhqErsQDrm6McbbFAzAIL5l/UW5C yF6/v+Ntg+77XQPtC9WWypAZcRbmCihluRDfElmz7+2yHFfJoghyeIxjNsOYbFXYfU7fMCxxRXdn I/JpZXsdgGw4rK30QFoBStfUzuISoynrDb9b/rX585bGBdXmyeJU/Q0NlqrXF6Fr2zoLnFZtd0ue 49r6RHaWIDNreJnN8NdabqoIiw6WFYBKtSZnbAdJI2xhRN7mToas1vOXzUBdd0VrH6s2l6Hf/dhE xpgnehVCHvFAgdNRO7FKD8EANu1R+waMXQSkloCXxPLO4pNE1Fg0BMBCCtxiuY0UEvvmCLLMwg+8 /CteHjaic2AbtAwLY/rKmFQbLTE65Ko2GAU6xSPdANVaYt53z3O4XtEd1WmrUExsz4l9tRnYQjFJ q0xNUUYcG5G+9X8FwgGPh1jCqXkvezAdUF7k+I9PLdFJ/aeTQgheGuVPuzPM8h8+Gnl7vD+ttlIS 22raViiurOSPGLxzTUYmGNRZXGadfAyqjARQ8zWTxt7XAG3RCUgJjLHsjcSTfo4mLPAAHtmP8Fmx H56xnSajlv6BH6IOBZM4H5FuJdfA1mG6waVdt23CQzMZsifm1v2krV8sq3UDLOzzxozgzSt5UjeA Rywtsm+hCDCrHr5ccjGNMggsql/ajRHg/FXM4YSQHdlHAJ+lSmzycd9lkFGCLbFe+e/sm2Ch+k16 Bl/UysQUrvWH4IMXUNw19uiP3y1NrfuypeCbwtQ7pD0tYYszuQ6iEoxdds/ZI09vWbOfegLXIGql /h8khnFXUnQfIiJSsg5TEa0vVNLJxlFua/ZkNwse6PKV/uMYlOVU66EC3FaZS9brNUcyWjOze1al 8peduodRViqTPjRBms1I1q4pke8/hyR0UYjw7C8OPiIT1aIwbCeWy0KfUHO6WlSmGsoFkIZUA8hx P9C8Z/LZQ00/nWG3wlk/IiNa9cD2hwg3Hx3jhnB1OLIjdWL0QfXpduOHqs5nj/LSpl/UCSIifW8G Ok2th55L1nM+GQ6v5CAL1a5Sa48ZB4Q6HFrsjyH6a1Kyhn0MevrAcsRRkBPbfquvkFtUiGUGfZFw 25Xu2a5Wt7iQ4BSvkkTUlvqHJzPH+kQKH0wfpbS/OzAfapHZ8zQKodzpNLajJzkBXiB4e3k9Olhp n8OGYJQtobg/HHxVD/pAxxl0W6GgKzLBJ9d4FtlbMv0LzRpX5MmuDBNnrannHB2sPhuPdJZ8cyje A0/IHecCcPN8PUJ+JDoiXyTi0qcjBkknMOB+TsfpCpO1YPYRS+qaO/A0b8ILLt23cZEMlYYtoTyg V8KkFi9uCOFs2BTbrGNgjDtwWc+3bbgDQyuXRp1ke7U37t68ZYle/J6h3naL8xqjx9DaDcOXkYA9 7aO4QNIttn69EFhADyky7SKC4Cp9s/dVqL4bhjquIkZD00A7c8zAVugwZT+8NwlQGrB4+6GT/0/L /AhxQxwci3KsXm+upJ1yhMfTqaySAziJdXwfV4bzHc7IUKIb/O+r9RBiRoJSoDwbnRkEC0WwPgZV M8oW4qsb5NOsk0yLn2oB3BpJB5s2U29rJYyDSsJ8uQ+gTpsp4RSC4TM3Xe1y7HyKWAzRVM5icXVn xvlucwEhZb6n1DzyAdFIcZFJ9/4bxexveIiVGe2LtokSepm+tVSGdX+puYxeieTLmGJAjAk6PrQF 6/McdGk6geVJSCE2AtIGGgZKcOCgsp+DU/lP9wTux1UFI7zcdCfXcknv0ioZ8d4d3yulvNPjWdGO tHdNYLcpDkugZKc3DY98qVeKyFHxmC7HTIObXw/lXdR8vlVxjqPUa46is9XhnMzf6iuXKggtPWVw Y7IgvJ2M8vt2iiZpq01BfbFRz1oZyv1oDVJVnV84+BcpPAD1s9fB7fTl1nmMepPR394VeqCufPVs byKu9p3qJqNDdwvNfGBlMU+Q9ZRQ3fXpfrIyT8rqCeBQQgysAKCCoLIIEe1LlAzsqmKGCuP8cjem vpWDxkoeTmU7iok8qYJQBIr2xH1E0FVf+1gxztQCyl9kwgejKMDAwU9Y084gIZqMw5uQcqo5JduA H7HF831vndBF0dk0GGRSWI9SduIrIJSC7JmzZruzLGFwfXGPrn2hPkRIR8qDuhEWWMN//eNYEYrB d5xP7ptnkvJQNMnQdsE0SActXryxjs97SZzwi9wOOTxqNh3h2STKmTIfruML9Hw+k0aykSXvgHmp rjGhZr7CCOwdFzB5DDWahoU1QJdlowq6Ln9onC3mkgpJ7MXd+SdNYxUEqDMe1NA1duy92jh7Fste +KZPcizHTm389ksfctN7K45JWhFs7QrtE/LJ3N7kL3HOWxYgZC9QO6vbJ3mGwbMzraJsknsAUciT r0NYQ5TreSYEY6nW1EhZpLa6kLq/Kw9qrE/rnofPi3VBMUTDOt4Xzo4CdErmdWrai/1Siw4HS4tM SVrhGsUyqgp/+s4Cdc8TLnsWf52fQMoRdfuQBs2gZDnY58/qnvkj1t7xj0FbMWPYFYfv+VTbkjm2 sSEpApcGciLh5SjcIncg40BDox/2A1Kf/vgBWdkkutH0x0hy+NJzBEgv3NnK3A3NGuaHANSL5Vh6 q7biP28sGXYXalTfzICmiq5ctVO4FVMYo79rHTkYrE9yE7e2VC/xo+1yeWjrCftXOzc7QIVKagbb jNlciU6BD1DUEi97F3t98Z6wE3AFOruJGsEYnzfzcZ5jQWLCWHrZgAJCwSrQNEl/vWTKe5/gtTzg CG1Mr+NUFex7XDfiKhxhVsQziCpUDOtvOwmHuhZYk1qOIhE2q9j/cgl4EVFT7w2Yq7VTjVj1TAug +x5zTFsQ9QerjIWfkiPylivVk/VTSPuJhTdy+c3viXX1VDk8zm5W/qlpAChN7tY26AiimjBa7hhT j8CYGLcY0wcCAsFsGrYd0U2Hp5voaihvkQf1O7wa+G+NuVyQTBszwnaz72pbRGYoHqN7xBTi9+2s 0fyveoLPmenbVDatkH+jexoCjBkx/FAah2SNpWwE2jU1Njk94ABaj0wGOcgP3yutx6Wc0oiPGtjA lFag3mj+FCcoLKhEPzmmYH0n29aXwyZ55YYIVSLQH1qvd4V4eYAoaQtTy4mQiF/u49vRolr9/6SE xT2e7wdp7D1Rhq5FbeKjXkGMBcLFD24zg4nrP2W5bA3k2DRtohEV/do3VpuvdLJttzCB60G2wz8k hhe6ncO/ekDLA9OQbzmYTfMyspb8HIWtr87pKBwX58C3wgKpizGIle1TtcgU8kBChDS65Z6QBbmP ayKIVV5mrDdninQInyra4t5Oqg111X8+WfpuY46AbdN5e0MDEengLlIrZv+3hw7hr5uTkVnmmkQk opuOJ2HYmlYcrdMb6Q0Wlep3ZsnNZqeAwxHAV3Wp0KKGcU8oQADahkmG7r/und33DbtN+WE6tiZe XE5vNGjb7XeSm8nEYz5YlUKWhKmJkcoftCjY9iLN423LmrtL6TwSkh+cM+RsFTuDIBvgAQgjitZ4 X/qMWb6S4n2Z3rECL1g3B7Knhjg+MYD/4OHJsmZRn9KjrNVpnYkZC5CuwNcgYXsIART2RXITJLXM 1GNBIPFveHK1yVnYUBsw6vIDIp+utUhDS33hFHw+DnQ0up24BtI+cPVXxICAG81FW0u6qrSaXmoq 5yI1G0dDbl2euH89SixiniX6bpNHYg1vlnaiXHxyj+99s77NrKD9psP6FD6FlAkKZATfJLN6sIxP tuDjz+w7cRBL0zyVR5TZoGy+9V0iA1uQ8EMG48mdKomYxE1J7o9qo9jGFOoz3SUHhjoptna9OaIg H/vU4u62RTPaO/QF8PruD5lyImUqTohdPuC22OEDqpmK9bIW95DjR160TIXWn1pejKjdmWetaOGR HMjqw6h/PfP/U0dqGFG4pPW0v4wMmsc6QkrSDLFGTZf2kpnazPKrnJOHBuH2B31ub6Paoqy4Ls2h Zy3KitiFaBjRdvz3sNkXSX/IlR2DvCXhzBhQnFoSRMTWU8ogeCeBB+FjOu4Fs89MeQTtcBCUOWfa FLPFRZGgWbSIMhfvFg/pO5GSvQmPUkYwKW9zRVOOs7lLz9KlrDRraGV6SSplO+2ZlmbQOmt8Cuda CfzXJfkl8WMB1AOsas5brRBPxvIU2gvr3TqzoO9bCq+6o9SZ2nE+BSSPf0e8KLJP+cediDUdFvQ/ Y5Ez/UmikCuLeOVZ1x7V+gQ37yHKVt7XSXZincg0CMcE0KLOgvDgPsnyTj9V/Rf9AXLdFBGHbFD2 cJ93DpD9jILv/BGMBhUGQlUjd0O7XUr4Mf5FCNTiMa9WBVYLxs33zAYK6XgkBd3PlZenOSM1bv8O 1n8teRN0Lee14FafrxPbi+H+HUnvVmqz8qiyJVtj4/VI9O85DiNH5VHiLAg/Kold1SQzekz9sg9k PSQ0kGq8wlSvJqcFNBK0drPEoziFj7PLkiNMre+Edq6eXcjFkIPBO1YtAKrQSSZp2hAwJGVRN3rL PzJsphXhnZ+mqq4wHIEQqGzanEtr2gXjJ1u1pbfd4fZCu9pSUhbQA211Ezn1q+/C7Zaw6IjUpLQi 6NXgsnhmzobn6MF1Ty7LbCKZJh83X0gkumwiJ25EuPegSuMFhfXycKCk3Fn/PErjq2WJbYoPgQdY 9SloOetr1ZZdJxcIdWDpNHKIrIXdpVEQHT2hrtyqbWAm7jNIgwbW8zrQgyEcURoNTeNxgca2/B0q l5KNPux8GPYDEdqDyiAmc4T4VWQD0tLyi0sUbm7rOcFY7J0T6G0PQuFHGicPy5dIvRcrUj8MMEEc +Ue3ZD8MCDHP4d2nZ1ANWI+OQ9YEZcjWttAx04lryFZBbkuQYkZEuyzeb+D5lQkJ1+IZACsfVvcO OykbxTsf7mYu348vsjZC4U/R0nmmdr/HQgd4PiCj/KzfzQSRFtcT47Il8rZNBcpWKccc6qwAPhPt JieGiQbic3L27nzwF8UZTGxY2+MI6SJiDZ1N0Pw6sRUf00bYx0zwxo3hGzspP/auND/VTxN9oZjf Rz4w022PiwVlz6v8iueFiZeaPMrFBwEzhQlq78m119SYnGuOKSYeAlKuPQuHaigmSD3kv2blpl3w bJ1bRea9GRXe+MfoRSFZQthaWgBEUliAZel97KWoDmO5xOMZxdyelRP3deyLKAJMvYf+8uWstBvN ZNCPBdaz+pLqr9uud/+nXIXvDiQAAqZFd7CL8yI7RVouF7xh02F7PQ1RjsaQnxV42fHN3Z4W1BBZ 03B3TgW/0aIA0aMRwg7a/iDDgKLZsyzRE77O0RAR2t1pGvV0CZdtYRtKkB+0JNq5eoFxueHIYM5j UfOb8f7aTP2ikqS0pUhFynfLMpHrlaBUORcg1P7Y411w+rfCZQMJ1m+anMqT75PXaYvv9Xajd0uH qKuZUEhCcnIzsfL/X9Zld4900MIGbfynO9yCG0O2yASMcZy/GT/b6sb69IAUo+jFLb6rDEsqyTTL 1MXAOd6e6ClxlU9QSePxjzyC7ST3yHEsn8H+Kv9oC/s4kLf1UnB3pJCE5qpC0KHHxONG8keSk0hl 4dhM/YKlsVQEE0leaFwq3PoST9tqfvbYO8YMKEGXyiACUin7QMZh7pOwWHBC1G6J+Oipx6kIRE4a iX3cTjAi3xf0FLcZP2OZfFNwW+OT4dhiKnZhlDBGhUy+urak2utb0b1QYo2CSPnghrhl4v1sGYy/ wAVKNa8rpqTqmqDs/2I1JX86aRyhwKdiAtFriWML+FxjpNp08MLPxaQx2rX0Utuhy5+uLQEi3l51 PM813lgPhLWgduFwN0dtFBXdvk50C8f5NKkjOc46yn9TBWCHFv9s81/RYah1qyvpZ5O8JUhLiZRR 64uD3aYkxcbhquA9zykBTgHAJgnxoefng0xTxha5FB4nt88wBxVlXC6ZQpWmIlX41+WZhnbKAIJw gq7W/dhLLOYTxD32Z81l37Uie0llTZmzRZ3/Ro92TTtB9IStJ+Rqi/pCRLFXDeqhkR5kHtmsrnJU q5RwX4BjYRi2qvD6PJRsWlZHe11g0wOyYpNW3da2ysbUKvlVhmqKOfz7+SAjwIprZiuQUHJBwl8D apGBU4Q1WcaeuM7zKUDoiIfi42K0TVVWB1jDfSQWfyY3HDzpRw7we78+2vJo5l2EZ+0i/Qb81pRL oHghlUMS/+DoO3I8HrHL0iXlKGhkUxTweAF1mQiBwbVqu+QZlZ5ztLqUv2LJmz5a+pnUZ++wYuEV vL0XNno7zvgB7esvwxiwy68WRQO+9B8n6iYxW2m/ZkxvO6/gETqGJMj5CWghom81qOBqWZ0y/9X6 HCF/l0ZPKX1c9hdvu/IBzsWs/CAywlOBUXfvarOdRLG5H7T0XQ49WUKvauQMAezMCUz4vIH4tCrL 75hm+C18WvHRXIPAdDW5/yICTdbhoTAOFRuKgFnGlwTlOwUGk3UoiS3XO69bOXE7fdgRBc4hPS1m n8W2eohGcWhwITBClqmaD+luxRYE59H4NwJTne4Y+9YPqROV1O6uLgx2rhTzirudCSLx3ntEkiz0 98MUjnktlq0J1CUAZhLcsjx3WcUyTkHVWqcLkfu2QWAr2HoJ+lPk13hpq+r1j8fn4NaLnzHlkMGb 63KHwf+AmkmVRU1O23KHFX3kuqFhvexrSq9Bp5vIM3ZpeF8NyIuc2cBu5tinl3uRVmC3A+H3CL3A WxLN/RV/dqeP7cq8Br7f04wpAZUQbqVovF53GAPZVOBRlCyF5JC/6rTColi9CbI6FOjuMbj9Gk4i FmbmInZITARDFutfcXHuloM+2uoJFTAiYQ31sV7BPGuu6qus1pjvLdL+A+XrIzOYaM7Q541xWUax UD6ljPNMcCqE5qkkCBsWtx6LErLZBdxlHlcgCGRjg37dJAKz1PmKEoDZ14BzKueJictbSm/p9H+/ PCDhdolcefZS0Zx0j2VXdB5632RoutKzWtrc29rkI1jN9b5vD3gN2HqZdE86OYRNSQDb3Vm8JJOv X3jZa8Hvr0+Zkh5KWlyqt31o9i7ioc7Xi4R6LZS215XR/QKZ8varHGasRCk6+d/9I4Kf4cpe9P0X NevXDHX+3TpfAfhaEAwMt7uwS+uZfzanTTK+CAN3DowcPRS6KAJV2Le1EBqmLa56tCgoHi2As07a IRHmXqpLAvz7ULc8ZuEvqCtzgJN+ymgBmpMHbzrrUwXqGHufKBZyE2vF1qMS2NgdruXXbFIV31lx Zu93sTOuX4otfEMCqt/GPfKbEGJW/Psgwonkqg11hVLok9RqYUQfCCHlFFb9W/t6LL+hmz0Dnhbu HWR0BjWqprHlM+0CFHXRBLzBxU53DMU7u5mhQ5d1mBHivTPqrc0R5H8gmYwb4Gza+ChHfmwiHvcQ N9hkeH6XNUsAu5UOeVxu7wzWRe++F+UkpqUpZbOWe84x64gXywoGy/b27/IL0DhEVKhb0O0pL+kH /w7vqTyG/kG8lPuwep944dippTkJR28PkvsF69o224IeifdrctkX1XjlQuqzpjmOUXlsX2pOfNKa +rR/b4RlqXz8BFD4a4mfJLw2ASIxoW0ykt4pajWYFuUkPh2wlvlGtAGXMQs4miEfH1I19j7KPlsF PBIVlTCLfGmBlV4Lf+VxjWwi44Y/b5xM1DxieKQ1zK9ay0i0lXfiFwfGP1HVcf9yI/n9adSxgoXZ 3NCHFgfuLuWZTWFrtm/TfmkRW5tXr8a1ms7r0dQX6IL7hwlLbJ2aVHneTtkcEgW2cmkuNMy/q0kO Zkdduf2krndURCKohn36+V9HkkQhwG+9rVtxgO7ApHKornnleQHrxsxXYyYgVIvzNeEW9I0YYiPP c9x2DXatCpX7+obe/TSds65IBLEM+9w0VC0R6Ts9JV5d2tKL/2ds1gjIJOVFM1XPg0OQbVYorHKk jngUzDm+I+q2d9rktLzARNd0q49qMYdpHLeXZu5eXrT9tY0yrZ9FoI3YwgVGEx9EZwPwcjsY53WA zb3lrzS2dj5IOmdP/nyl5dGWIfznMreVSMVUZuVpFUHtHM3aSwc7FVBg8vW8w+Yq8DZO5uxf1ypH R3LKdFcFPhMOUNVrp5rIACU34ykUItyfyDaB4NG0c59v9Ov6bWs8zXrxQIAAM5a9uqcf9838iS2m wDAqnc9iJ6WbhbeJmfOdIF82ivIGoc859qdGS7tbPByN3SeyOI5N/pw2dm5LPAo2QUz7/8c+Tpci BRM8Kt3Noj88zeVRBlSzpOizymXJYhHutwWBHMRdvuRC2QXKI/BgbjV8ueS+eB3cOGqyku9/Weka RIBMLTKb2SsjBoylQbAdbZLjXy+RNqGxkcLdhMQhlf3GmHC6X5gVjT6zgyDnJfIl5+QudaQN7sGN anCyJ5CdoGBjTcx3NRnpFdqcU68ooB7haYW9/jfMBnyu7r53o/13fipI9Mp85/m2kL2wX7H/d8kb vqM4UyPM0X4yoFfk1DzzGBY99CsVhli2mr3ODZyyiZbqKhf18VyWAwrIDhLChDSpP0CPqgdSWf1D or9nRoR45S2VIkSw1OG+NqGEV2XLQ0ZZvBNOKr/C0RZzqIYCdSiYxaRD0WLtml+vd+nEkntcnkJS BlCGZH8uhQq0H+ti+L6p2SVfGPounA5qwBeOQtZQu9VwxyXCsxmc/E4azRc7sf4mcQmZXISEtTXM U4KlEdqqujV58im/O/yhZL0zHJeUtjML6NtbsFb88kk4wXeBj47Cy2Ip/zEf2n1sVJESfrI8cK3n fzX9J4vLrvWhAScwlunxucgBycSf7WFjSqmA4wjs0QCB5HHmWybNygFUqHM81PfWVXTsTGDydcT2 NW4+BwmEOa2TGtj7TXyscaesa768+mxvKgYiau+RpRE7IsSNVeXcD3C+utRIxtw/vf+YlE2OUbgM PbXTA/WJHykogNwypmNyTiEbZmvqjI48NupSkpP1aYqtJzYkQ9G5wfT+7URcfvyuM0PP6ayQYkSz yqUn3/uRr/Fqz0DJC/pwPt60HyKiKkblNnvU6oIFlRe0iAqxKUmol/turWmPV8zBrPYGN0QiN1am fSYe82g+xxS7HRS/LI094/1Wz/DeRbfYuPHayCsr4jH15w9E4Got1mF7Bk6oxgfKjQfV9rZzy6+r nttZGQIgQo/G1mE3WUGm1YuTXh4wyVR1F7aUAo3vbJlV6VntJFIN0wl9sJF1pogpQYlgpkylUhZf wAjNogM0EsIlm3Mvv3P27ALSDyZz3JPi1dBjsfHLw1JePfiaSeiLY0UGBbJkOtnIYokzUj7/jmBX eZxaEsjhkIqW/Pb5tt2nGaw0854L+exVuXT/WdpYXkuEa/tUls6iWn6rdJgU3hIgJaaGRYDJrWtl 5hSmk7KYohOjzBdpS2ZL5jlIDfZ1fnbvIb64bT2Nm/O3+23i5e+D6s1BC4zHfVfnthgPtJ+CZT9y sd0aDaFboTEoBAobKwMVsPbYZ2fpKb0yR1xyvCpA7qnQchn9bnVlvGK0WzX8s4sF1hWrYKaznk1G rjehP4zQUxdDW+iLCrJYrBnzAaXfZUuYyA/b538vI8RlswKTxTy9bgecPLZz79K32LebJPIJwBa/ +/gyfXj0LCKB9hmerye4Ywy0hRGf3JbRfURobQTm3yDf0Aj5XwQHu1JaJjGA8AWbNSUQo4MDG9CE 1QwZYpIByL+h8wlpLbkYAGzYt9Ju0PLLt6ijCHwSpXQDSnjGG4T6mjqd6AgvrXTetSM4TkAMvxK9 rYaywlRRYRz7yblFct4VBs9IIpY2H3yLEkjLvwuPj1dRb/55uJVpoDkmbsf4nzo9FU9nDcwLRoJd 0+7HxlxAy08F8iUt3G+3HmqqP0imgOiYgq8DMDSKM1WZJIY/wzgZNpGDVABW5U2ATvWfK5DCrblW fe+DzPnliyYGoehM0U8cp5gvkjI6wqLX/3dXDvGcHJ9PuMjan4SbeiLOT0qgVPrv9NKvVlBD1wHY 5Ek3Bnfgy7QJifLz8PWn/DFfkxI50+hxvr3hdB/e14aZKkmNiVlSoJ/ACcvfH1bQgmsZdkXgGW3e 4Qo65sr22lMzkhFZInSMe1QgAvMc6ULc2R9hD17JBg7bdX6kRDV6qwKhP+FPy15ytlBweAi6hVFe E+NrLjOctZx+T8zc+J5yW0Mej28o/v6njT0FGFaLrdY1xJNRn1CMpHh0pZdBzOa2JVSsT/cMTJqm ek1wVLok99gkwFgVThF2xG+jnvXVwY3sIq71Hu25iZvzK2U1Gd/TAjC9Yo9rT3G76jJPUC3Wfjve 2qvkry2Jasx11oWYgHDuuowG4U14jukzliJ3bJwd4e9hGEB1BDZ4lwfoAEHKpUhTHA3qhKBJTITd 7ENKiMGQCA1FXU8ah4zyFK0NB21LlEedYO18kSAJ6eMAsOumhfqmoQ3OT93WR/2t3O6KhfNycEYA tMjfm4gcuXCa5ewDS+X3am0Zfam37qYLpQH+5I44CAW5xy4bmkRdQrus2gsJ5oV1l86X6MKZwUIh rcL1TnDcXKmFbiJXODNSfU41KvQWg8B3QOX2EWqzv9wKOm/0qg3GsfjNFRAMF2npoBfG9pdPciDf NN+U1hH26mVx1/ct26ugGFJgBct/9WwJ7gH7gpd4bw7htnnzeL01Zhi+Hjq5ejlPJnZKYFRA6yfh 1Pxt8J1JB98cCp1+n6ytALsw7P7yNQG5FyI8TuIJpbJYPaB8UgZQG6yH/WmNEMvpXHv0SCO3YsfF +JiFomrQQPxZxdjUfemwKjp4jZ1eRE11Mqb9ObN8zC3IfcFj6rG7uyz7/N277OAh2aU0kblt5WBU VydtSADMmrl3MBHLbRw16BW775Rn7oxBe9wz95b8QJlCmJgKg49xqdigVMnb7XmVOqtLT5jB3nTO iNOaA2sgjL/50DytwAOmcffaoFeWgCuoIH1XOjZt3xsncVAgsQNTUFkScRWDP7QNsv5xMvFrP/o2 ZMvdSDlTZEuJXx1v+385KI/MjBKLN2L43vc1V3MWOoB/2W7Z0TiGhNc+wCODCUlSNlU5m1f3P0Pu XQrThnRujQlDu3hPnUBqa8XuR9Ead6e01Fe9qwMja7g/5Sp98idKHj5f7Au1qVnTNC+nmLqVm9wQ RUSYM3vZMgpnz0BE5BFBTEzlp8+dm2od1JRq0HHIhFHkyjgVPwY0q/RCuhz/+3iBk9fD3PVcY84l j07A94Q49j7Xba7VapoK2vNVPv8940lb0/tCr1RbTe3mWTcjLFOhDjF/81xdm0cVDrVUmwwvfIZR UyM4M6BH0fU1QSaD12oiPQxoomVSDUq6zJTqTQzKZ0WnLS/pFbMnJdRPD5xT7GSayDhWGTh/UHVD JFtw1MHnn8ElZENOzXWCF+bQn0V5hhKAmcL5DBcPFy91+iF3kW+3OcNZc+rxdmR0SitdwUmd7Hs6 Be3kekl2DvKLOBvlX6lWe/polBp+G2zvnOMRVO1pn5HAhwaQc3BUBQyHseD47GG8P3CqNcVKwCF8 5xSnEOkAGas85beYFwkMAnmz1A3iPmXkCI5ryMN+nzMP5zjwF9Uhw3pvLZaIVuzAmIQRWEVU4QX+ Dq07cs9aI5SSibUVHYnBQ9Pa+oFbj0h0dLUVBnbR++TNigDHjyav6GfX0syYwek0Sfi78IxT3j6q Ljp7+w4pWkIW9ZYQxCw22OYB6lGgnHbk9XeUH5GbG/zyI0bp2F6HUHgkD+Ii8I62KNlGYG4l1fNP 1UmAWGxONQqfYmmJEOALn6mZrzSj+roZrDfbhqkF+68amJXXIer/RCIxS4zBic1oZX6fMinA+U1D 1533BKf+fxnNoEaEXXRpYxYunlWnKXZXPXK1AL3RfAnnBGUPEtpsPgX7sF/f6pcroq/vZUbPdRip SKZJAB9oPU4B1ayUPXVGJMx7Wj38aMNbwhL9S1NvViIh8RYU+aPYwbXkhKZOFoBI/9RTNCs3vF5L EDFttmb36EmQ+ORWhadkxEOiSQV6pn2aWDe2XVC6LSEhfiRD8K4e5KEFERxzr/mkW49ripCooEsh JIQgOfRCnkjwD7xU5HyDPd7IlUg6HZcMOAoJy5DBP+CgIqv017ejep6noMZQAjidy9X4AwbsNDuE YRhHK2zY2YpBJqio4vjgPwz4f41vlBaWE1AeRnHiOpKtxIqpCcwCsIh8GjE3LvNVvEyqenBBRyuO pZdwDEEK6mYbghJzoG4apV/U0kS8FSmOgxKBskMGxBXYSJe+NZ9sPREPsF5K901njVFPqjn2MHFl sZ/n6xSSnBFtjepwrmxdf5HEQu57UaTZ8joe2771T38WGaXuFwQu0aZpE5kY+rrkUJ1X/s8lthvT AJvAVpOrgq9WE1fmLNSCUzMgbcpqSdwYCgPaByyUD+E27VQChDqD6rKS/ndBkbgv2SmAtGCo7Mpa kbZ70L/AymvsDDXJl3pqgNuHlENMY1iPer8+cHerwSvf3QoxZYiGRjD07yDmRzJ9yphV7HlrE5aV juLzoBdEefJqBRyxQ+wYErJAqGTlFR/KWC/VLlsozfNs58TFrRwiDEKr3oC77lCKjwwpMW3CTzuy LUX7fQqps7iXWXb9XEnudzmH1oqnGZIgwwmL9tV41PQwZQdhrAcZVD0g1oO0E/MyAVWPhK132a3H Q5t0KXD2AtKnDEx7o8rntNB3nUDtPRe5RjCOB9WYx5E9V1qLtoYNmJ3b9THULPqJye98Og2BMDVH +XZ+Bgd+kmMysRLgrJwsOYhUEFYUldCQDBpF6gUOyFzm138Iuuljz43hgVzYc/QYWS1iqKZksby0 rsSJpAlml9PoPrlAzzxMhv9V1paVjqZFvmjqq8YLKVYVO7kq0ey1DWZbDtNinfcW0WUZDsVm8Oub jzZ5z1koFxB2NSn5/cov0cviqiYc+RpuDBvo4KEfNiWmEs86cqLwwn57Pi58vN91+4k5ur56io3V 9b6t1PetA8VylWYxgBCLmWNarBJ//Hlur6+wHYeV63g3CK18Q52NoL8bxK4Tp1FwfcKtJfT27Dpy EIFxNmwfKKu0TTnqFAcgmBVBoGUrZ2TS4EYgNF4chrN1GZfEzueYvIaZo6AUozOddOeZMe8EDwqL ZXfThNt8Noon3FzNNsx4nayKQqb499RpTfwmVdHt9fc6DPEj5wBLH8rtFPhnCh/SlT2B5Ww0yqaa 65FbprxVjd/v2goMWsIAGS0bZPtIGPSjfadE8zrCf28MsIcWhvGoC+lUYdxw2SSH8Qj9nWdcGPyU BT9SrUEzjRg3YTSFHgEFT57HpkCgmmDoj7aP8bQfUQ1RK2moJy1KXccfzXsXAhqu2+0A61Dq7kP+ SUV8URm6zfHa8Umsssf94X2uH2nzonimft9VbeXWqlFY/25WIat4mRIgeC/bN1lvplTi0nsqfI7y iTuBX1ZhJHyJbsjIiUqA0o/p7DCNPVO9ztW1G3bYd5LpBOmdLWzNebz5a0ROyyBux5xie+rUztFd MuvtEerynvYMgRoFsBwUvJfBLoEiVJT2BGBMu6APONGo15Q51Tt70IAWS9TzHc/O9oTvZG6hM/xV 3Hhi8kWOPcCJZO0YYPrxaiQHNayqciMnlPBdLYy+cTxQeDNm1f+pCm6F9V9Np/HR+RJ+c28CBtyD BMZ5KsEsHb3Eiy4h9npTXeNXrp7bV3bnV2E9xnMj5b26/Z0ipzYqYtliBQF1RXIDkE8T/jtR/vYM WBi4ZwP/rbmPb4bRusX1yWZQEhhJ00UZlXPj7E+6M43yeH8wKY2F8TfGRP2D8f2Vo1s4WELOE8gf T4Qud7J2QYrnXHlD7qHWpmvUT++adAy7/1/KrG8nUPb581GXhK9pZZzuDP7ZphePi/okuAPb3+S6 uG5zXSqu/t6I7qK3cEdaQAipHdjhmMfLurz8zkIBYGNl0HRkzcwuhK755Z17PmzOIX9lQyWYBLfR jOumOXUKDWNpe88Wjb3AjSlnTaWlHKrb1tT/SABPLcPIK6TpWYEpkfGHDNgB2DsicTytHtuHNZa0 a2gmTKxtDvmI2g38BjMvuhu/NqAQNbqJYpm/or/auBPIVlQWA9w7r/Ittz3NknQvmdY60AAcSO/6 aOYIu8/otcWK6iuIPUo/gG2cCXGNPM+oTh8vZDD6imDeY1pprmVaGCvBIpwOFXGK52h3WlHYpu9t 2vfNc3OkgQoCEgU2Nzdk8wxFbKSYYF+mAirE0jePQE9J8Scxgp0MR647zv/V/SQQwCdx60WRXH0x QopdL8jMzOnUSc/BWzSJyXg1CKaIFxmNdpuZVdd3AfASpzOMs1uctVeOwPlDlLI8qhx5UBDM4cvb 9ixHJNPvmWluec3j0LL336TTHSdnZ8DFs2XFngybCG4ApytbSjV5S7mWgO4TJcYsbdlITGw8YzVR x5AAOHx/ohqOVDvwaox4ylBR9hlRzNCc6SHGvDCuKQuii9uCURV4S+FsDPuVyISrfNcKrxlviJbx Sx8w935+4rvXvfCkMeH9UP9VtZ0B2X57G4zHncVijTKWUhv1j3Ai2As6OgID7qBWqRHkCANjA7Jn T4kpGiIzqQsEgeQbj1USN16QC61b4/LCPhypJVAS/WmRoPYm39NB9UPLostHB++3lkf9j52B8d58 GZmWu5UNRsfoLLGS4VtucWi3bQK3x2my9zP1GSdJpfEKsAEQANpFsmdkof3Mb4tgKUfcxJJmTDos yH9Rhv4Gpg0tSWGb5cszlEJJBYugqdDul7/t8EBPg9JepOKJMDG61axkph/cvchWSER1drFX6iFa N6f8ISvIgYhJQqdSfS1bf63DJF4ORyq/Ztd6HmsbJzQV6M8RLy1kQ4FO7lB+qkSvPimkyqm1pATL wOekuIAu4M+QioTerRzVjCBTwwYOCD7o5mwGcKBmSp3Il4VoQeGSIP6slmWzabulRbwePks7cyH3 Th05tvka/aqeEMAzdMk2RFudj3DMD35/w0SvkUXooHCAGoHXV9+r14xad4R6tQbprnIZpgGF7Pux v/3+S7asoErTPTZ6AKw4UpGkk7vwjQgZPu+f8JWpOMf7bSPEzKvXNddR4gqYDXmSFgD7eUEmPSH5 Nw1XTsMWvTIRLc5Q3pJq3J+IK1KZojg+L5Lm5NwebL/SIQ6z3WF3y1jvYLMhfRzHA5fPloA7LcgX DT9zWH3Q99RkYSwoYB3AzwqdY7bQvVBc9ea8X2DVYsdydRj16W4HnAH7EdCy+KL6PV5urYoQYAs4 qonb1Vx6fTlYf0e1wrlNqxGIPggekcYb4qLZ9y83joF4M7u8a34CoZDawkxwSpzl8+vMOT7Rb6h/ IUPlh/qRDul3FE9d4VF6hdz2V7pV8BGykpFAOpCfAZ9fh7PH6HLKhr8HKFz22RzCZos1ARqMZF00 gmReQw6knbCtyfZ7HqlpY6eB4Rbs6/+CWri3uKpu66qwoELssHnqjv6iljs+xfTtBMjKnWN4YNdo Ep+zuQGP+YPgHEn3GHFJNWjxfd1n+fAPHX2tXwunBMKcuaqCQ6KYWYVr29r6HjMBp78ySdkVmbRe tag+YeeciYlTb7/bg+66g4bgvQCg0ZIDgMtPMxGBuoeeyDS+Hw4CmfXhcPBjzoH/6cUDf2iZjLW9 AkgbfUq9nESXjhJPQAVzJ1WoSPaV7SN6gwzCsDX39ZZbg4RHSTKNpBrM6iiFX80fH39O3aplQJM/ d04v28VmveOkGvcB3MSKhY96eTix/LekQ+pwLNEPIlwAKjkmAN8f1ccua/QZu56pDMo9mD9Zo2+o 3WCT7Ns2fo2LyY6KPe9Z5W8xS8gaf1ZNdsKMs6FlzQpeJ1gL/P6yDM90NtD8yxnfZSzs5bLuV6+l fzV4QQfBVjZxjVcjEGNrFYhbW/dHuX8HwSflcZubR+bwlwfsoJiY4GtFlE4cyr4i6vfZLAGrzvuM 78BJ4BLERevsP/jU90P+cVgY+Rj0WAHPTkF4g6r98DNa9z/NDyjg//yDy4ippTcSvp7yTLnQfdkN mrjV+Wn01T97rXsqDAo07yoeLxJIy9gnqV9nvgnfVAP0xfOT0Jf7z7fF9qYj7gw9q2i98/LbJeKV FGP86wF7IWJDbCrqGsL1FeZ6R8kvqwAsxrwaZWtoXe/uptOR3O+leOBUQ7jd5+vcOLaFhkvhE+FZ U7uc6U+0/Q09Fa0/9qmojcU53UiQ1U8HWkKDXTV4Ri9s+2WiyRtjFpTXUhVppfs4hxv9ayeUJcHx nIJlK+zDe0Co55s73nNYZXmt9GcfVjQvS08sQMOTVmw5qpFgiuX80DMJn8PvLAPP6MDO0HNV8FmA HpsEET7GBPRIOeUKDwTfpHaKrSSoLA/gkf0pZwyC8u8Ao4TySOfPsn3n4uynWp3UkYtiWPJWy+lB Yqab+JXU881RrUNN655aKkNjpPOXhPTj1ZRBXdOYFV/ozfnCD8YYhefPGagyX/ZKLvN7TL/eQlkE zeAbk02dzpOe9KUVh8MqxuCpfKO1R8xekSrChr/bbAS3ZuwIRd7XHLESXwSyobZDoib2Rp7w5ve1 gXp8SkGYxrbCYi3VPQvItZqWsNDgtU4hKacFZtg3aAAqs1LW9E5Vx2HK+DJkWQeW1LW1LWAY+Jtc neDqY1hhgb9y8ibRh8SDzvE6ZnHNhX1H9hikPR28h360LklwXTJiQ7vfQ9SVgubbA4qJkb27gPss 3cgEm72AgcVCUYHr5TM597FUGhlO0xIanv7G1AO2KgaK3uWCVKlMpajtyVJFouv9jP5LMjl2+yai ffTxNXt6foYkkLFfAM3NFeVMxV+rvoigKS4Fb08mHq12aPMC/7FhfqyxyvNkvWDh2gdrHLlbZ2DC TBH6StvQTNFnMyF1QAd3Y8jFz7bcxsuzY9BwmSb41DhdmbHmNqC5yNoA0elsdwJFjGme6lUwae2D wd9a4k8kTe6VGncJ/K61+g7Eij8XXyS1/6u4zCISy6DChbDnCE3de27TbDVwhKUes4zQo4C2p01n KC0a6KzKcHNk4q3tgJl0NKu+Z25xfc4JcYSUyx5hT3ZE5PgX2DLGpmfOIPwgE3rToKL1cU/iuDLL uGoyvVHJapZJcpdkpfd3B2eu5we+KU85e8EAEDhgUu3p8N4rI6+EdWhpp2xG4+h+xVph+RbO0msE d0lcFmblbSmzSHic3yuvRxfzGJL1ZziOUR4HDLLGtZmU0YZAScAfL5LoBhrzAjf4BiUYFcyWfHcb jX9WBL47CN26qIZaNfT+Pi62Y7wVZS7i97M4rJVCR/2v//SDVw+OZlFvCvkc/igSgvgb+QmS4o3i DSSReFjalu39ngsX9e994Afxs0BWzRjhqpuqW2fEI6UN2yd5dfGuxepJtmw3qWLhXB6J/6ZqiTdg dpRTcDuBDMIlgOtv5UQwOuKn21VXCJvKtenhKn40lHFyvx0cQcfgpOU1OjB0dyEqo63ApFkwgXBt Va87mvUJCrParQveUAM+s8iVSwWeibJ+2DEB+i34qgg0s7afWpNh0YKPdQbGZzMCIJJsGzMyJ6d7 Ua31aKmdKNsg570RgwQ3LqIUuCX3Nm5zl+O4RgkQYsVxt26ThBF5Xt29js6aLNvV+kkqZLy9PK1f 7QZotZ/BVrdihBveo37xYm8nuUIusYmidyt9mk946UTS8w+FhiJSv7JVetpK0lLBCWTks4ZqQhEM b4TJdDT/Bx3dLdCHVOxUQkLp3AzfkCjuOm/nGvIs6RZwzgtnhc5bK5Q79Dg6nT2efufYuCrPuivf LcyX/CNhY6MwVJWsPN//VqF/xgvQDEI2ZRiA1oxS+whv1ibwBJBaow6v90UjFlJ74gUa3L5GT/OU uQwB81mNaYEyupAXq6goRnP5ck3KPnctzzc+cEGYyik3igrwbATAmcAt/ncHcbQnLLTa+33mklvN ubxREQhFO1ryPJwuGaW50/9flV/HEu4ScH49s+u+5ePAqo5pzLgpnNo7NyGaQJsT6QW0ZMI/IFp+ LUocb3zTTKK16ySQaxSdHdo+KZ4XOumOOyA5MsGI7gj4eIA91Ljrf/UXgSlgTcB9zB1vDQLeOnUn XWW2yOXo72OVfZg+OgTcKinkl5YXxmN3G//l68gvJka9raSPmZ0nUw8k5gXUfJh4+V6OUtDZt5kC NOk1C6J9YIKVIY8cDOjOo7/MB2PYyJggfNIm4lJz+aX2oyy0YQVAOy7PyYf05PbOfvCoKWecpo+X CTzshqOJCLrvRjqB0ktf0fWyvKxp2Y/e5dEnYLVPEBeYlIC8D1YmWNxtAoxHnXF3zEif2JgE4DJz xnrofiO8z1cMcoFi37kcHxx4nb0am02PPjVwlkRyn0k25bZ43gDkC8kjbDmrTJyQIdSi6qOlnBsX 4dNZ/u2uyT/UdWY/X3btyEzrCoTUY2hnHg7OmzPtuvK5T09MWzwaFJwksZGkwpdLOAc50ZsdKFUe 06jUCRNfP35T5tdqsfUDdA60bG335k0AZ6b/BYLGTarzLZPvHHkuORfhjU2weThp5agbC2S1B3oN gRCg2t4H0aw2bDOXv0EGHv+LV9qlQZ5ICyBVxcfQXeauAPbP8opDpIhGh8QVsEsAd3Lsu8AhQPKU XcunSHqAWQtec12HW5PsB9ohT/Sjp9ToAXxIipBC7gJvU6B4JWfuXTaBHtsXJmc6Vd0ZCFCuipo4 KwBUHQ8Zoh2MXlfRvh0jLGw0YyP9d75HLCpFMXFZ5qNqUVlGBNBEVBjcYJbbxfKf6D5bIrkYIdZZ vFIuXwNSxLOi6B1DHI4jUz+pRtazmjUazR3s2FNPUGaKFpOfU9TArxKDvi+XGTZ22w7rtCi7BGG+ 1yfwa03BXRyzfdmtXH7+nYJPh++quvPwSqdzrE0Xzad/2IfI2nVHnFuyXLr/2uzvPjfOdWCNgYXf o3VyPOGTh6pph4oWQTt2QLYBOOPmJCx44FpMMyxNuBvRRKBpPHtWmoy0Vwc/5oJKjRkdxRUMk6WH 7xukF5NrHk8zX69hBW+C2XLU2s+pBJ0fYaUzUvNwr9+wJiAF7Ami+HqDp+H4rgwPai+1IKf+QKHI ib/Xq4vtd5DhyGS4hpnssarfO8ZKz+Cb/fBTGQvE0I9yKOAdxKrrvfaoVoJ176pS54MuP7/ZLR/R xVVdjJh9AXJTMa+0DQIKFzE6e6Dgt0DZFVqLjEbmuInVrAgx6oQUzUV4Gm0Bz/Q/nR5DHBgZ9i+d mi6MuxkVNiA2cG59fHvLaiLf2JSIyddGifCJXlfukbhYDoYvM3gE1XEQbOY/aM7EuUD0WGx8N6aZ V6ARV+jk4/9LdU6nCSLkw7Wtlcml5K3JwvDXVPdtTRKUi9vO2Vb6KEGFdtZD9hZz+ne5tZiSpdh/ rE9CqYq9xoJLOSRg+5vo250NlLm6WxDPQGcISmZcmlPBv38rrGemINMqhr4jhRco+CZ0KCgizFhw RMZRB3fJeF1i4njgdhNDiioWRjv1u7sPlph5MA2f9JLdQplI5974kH33XFHI7ht/I5734zBO+e6/ 5c5456jXfpnAC8tvRvVoxGRj0CXCR0w2MG6hgFFJ/sxboNAo22aAGgduf4d46YhnW4K0uGODCg9U x7GIZtTvs7+75haLgQuM5484Zu0u9/zZWe8OodmA1TRWPHycwPtO70wUwbp5cPuYtYaF+C7Wt78B 9VEa4rH+2r5Lo1gflsELQ9F48g2gcNsGg4U009S8f9cKm7O8j7wFjxxlbGn19RiLQQD3v/iPXrA1 vipd//CwN4JiuF8mJ5InBGcGUzmg31w0Ei9/QzY6mtPTo1stRzAzgy3tNGhLuRBvlDXKp4hYa9WQ 8Lw8cX8CFoCfv3ZZhxIiTb0s1dP5BccZVl1h7ub6kcQ3KkVSKwa7CQNSAD8h8e5x1JDyg/NQcKF3 GTQlqLyrIOt6tJmkBEtop4BtYPZ1RwxGqvIxULUWfq2jAMzzqyQkZpirxr6C0TCsHy+N1S0PM23X m5BnZwXAbnos5l2KgscjtITsUheYeKgZaPmryJnOLCp5P+vUL10WTu4VUBHxAdzS8VJJUoJh6DnN Ja7wuDEEIuYj/Ruw/9ln8srey3i3a7yuMxgksyqKg5SN72mmrf04+WDH3emwUkJ8AuCqHJ24Q6Gv 9TH7aCKbfapB8qbKfj/xj06vSBWmJB5etq20gHi2Xrwy0OcFs6bEYVphXDJJcrdwng52T2VXiBVM uulQ1ETJ5Jta9831DtUgMVTm6SUAfhpJmDfMbofdauOJjmv7iQ5tfob3RPIa2iV0LMumSIO9dBNJ AzI6HHu0I6g1Fvd20QfZEiUDwnnzV244k66M47HE9LXSTv3T+jQrDhHYfiWrOWqiXYbVTkCiV2il sFIdJt5LCI8vunw652ku2NXBkgwJ9c9qTIBEk5wkTbHZeJmZQXPxFdzASJYHu17hjAe/M4LP/GLh 589UvzH3V9p3+I+waHGiNV8R9M4rKTYECeowC56Zg/WXX+FbjF0vRDwAdOacLDvG/VVd0jew6+5s Oiyp5DSRBsMecu4aFyeZa2ppJbtxx7dF6Kthlo5sW+X2EC4DvXp4YWDWCjE0E//VSvPI6m0UB3C8 ZxfEmetO36OA9U4gaI+qkF4BEkc8/hywD78w5Qd6osY/cLxrGS/1TZbNp65bBJWffbN8TjzCzCxI Bq3TIlTG2HL72WTFqLGdtitAQu40XC48SQB9fpAcw7eOcnmGz+1zawZTK56RkEvBI2UDuedjfGPL ly69NKDD+KF0jENl4uOYT1v74oYJW6/6HZZrJrh21D/aCOWVpe4k4LEsyWY8HzkiIxi9LrvMz5nf iKn0qheRLXEoDkndeQHk5f/pGB/istKA3f8eAl2bKQipFd5G9mYLMz+ZgORpqYJx5sKy7Ey9yzjc Ei/hUZhKJTx90GCfpxma278lb2YE3oHx/q2P896vXjo1AT87ZhKYCeKVwmtdIUswbkQWFjmuIINv KU5lijMxpANgwN4o64FsapnVtQrGDTUYr2KxxsXwTppoQ8mIlS4OhK/q0mFjVi8D9gOwh4rvowHL qiIH9NE5fJqOTEViJGF5tY6gql0yz6BykgdUH7jifR286BUQt0wgYFR5DegPZsf05pKPJ/tEEJ8l 653zEceWUbM3rgzH6B0o/qAx2wZhtDgFwU+yro005FAq0pOhWF+migj3s3y6K6PPtdquHAB4OQ5Y F/Onk6RUXoDtfdPl7T5OTS+VNtkqfcw1G7AXoPL0+WVnPslvJEZ1ss8iUsQvtfvCFLcGvJQSwTKs 8OsahOwfaecBY8gl9uNvc2XkhUhkLwkYOtxNR34FWBkL7XkYDwOZnB1hPek3OZXuJP7CEn2vc1ng Hnu+mfDz9XImokPy4QfFo9iGesmycPHQ+KknPbWqVVsdghmIz/MWOMzUR+5sUuuneZ4fZPKE4vsy j/e4wwGCTwMntHF9Tko/wvqiIkA+fKm9To+cQvaW7Hq3xPbbDCwODnFIm54i3BI+rCeRy3YLNi3g 5VjGYmX4EnwkTQ5ItQMdCgYxFp4CtbWobx1aKNUhXQCbgSR9JVxAFH/JRdCk/RSGEllckWdMvffV xGxlT/aJUylvofbBbw/K/uuvdLyIjTl0ntdGh/of2ME0sWlHWFr3tmnszI7j58yiDIJU7/bmbUIx 2kXWKz3dkvA82lbj15jKhLsgMmg7Th+04oCAqI263W2fuxBNHKP52EaBFQYV7xQnTPB0sNIM1qSb LsBf5L+TYNTBRGp/PT8bmqsBZotQXJgfYqdpU02SBBa+jDCs3VFsmmSH4blzx61P11yyZGT9IA3b AXoo5IgWKQ+OXd8byZuQ2GyAM0O5QtFcdUO2medZeF2+XeKrMusQL0kSk202J14eh2MZOEeF8ycA UYXGV1uJ2VU8QqcZhFEwMQdODQ0N2fJqJHkkVdbhlBewnxZ1eGx19KW95RelgIZej6BlUhEoXzeV ybqX1spx/2M24EU6/MvJwozrjD+t4BJo/qnm6vI3sKpqfQOyfkVyqeMyh4LWWfL1f2h1gkDKhrBU /62fohfm8FNUchRIgV793PMeA3ar70eDoiq37bKwnbwxIoKD5c4Qo+1FaxH3DERTrDN2jySdhPDD eyfstJ4VoG13EOVnD3yBXQ4UYEojq1j3GW2mH//9V+MllmAcG58Knvoy5DEwBRW4q93pcjME5NZO +hPXI3H6oIi7kUmd569SkV2ga7d8TgzJ/KsvD93KGB6fVwu0k8HqfP6VQI1WzXbWpZ1Wd2es550Q PZi+pLHt3+wt0kGdHAeV1lUuTvhsdntfwRpSdlU/grK/5w5y/Z+DuId0ifKoAM6rqyjHsHurDfgI aumewFWeVkkPtHPg+AV1FD5yHG3e8pm5EmgA+JptUKQB+fj8oVBiyPNxnZdpFHT1Z2eQs5G6w6pB a2TlVvPLBMbI05IBi1rejCKban/gbE1jBviI+4acH4AJclHiuDdlAPNwff5l/cQEU2Vj+lEoa45U QiGz+l/mKjl2VNA4b66oL6MT1MUq+0Be7S6UAGZBZGgeP6SGpY7qBfJTA/L9B9ZsAkAiXi+OrGT7 Ycf5PzktPnIxcVgOXqsWUYW1uCqDAcK2rFV8TIU9kBpuvmcf3PCEipes868dRDFjnUNhJ5clkbyN IKxxQvZTssrVi76DjDL2ZHQ+LaaOKDcOEb5jy9PsPsouiQ/cTcj7z3uw+Hf7UvMb/aPfO5C/XcAD mUs0T412T34blmhKatrknG/PVHe/iYiuxaXM8YM/httqSPpzQYqPE/Am63tCYDdGVrbDLzpoziIr cwQgcZVsxCRWKkIxnRc4uqSWtqTgkrE5yhkMx6+3QW0x0ryl5Yip4azY0B3nW00xQrk3fMzqiS+N zuu/I9rrMeo6MmQ52mW5qaPKh+Aae2WEduO815Ng2wxbuYaV2zJsCafVHlHh5a7UUghc8UfHYHgV VoMCw4SCYhDFSZSF4cxcoYx06pppJJrhBjyOW4vv2OBsn7r/TMdEjLkmOsORMoHHBFaiAU9KD7au kD0fj+OIJ3GzqZKK94q4RtYWU0wfrhvC4mTy/lj/VhEEfqxAiiiUmfV1Rh4hwJxWTVMD8b00IDdp DH3buqR/r/TSiU4Q2BSjQJS1W79HnHIFA/EPD7ViXR927NWS+yO+ROSuZuR0sULgY0EzA6Z8D8Og 3BsBwEJ//U5YFdk9i2uR8OAf6GB+UxLZuAslOJtDfaeeJbxujOQfnMNhjp5VX8VkQCHiMLmwtovr M5dklzXRiwu+2wE7+GCizXhzebVeSsAxI8SK3WfsS6pADY45n+mNxtGMM6Q2vHps939uZUfY6Gnn csPA1dIrOA2ITBqqwMjIdJAcAGYvbDnkY3IJ3qYbrjZRVT2XSq0LSaK28Dl6oimF5jpF7fBvBJnw hdA9x6s2IWR2BzBCXBzrifcrFjqdtN0AKPkoYa/ldPaq7ieNGAKOxdgsGn4Ti/8EJN7wWEJ/wTFF UnV5gHwFT4naZWuA/OwEzVrQaVpTmXBmuo1jhG6ZWOP6uDdDQYkyN3LDIJpzvF9dDj9f3Cw8TWWl GcUjebnUmEPPj0mpkGYEXLr/LQZ0SPKiFbBoVrHs+OdbHXrc0NXCxiWfH++roL9LTgsVDLfV2/Zj SZ4NJB9Kz72bezh8Czm1YsGbg4qGIoe5Gew8tiG8gKuck/zf1QRtJCFoquHBgLVY2iUMpqyxIfvU rg3qSAsCQaG7vIsbffGOodosWd67ePxDy0B5kLBHMj4Uy58BWN2uakZYuLygtYneCbRx3lkPFWPy cl2ojqYTV9InU5yU6wz1WGeJEewa2qkmwh4mUEkYVwu90Wipnks611vIr+oP13mO7kXI2pDszm65 BMtXtzuEmpnd+uRPD1imK5Z/rk7UWrmlhDxRQ10ZvADE8oYQAZMTjIQX2/jHk0T1wITYNeqxIflu g5ruB6kbqrU2+8EFYV/DRW5/agDNMqL2xMLcJd8K5J89p06AZ5o2uF25GIkLU/uwHXFjwkeDVVNH yFIt4qsCwDH/bjjd2/6Jtg4FZ+dAwCaig9TL0N5PNNCQddItBB9uw7OcFM9WV1XLVrK8K2P7oYVt pIIAJsqFcDoF94kVmEYb9UrsGt42w1UhKwIzEw43ZqoHbqnpZhbU+AgzcsCFy04DSi65k74K+oqS jsSVpY9FXt4kSOcDoGCrLr5JHniMVgbFK7a3HqfF78Hm9jWaOQulruMyhVRiLHyhQjuW1zoXw8FG AVxlmERvNMnVsdoeXJJW9ckpvlMCV3xueipUflIMyObTJFkKQgvm9DCiL7L4PdlCa75Ij3PkQR1p 5bDV8k9G5DdOxOff18L3w+JVAwSpvyDpol0XHmcF/cMrcwhL3nh1MPAbeCHziVMPzCMBylLi+075 GUQ9ubXqU+F8bMcr/1JZBzked9pxGFpfejwcC5vOL8JXn4Cmc/CeFEj2cJ4IBPbN+U95vlTcHR9L kYk4Pdyu1WRviCbBM/+r/4cTm46CQthte/OnGWiv+Ac2fOuFuFn3cVgmDoF4xTO0NBIur6ghh3vO N4nXWjikoxFV6hu+MMbC2K12W8fjRHmSVMM8sEjJEGwIri2G0AsA0cbkSHdRP/68SmxsnTT1wvDX 4KZysJicM4gM5YGVZOP/BabU2N9fSA0Pz7iVDQ+YDt4GWMVZED4a+DHTz7xEi28tAPUh6bFUrK+L YklwJPA+vQ0I6OPkGxH62gqcAziPmQvHwtpnTo06m1c7FsXM/ZCwQvDH41+qapMsVdcRMktwSrR3 6eg/KyHqc8hLiCiPLRmc+FYhgJvY+ST8AJcViInpcnp/uWJbida8pgYMFv4j0B1NhZME6kvSJVlb usDTjrAapaM2kjo9tIn9pzTPCtmRsSXEQv/PisueRtvz1paVI/51jcuiVWH3px2HPWuef7rpTROc 9CLKqzpOaIl7+zXgjG2KDvLINY2NrImPYieUg/cHUDzxUL8oz1RpnSB1krfAGyJrhAb0TBbN+HqV DLGVSuXRd1ul7YWBv4FPkQU1u5EQcG21We3qMC8zp3w5FSDmtVDeQv8N89xHA9lHN3urKtuLuoBB Uq+36fEXi2DnhnGv9UnZBgz+6mZCN0qjqJXxSWOAijbjAY3ylK8NlqPSGDQE7G68V44aP6jKJkO8 BqoVenakWM4IrMp45sjXIZCxFsxIXcp4lCBRk751cQeK5V/41jdxFSzjDlFINuxGaJbrtUlgtJja Nz+m+axOckiR6aSfkoS389DxLWarW22v5i/aYsd0/kDNAsLSbkOkByBDfF+0CrgdlHZZK6sleQgu 8nom6O2yZPy7I4eZlWvhFHsE4UFMhjz6KJxDgFd8ut9I/6LHQdPVQqEYHJogClhLkPnBukGa/Lcr Y1EKqj2QvK8wwzrKauiKRGbaVQgg3zzbVA+GZTqqexeim+q1Sgmkzsp7UeizPsWZhaN5TqxAkn+C hBKCf6OSxrFEKf1Gfeedgk5zqJZ+kn+nJBrIb6cZ1CDb0hRwvW1TlCdyWnz08aB2blc5KBGjCAKa 7fvLEUUo/9l5OO9KdslUbHWgZpq/ryDfVdtzHj9XN75IXmtnUcHTVvMjEdkXTcAQRyR3CnO3SnpE HiEXjjoLu6m++1cegEXrn9SIB3j21CseFsrD3IJjdi6Cyjpgx8tzAELJJapZgBi2hAUV7tDTgN0z ssubG8oQ0cYMvYlG/Al+RVOfKuy4TV7b1YKcdhHmvkxBIMONuXBdmePc0AfiqRVj9Q3jB1/Cx0iv 1KsSL0ocAJKmvLJen/croSy6RngIFr8kgCSZK9UYRMFYkmUgUqKLjYAR5Dv/PcSuZujIA5SPKTsk nmT8NQJJ851/geNBBUmaX/rVdOSp4KXQWjZELOK4MAxZiTTJqomlD8xEIdpwa3DXqa2xM4+kcGEU W/+SPIsNkeAVILBUBU2zYB/weG3eWzjge08fztzxrgEy6OazVzbGM/u+KJZo2VhNDJ9kV0jMn94N t5osMToXJQmXvJVsejzzwPU1e7d2H3pD7AvOR+h+Ru2f3ZYLM3h8ZQ+z+goAwsueSeJeS83KjelT np0cth9g5hVtYhqd9d6CUxCmgbIFa+Fw+uUP3YwrTNKuIFnPFlnf1soss4+ilMvViV+d4nagIZRm Ka10SSAqBpoMZZK5tYVMYTmjVTyZh9mrJKXcCyqaLqB7sdT4wMy1gGSEdZRba0Q49XasuxA/l7c4 6fUZBd+DU5kKgf2PgKeRUhVQdf2/itkdRX8ORJtfjHIdPZKCJ/81FoM1pCjCt7npqEEqwbfhdiMF zUFtk5Y9Lx2NwegPSoyDLUBLfB7ic57fCott2TlMqjWptEcrJOODAN8EBW5Dn955ptbwmFimIYcx EbUPioALwVrhpjTiP1zFoiLN352ofCwtJEU8r9k/YBkcwubEZAIN33Riox1wi3I0/CB9RoHLGzzz N5dhMiWz5m+ONY5JcyQVEV35+fTsdPG1jOslgX3cO3xX3NZgGyD3IUZleOYsF1/g/l2PoyGXGYk8 yHr7DTKwNmAE3jJDFBBdcTyakPMdVN1nPtUVBDkjujt1cXvbmWJzL3j1NNaEOfK+h6So+VMokW38 t9+9EJy58o/ZoPfIexx+GZmiFhwlCS+AefP5Jc1MAn2YP285Kj3obP7Un0CXLWdBKhR9RIohkuzG bU/swfxYAuD4AM6mpiyYxs05vcA6ggJzQ4ZUWaepH9hipmcbgYT/s/fuKlzTCeStrJrSXdKdXHgt U0QqPUbK3nyyC9g3ggxYJ1ygIWYHqGHiK7N1hMY8T95XB1Nb0PETc0jPGWYJsn419XtbotvZF8gS kHrMXG5mpz/Utpey6We8/KQ12f24TRXyLQwSAxGKIKsr/I/1fFy/y68MBiJffxgWAQ6py1Bn7LBV xRAuQC4xp4Ad8+Kg14Hw9pioQAQ/ABkBnKGyZPUP4xhBEieO8ajutJyasxLlndT4Z/r0uwRSzobx PqtSfg+Ausx1Qo/40a86Qh2xhgmhewEVIq5jJJ5tnsOtXTbhFJ1jxmTKb3IS4vp1olgrF9ha1EOk 0TN5FF+x2gtJEdfBHMeVXt0hvsM0YpCd+T4asg5xUTovwa8QALQfMISHIlK+MXRJObIzIVbvk/Sm gE5m/4Z2TEL72xqD/pzFYg+y9Rxn/S6AeQGTuoLpWm22Wqtya7kKf/S+hW5GkTaOEdNjNIJSwIKr XSSzkUm+kBmNBJNoyKSitNOhyy/VVAXQjIhYPMJvNGJXG02iUzHfSZ0inOcYU1wrT0O7F/10rHOs TtS7duSSytB6g9oXDvGZ7LwWmoKcSgBOsFlMYjvLKS2SH4D1/5w9bydfcdHlErji1Gbdy6gAIRpg vNgq2nlBvoiojG75c78K0FrYJGgjyxrzG5QQ5SP+JJh7Zf8yoEf/+7lKBo86p/rboetcIY7eY+V9 1KpI3SI7Sjvu582LYJDJ+P4BmALv2Xq/u4JHVgU9sMo8YgpNH86uG8xwGBbri94tIyzfAdnmFYjk UhBMlUcbf8bP5fRipbNZC4hpq3NrkGc6C1887lrQEkXJ7Xbsij/6L4d/2K7fEBVO7/c+98MmKkwa 5A2gXFjRfcmqUxZmw0/kphJNMqugsAEihuA+w6Q78yns+ZOCIQfsTkR3DWAd32HzGxs19nD1C9St QD/IjgyFyf69FHhqycth7Q7KRqBQZGksoo5jhp0iNNb7f9FK5t8cvHw4UixbTx0t8DBvHky6oR7I h0yLyhu5ZtoWo21NsQ7S664M5kijFNo2PxveAam60Wk2SBiPDqF5RhzBVHuwYSnNq0DuQaWz2Yhh q63WnaKhZz2VGAcbdLkh6PDUqqxfskxRdqE6VsPVifWnPn2gJthWzGzKrTOI5PWvnttFgkgsvzAq 8+Jx2TwQ0k8UqOnqa5xtH7pyfxm5g8vF30z3KdpmFaIYzE788qkUkmKM2t6U5w9qgvJzSX8Eeqa0 mrWJwxK8RUJegBBq83sgB9ts5/vykZTgCP5ZNdmjXRzqK6JTyotJ+HIY5Cpccu0yKvTHFhT+Mvvl fRnC6kNIazb3+QS8JlNUdQHndMbNqT4sX2clsgMfhvVi4hmg/veCTN6Yz6FV2NgA1zpjoFjFgD2q zZN8ggXc8lanW4hv9oMy59YJ6SVpbP7RpJJITDhLJCqAgNtGBJvZeF1hpvZVf21vsjc1OYKbH+At XwNjOH/eiEwFkCSB61izVcpLs65WR92XOwY8ukbsVaXaOdBzcVgizP+AGgIsSrbyFQzJ91xoqqC3 MPzFlea6xCKKCbb16V0UPapSVSKOOtv/RXGO0oaqUXj3FyhXGs7SZDt4V3jn2agTLDj9amcukKjb eFEeeBfIMC1kR6r6EGAkJBxW6zNBdiEjso89C2Yjjy08XQ+zo59gsRMJh1/SwGL+mlZaaJIOt0ZA cKkjHxmGjsXd3hsydCC/nSHPEOeBs0Xk6+ljetjBS+1ct9t449Bt0/6Af7RDtk4RbxexATpdQtij rLWFs/gzSXOWbY8T8GF/ifLu2czoplRUSXanz8pyQF1bvQqe6uFPA51DepCFnpRFXVn3o/7OmAHo 4WNzxzrb37w1SyqAiVkQ7YOUzFItl46sy18249EFgTodxy/eTipBDsIUPesr2U42yGl+eIss5848 zgAFxOpofPWG8J2O6o67w3lhc2L86ah4YEB3wGSyIyS4fGaYMH8BFuwnUp40e9ziN5w9iQG1ZJEG NvvdhGs6wOft0GXQIcsXwwMRIUe7JH7MCxiSepHVE2F4fhIj7iXo4lvEd3x/tJjxvUtE/9uQE8MQ 3YHAyZ4QQSW7rudzVlSHbq5kWR1yA4/EC6NQXK7klpR46/vWY48ZJkT43J04a8xNvaGWSWCmlF7J GQKiVM7NnVsuvv3P8QWqTQ4v6LvfxVQta6egfuR00D8oH9jUqDNuQGMsbQ5HzWK2vd7HRdwk/A2n x/z8ox7z23sbu9Ol3Dg/OjM+2ADJLuNwdIl9xhWjThfHeKitz1mlgipbLyNDoZwHnxk/0QbXN9MC 5BvpdV5LGYFw7zUiUJ07Jjf8REezqPKIcenEpCcr/1J8qmvVjbQ++KFJtSDidzuN0g1ekHOvbOfn KzrIhTHSfiZIIqIPC5n/d4ZFMW6pJKmPlTq/vuKb+lQM/LfHUV6NXJ8V4KCkZPkjys7OHA4AOHcv tUDWftkHS8zbsxWIWgYTkOTt1vaI05pXthyWSoNUHEycep3IZLXM4qSIT4/TvkzyFEX9S6TU0eqS DY6bUxmeDp2wTwqumsB6uLTtmCoqOLuBevPMwQPApZ/SQJfGucpROc4A251rso/nLy78bmmfeAmS CxX7gPLisbXN0dAkZ+7pq9cpByl6Kpe6hNL+Bmd+4XPpDgInAht1+q0p6JdxTZwuUszkAXMRtn/N EHYLrjnG38lUL4xFEfBanngmUiZzsl3zcXP89swDhhksRJr1nvUidprTXvas55MKiPIeCtVtU5UD MMWFXwWUTqz+J0XdcpSoQPtxixGOCjZEMTLSpF7r36R+Z7Ii47Z7afTdqhXDDhSv/mDIQXOyQmIM tgc+5TshIDpRHLPRifNoeb6bD5hNuVjBG46xIPUMFpPG4JC9tHzlDKSXUxRygwaFqRGVA7VlHGcW DcH9D20L9B7f5LCZX2AkrEcuq1+Mhyr3Bw63OyVfzq+uq9g4jhIbSeVPg3DJt5i1NlS2B3t9zo3b L0U86WkVlKROzjbScyyj64ixE+C1c+80ZjMMq67Jeap7U/+7lgmnr7NrZDHIdJXOP6M2K0m6+Uny vg/iUPFJ9cd2iBiNBuLrKpTfPXxSDrjOuvoX5RtukGZs+XgupK+8ZkPt+N6nV70W9txuhEp6sbgm ryy5MyiKh5cS3HGkauzWbpQ1OX699Kwp88E0gr9N8mGJKEtd3jc8JRzov988rVUFGulktaszY+ld j5tQaBXpXlhroLh0oR/42h0voGRJf9f/7dmEiPHYmTb6K4PvOH9qbPPKQ8vISi/P02LfhZwZ+vpy nfrK9zYzjNXc1RmCCPBntVz6PJtzPr4yZ0uu+3VG+t2z790MhYVI2zE5Lf+/HkYMGU92QOd5xQV6 NB+CQ0g200zzrXS8eYFdce7ra7HvFQeDTtxOGZSq9jXLBxcQNdZx4tGEthXtyH1hQhk2xjzCHyyD Shpr+W0LMj9aJuiyDofWXhvM+SUwr/U0oLaaPbNU1SYhiPED0Lb+3hKx3xiXmoepHcwurZVkiVnv c5+MN8XE8utpt+xiCiqG9dmkBL6uk9ufkF9UGWR7QjMiIqQAEOSZ/fj9kXX4Wokil+SAkTdDE02f MMtR0dNzmhw03gVQGHhcuHvLGRy1qj/i32PCexrx5xpcEcvby9B/5TS+6u8myL5s+rWTg37mKPby HhULqtR5aulcDZNhpX6V0xlec7cQOQ42h4KM2f3Hcgd1N2D1wQat/UJ5Z6NP5AQrCe/OL5KQ0XWP KYo/lDo+9TngpIbT8zH/RxW42pHOJ3f3Kzw0TTwjxfmLlDJFKrvqmpuV7ffFh4s4QoajR1n4GJ+b tjCvYpk82mKw9dTLCQ80/ObjgGG/yzymgsFfGEGlZPkL71d5649waX32NOFzWjDpGqyHtffPK0kY q2FnEAoek6teC7EIdHTzhzwCPco5Iqsa2DGzeFBMAPcjIeU0ABJLSUqI8Zua3e0oRuSyv5Aj1LMH kNwtn6X8ObCNmYL+raSIpYFIM02dgtpXpaA+TdU7AqbP5OoHdNJUUu5QlL+NX/hUjDaiauMxwLkG R06pY9efjCDqM1whvVfOvCOZovEVnOm5eb32K6UvuvkydJwbQlvIhlXaVKElWnqSQo7Q2OnBKtQR 5SOMxrGrTvyzqAuQUh4kqh8ljxWqul//IWYBSK2n4XsMA8dvZxZVY6qJBspQMyKp/k1DtdI/G+bU 5rUN852z8nUV8bCZXEr/bIk4W88p1PA341LudwYd7NzXgnuMSx89J32TAmXeMo6Ouy0XrP/83Gph /VOHRSTS1ZUHXdembKIOnULUTr7ZNeF0EEwlSjfpCYPoM5PwD2S4AcDe5+zgyNoBf+Q8Pf+d6v3+ fIdCpF4PSB9U0Cw0ldyjdiIs+O6QVoZL1OhYDtkaxDx+jpZiiRulwXzJcGWUyjAIzIkzR67z9bl1 BqE1vhGQ6rQJDXe1Y1FoZseOHNuJ0z6zLD6+1mzXIO/EyicHs9gttB7v3P4gI7cbihdN7c1CyN8a oqcS2EaHi3s1ufe9/spdwPwIJ6OP/SYD4IT8ygDs/QWjQmA/h/2HDk7LerQWHjsRvJ7RYDD32vRr kj9hwri/0guHP60XogfF+A12zvtilD+t+wubMbeKk0OoHob5bH3ODJaA0nhLIIf4WFnPL174sBHt 9Yrs5pE2m1yZgxzMf6prOCxXvjYnRcWSW4+QwJnSffVQv7fki8CZ5Q5vst1UQPHMJgjwURsmxAtp lnI5/JHO44Hyd7Cw9XvC8I3QkGNNt+V3SS9b6XntmBxLZxNI+nCoSOEO3r52TVeO5UDd7v2hdxzt Zx7uWCgeWfO/7LOFO0qZic9jzaY644e6QpXTqxFg3DdagL0ehavW1KFWIr38jEVxZDhHm6Tq1KSN 4ILrpDJOZZ3CceoALHKlIP6t27+oI/sp1EZZTIMgHB+QLh4RH0nxX5IjtKD2D4+8uiW/upJcb5ZT WZWX6hR57V+/Hfo4uPLYbsBxFGI4TtCBWe1MtS2l0j+9ZbdWFDq2YYOJJzLgkHzc4ZMaioK6qZFm be+WwVH29Co2osAWFBBahtIYUZBgt5AA3y7PETWUTW8F81qOUBW0NhPB75qPlmPpjcChHLvI6rgj OvZwGXpXR8TQVyC9DaSa8EdFFhZtcMpOZxY31CxiK35SzAN0/dVtmEN3cYCGpWC8F6t9KpA3Gn5D I/PhuDR6zCybFigfG8shAX/Ty85WzQ4dhAqxVpCZ9QpXB1ThQXjKtmaJOtwrFdASVA9cFNWOaBtj giAQcDz1lBVQkYk2q8eTkZ3b3OeLDtz7aCiXklVFxTwkh8X/h//f2xz8O1d6PG47Ga8628rQ5dsm JxnOEruE4xUkwC6l23Exo9moaNcrkaMISWvZqQaTM5A3adqUgAU6v4bwdC5/fTbiHdoLjLA/A0n1 wmSgo9d3AoQ6U0E8csP16wg7OO5FxUfuilVRNxXmHfL+K/aclfTog2rXkH1CLK1MUgLb+ccDBXtJ zkythqX/wbBwXcp0Ti4LsFH34RXdItyppK1mRZf6bRSZp0ExZ9u6xul6X7BA9ocBzlUbx144JuYQ THUF+5yC82dyPY92MBij1CM2w6fv3s58mfv1WLb+AJCbp/65vRJi8NQpTlNXCXsgPnVFxq4Ykrme 47LlzyXD2Mo+X/uxoKYFbm5XGIIFlJCPJU6+nS9CPdMhrs5ob37fJ0sFXrkC4xjFPXcug9Ewx1GP U2F2K4aRl7MkblmINlI/Ld02yZDuWMx5h5UEJmGQFJS1qeDsypu+citxFjSlY/EkI+f9PuPB9Tny gAX/gEJoNhmGn3MtaE+gqPtTwX+Ke2naTyDJJ62amThKqMcVQ+/nooPpLyWkYe+9l/1zmNynQEE9 DyDkRInhwI/yVm9GA+7taYlkL70lz45znCeIBa1FIIXP5SRfY9YOf3R3WEGM9/7AU8aO4s7UGFwJ PAL10pHoyxGri1cOijhd2PfXeOoWWh5R8sATbTjJxWysYeitspVtCeykWTTzetXGxHQZ2OXwF1nv GLujlfkOUs4XpUu8E2KZH2gJQ8ztAhrgr5bj/8cW6V1fycrRI7YegquBuURI/MALZkMhUMCdIEWb hfd1+wpeNxndNmc1bSL4xgVtgO1yFJiQHqVEBPyLXJ/8tV2E3vVJk8YLILdD7YLgH22nGD/ph/mj oGldrkXt6PM8ccO1AHUqXfTDfN3CI+T0fqXW5EgTPFmPI0yowJVCQR2vUI0pzq84k26cGC+iD6FE 7gHyKQu3Zo/b+8Ngao23R3Wwamqs1+JNhxUxktb8s8ZED9WzC7hHVkZbIuzC8XrPDnNQVNDQty6x Q9oos/pGgR7+/0a5j23lYKCnKndM2MGqSAL9pIyyBLwsJJXOE7Cgvc3UqJAS2K0enbtb4LUpOhs5 rpvjktYkpSb4oFFt7OX6qT57o2x+nHi+ArgZWjZzGNo2axABhHU31AULWqBCoF8IKDvZEQuRUDkD 3diUO38js8SHiKbnLPcqFvZTVRTuJF3tuGlm/0Sl5EPQ4Xfgpqral5KthHOqgdqawPR2gco7EZN2 FFZajKKlurC2KEsZnA5lHEQFfaQiRwn6cU4U4knehqWRe1wx/AL5d3U1eAkHSZSHrgqQ9+xLF96j gdzmpzG/a85izBe00EuuHnNQjpMDamIXWyaYQgHqwPeRM70gf9ZsOIBeHQT+1aHHJ5diINsPr0Gx y8hLWCgp0FFvF5Icumbdi4oOlIDwNWiv65D0OAgzHiW5s1DD3WtvKiuIkzVZOFIWvaG+bYOMgJ3P s+Fm97nmUFn53u+1+HOBJi84CzPQQMxSkJwYhO+NULHXI75UKqO5M8IbhtFx0c7xVy7X+Yfw9iBn YBdbTVa7iJXmPLUXEY06T/PHWN3QKWEijH5SnIlRUPi3hJgj6Sz+UATAr/Q6MEc3hFHRQoe5Ovwf /3sSLXuCM6ggXMEFk3CWhc8rv/NfUp4Hh7WSi8Bx2/ZryEm+0u8FspbTt+d6V/sYXBCnCe1YFRJ4 ObZYgc0nbIL8ibwmvpE+p7Ayk0V61PFC+vOZ2DYDHj3BQ3LmjYXI2LOY1Ql0uTxE/KtWkzCbu86R 9gLpXBXLqy6qXjYoAojCr/DOSJ5P7htImI1LXzAXaWSUp1WS0Lu+Zx9MWa6LJKSjQvisMCYdav7O uhz1pbH59e2NyKJNqMqweuT19c2E9tBqcBQaB6sucI4FlbdiNkFNFB4ANXrYhJnDQuPua+NitWN9 QXBtv8vxRBNldVoWu/tGZ2BXG4fEwhrre1F3ckZ0qWUPYdV8EzVXY8nmR0fifDGTzY61kpL+ZyWC EStt7NkMwWonovB9dTlgKt1D5mECOK+f+pExF9CqQLlIRroTMZUWhjwy4c8jdOeCxu0AlzBnXEwD JEs2p+ND8oMRZ//IovsSGzIaMbeldNs0/5ycdc+Z30pFLTfZxbXddivskCrqB9KBBqpg8BfvtXqp wEEvp8vT84LsYb//IXLqBZa3uOuSss2nCNc2wGDRh3H+GclixmTzENkfmq90wmi6O+770pB+ryt1 d8P5UM160PAlXX/mTOB/t7/6i8M5nmAcTjlsYy3mm5wfF/6uuloJbOPj7BclcLEThdWflEdnzHhb 3YaGvWGcklOvQCrsHseWEEK39rjvSxFdV5ZED19V67NslbEv1lQGsJs9Iw/Cy3XQMYj3+miCCH71 Mz6Jd02EhbAej+Huqnbqw9dqi9knQrteLDPgjGHaaco2TMDB845OhMyx96oPLBzJVuj6nCuDVS15 nREZ8f/dy7WWDj6YMjDO5/nI233FZWuCr65MbBd+Xa2ULg2dyApeVfcbvPSZI2jWG4R2x2ybEj33 3hMmHHpUBeeES3wKJik56gpmg/bY7FskZM7SGpSDAQype4wkd6Q814Kjwwd4KY54aTgjoVPue9gf ul2R5SwP4Y5mArPDELiZfb83RXkPmmmN94/+ewvgsCTvsMkY6GOLuInriyxoRudKhOKmuObSuOz3 m9gQAXlVX+d0zatQgtZ+vGl9vxuBOLSph+MaCjXOOOiTKuBwRQ4gfFzaYKUBtUTmNZx566069+hp 3dY4pEkgXWHnT2KbZ8dWEGjiXNbggZoO+uZdEnmnP1xUqbreUGkB3jqzIB7kke9EhAGXDxdycT/r WSRSzq7Ck3SPJ6EYvJtpzgxNP/WCdbND2F3yMlRhTeOydCocNQtMJq9V1zpvN6Sn68FAUa20HBLU DC9IVoDYZua2pWMpFbRigEFzvYJ1ABdAUoFn4OeGKXfuvz3JtIScdmXwV2VscTEUJT2n47yZhV1g /Ti0XpmOaOYvofw/ie7VTVJC7d7EBWX9SZ8Q4gjYOyDZqw2lJpxy2ABOHfvf9W4af3xqN9ceoGVZ 6I4FPynXbrdW33bTnCuAtmB0mU43U1yWQ6a5yoaDVtigglYgI0RTp3ay40/8TWsi0Y4vIoBjmRPr yQOe8LNRrbe6SyLVHCo2zpoKs7JppuhXAhqgjoVD7Y5Xv/lL+GHPGOGE+Y2hpPPrrwjajpk8g+WQ iJvjBX+YPeGVEaxgLgcJVZnJlvVTOaWWHHAnBWqk1r8nXuizatpAev9Hz0mHy/pGEZragOW7K63Y rM97UQOXflnoXgplVONZC+59QqiOtEPpetpoaqbyim/ap3Uas4xbJvee/SGHJiASMH886S/qUY+7 6zV8r/rhMZ++Xo2EGbYaVhgFfU3QGfPZYtSzmK688+EvENCXUudwwupmMaWaMGLdatIKyFixNHE+ 5pbVTp+We3cDuQbVIFvsRwohuLCfEFWPD+6NX4B9DZYsm14DbN18kqQlRhIbePmHxnajXhVkJ7z7 6kyAuh6rQ/NuChLwBvaQkuMce/Znn3JDvxizTKvdLqi98s/UAQSUwJJatFpP0qBOVEpwmrl6dVm7 1OlRGIitQRGU/mkB7m/xnabaO9MW/E09/9mrDoeK0dI75NoIUpJxSnuuziScvC4tq0zVxcHdxXgp MKEuxL7jETnSGoTXePT797KFIU7ID9yLdp22aZTqKr8aNnkmgS3VyKCDKNlYmfPi438ysCd5utRg fm0daUoHVYfD8lnEF3c6Ypi6PqGzgTiZ6MNyyI3JDdUF71wLB9rHX7bDXCMzd4K/i/Jqd35f6R5E XIwlU2VhTcSLnJn7Z4EEyxxgk8j5CjnD6m+M19QfXYOT58oM/UeWtYUprgBY634Anl2CMlLnRdGW GGJyaXV0ePLUCd1kaEaR/J4lMDpIeWCBx8sfdlkSNSEvePzw3aL1939AkrpUXhoIZQqxwiJSKj2V YPm2K0WFDbRX5KY/TqEBR2jbg/IwAuOyi5PJH5QtmMDzu2dBysR+pLHOw54I5DYVYryCVVq8Nqip Fdnm3iDWrqe4otmbYdf5QVGtsbqqptbZhf0983FEMc8n4TQDn5u7fMmUXyQfyAm9OxnAn1AQsynT 1rblYrWIf19jrICALIMf075a1jiXIZAy9SLGSuBM+Pu1c6hDPh6ADO8IHJWkw9Eu8YwyYhm+sovX ts2uMsAQIzZz9Yu8Ex0KztZ35n9K478XQwfHRaBrfQCcIUlogmX7i9QSXTpQd0K3SAYkKox5Gnfh K/Y3ucU368mPt7DKdFolKHHAKVhDbQCxvfQUFRbZgiwPMZbt5XwRA5tnOkpDfJw6gP82s6AODQ9U fgMFiHj5KUxv3Drzgbvy0L6kBjNremKmYUYUnQmGCU12P7v+D9VqLxE41ZAfIwpxSlcq0ziLirPf nhNisEn6dB+fTSHeKcIHuheoxjrcSt2tW4fDESueot87MN2ZUDDrec5NqwNptEtILCbdxbE+OQDu OXQ1mizCAz6lTixhBZLv0phcH5Me2I+BtydekWf4qr8OXXNgUh/0onNmeUcpEopYr8oFy6MFQiXf QZ+XjQrqU60IygXO1W7mtzw9fQlnPPuu+mZUB4Shnsle6dTPu20yJkMc/pFka2PFsu7/Cu61r531 xuUtsHy0wW2/7tTfN1HEWct15oxVzJtwU92haZARy87RiTOKoBCizzDCkOYRH2Z26jhlegK6cFgJ X6RtJJCE6pvVGAX/VIQW1b6dZ4K/UkEDOmxm5xVwRHy5XuNDoUMAUa9fWl/HLSkWQb2FgZJjQ/EN KXDMTFRnvB8wHU+z66MRHoG0I1tZluOcy0DkfH3xb+0ZPmzhlrHq3lQJSmDUjTOyeK+BXjuihkNM je5v+DgrXnTSu8coZL+VV/09C5ewzulP2+g/12a4V9jYpI7nRU9eemQkQQ9YuCgmd42yy1a5qr1J KxpvKsNGTTjwY6fHw+x4GGu3i5ElMlSREa4QNYGHvvnxKrU7RD2GQoCMYz0zBwIbY0CP3KTFxGz7 v6OntQPnwKoZXvhPxIxcjCcJ//K+ZnxQ14Z0+TKqjv7M/d3Stc7Dqqi3u6sXVYgafJPcgPrNTAme sx9w2ttbwv/+soVwuZpxVm/TbLDsuu7900Rp7NblfiKag1ysd5yCzhFKXxyIdib+cCHi7At4NWgn 3pcUu2OqRdBHYtmfXiT7n4Ws4zaOsME+P3uctYMFZD8YyVA10a0Inp5sjc5szGRCFEioB6dy3jTu O2IkOg89IsuBzmX2fcPXs/IyC92zB51dNcJ+bjZsZki4gISCnmyfeCR0gU7nwY8GsGiEcpEy9yC+ Dt8fdCS7KTSqCblwaHFMF6ytDi/TYlPeaMLcrioR6WykdC+mvdXzLx8c7/FMyLrnzdONZuZ7UHPo nMj7Yea0qSnEGiK6dhhcOtEOShEI08hgaDl44PWX1lASzCMOlKmMLfB1Lwbh2D5AQPL29QtWRqQR q94IIMDhMH+NnGfGVWNkzekr1nWElK1QIAAQGE8WzXUEpvyITNNm6TrqwicDuJsnUxyBbzqTZ4x7 iXyCkeEuEDsq79tI7iR57cyzRwoooehM2shWqyOLRJVa5+nzLv4e3wT8HYzaSQVVLgXncfv/pnm7 b8ul4RHyvqN06xz7xcNDVbZE461nOTIVqn1VKhLb3EUghbUMYch80QafeezsuA0aK9p2pASZZHRx yD7+gjEB7pnE18P/hqA1umVAkRbCOLO9JkuDYcoAHHe8xihRrh+YdYOZ5jPjvSenFwvY+2G6Nmmd OYBTMeYF/OrRfDi33GknmfFzKoaWmIdAPXF6miAZBzXjQMbzLm4DndSxF/b6moNGWut4D6zSRBdv /7r91cIAf+SdaCqizojLiy+AYLyd4FQQFoFUYFV1Hr1xQu637r58Od5eLNPBx0NcAsIuchCE/JhL O1cLu33KG5wlJghs6Gw+okFDVFWdndop9Gm+yhmLP3webFjxqXnEDN7E1wshA0AcMmpAj+08zC8C a4qj/BYm2iLtpvhd6QApkhuHBwawt5yRUZYgsTdETq6q4+U0SbIO0bcyKNUEo/Kg3SQ20+kfKN5J ivgBEdhDyWIp3h87sWrtTTXTtKX9oZkjIv+bVDMur6zGNx6E0ffYGFa2MEeAIrtjwReBsUhWwUCc YFYXaR2SLbW/YS0jUaN2KqfPWKvLwJWZnkWkZzdsUxJ6eQy/wv+4x+ROqQaZnyz/xemKrdb47w+2 aU1ZERZJH4xwCBXKDa/n4oalfvTMd+YZY1o/4QU6EiNGWr5wkdxwoGMZkjyYbgzQkhnFxwL4RNjI /7w3wByqH9AgQjxTAxrAv4dKsNNqbZ1IllJGh7vKBpNyzLm+jpyEcuA9DgV3ioORpWwxsysMMvKq fRKMTtZuRS44+95/6w+H9PI+7u9RHC+WoEZdsvwOYXn34kDeUXBI1bFV374U7RGNpKyUuG0z/s6Q Ak6kCVBFN4z5VsSsORa9dEWiCIQ7oUXquaLNPjDoJYZ6f64cia5/orpY3MMAIFgbC+mJ9WjfF8FP SQ0XhkSO0YfjF2DG68DaXT4Yc4TVnYWhORJuEFqjHXVu+6sdlmmgdZRoxr5zkvh9HXrpGwDC5QDO qsyc6jChgKJDqs6T3Ei2nTHewCRgqLCtV6zyeUqskZ09Nvc9nc8/dM5Lku47QFnxA1ESLmvIon5B baEIYRTDg5S88/cgCklfEOkwbeEqGJHb3s/Ejgu9GK5rrTQzLc74PozdmZdd/VAax5vdkiSJlKvy n4+zBhRpc054ZpmR41t+z79Dq78ZvTpClUeIJNy66+mepfPa87tFsqWDN/WVMISCeq7RtxNJArgt gxOCnF+zCtvjGuWj1QCNtV9vOoEM2YExUmzRQmYqK568zsEI2r1LuAYga55gl0oJn80RqQler091 0V1fJQ86mVt9Mz7WQ7ERr4Dw201H2nFw/lH4aTvsoJT/ahirVFDUVcYIPwRJqkz1p4A0ZUG4SNGm rzuJXNz+F/kDfgFgJ+rLWM5M0I3R8icATBVt+lICoZW2eiJ2ta3oHdFiQXhQg77e//vyebS3ydLj ILr8CNtKJ4AXEEImfO1kxtE/9ib4Lp/eRoZfAOAWd2GEAn0qr7Od2uOKHh5ygjgrGlrHs+o9oYwV YdmatNa/oPNnO1Pm0gECrUlAa3GzPiiE1rdIei0IhSZ7/3xoIp2byHend0gu2+N5NYhmljOQebBy gwKI6Qq0wxMkrSr3PhBCuiet2Vip2IS4767TfRfKRJbi4TPtW/k5qq56qu+38/OGKz+jGb78loSR rSpv9PuMlMwsydVoMlgqNaNNjB28CdkXunN9sp/GFXg7TAVbTnsPjGrzu1+quPuLUlfTMeKWYh4i +9ZxATgu9dI2Gw5eLifx9NBlT1L3XCzGFP/WVnB7BzBC2SrZSe3BvQRkOwGkkvahO1ABLVow5FkT mJucwuVI71EC9uSKjHf1cWytMBwY6Vfijau7g7XL0tahn7jjCA1UCeaZUvNKmkVdyvQbDrLCyeFe dajkf8YVHQP4QKJvqZgDN7fJnPkQ8ivy1ooNXrGcmefrnf9rLxAqFi/r5aYzvC+9tsgxtcbaaoVg +Nv4tuZWt3RwYzTfokvpllQ+nRGr5ZyQpiLD96nJDb8dT2CcAsTzjVVcGDaDWDAO8UHlf8qxpWXz Y13u+32+RpoBRHVAihvRhXERd0fm9IqYP1TmegeXT9QR601BCHHa4ouorIF/DDsa9XIHaCXPYytb eC1dqon3egoaN4DWxBLuZuAxwCs3Nctvr4M6o/Q40tIkAtnWOm9wGo5TNolv3f2y7Ifclb5JuYZa RAXhBsbWGJpKpvAETDNQer3hbeP15WMV2yeEFgScJrweejloFcFLVL9gFl0ZGWSUMwSQloW4ehVT Xf95rKoxA6w4RuZzVmu9wuh0RuaRRwJcgdXeZgGzwtRslzjJakF+fp4QBxuogXvi4jUvGVZFymCQ qKMWUOX5aFdjFS6I9mgRXzHehlp+V0H1U15AALcDoxA62BVxmCLsxo7alXraJ5bOD0CDPqwVNyTz /qyLzJ9y/7dTpjEVkmvThpQBYfKlWPZaYYMTrKTjBGzhn8x32Gnr5ByglJOkLcT5XU+bo1jVYWT7 TuR1hzRFrXKTwSaNY2q96LYvH7xYIbopHhHUR79dwjEZ3eKp/O8qILZkY15BqUXfjeJMt8y3uFY0 YjCXeOD4Ij1//w6I9K+ycWOvRCxcBN7FCkPvzbd4dzmxmHGLE8E0LQYoWHjKNK6PNbJLK64IKe0A gytY4AprkFrc6jyptbpWkrm3aiNdFzvDIzIDtbOhqq0jRl1kpPU+1EnReTPhQORh//8Ue0KbrLrn LuZJHuQMjZO+ho1aSRGxwxCTfl4hgSVOWvKsjx1BifbKaHXvzfmtOGWx0SIZ5CCQHSBH8LMX7Uey v77A0e/n5zc5i3T6ESBDFe8WiDqC+AdNVHj6oKLiENKXwnDdtQ8tPEuiMhaofjyHgFukXdINy5Vj nFv0sAHu0WZmOb/cNmTByLs6cDCZ9ZYyAhuucVrQJiq1J+/08oGtYwYza/HF6KZBXPMYRpfZ4pqE 1O5dGd60oUDKXNGpxgoaY74+1wdSVNs3+OHINsGBStFOb1rkxDAknXKc3zm1FzJlOAqSKbQDhFIZ 0rjoYeb1TIdhIQko14MTDmM6yOZS6Bq70mWyDG/TXumViFRd35gILuJ+r7BLL1KAKQMc0uexfKTm 5n872W6K7fghdINsD2JGcJawpeWMmVehEs2gmBUPCkRZotGEtkVVlabF/oEJFOYAl6b7voFlInlN gaRxwr6tQ6LZ0ir3lYDCd014sP0wAL7IMnMbjhCY7fNL1H+uGmFMAblMe9YMiHMriAN566WO4Q5O AxRdospoWoMGitSSVHWyVCub0jLKfZS8VUvs6HZmt7kxiQk1KeWfZ8cYWWyALrz3MINSsJY6KI+E 10388JT6NqtuYcjuTS7s6f84jnjKNWSdGh1J5dhJxXqcHVwCStFUwzSLQ6hl7Dbj1MgtQBg8XjPV pMsCOPOrcWpjHeoll33vHd5041JXYISqoGLHvKeKzOt+JDhMr5GB65/3ZIClR8oRKoMadoDii+Gx 4Y6mHpEE4Tv956CICqbiUVpWBdtxuY10DOsfYLrlRhAVZWANUP4uKSyxrlJsP3c6l+NMsbwQO+ib 2SysEw2fy7OUjPhr1cv1m1zcCDFfFCoJfytg1CS1ODNDKQgpupsTi4sfLPuGHp2BiuZkiBzDt8bH TqywXSFITVvSGgn4HY1vhOZQUEnX9CecOGHOkYxzYIAkbs65tf1wWVRvxojnl+aU3tGLbAwXgaDJ SIDVzmmP2isDy3Dl4Axi0HpcQa7cBWiVmPY0j+w+3tfuAcHerYox9o8ADSfBDmVPPPJcuNCcK2Uv dnvoPmxeN+qODthhPTMySeHg61O90fJzFM9Gwh8VE+Xa5bkgIAGbViC2dJeISNd3r4GxqDu/nOpt F18KztP0LCQZhQOQopo5t+OKLgxxvLRLGsThxaa09OmwLQ2K++TpOgQh8GkMK7vXtBOjLwZJkzI8 /bdeD7JE17URKk9K3AeurxGEzghSNcTHr9C/zi+MLG9ccM9FpkWvoDpcRN4VliYCGxyJB8tOW6fY 6ILsUMiCQ1PfBFWWJjSbJ//OqVhRVsASTJow4kf1CgvPty7a5wW/zdELWrw48zgV+QvIpmFzbamw 8eKIyTpATdsy/0Rxlt0VeMPj1WsDHyyxpUXXL9++IRb6YBgs8gvBI1dUa0Zms9FoUPaVrJJzWNft 6TqnqzONJblfX/oIPRYp+o1CRKPwGgPWM3dbgUuU/I6nh0Kbmx136XfhvJcKJX1YFbshx0mUa82H WRs1lwovEzxjIL3HpVMPDEc1aQb3OKYXjWdcX59CB+YfZJ5GbQGIZ7lBziTqjB49SAubMFDuxfZT ibaOtojP17rIuaeHAZIM1X3qCk6RB/iOvWsvWEfe/Rb7nGTDA7DYyO3M6+Cvtg11nx6a9uO1mCzi EN0HVJuWEFJ1hSc18snFdiR+cfNClJwNs5KtEnoxhWhKXs4x//LpELhYQg/9adIaxr2wjSMcF8Td N+Fcu/hGv2mz5pHrdvAEtofgG1cttpFnwHonkjS1tGSPfaLiUsx9nznwz0i9eek+Gxaw5Su2rs53 W3N3IZJ0Av4XZpvVSpxppzDa9h5bGriv3V0E/U2+YvtT0KYF11VqT6n0WAYHmtWrWFlGtOqnByH3 2B1P/+mAkIVTl/VB2jnvICnwIa9oLnPyfWRvk9n1NNGbY1br9031X8jPCk16UBtkPk4j/Ww3eRfX K4dKsAL+FBe0Ii0XMGyGh3YlDnvAdOCiSd4VHNSL66DWZ8qBnNYcFTzU/HbC+NvQFL0rQ24uf2wX l9IdvSZu87dILSszDltjaWrzElGMERd620507qD946/K5cWe/73DmhKTh1D1Q25WkXGD2gxIuzX0 +xYKIfTT3OvmeSmatugknqzIis4J5GGf+FY+DGFompKCUlp+8SrGBuOyf0CMdyxVbueyuRm/BVCy 9GEFF2ZmAhb8bh7FW/YxLefl2nBEo+LzEAAhBoskHo4SqcLnwPRSVTy3q3pbHFB150tbLdAEvdWs ROkbqt8Zjkr5jfEZ5bd8lsfpQ/3MakhB4Io6U59QK/4bcyv9NMbhto+NH2zeZWiP8VhBpOQrfuUd G5vS8gvT8vYFM4m5R3n51nA/bEAyLB7EVtR68le3+4RFUvGISLiUaxzdBbcOk0JzIZIDh8aykUCG bZRogU52iO8AIDOS188+pt//6v1pC+iMFaIQO47lEDhns7oUimBk9Flab/50Oial1fj+PkQP98eV 0vzPrsjLkNe2nks/HE8cgsYioXH3FPqodj0iK98U0E//0AeCadqsWK1s `protect end_protected
mit
a0679db8b32be3dbeab74c3ee2c0489b
0.952837
1.831659
false
false
false
false
VLSI-EDA/PoC-Examples
src/cache/cachetest_KC705_Vivado.vhdl
1
13,007
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Martin Zabel -- -- Module: Test cache_mem on Xilinx KC705 board. -- -- Description: -- ------------------------------------ -- Test cache_mem on Xilinx KC705 board using the Xilinx Memory Controller -- (MIG). -- -- License: -- ============================================================================= -- Copyright 2007-2016 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; library poc; use poc.utils.all; entity cachetest_KC705 is port ( KC705_SystemClock_200MHz_p : in std_logic; KC705_SystemClock_200MHz_n : in std_logic; KC705_GPIO_LED : out std_logic_vector(7 downto 0); ddr3_dq : inout std_logic_vector(64-1 downto 0); ddr3_dqs_p : inout std_logic_vector(8-1 downto 0); ddr3_dqs_n : inout std_logic_vector(8-1 downto 0); ddr3_addr : out std_logic_vector(14-1 downto 0); ddr3_ba : out std_logic_vector(3-1 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(1-1 downto 0); ddr3_ck_n : out std_logic_vector(1-1 downto 0); ddr3_cke : out std_logic_vector(1-1 downto 0); ddr3_cs_n : out std_logic_vector(1*1-1 downto 0); ddr3_dm : out std_logic_vector(8-1 downto 0); ddr3_odt : out std_logic_vector(1-1 downto 0)); end entity cachetest_KC705; architecture rtl of cachetest_KC705 is signal sysclk_unbuf : std_logic; signal refclk : std_logic; signal memtest_status : std_logic_vector(2 downto 0); -- Inputs / Outputs of MIG core signal sys_rst : std_logic; signal app_addr : std_logic_vector(28-1 downto 0); signal app_cmd : std_logic_vector(2 downto 0); signal app_en : std_logic; signal app_wdf_data : std_logic_vector((4*2*64)-1 downto 0); signal app_wdf_end : std_logic; signal app_wdf_mask : std_logic_vector((4*2*64)/8-1 downto 0); signal app_wdf_wren : std_logic; signal app_rd_data : std_logic_vector((4*2*64)-1 downto 0); signal app_rd_data_end : std_logic; signal app_rd_data_valid : std_logic; signal app_rdy : std_logic; signal app_wdf_rdy : std_logic; signal ui_clk : std_logic; signal ui_clk_sync_rst : std_logic; signal init_calib_complete : std_logic; -- component declaration required for Xilinx Vivado component mig_KC705_MT8JTF12864HZ_1G6 port ( ddr3_dq : inout std_logic_vector(63 downto 0); ddr3_dqs_p : inout std_logic_vector(7 downto 0); ddr3_dqs_n : inout std_logic_vector(7 downto 0); ddr3_addr : out std_logic_vector(13 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(7 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); app_addr : in std_logic_vector(27 downto 0); app_cmd : in std_logic_vector(2 downto 0); app_en : in std_logic; app_wdf_data : in std_logic_vector(511 downto 0); app_wdf_end : in std_logic; app_wdf_mask : in std_logic_vector(63 downto 0); app_wdf_wren : in std_logic; app_rd_data : out std_logic_vector(511 downto 0); app_rd_data_end : out std_logic; app_rd_data_valid : out std_logic; app_rdy : out std_logic; app_wdf_rdy : out std_logic; app_sr_req : in std_logic; app_ref_req : in std_logic; app_zq_req : in std_logic; app_sr_active : out std_logic; app_ref_ack : out std_logic; app_zq_ack : out std_logic; ui_clk : out std_logic; ui_clk_sync_rst : out std_logic; init_calib_complete : out std_logic; -- System Clock Ports sys_clk_i : in std_logic; -- Reference Clock Ports clk_ref_i : in std_logic; sys_rst : in std_logic ); end component mig_KC705_MT8JTF12864HZ_1G6; begin -- architecture rtl ----------------------------------------------------------------------------- -- Clock Buffer ----------------------------------------------------------------------------- -- This system clock is used two-fold: -- -- 1) It is used as the reference / system clock for the memory controllers -- (MIG). There it feeds only PLLs, so that, dedicated routing can be -- used and no BUFG is required. -- -- 2) It is also used for the IDELAYCTRL and temperature monitor logic. -- This requires a BUFG, but could also be driven by another 200 MHz -- clock source. If this other clock is not free-runnning, then -- IDELAYCTRL and the temperature monitor must be hold in reset until -- this other clock is stable. sysclk_ibuf : ibufds port map ( I => KC705_SystemClock_200MHz_p, IB => KC705_SystemClock_200MHz_n, O => sysclk_unbuf); -- sufficient for memory controllers only. refclk_bufg : bufg port map ( I => sysclk_unbuf, O => refclk); -- buffered 200 MHz reference clock ----------------------------------------------------------------------------- -- MemoryTester ----------------------------------------------------------------------------- MemoryTester : block -- The smallest addressable unit of the "app" interface has DQ_BITS bits. -- The smallest addressable unit of the "mem" interface has MEM_DATA_BITS bits. -- The burst length is then MEM_DATA_BITS / DQ_BITS. constant MEM_DATA_BITS : positive := 512; constant DQ_BITS : positive := 64; constant BL_BITS : natural := log2ceil(MEM_DATA_BITS / DQ_BITS); constant MEM_ADDR_BITS : natural := ite(SIMULATION, 17-3, -- 128 KByte / 8 = 16 KByte per chip (on SoDIMM) 30-3) -- 1 GB / 8 = 128 MB per chip (on SoDIMM) -BL_BITS; constant CPU_DATA_BITS : positive := 32; -- supported values: 8, 16, 32, 64, 128 constant CPU_ADDR_BITS : positive := log2ceil(MEM_DATA_BITS/CPU_DATA_BITS)+MEM_ADDR_BITS; signal cpu_rdy : std_logic; signal cpu_req : std_logic; signal cpu_write : std_logic; signal cpu_addr : unsigned(CPU_ADDR_BITS-1 downto 0); signal cpu_wdata : std_logic_vector(CPU_DATA_BITS-1 downto 0); signal cpu_rstb : std_logic; signal cpu_rdata : std_logic_vector(CPU_DATA_BITS-1 downto 0); signal mem_rdy : std_logic; signal mem_rstb : std_logic; signal mem_req : std_logic; signal mem_write : std_logic; signal mem_addr : unsigned(MEM_ADDR_BITS-1 downto 0); signal mem_wdata : std_logic_vector(MEM_DATA_BITS-1 downto 0); signal mem_wmask : std_logic_vector(MEM_DATA_BITS/8-1 downto 0); signal mem_rdata : std_logic_vector(MEM_DATA_BITS-1 downto 0); begin -- block MemoryTester fsm : entity work.memtest_fsm generic map ( A_BITS => CPU_ADDR_BITS, D_BITS => CPU_DATA_BITS) port map ( clk => ui_clk, rst => ui_clk_sync_rst, mem_rdy => cpu_rdy, mem_rstb => cpu_rstb, mem_rdata => cpu_rdata, mem_req => cpu_req, mem_write => cpu_write, mem_addr => cpu_addr, mem_wdata => cpu_wdata, status => memtest_status(2 downto 0)); cache : entity poc.cache_mem generic map ( REPLACEMENT_POLICY => "LRU", CACHE_LINES => 1024, -- 64 KiB cache / 512 bit per cache line ASSOCIATIVITY => 1, CPU_DATA_BITS => CPU_DATA_BITS, MEM_ADDR_BITS => MEM_ADDR_BITS, MEM_DATA_BITS => MEM_DATA_BITS, OUTSTANDING_REQ => 2) port map ( clk => ui_clk, rst => ui_clk_sync_rst, cpu_req => cpu_req, cpu_write => cpu_write, cpu_addr => cpu_addr, cpu_wdata => cpu_wdata, cpu_rdy => cpu_rdy, cpu_rstb => cpu_rstb, cpu_rdata => cpu_rdata, mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, mem_wmask => mem_wmask, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata); adapter : entity poc.ddr3_mem2mig_adapter_Series7 generic map ( D_BITS => MEM_DATA_BITS, DQ_BITS => DQ_BITS, MEM_A_BITS => MEM_ADDR_BITS, APP_A_BITS => app_addr'length) port map ( mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, mem_wmask => mem_wmask, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata, init_calib_complete => init_calib_complete, app_rd_data => app_rd_data, app_rd_data_end => app_rd_data_end, app_rd_data_valid => app_rd_data_valid, app_rdy => app_rdy, app_wdf_rdy => app_wdf_rdy, app_addr => app_addr, app_cmd => app_cmd, app_en => app_en, app_wdf_data => app_wdf_data, app_wdf_end => app_wdf_end, app_wdf_mask => app_wdf_mask, app_wdf_wren => app_wdf_wren); end block MemoryTester; ----------------------------------------------------------------------------- -- Memory Controller Instantiation ----------------------------------------------------------------------------- -- Apply an initial reset pulse. Required for IDELAYCTRL. sys_rst_pulse : FD generic map ( INIT => '1') port map ( D => '0', C => refclk, Q => sys_rst); mig : mig_KC705_MT8JTF12864HZ_1G6 port map ( ddr3_dq => ddr3_dq, ddr3_dqs_p => ddr3_dqs_p, ddr3_dqs_n => ddr3_dqs_n, ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_ras_n => ddr3_ras_n, ddr3_cas_n => ddr3_cas_n, ddr3_we_n => ddr3_we_n, ddr3_reset_n => ddr3_reset_n, ddr3_ck_p => ddr3_ck_p, ddr3_ck_n => ddr3_ck_n, ddr3_cke => ddr3_cke, ddr3_cs_n => ddr3_cs_n, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, sys_clk_i => sysclk_unbuf, clk_ref_i => refclk, app_addr => app_addr, app_cmd => app_cmd, app_en => app_en, app_wdf_data => app_wdf_data, app_wdf_end => app_wdf_end, app_wdf_mask => app_wdf_mask, app_wdf_wren => app_wdf_wren, app_rd_data => app_rd_data, app_rd_data_end => app_rd_data_end, app_rd_data_valid => app_rd_data_valid, app_rdy => app_rdy, app_wdf_rdy => app_wdf_rdy, app_sr_req => '0', -- reserved app_sr_active => open, app_ref_req => '0', -- unused app_ref_ack => open, app_zq_req => '0', -- unused app_zq_ack => open, ui_clk => ui_clk, ui_clk_sync_rst => ui_clk_sync_rst, init_calib_complete => init_calib_complete, sys_rst => sys_rst); -- active high ----------------------------------------------------------------------------- -- Status Output ----------------------------------------------------------------------------- KC705_GPIO_LED(7) <= ui_clk_sync_rst; KC705_GPIO_LED(6) <= '0'; KC705_GPIO_LED(5) <= '0'; KC705_GPIO_LED(4) <= '0'; KC705_GPIO_LED(3) <= init_calib_complete; KC705_GPIO_LED(2 downto 0) <= memtest_status; end architecture rtl;
apache-2.0
59375060ed2e5609c433104c40a7ff13
0.534251
3.137241
false
false
false
false
marcoep/MusicBoxNano
hdl/MusicBoxClocking.vhd
1
4,468
------------------------------------------------------------------------------- -- Title : Music Box Nano - Clocking -- Project : ------------------------------------------------------------------------------- -- File : MusicBoxClocking.vhd -- Author : <Marco@JUDI-WIN10> -- Company : -- Created : 2016-07-28 -- Last update: 2016-07-28 -- Platform : Mentor Graphics ModelSim, Altera Quartus -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Gathers all clocking and reset resources for the Music Box Nano ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-07-28 1.0 Marco Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity MusicBoxClocking is port ( CLOCK_50 : in std_logic; RESET_RI : in std_logic; ClkSystem_CO : out std_logic; ClkPWM_CO : out std_logic; ResetSystem_SO : out std_logic; ResetPWM_SO : out std_logic; FreqInt_SO : out std_logic; KeyInt_SO : out std_logic); end entity MusicBoxClocking; architecture RTL of MusicBoxClocking is constant KEYFREQDIV : integer := 9; -- divides the frequency wave to the -- key-speed wave signal Clk5M_C : std_logic := '0'; signal Clk64M_C : std_logic := '0'; signal Clk128k_C : std_logic := '0'; signal ResetSystem_S : std_logic := '0'; signal ResetPWM_S : std_logic := '0'; signal PLLLocked_S : std_logic := '0'; signal FreqWave_SN, FreqWave_SP : std_logic := '0'; signal FreqEdgeDet_S : std_logic; signal ClkDivider_D : unsigned(KEYFREQDIV-1 downto 0) := (others => '0'); signal KeyWave_SN, KeyWave_SP : std_logic := '0'; signal KeyEdgeDet_S : std_logic := '0'; begin -- architecture RTL -- clock generator PLL from 50MHz input clock ClocksPLL_i : entity work.ClocksPLL port map ( areset => RESET_RI, inclk0 => CLOCK_50, c0 => Clk5M_C, c1 => Clk64M_C, c2 => Clk128k_C, locked => PLLLocked_S); -- clock outputs ClkSystem_CO <= Clk5M_C; ClkPWM_CO <= Clk64M_C; -- reset synchronizer for System Clock ResetSync_Sys_i : entity work.ResetSync port map ( Clk_CI => Clk5M_C, ClkStable_RI => PLLLocked_S, OtherReset_RI => RESET_RI, SyncReset_SO => ResetSystem_S); ResetSystem_SO <= ResetSystem_S; -- reset sync for pwm clock ResetSync_pwm_i : entity work.ResetSync port map ( Clk_CI => Clk64M_C, ClkStable_RI => PLLLocked_S, OtherReset_RI => RESET_RI, SyncReset_SO => ResetPWM_S); ResetPWM_SO <= ResetPWM_S; ----------------------------------------------------------------------------- -- Generation of Interrupts ----------------------------------------------------------------------------- -- frequency wave generator CrossClockDomain_Freq_i : entity work.CrossClockDomain port map ( Clk_CI => Clk5M_C, AsyncIn_SI => Clk128k_C, SyncOut_SO => FreqWave_SN); clk_divider : process (Clk128k_C) is begin -- process clk_divider if Clk128k_C'event and Clk128k_C = '1' then -- rising clock edge ClkDivider_D <= ClkDivider_D + 1; end if; end process clk_divider; CrossClockDomain_Key_i : entity work.CrossClockDomain port map ( Clk_CI => Clk5M_C, AsyncIn_SI => ClkDivider_D(KEYFREQDIV-1), SyncOut_SO => KeyWave_SN); -- edge detector flipflops edge_det : process (Clk5M_C) is begin -- process freq_edge_det if Clk5M_C'event and Clk5M_C = '1' then -- rising clock edge if ResetSystem_S = '1' then -- synchronous reset (active high) FreqWave_SP <= '0'; FreqInt_SO <= '0'; KeyWave_SP <= '0'; KeyInt_SO <= '0'; else FreqWave_SP <= FreqWave_SN; FreqInt_SO <= FreqWave_SN and not(FreqWave_SP); KeyWave_SP <= KeyWave_SN; KeyInt_SO <= KeyWave_SN and not(KeyWave_SP); end if; end if; end process edge_det; end architecture RTL;
gpl-3.0
c5036ee3da0175a24a712dd7a3537082
0.506043
3.845095
false
false
false
false
VLSI-EDA/PoC-Examples
src/cache/cachetest_de0.vhdl
1
8,052
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Martin Zabel -- -- Module: Memory Controller Test for Altera DE0 Board -- -- Description: -- ------------------------------------ -- Top-Level of Memory Controller Test for Altera DE0 Board -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library poc; use poc.fifo.all; entity cachetest_de0 is port ( clk_in : in std_logic; btn : in std_logic_vector(2 downto 2); led : out std_logic_vector(9 downto 0); sd_ck : out std_logic; sd_cke : out std_logic; sd_cs : out std_logic; sd_ras : out std_logic; sd_cas : out std_logic; sd_we : out std_logic; sd_ba : out std_logic_vector(1 downto 0); sd_a : out std_logic_vector(11 downto 0); sd_ldm : out std_logic; sd_udm : out std_logic; sd_dq : inout std_logic_vector(15 downto 0)); end cachetest_de0; architecture rtl of cachetest_de0 is signal clk_sys : std_logic; signal clk_mem : std_logic; signal clk_memout : std_logic; signal rst_sys : std_logic; signal rst_mem : std_logic; signal locked : std_logic; signal clk_tb : std_logic; signal rst_tb : std_logic; signal cf_put : std_logic; signal cf_full : std_logic; signal cf_din : std_logic_vector(22 downto 0); signal cf_dout : std_logic_vector(22 downto 0); signal cf_valid : std_logic; signal cf_got : std_logic; signal wf_put : std_logic; signal wf_full : std_logic; signal wf_din : std_logic_vector(15 downto 0); signal wf_dout : std_logic_vector(15 downto 0); signal wf_valid : std_logic; signal wf_got : std_logic; signal cpu_rdy : std_logic; signal cpu_rstb : std_logic; signal cpu_rdata : std_logic_vector(15 downto 0); signal cpu_req : std_logic; signal cpu_write : std_logic; signal cpu_addr : unsigned(21 downto 0); signal cpu_wdata : std_logic_vector(15 downto 0); signal mem_rdy : std_logic; signal mem_rstb : std_logic; signal mem_rdata : std_logic_vector(15 downto 0); signal mem_req : std_logic; signal mem_write : std_logic; signal mem_addr : unsigned(21 downto 0); signal mem_wdata : std_logic_vector(15 downto 0); signal fsm_status : std_logic_vector(2 downto 0); signal rf_put : std_logic; signal rf_din : std_logic_vector(15 downto 0); begin -- rtl pll: entity work.memtest_de0_pll port map ( inclk0 => clk_in, c0 => clk_sys, c1 => clk_mem, c2 => clk_memout, locked => locked); rst_sync : block signal do_rst : std_logic; signal rst_sys_r : std_logic_vector(4 downto 0); signal rst_mem_r : std_logic_vector(4 downto 0); begin -- block clockgen -- reset synchronizer do_rst <= not locked or not btn(2); rst_sys_r <= rst_sys_r(rst_sys_r'left-1 downto 0) & do_rst when rising_edge(clk_sys); rst_mem_r <= rst_mem_r(rst_mem_r'left-1 downto 0) & do_rst when rising_edge(clk_mem); rst_sys <= rst_sys_r(rst_sys_r'left); rst_mem <= rst_mem_r(rst_mem_r'left); end block rst_sync; -- Testbench clock selection -- Also update chipscope configuration. -- clk_tb <= clk_mem; -- rst_tb <= rst_mem; clk_tb <= clk_sys; rst_tb <= rst_sys; -- uses default configuration, see entity declaration mem_ctrl: entity poc.sdram_ctrl_de0 generic map ( CLK_PERIOD => 7.5, CL => 2, BL => 1) port map ( clk => clk_mem, clkout => clk_memout, rst => rst_mem, user_cmd_valid => cf_valid, user_wdata_valid => wf_valid, user_write => cf_dout(cf_dout'left), user_addr => cf_dout(cf_dout'left-1 downto 0), user_wdata => wf_dout, user_got_cmd => cf_got, user_got_wdata => wf_got, user_rdata => rf_din, user_rstb => rf_put, sd_ck => sd_ck, sd_cke => sd_cke, sd_cs => sd_cs, sd_ras => sd_ras, sd_cas => sd_cas, sd_we => sd_we, sd_ba => sd_ba, sd_a => sd_a, sd_dq => sd_dq); sd_ldm <= '0'; sd_udm <= '0'; cmd_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 23, MIN_DEPTH => 8) port map ( clk_wr => clk_tb, rst_wr => rst_tb, put => cf_put, din => cf_din, full => cf_full, clk_rd => clk_mem, rst_rd => rst_mem, got => cf_got, valid => cf_valid, dout => cf_dout); wr_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 16, MIN_DEPTH => 8) port map ( clk_wr => clk_tb, rst_wr => rst_tb, put => wf_put, din => wf_din, full => wf_full, clk_rd => clk_mem, rst_rd => rst_mem, got => wf_got, valid => wf_valid, dout => wf_dout); -- The size fo this FIFO depends on the latency between write and read -- clock domain rd_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 16, MIN_DEPTH => 8) port map ( clk_wr => clk_mem, rst_wr => rst_mem, put => rf_put, din => rf_din, full => open, -- can't stall clk_rd => clk_tb, rst_rd => rst_tb, got => mem_rstb, valid => mem_rstb, dout => mem_rdata); cache: entity poc.cache_mem generic map ( REPLACEMENT_POLICY => "LRU", CACHE_LINES => 512, -- 1 KiB cache / 16 bit per cache line ASSOCIATIVITY => 1, CPU_DATA_BITS => 16, -- must match MEM_DATA_BITS because memory -- controller has no write-mask MEM_ADDR_BITS => 22, MEM_DATA_BITS => 16, OUTSTANDING_REQ => 2) port map ( clk => clk_tb, rst => rst_tb, cpu_req => cpu_req, cpu_write => cpu_write, cpu_addr => cpu_addr, cpu_wdata => cpu_wdata, cpu_rdy => cpu_rdy, cpu_rstb => cpu_rstb, cpu_rdata => cpu_rdata, mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, mem_wmask => open, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata); fsm: entity work.memtest_fsm generic map ( A_BITS => 22, D_BITS => 16) port map ( clk => clk_tb, rst => rst_tb, mem_rdy => cpu_rdy, mem_rstb => cpu_rstb, mem_rdata => cpu_rdata, mem_req => cpu_req, mem_write => cpu_write, mem_addr => cpu_addr, mem_wdata => cpu_wdata, status => fsm_status); -- Signal mem_ctrl ready only if both FIFOs are not full. mem_rdy <= cf_full nor wf_full; -- Word aligned access to memory. -- Parallel "put" to both FIFOs. cf_put <= mem_req and mem_rdy; wf_put <= mem_req and mem_write and mem_rdy; cf_din <= mem_write & std_logic_vector(mem_addr); wf_din <= mem_wdata; ----------------------------------------------------------------------------- -- Outputs ----------------------------------------------------------------------------- led(9) <= locked; led(8 downto 3) <= (others => '0'); led(2 downto 0) <= fsm_status; end rtl;
apache-2.0
3bc3ddcd2064fca9dca87a4718a7b139
0.556508
2.924809
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_alu_0_0/RAT_alu_0_0_sim_netlist.vhdl
2
37,199
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Thu Oct 26 22:46:25 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_alu_0_0/RAT_alu_0_0_sim_netlist.vhdl -- Design : RAT_alu_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_alu_0_0_alu is port ( SUM : out STD_LOGIC_VECTOR ( 7 downto 0 ); Z_FLAG : out STD_LOGIC; C_FLAG : out STD_LOGIC; \SUM[7]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); A : in STD_LOGIC_VECTOR ( 7 downto 0 ); Sel : in STD_LOGIC_VECTOR ( 3 downto 0 ); \B_6__s_port_]\ : in STD_LOGIC; \A_0__s_port_\ : in STD_LOGIC; B : in STD_LOGIC_VECTOR ( 7 downto 0 ); \B_5__s_port_\ : in STD_LOGIC; \B_4__s_port_\ : in STD_LOGIC; \B_0__s_port_\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); C_IN : in STD_LOGIC; \A_7__s_port_\ : in STD_LOGIC; \B_3__s_port_\ : in STD_LOGIC; \B_2__s_port_\ : in STD_LOGIC; \B_1__s_port_\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_alu_0_0_alu : entity is "alu"; end RAT_alu_0_0_alu; architecture STRUCTURE of RAT_alu_0_0_alu is signal \A_0__s_net_1\ : STD_LOGIC; signal \A_7__s_net_1\ : STD_LOGIC; signal \B_0__s_net_1\ : STD_LOGIC; signal \B_1__s_net_1\ : STD_LOGIC; signal \B_2__s_net_1\ : STD_LOGIC; signal \B_3__s_net_1\ : STD_LOGIC; signal \B_4__s_net_1\ : STD_LOGIC; signal \B_5__s_net_1\ : STD_LOGIC; signal \B_6__s_net_1\ : STD_LOGIC; signal \^c_flag\ : STD_LOGIC; signal C_FLAG_INST_0_i_1_n_0 : STD_LOGIC; signal \^sum\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \SUM[0]_INST_0_i_10_n_0\ : STD_LOGIC; signal \SUM[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal \SUM[0]_INST_0_i_3_n_0\ : STD_LOGIC; signal \SUM[0]_INST_0_i_5_n_0\ : STD_LOGIC; signal \SUM[0]_INST_0_i_5_n_1\ : STD_LOGIC; signal \SUM[0]_INST_0_i_5_n_2\ : STD_LOGIC; signal \SUM[0]_INST_0_i_5_n_3\ : STD_LOGIC; signal \SUM[0]_INST_0_i_7_n_0\ : STD_LOGIC; signal \SUM[0]_INST_0_i_8_n_0\ : STD_LOGIC; signal \SUM[0]_INST_0_i_9_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_10_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_11_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_13_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_14_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_16_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_17_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_4_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_6_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_8_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_11_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_12_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_13_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_15_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_16_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_17_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_22_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_23_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_3_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_6_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_8_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_9_n_0\ : STD_LOGIC; signal Z_FLAG_INST_0_i_1_n_0 : STD_LOGIC; signal Z_FLAG_INST_0_i_2_n_0 : STD_LOGIC; signal \__0_carry__0_n_0\ : STD_LOGIC; signal \__0_carry__0_n_1\ : STD_LOGIC; signal \__0_carry__0_n_2\ : STD_LOGIC; signal \__0_carry__0_n_3\ : STD_LOGIC; signal \__0_carry_i_10_n_0\ : STD_LOGIC; signal \__0_carry_i_11_n_0\ : STD_LOGIC; signal \__0_carry_i_12_n_0\ : STD_LOGIC; signal \__0_carry_i_13_n_0\ : STD_LOGIC; signal \__0_carry_i_1__1_n_0\ : STD_LOGIC; signal \__0_carry_i_2__0_n_0\ : STD_LOGIC; signal \__0_carry_i_3_n_0\ : STD_LOGIC; signal \__0_carry_i_4__0_n_0\ : STD_LOGIC; signal \__0_carry_i_5__0_n_0\ : STD_LOGIC; signal \__0_carry_i_5_n_0\ : STD_LOGIC; signal \__0_carry_i_6__0_n_0\ : STD_LOGIC; signal \__0_carry_i_6_n_0\ : STD_LOGIC; signal \__0_carry_i_7__0_n_0\ : STD_LOGIC; signal \__0_carry_i_7_n_0\ : STD_LOGIC; signal \__0_carry_i_8_n_0\ : STD_LOGIC; signal \__0_carry_i_9_n_1\ : STD_LOGIC; signal \__0_carry_i_9_n_2\ : STD_LOGIC; signal \__0_carry_i_9_n_3\ : STD_LOGIC; signal \__0_carry_n_0\ : STD_LOGIC; signal \__0_carry_n_1\ : STD_LOGIC; signal \__0_carry_n_2\ : STD_LOGIC; signal \__0_carry_n_3\ : STD_LOGIC; signal data0 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal data1 : STD_LOGIC_VECTOR ( 8 downto 0 ); signal data2 : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \data2__0\ : STD_LOGIC_VECTOR ( 8 to 8 ); signal \minusOp_carry__0_i_1_n_0\ : STD_LOGIC; signal \minusOp_carry__0_i_2_n_0\ : STD_LOGIC; signal \minusOp_carry__0_i_3_n_0\ : STD_LOGIC; signal \minusOp_carry__0_i_4_n_0\ : STD_LOGIC; signal \minusOp_carry__0_n_0\ : STD_LOGIC; signal \minusOp_carry__0_n_1\ : STD_LOGIC; signal \minusOp_carry__0_n_2\ : STD_LOGIC; signal \minusOp_carry__0_n_3\ : STD_LOGIC; signal minusOp_carry_i_1_n_0 : STD_LOGIC; signal minusOp_carry_i_2_n_0 : STD_LOGIC; signal minusOp_carry_i_3_n_0 : STD_LOGIC; signal minusOp_carry_i_4_n_0 : STD_LOGIC; signal minusOp_carry_n_0 : STD_LOGIC; signal minusOp_carry_n_1 : STD_LOGIC; signal minusOp_carry_n_2 : STD_LOGIC; signal minusOp_carry_n_3 : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 6 downto 2 ); signal \temp_s__125\ : STD_LOGIC_VECTOR ( 7 downto 1 ); signal \NLW___0_carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW___0_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_minusOp_carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_minusOp_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \SUM[2]_INST_0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \SUM[3]_INST_0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \SUM[4]_INST_0_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \SUM[4]_INST_0_i_3\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \SUM[5]_INST_0\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \SUM[6]_INST_0\ : label is "soft_lutpair0"; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of \__0_carry\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \__0_carry__0\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \__0_carry__1\ : label is "{SYNTH-8 {cell *THIS*}}"; begin \A_0__s_net_1\ <= \A_0__s_port_\; \A_7__s_net_1\ <= \A_7__s_port_\; \B_0__s_net_1\ <= \B_0__s_port_\; \B_1__s_net_1\ <= \B_1__s_port_\; \B_2__s_net_1\ <= \B_2__s_port_\; \B_3__s_net_1\ <= \B_3__s_port_\; \B_4__s_net_1\ <= \B_4__s_port_\; \B_5__s_net_1\ <= \B_5__s_port_\; \B_6__s_net_1\ <= \B_6__s_port_]\; C_FLAG <= \^c_flag\; SUM(7 downto 0) <= \^sum\(7 downto 0); C_FLAG_INST_0: unisim.vcomponents.MUXF7 port map ( I0 => C_FLAG_INST_0_i_1_n_0, I1 => \A_7__s_net_1\, O => \^c_flag\, S => Sel(3) ); C_FLAG_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"55004D4D55004848" ) port map ( I0 => Sel(2), I1 => \data2__0\(8), I2 => Sel(1), I3 => data1(8), I4 => Sel(0), I5 => CO(0), O => C_FLAG_INST_0_i_1_n_0 ); \SUM[0]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \B_0__s_net_1\, I1 => Sel(3), I2 => \SUM[0]_INST_0_i_2_n_0\, I3 => Sel(2), I4 => \SUM[0]_INST_0_i_3_n_0\, O => \^sum\(0) ); \SUM[0]_INST_0_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => A(0), I1 => B(0), O => \SUM[0]_INST_0_i_10_n_0\ ); \SUM[0]_INST_0_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"68FD68A8" ) port map ( I0 => Sel(1), I1 => A(0), I2 => B(0), I3 => Sel(0), I4 => data2(0), O => \SUM[0]_INST_0_i_2_n_0\ ); \SUM[0]_INST_0_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"F0BBF088" ) port map ( I0 => data2(0), I1 => Sel(1), I2 => data1(0), I3 => Sel(0), I4 => data0(0), O => \SUM[0]_INST_0_i_3_n_0\ ); \SUM[0]_INST_0_i_5\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \SUM[0]_INST_0_i_5_n_0\, CO(2) => \SUM[0]_INST_0_i_5_n_1\, CO(1) => \SUM[0]_INST_0_i_5_n_2\, CO(0) => \SUM[0]_INST_0_i_5_n_3\, CYINIT => '0', DI(3 downto 0) => A(3 downto 0), O(3 downto 0) => data0(3 downto 0), S(3) => \SUM[0]_INST_0_i_7_n_0\, S(2) => \SUM[0]_INST_0_i_8_n_0\, S(1) => \SUM[0]_INST_0_i_9_n_0\, S(0) => \SUM[0]_INST_0_i_10_n_0\ ); \SUM[0]_INST_0_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => A(3), I1 => B(3), O => \SUM[0]_INST_0_i_7_n_0\ ); \SUM[0]_INST_0_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => A(2), I1 => B(2), O => \SUM[0]_INST_0_i_8_n_0\ ); \SUM[0]_INST_0_i_9\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => A(1), I1 => B(1), O => \SUM[0]_INST_0_i_9_n_0\ ); \SUM[1]_INST_0\: unisim.vcomponents.LUT3 generic map( INIT => X"6C" ) port map ( I0 => \^sum\(0), I1 => \temp_s__125\(1), I2 => \SUM[7]_INST_0_i_6_n_0\, O => \^sum\(1) ); \SUM[2]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"1EF0" ) port map ( I0 => \^sum\(0), I1 => \temp_s__125\(1), I2 => \temp_s__125\(2), I3 => \SUM[7]_INST_0_i_6_n_0\, O => \^sum\(2) ); \SUM[3]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"01FEFF00" ) port map ( I0 => \temp_s__125\(2), I1 => \temp_s__125\(1), I2 => \^sum\(0), I3 => \temp_s__125\(3), I4 => \SUM[7]_INST_0_i_6_n_0\, O => \^sum\(3) ); \SUM[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"0001FFFEFFFF0000" ) port map ( I0 => \temp_s__125\(3), I1 => \^sum\(0), I2 => \temp_s__125\(1), I3 => \temp_s__125\(2), I4 => \temp_s__125\(4), I5 => \SUM[7]_INST_0_i_6_n_0\, O => \^sum\(4) ); \SUM[4]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E4" ) port map ( I0 => Sel(3), I1 => \SUM[4]_INST_0_i_4_n_0\, I2 => \B_3__s_net_1\, O => \temp_s__125\(3) ); \SUM[4]_INST_0_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"F0BBF088" ) port map ( I0 => data2(3), I1 => Sel(1), I2 => data1(3), I3 => Sel(0), I4 => data0(3), O => \SUM[4]_INST_0_i_10_n_0\ ); \SUM[4]_INST_0_i_11\: unisim.vcomponents.LUT5 generic map( INIT => X"68FD68A8" ) port map ( I0 => Sel(1), I1 => A(3), I2 => B(3), I3 => Sel(0), I4 => data2(3), O => \SUM[4]_INST_0_i_11_n_0\ ); \SUM[4]_INST_0_i_13\: unisim.vcomponents.LUT5 generic map( INIT => X"F0BBF088" ) port map ( I0 => data2(1), I1 => Sel(1), I2 => data1(1), I3 => Sel(0), I4 => data0(1), O => \SUM[4]_INST_0_i_13_n_0\ ); \SUM[4]_INST_0_i_14\: unisim.vcomponents.LUT5 generic map( INIT => X"68FD68A8" ) port map ( I0 => Sel(1), I1 => A(1), I2 => B(1), I3 => Sel(0), I4 => data2(1), O => \SUM[4]_INST_0_i_14_n_0\ ); \SUM[4]_INST_0_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"F0BBF088" ) port map ( I0 => data2(2), I1 => Sel(1), I2 => data1(2), I3 => Sel(0), I4 => data0(2), O => \SUM[4]_INST_0_i_16_n_0\ ); \SUM[4]_INST_0_i_17\: unisim.vcomponents.LUT5 generic map( INIT => X"68FD68A8" ) port map ( I0 => Sel(1), I1 => A(2), I2 => B(2), I3 => Sel(0), I4 => data2(2), O => \SUM[4]_INST_0_i_17_n_0\ ); \SUM[4]_INST_0_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"E4" ) port map ( I0 => Sel(3), I1 => \SUM[4]_INST_0_i_6_n_0\, I2 => \B_1__s_net_1\, O => \temp_s__125\(1) ); \SUM[4]_INST_0_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"E4" ) port map ( I0 => Sel(3), I1 => \SUM[4]_INST_0_i_8_n_0\, I2 => \B_2__s_net_1\, O => \temp_s__125\(2) ); \SUM[4]_INST_0_i_4\: unisim.vcomponents.MUXF7 port map ( I0 => \SUM[4]_INST_0_i_10_n_0\, I1 => \SUM[4]_INST_0_i_11_n_0\, O => \SUM[4]_INST_0_i_4_n_0\, S => Sel(2) ); \SUM[4]_INST_0_i_6\: unisim.vcomponents.MUXF7 port map ( I0 => \SUM[4]_INST_0_i_13_n_0\, I1 => \SUM[4]_INST_0_i_14_n_0\, O => \SUM[4]_INST_0_i_6_n_0\, S => Sel(2) ); \SUM[4]_INST_0_i_8\: unisim.vcomponents.MUXF7 port map ( I0 => \SUM[4]_INST_0_i_16_n_0\, I1 => \SUM[4]_INST_0_i_17_n_0\, O => \SUM[4]_INST_0_i_8_n_0\, S => Sel(2) ); \SUM[5]_INST_0\: unisim.vcomponents.LUT4 generic map( INIT => X"4BF0" ) port map ( I0 => \temp_s__125\(4), I1 => \SUM[7]_INST_0_i_3_n_0\, I2 => \temp_s__125\(5), I3 => \SUM[7]_INST_0_i_6_n_0\, O => \^sum\(5) ); \SUM[6]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"04FBFF00" ) port map ( I0 => \temp_s__125\(5), I1 => \SUM[7]_INST_0_i_3_n_0\, I2 => \temp_s__125\(4), I3 => \temp_s__125\(6), I4 => \SUM[7]_INST_0_i_6_n_0\, O => \^sum\(6) ); \SUM[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"0010FFEFFFFF0000" ) port map ( I0 => \temp_s__125\(6), I1 => \temp_s__125\(4), I2 => \SUM[7]_INST_0_i_3_n_0\, I3 => \temp_s__125\(5), I4 => \temp_s__125\(7), I5 => \SUM[7]_INST_0_i_6_n_0\, O => \^sum\(7) ); \SUM[7]_INST_0_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \B_6__s_net_1\, I1 => Sel(3), I2 => \SUM[7]_INST_0_i_8_n_0\, I3 => Sel(2), I4 => \SUM[7]_INST_0_i_9_n_0\, O => \temp_s__125\(6) ); \SUM[7]_INST_0_i_11\: unisim.vcomponents.LUT5 generic map( INIT => X"68FD68A8" ) port map ( I0 => Sel(1), I1 => A(4), I2 => B(4), I3 => Sel(0), I4 => data2(4), O => \SUM[7]_INST_0_i_11_n_0\ ); \SUM[7]_INST_0_i_12\: unisim.vcomponents.LUT5 generic map( INIT => X"F0BBF088" ) port map ( I0 => data2(4), I1 => Sel(1), I2 => data1(4), I3 => Sel(0), I4 => data0(4), O => \SUM[7]_INST_0_i_12_n_0\ ); \SUM[7]_INST_0_i_13\: unisim.vcomponents.MUXF7 port map ( I0 => \SUM[0]_INST_0_i_3_n_0\, I1 => \SUM[0]_INST_0_i_2_n_0\, O => \SUM[7]_INST_0_i_13_n_0\, S => Sel(2) ); \SUM[7]_INST_0_i_15\: unisim.vcomponents.LUT5 generic map( INIT => X"68FD68A8" ) port map ( I0 => Sel(1), I1 => A(5), I2 => B(5), I3 => Sel(0), I4 => data2(5), O => \SUM[7]_INST_0_i_15_n_0\ ); \SUM[7]_INST_0_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"F0BBF088" ) port map ( I0 => data2(5), I1 => Sel(1), I2 => data1(5), I3 => Sel(0), I4 => data0(5), O => \SUM[7]_INST_0_i_16_n_0\ ); \SUM[7]_INST_0_i_17\: unisim.vcomponents.MUXF7 port map ( I0 => \SUM[7]_INST_0_i_22_n_0\, I1 => \SUM[7]_INST_0_i_23_n_0\, O => \SUM[7]_INST_0_i_17_n_0\, S => Sel(2) ); \SUM[7]_INST_0_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \B_4__s_net_1\, I1 => Sel(3), I2 => \SUM[7]_INST_0_i_11_n_0\, I3 => Sel(2), I4 => \SUM[7]_INST_0_i_12_n_0\, O => \temp_s__125\(4) ); \SUM[7]_INST_0_i_22\: unisim.vcomponents.LUT5 generic map( INIT => X"F0BBF088" ) port map ( I0 => data2(7), I1 => Sel(1), I2 => data1(7), I3 => Sel(0), I4 => data0(7), O => \SUM[7]_INST_0_i_22_n_0\ ); \SUM[7]_INST_0_i_23\: unisim.vcomponents.LUT5 generic map( INIT => X"68FD68A8" ) port map ( I0 => Sel(1), I1 => A(7), I2 => B(7), I3 => Sel(0), I4 => data2(7), O => \SUM[7]_INST_0_i_23_n_0\ ); \SUM[7]_INST_0_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000011101" ) port map ( I0 => \temp_s__125\(2), I1 => \temp_s__125\(1), I2 => \SUM[7]_INST_0_i_13_n_0\, I3 => Sel(3), I4 => \B_0__s_net_1\, I5 => \temp_s__125\(3), O => \SUM[7]_INST_0_i_3_n_0\ ); \SUM[7]_INST_0_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"B8BBB888" ) port map ( I0 => \B_5__s_net_1\, I1 => Sel(3), I2 => \SUM[7]_INST_0_i_15_n_0\, I3 => Sel(2), I4 => \SUM[7]_INST_0_i_16_n_0\, O => \temp_s__125\(5) ); \SUM[7]_INST_0_i_5\: unisim.vcomponents.MUXF8 port map ( I0 => \SUM[7]_INST_0_i_17_n_0\, I1 => \A_0__s_net_1\, O => \temp_s__125\(7), S => Sel(3) ); \SUM[7]_INST_0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"1000" ) port map ( I0 => Sel(2), I1 => Sel(3), I2 => Sel(1), I3 => \^c_flag\, O => \SUM[7]_INST_0_i_6_n_0\ ); \SUM[7]_INST_0_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"68FD68A8" ) port map ( I0 => Sel(1), I1 => A(6), I2 => B(6), I3 => Sel(0), I4 => data2(6), O => \SUM[7]_INST_0_i_8_n_0\ ); \SUM[7]_INST_0_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"F0BBF088" ) port map ( I0 => data2(6), I1 => Sel(1), I2 => data1(6), I3 => Sel(0), I4 => data0(6), O => \SUM[7]_INST_0_i_9_n_0\ ); Z_FLAG_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000001000" ) port map ( I0 => \temp_s__125\(4), I1 => \temp_s__125\(5), I2 => Z_FLAG_INST_0_i_1_n_0, I3 => Z_FLAG_INST_0_i_2_n_0, I4 => \^sum\(0), I5 => \temp_s__125\(1), O => Z_FLAG ); Z_FLAG_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000015155550151" ) port map ( I0 => \temp_s__125\(7), I1 => \SUM[7]_INST_0_i_9_n_0\, I2 => Sel(2), I3 => \SUM[7]_INST_0_i_8_n_0\, I4 => Sel(3), I5 => \B_6__s_net_1\, O => Z_FLAG_INST_0_i_1_n_0 ); Z_FLAG_INST_0_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \temp_s__125\(2), I1 => \temp_s__125\(3), O => Z_FLAG_INST_0_i_2_n_0 ); \__0_carry\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \__0_carry_n_0\, CO(2) => \__0_carry_n_1\, CO(1) => \__0_carry_n_2\, CO(0) => \__0_carry_n_3\, CYINIT => '1', DI(3) => p_0_in(2), DI(2) => \__0_carry_i_2__0_n_0\, DI(1) => \__0_carry_i_3_n_0\, DI(0) => '1', O(3 downto 0) => data1(3 downto 0), S(3) => \__0_carry_i_4__0_n_0\, S(2) => \__0_carry_i_5__0_n_0\, S(1) => \__0_carry_i_6_n_0\, S(0) => \__0_carry_i_7_n_0\ ); \__0_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => \__0_carry_n_0\, CO(3) => \__0_carry__0_n_0\, CO(2) => \__0_carry__0_n_1\, CO(1) => \__0_carry__0_n_2\, CO(0) => \__0_carry__0_n_3\, CYINIT => '0', DI(3 downto 0) => p_0_in(6 downto 3), O(3 downto 0) => data1(7 downto 4), S(3) => \__0_carry_i_5_n_0\, S(2) => \__0_carry_i_6__0_n_0\, S(1) => \__0_carry_i_7__0_n_0\, S(0) => \__0_carry_i_8_n_0\ ); \__0_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \__0_carry__0_n_0\, CO(3 downto 0) => \NLW___0_carry__1_CO_UNCONNECTED\(3 downto 0), CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 1) => \NLW___0_carry__1_O_UNCONNECTED\(3 downto 1), O(0) => data1(8), S(3 downto 1) => B"000", S(0) => \__0_carry_i_1__1_n_0\ ); \__0_carry_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => data2(2), I1 => data0(2), I2 => Sel(1), O => p_0_in(2) ); \__0_carry_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => A(7), I1 => B(7), O => \__0_carry_i_10_n_0\ ); \__0_carry_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => A(6), I1 => B(6), O => \__0_carry_i_11_n_0\ ); \__0_carry_i_12\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => A(5), I1 => B(5), O => \__0_carry_i_12_n_0\ ); \__0_carry_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => A(4), I1 => B(4), O => \__0_carry_i_13_n_0\ ); \__0_carry_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => data2(6), I1 => data0(6), I2 => Sel(1), O => p_0_in(6) ); \__0_carry_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"CAC53A35" ) port map ( I0 => data0(7), I1 => data2(7), I2 => Sel(1), I3 => CO(0), I4 => \data2__0\(8), O => \__0_carry_i_1__1_n_0\ ); \__0_carry_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => data2(5), I1 => data0(5), I2 => Sel(1), O => p_0_in(5) ); \__0_carry_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => data0(1), I1 => Sel(1), O => \__0_carry_i_2__0_n_0\ ); \__0_carry_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"CFA0" ) port map ( I0 => data0(0), I1 => data2(0), I2 => C_IN, I3 => Sel(1), O => \__0_carry_i_3_n_0\ ); \__0_carry_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => data2(4), I1 => data0(4), I2 => Sel(1), O => p_0_in(4) ); \__0_carry_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => data2(3), I1 => data0(3), I2 => Sel(1), O => p_0_in(3) ); \__0_carry_i_4__0\: unisim.vcomponents.LUT5 generic map( INIT => X"CAC53A35" ) port map ( I0 => data0(2), I1 => data2(2), I2 => Sel(1), I3 => data0(3), I4 => data2(3), O => \__0_carry_i_4__0_n_0\ ); \__0_carry_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"CAC53A35" ) port map ( I0 => data0(6), I1 => data2(6), I2 => Sel(1), I3 => data0(7), I4 => data2(7), O => \__0_carry_i_5_n_0\ ); \__0_carry_i_5__0\: unisim.vcomponents.LUT4 generic map( INIT => X"21ED" ) port map ( I0 => data0(1), I1 => Sel(1), I2 => data0(2), I3 => data2(2), O => \__0_carry_i_5__0_n_0\ ); \__0_carry_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"22DD22DDA0A05F5F" ) port map ( I0 => C_IN, I1 => data2(0), I2 => data0(0), I3 => data2(1), I4 => data0(1), I5 => Sel(1), O => \__0_carry_i_6_n_0\ ); \__0_carry_i_6__0\: unisim.vcomponents.LUT5 generic map( INIT => X"CAC53A35" ) port map ( I0 => data0(5), I1 => data2(5), I2 => Sel(1), I3 => data0(6), I4 => data2(6), O => \__0_carry_i_6__0_n_0\ ); \__0_carry_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"AC53" ) port map ( I0 => data2(0), I1 => data0(0), I2 => Sel(1), I3 => C_IN, O => \__0_carry_i_7_n_0\ ); \__0_carry_i_7__0\: unisim.vcomponents.LUT5 generic map( INIT => X"CAC53A35" ) port map ( I0 => data0(4), I1 => data2(4), I2 => Sel(1), I3 => data0(5), I4 => data2(5), O => \__0_carry_i_7__0_n_0\ ); \__0_carry_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"CAC53A35" ) port map ( I0 => data0(3), I1 => data2(3), I2 => Sel(1), I3 => data0(4), I4 => data2(4), O => \__0_carry_i_8_n_0\ ); \__0_carry_i_9\: unisim.vcomponents.CARRY4 port map ( CI => \SUM[0]_INST_0_i_5_n_0\, CO(3) => \SUM[7]\(0), CO(2) => \__0_carry_i_9_n_1\, CO(1) => \__0_carry_i_9_n_2\, CO(0) => \__0_carry_i_9_n_3\, CYINIT => '0', DI(3 downto 0) => A(7 downto 4), O(3 downto 0) => data0(7 downto 4), S(3) => \__0_carry_i_10_n_0\, S(2) => \__0_carry_i_11_n_0\, S(1) => \__0_carry_i_12_n_0\, S(0) => \__0_carry_i_13_n_0\ ); minusOp_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => minusOp_carry_n_0, CO(2) => minusOp_carry_n_1, CO(1) => minusOp_carry_n_2, CO(0) => minusOp_carry_n_3, CYINIT => '1', DI(3 downto 0) => A(3 downto 0), O(3 downto 0) => data2(3 downto 0), S(3) => minusOp_carry_i_1_n_0, S(2) => minusOp_carry_i_2_n_0, S(1) => minusOp_carry_i_3_n_0, S(0) => minusOp_carry_i_4_n_0 ); \minusOp_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => minusOp_carry_n_0, CO(3) => \minusOp_carry__0_n_0\, CO(2) => \minusOp_carry__0_n_1\, CO(1) => \minusOp_carry__0_n_2\, CO(0) => \minusOp_carry__0_n_3\, CYINIT => '0', DI(3 downto 0) => A(7 downto 4), O(3 downto 0) => data2(7 downto 4), S(3) => \minusOp_carry__0_i_1_n_0\, S(2) => \minusOp_carry__0_i_2_n_0\, S(1) => \minusOp_carry__0_i_3_n_0\, S(0) => \minusOp_carry__0_i_4_n_0\ ); \minusOp_carry__0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => B(7), I1 => A(7), O => \minusOp_carry__0_i_1_n_0\ ); \minusOp_carry__0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => B(6), I1 => A(6), O => \minusOp_carry__0_i_2_n_0\ ); \minusOp_carry__0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => B(5), I1 => A(5), O => \minusOp_carry__0_i_3_n_0\ ); \minusOp_carry__0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => B(4), I1 => A(4), O => \minusOp_carry__0_i_4_n_0\ ); \minusOp_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \minusOp_carry__0_n_0\, CO(3 downto 0) => \NLW_minusOp_carry__1_CO_UNCONNECTED\(3 downto 0), CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 1) => \NLW_minusOp_carry__1_O_UNCONNECTED\(3 downto 1), O(0) => \data2__0\(8), S(3 downto 0) => B"0001" ); minusOp_carry_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => B(3), I1 => A(3), O => minusOp_carry_i_1_n_0 ); minusOp_carry_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => B(2), I1 => A(2), O => minusOp_carry_i_2_n_0 ); minusOp_carry_i_3: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => B(1), I1 => A(1), O => minusOp_carry_i_3_n_0 ); minusOp_carry_i_4: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => B(0), I1 => A(0), O => minusOp_carry_i_4_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_alu_0_0 is port ( A : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 7 downto 0 ); C_IN : in STD_LOGIC; Sel : in STD_LOGIC_VECTOR ( 3 downto 0 ); SUM : out STD_LOGIC_VECTOR ( 7 downto 0 ); C_FLAG : out STD_LOGIC; Z_FLAG : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_alu_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_alu_0_0 : entity is "RAT_alu_0_0,alu,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_alu_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_alu_0_0 : entity is "alu,Vivado 2016.4"; end RAT_alu_0_0; architecture STRUCTURE of RAT_alu_0_0 is signal C_FLAG_INST_0_i_2_n_0 : STD_LOGIC; signal \SUM[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \SUM[0]_INST_0_i_4_n_0\ : STD_LOGIC; signal \SUM[0]_INST_0_i_6_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_12_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_15_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_18_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_5_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_7_n_0\ : STD_LOGIC; signal \SUM[4]_INST_0_i_9_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_10_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_14_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_18_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_19_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_20_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_21_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_24_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_25_n_0\ : STD_LOGIC; signal \SUM[7]_INST_0_i_7_n_0\ : STD_LOGIC; signal U0_n_10 : STD_LOGIC; signal data0 : STD_LOGIC_VECTOR ( 8 to 8 ); signal NLW_C_FLAG_INST_0_i_3_CO_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 1 ); signal NLW_C_FLAG_INST_0_i_3_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); begin C_FLAG_INST_0_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"5D480848" ) port map ( I0 => Sel(2), I1 => A(0), I2 => Sel(1), I3 => Sel(0), I4 => A(7), O => C_FLAG_INST_0_i_2_n_0 ); C_FLAG_INST_0_i_3: unisim.vcomponents.CARRY4 port map ( CI => U0_n_10, CO(3 downto 1) => NLW_C_FLAG_INST_0_i_3_CO_UNCONNECTED(3 downto 1), CO(0) => data0(8), CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => NLW_C_FLAG_INST_0_i_3_O_UNCONNECTED(3 downto 0), S(3 downto 0) => B"0001" ); \SUM[0]_INST_0_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"2F20FFFF2F200000" ) port map ( I0 => B(0), I1 => Sel(0), I2 => Sel(1), I3 => A(1), I4 => Sel(2), I5 => \SUM[0]_INST_0_i_4_n_0\, O => \SUM[0]_INST_0_i_1_n_0\ ); \SUM[0]_INST_0_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => A(7), I1 => A(1), I2 => Sel(1), I3 => C_IN, I4 => Sel(0), I5 => \SUM[0]_INST_0_i_6_n_0\, O => \SUM[0]_INST_0_i_4_n_0\ ); \SUM[0]_INST_0_i_6\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => A(0), I1 => B(0), O => \SUM[0]_INST_0_i_6_n_0\ ); \SUM[4]_INST_0_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"F0BBF088F088F088" ) port map ( I0 => A(4), I1 => Sel(1), I2 => A(2), I3 => Sel(0), I4 => A(3), I5 => B(3), O => \SUM[4]_INST_0_i_12_n_0\ ); \SUM[4]_INST_0_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"F0BBF088F088F088" ) port map ( I0 => A(2), I1 => Sel(1), I2 => A(0), I3 => Sel(0), I4 => A(1), I5 => B(1), O => \SUM[4]_INST_0_i_15_n_0\ ); \SUM[4]_INST_0_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"F0BBF088F088F088" ) port map ( I0 => A(3), I1 => Sel(1), I2 => A(1), I3 => Sel(0), I4 => A(2), I5 => B(2), O => \SUM[4]_INST_0_i_18_n_0\ ); \SUM[4]_INST_0_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"2F20FFFF2F200000" ) port map ( I0 => B(3), I1 => Sel(0), I2 => Sel(1), I3 => A(4), I4 => Sel(2), I5 => \SUM[4]_INST_0_i_12_n_0\, O => \SUM[4]_INST_0_i_5_n_0\ ); \SUM[4]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"2F20FFFF2F200000" ) port map ( I0 => B(1), I1 => Sel(0), I2 => Sel(1), I3 => A(2), I4 => Sel(2), I5 => \SUM[4]_INST_0_i_15_n_0\, O => \SUM[4]_INST_0_i_7_n_0\ ); \SUM[4]_INST_0_i_9\: unisim.vcomponents.LUT6 generic map( INIT => X"2F20FFFF2F200000" ) port map ( I0 => B(2), I1 => Sel(0), I2 => Sel(1), I3 => A(3), I4 => Sel(2), I5 => \SUM[4]_INST_0_i_18_n_0\, O => \SUM[4]_INST_0_i_9_n_0\ ); \SUM[7]_INST_0_i_10\: unisim.vcomponents.LUT6 generic map( INIT => X"2F20FFFF2F200000" ) port map ( I0 => B(4), I1 => Sel(0), I2 => Sel(1), I3 => A(5), I4 => Sel(2), I5 => \SUM[7]_INST_0_i_20_n_0\, O => \SUM[7]_INST_0_i_10_n_0\ ); \SUM[7]_INST_0_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"2F20FFFF2F200000" ) port map ( I0 => B(5), I1 => Sel(0), I2 => Sel(1), I3 => A(6), I4 => Sel(2), I5 => \SUM[7]_INST_0_i_21_n_0\, O => \SUM[7]_INST_0_i_14_n_0\ ); \SUM[7]_INST_0_i_18\: unisim.vcomponents.MUXF7 port map ( I0 => \SUM[7]_INST_0_i_24_n_0\, I1 => \SUM[7]_INST_0_i_25_n_0\, O => \SUM[7]_INST_0_i_18_n_0\, S => Sel(2) ); \SUM[7]_INST_0_i_19\: unisim.vcomponents.LUT6 generic map( INIT => X"F0BBF088F088F088" ) port map ( I0 => A(7), I1 => Sel(1), I2 => A(5), I3 => Sel(0), I4 => A(6), I5 => B(6), O => \SUM[7]_INST_0_i_19_n_0\ ); \SUM[7]_INST_0_i_20\: unisim.vcomponents.LUT6 generic map( INIT => X"F0BBF088F088F088" ) port map ( I0 => A(5), I1 => Sel(1), I2 => A(3), I3 => Sel(0), I4 => A(4), I5 => B(4), O => \SUM[7]_INST_0_i_20_n_0\ ); \SUM[7]_INST_0_i_21\: unisim.vcomponents.LUT6 generic map( INIT => X"F0BBF088F088F088" ) port map ( I0 => A(6), I1 => Sel(1), I2 => A(4), I3 => Sel(0), I4 => A(5), I5 => B(5), O => \SUM[7]_INST_0_i_21_n_0\ ); \SUM[7]_INST_0_i_24\: unisim.vcomponents.LUT6 generic map( INIT => X"F0BBF088F088F088" ) port map ( I0 => C_IN, I1 => Sel(1), I2 => A(6), I3 => Sel(0), I4 => A(7), I5 => B(7), O => \SUM[7]_INST_0_i_24_n_0\ ); \SUM[7]_INST_0_i_25\: unisim.vcomponents.LUT5 generic map( INIT => X"30BB3088" ) port map ( I0 => B(7), I1 => Sel(1), I2 => A(7), I3 => Sel(0), I4 => A(0), O => \SUM[7]_INST_0_i_25_n_0\ ); \SUM[7]_INST_0_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"2F20FFFF2F200000" ) port map ( I0 => B(6), I1 => Sel(0), I2 => Sel(1), I3 => A(7), I4 => Sel(2), I5 => \SUM[7]_INST_0_i_19_n_0\, O => \SUM[7]_INST_0_i_7_n_0\ ); U0: entity work.RAT_alu_0_0_alu port map ( A(7 downto 0) => A(7 downto 0), \A_0__s_port_\ => \SUM[7]_INST_0_i_18_n_0\, \A_7__s_port_\ => C_FLAG_INST_0_i_2_n_0, B(7 downto 0) => B(7 downto 0), \B_0__s_port_\ => \SUM[0]_INST_0_i_1_n_0\, \B_1__s_port_\ => \SUM[4]_INST_0_i_7_n_0\, \B_2__s_port_\ => \SUM[4]_INST_0_i_9_n_0\, \B_3__s_port_\ => \SUM[4]_INST_0_i_5_n_0\, \B_4__s_port_\ => \SUM[7]_INST_0_i_10_n_0\, \B_5__s_port_\ => \SUM[7]_INST_0_i_14_n_0\, \B_6__s_port_]\ => \SUM[7]_INST_0_i_7_n_0\, CO(0) => data0(8), C_FLAG => C_FLAG, C_IN => C_IN, SUM(7 downto 0) => SUM(7 downto 0), \SUM[7]\(0) => U0_n_10, Sel(3 downto 0) => Sel(3 downto 0), Z_FLAG => Z_FLAG ); end STRUCTURE;
mit
a2ce5a82f87809e640c894130eb11e50
0.475658
2.430831
false
false
false
false
stefanct/aua
hw/if/src/if.vhd
1
3,243
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.aua_types.all; entity ent_if is generic ( INIT_VECTOR : pc_t ); port ( clk : in std_logic; reset : in std_logic; -- pipeline register outputs opcode_out : out opcode_t; dest_out : out reg_t; pc_out : out pc_t; pcnxt_out : out pc_t; rega_out : out reg_t; regb_out : out reg_t; imm_out : out std_logic_vector(7 downto 0); -- asynchron register outputs async_rega : out reg_t; async_regb : out reg_t; -- branches (from ID) pc_in : in pc_t; branch : in std_logic; -- cache instr_addr : out word_t; instr_valid : in std_logic; instr_data : in word_t; -- interlock lock : in std_logic ); end ent_if; architecture sat1 of ent_if is signal opcode_nxt : opcode_t; signal dest_nxt : reg_t; signal rega_nxt : reg_t; signal regb_nxt : reg_t; signal imm_nxt : std_logic_vector(7 downto 0); signal pc_nxt : pc_t; signal opcode : opcode_t; signal dest : reg_t; signal rega : reg_t; signal regb : reg_t; signal imm : std_logic_vector(7 downto 0); signal pc : pc_t; signal pc_id : pc_t; begin instr_addr <= word_t(pc); opcode_out <= opcode; dest_out <= dest; rega_out <= rega; regb_out <= regb; imm_out <= imm; pc_out <= pc_id; pcnxt_out <= pc; instr_dec: process(reset, instr_data, branch, instr_valid) begin if branch = '0' and instr_valid = '1' then opcode_nxt <= instr_data(15 downto 10); dest_nxt <= instr_data(4 downto 0); rega_nxt <= instr_data(4 downto 0); regb_nxt <= instr_data(9 downto 5); imm_nxt <= instr_data(12 downto 5); else -- schedule nop, we wait for a (re)fetch opcode_nxt <= (others => '0'); dest_nxt <= (others => '0'); rega_nxt <= (others => '0'); regb_nxt <= (others => '0'); imm_nxt <= (others => '0'); end if; end process; calc_pc_nxt: process(reset, pc, pc_in, branch, instr_valid) begin if reset = '1' then pc_nxt <= (others => '0'); elsif branch='1' then pc_nxt <= pc_in; elsif instr_valid /= '1' then pc_nxt <= pc; else pc_nxt <= pc + 2; end if; end process; reg_async_when_locked: process (lock, rega_nxt, regb_nxt, rega, regb) begin if lock = '1' then async_rega <= rega; async_regb <= regb; else async_rega <= rega_nxt; async_regb <= regb_nxt; end if; end process; sync: process(clk, reset) begin if reset = '1' then opcode <= (others => '0'); dest <= (others => '0'); rega <= (others => '0'); regb <= (others => '0'); imm <= (others => '0'); --~ pc <= (others => '0'); pc <= INIT_VECTOR; --~ pc <= x"7FFE"; --~ pc <= pc_nxt; --~ instr_addr <= (others => '0'); pc_id <= (others => '0'); elsif rising_edge(clk) then if lock='1' then opcode <= opcode; dest <= dest; rega <= rega; regb <= regb; imm <= imm; pc <= pc; pc_id <= pc_id; else opcode <= opcode_nxt; dest <= dest_nxt; rega <= rega_nxt; regb <= regb_nxt; imm <= imm_nxt; pc <= pc_nxt; pc_id <= pc; end if; end if; end process; end sat1;
gpl-3.0
c67dcc2dd3aefbbf027f5e5ada972cc7
0.547333
2.640879
false
false
false
false
marcoep/MusicBoxNano
ip/EnvAddrMux.vhd
1
12,747
-- megafunction wizard: %LPM_MUX% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: LPM_MUX -- ============================================================ -- File Name: EnvAddrMux.vhd -- Megafunction Name(s): -- LPM_MUX -- -- Simulation Library Files(s): -- lpm -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 16.0.0 Build 211 04/27/2016 SJ Lite Edition -- ************************************************************ --Copyright (C) 1991-2016 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus Prime License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY lpm; USE lpm.lpm_components.all; ENTITY EnvAddrMux IS PORT ( clock : IN STD_LOGIC ; data0x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data10x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data11x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data12x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data13x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data14x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data15x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data1x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data2x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data3x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data4x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data5x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data6x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data7x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data8x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); data9x : IN STD_LOGIC_VECTOR (7 DOWNTO 0); sel : IN STD_LOGIC_VECTOR (3 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END EnvAddrMux; ARCHITECTURE SYN OF envaddrmux IS -- type STD_LOGIC_2D is array (NATURAL RANGE <>, NATURAL RANGE <>) of STD_LOGIC; SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_2D (15 DOWNTO 0, 7 DOWNTO 0); SIGNAL sub_wire2 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire3 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire4 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire5 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire6 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire7 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire8 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire9 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire10 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire11 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire12 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire13 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire14 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire15 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire16 : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL sub_wire17 : STD_LOGIC_VECTOR (7 DOWNTO 0); BEGIN sub_wire16 <= data0x(7 DOWNTO 0); sub_wire15 <= data1x(7 DOWNTO 0); sub_wire14 <= data2x(7 DOWNTO 0); sub_wire13 <= data3x(7 DOWNTO 0); sub_wire12 <= data4x(7 DOWNTO 0); sub_wire11 <= data5x(7 DOWNTO 0); sub_wire10 <= data6x(7 DOWNTO 0); sub_wire9 <= data7x(7 DOWNTO 0); sub_wire8 <= data8x(7 DOWNTO 0); sub_wire7 <= data9x(7 DOWNTO 0); sub_wire6 <= data10x(7 DOWNTO 0); sub_wire5 <= data11x(7 DOWNTO 0); sub_wire4 <= data12x(7 DOWNTO 0); sub_wire3 <= data13x(7 DOWNTO 0); sub_wire2 <= data14x(7 DOWNTO 0); sub_wire0 <= data15x(7 DOWNTO 0); sub_wire1(15, 0) <= sub_wire0(0); sub_wire1(15, 1) <= sub_wire0(1); sub_wire1(15, 2) <= sub_wire0(2); sub_wire1(15, 3) <= sub_wire0(3); sub_wire1(15, 4) <= sub_wire0(4); sub_wire1(15, 5) <= sub_wire0(5); sub_wire1(15, 6) <= sub_wire0(6); sub_wire1(15, 7) <= sub_wire0(7); sub_wire1(14, 0) <= sub_wire2(0); sub_wire1(14, 1) <= sub_wire2(1); sub_wire1(14, 2) <= sub_wire2(2); sub_wire1(14, 3) <= sub_wire2(3); sub_wire1(14, 4) <= sub_wire2(4); sub_wire1(14, 5) <= sub_wire2(5); sub_wire1(14, 6) <= sub_wire2(6); sub_wire1(14, 7) <= sub_wire2(7); sub_wire1(13, 0) <= sub_wire3(0); sub_wire1(13, 1) <= sub_wire3(1); sub_wire1(13, 2) <= sub_wire3(2); sub_wire1(13, 3) <= sub_wire3(3); sub_wire1(13, 4) <= sub_wire3(4); sub_wire1(13, 5) <= sub_wire3(5); sub_wire1(13, 6) <= sub_wire3(6); sub_wire1(13, 7) <= sub_wire3(7); sub_wire1(12, 0) <= sub_wire4(0); sub_wire1(12, 1) <= sub_wire4(1); sub_wire1(12, 2) <= sub_wire4(2); sub_wire1(12, 3) <= sub_wire4(3); sub_wire1(12, 4) <= sub_wire4(4); sub_wire1(12, 5) <= sub_wire4(5); sub_wire1(12, 6) <= sub_wire4(6); sub_wire1(12, 7) <= sub_wire4(7); sub_wire1(11, 0) <= sub_wire5(0); sub_wire1(11, 1) <= sub_wire5(1); sub_wire1(11, 2) <= sub_wire5(2); sub_wire1(11, 3) <= sub_wire5(3); sub_wire1(11, 4) <= sub_wire5(4); sub_wire1(11, 5) <= sub_wire5(5); sub_wire1(11, 6) <= sub_wire5(6); sub_wire1(11, 7) <= sub_wire5(7); sub_wire1(10, 0) <= sub_wire6(0); sub_wire1(10, 1) <= sub_wire6(1); sub_wire1(10, 2) <= sub_wire6(2); sub_wire1(10, 3) <= sub_wire6(3); sub_wire1(10, 4) <= sub_wire6(4); sub_wire1(10, 5) <= sub_wire6(5); sub_wire1(10, 6) <= sub_wire6(6); sub_wire1(10, 7) <= sub_wire6(7); sub_wire1(9, 0) <= sub_wire7(0); sub_wire1(9, 1) <= sub_wire7(1); sub_wire1(9, 2) <= sub_wire7(2); sub_wire1(9, 3) <= sub_wire7(3); sub_wire1(9, 4) <= sub_wire7(4); sub_wire1(9, 5) <= sub_wire7(5); sub_wire1(9, 6) <= sub_wire7(6); sub_wire1(9, 7) <= sub_wire7(7); sub_wire1(8, 0) <= sub_wire8(0); sub_wire1(8, 1) <= sub_wire8(1); sub_wire1(8, 2) <= sub_wire8(2); sub_wire1(8, 3) <= sub_wire8(3); sub_wire1(8, 4) <= sub_wire8(4); sub_wire1(8, 5) <= sub_wire8(5); sub_wire1(8, 6) <= sub_wire8(6); sub_wire1(8, 7) <= sub_wire8(7); sub_wire1(7, 0) <= sub_wire9(0); sub_wire1(7, 1) <= sub_wire9(1); sub_wire1(7, 2) <= sub_wire9(2); sub_wire1(7, 3) <= sub_wire9(3); sub_wire1(7, 4) <= sub_wire9(4); sub_wire1(7, 5) <= sub_wire9(5); sub_wire1(7, 6) <= sub_wire9(6); sub_wire1(7, 7) <= sub_wire9(7); sub_wire1(6, 0) <= sub_wire10(0); sub_wire1(6, 1) <= sub_wire10(1); sub_wire1(6, 2) <= sub_wire10(2); sub_wire1(6, 3) <= sub_wire10(3); sub_wire1(6, 4) <= sub_wire10(4); sub_wire1(6, 5) <= sub_wire10(5); sub_wire1(6, 6) <= sub_wire10(6); sub_wire1(6, 7) <= sub_wire10(7); sub_wire1(5, 0) <= sub_wire11(0); sub_wire1(5, 1) <= sub_wire11(1); sub_wire1(5, 2) <= sub_wire11(2); sub_wire1(5, 3) <= sub_wire11(3); sub_wire1(5, 4) <= sub_wire11(4); sub_wire1(5, 5) <= sub_wire11(5); sub_wire1(5, 6) <= sub_wire11(6); sub_wire1(5, 7) <= sub_wire11(7); sub_wire1(4, 0) <= sub_wire12(0); sub_wire1(4, 1) <= sub_wire12(1); sub_wire1(4, 2) <= sub_wire12(2); sub_wire1(4, 3) <= sub_wire12(3); sub_wire1(4, 4) <= sub_wire12(4); sub_wire1(4, 5) <= sub_wire12(5); sub_wire1(4, 6) <= sub_wire12(6); sub_wire1(4, 7) <= sub_wire12(7); sub_wire1(3, 0) <= sub_wire13(0); sub_wire1(3, 1) <= sub_wire13(1); sub_wire1(3, 2) <= sub_wire13(2); sub_wire1(3, 3) <= sub_wire13(3); sub_wire1(3, 4) <= sub_wire13(4); sub_wire1(3, 5) <= sub_wire13(5); sub_wire1(3, 6) <= sub_wire13(6); sub_wire1(3, 7) <= sub_wire13(7); sub_wire1(2, 0) <= sub_wire14(0); sub_wire1(2, 1) <= sub_wire14(1); sub_wire1(2, 2) <= sub_wire14(2); sub_wire1(2, 3) <= sub_wire14(3); sub_wire1(2, 4) <= sub_wire14(4); sub_wire1(2, 5) <= sub_wire14(5); sub_wire1(2, 6) <= sub_wire14(6); sub_wire1(2, 7) <= sub_wire14(7); sub_wire1(1, 0) <= sub_wire15(0); sub_wire1(1, 1) <= sub_wire15(1); sub_wire1(1, 2) <= sub_wire15(2); sub_wire1(1, 3) <= sub_wire15(3); sub_wire1(1, 4) <= sub_wire15(4); sub_wire1(1, 5) <= sub_wire15(5); sub_wire1(1, 6) <= sub_wire15(6); sub_wire1(1, 7) <= sub_wire15(7); sub_wire1(0, 0) <= sub_wire16(0); sub_wire1(0, 1) <= sub_wire16(1); sub_wire1(0, 2) <= sub_wire16(2); sub_wire1(0, 3) <= sub_wire16(3); sub_wire1(0, 4) <= sub_wire16(4); sub_wire1(0, 5) <= sub_wire16(5); sub_wire1(0, 6) <= sub_wire16(6); sub_wire1(0, 7) <= sub_wire16(7); result <= sub_wire17(7 DOWNTO 0); LPM_MUX_component : LPM_MUX GENERIC MAP ( lpm_pipeline => 2, lpm_size => 16, lpm_type => "LPM_MUX", lpm_width => 8, lpm_widths => 4 ) PORT MAP ( clock => clock, data => sub_wire1, sel => sel, result => sub_wire17 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: new_diagram STRING "1" -- Retrieval info: LIBRARY: lpm lpm.lpm_components.all -- Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "2" -- Retrieval info: CONSTANT: LPM_SIZE NUMERIC "16" -- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_MUX" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" -- Retrieval info: CONSTANT: LPM_WIDTHS NUMERIC "4" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" -- Retrieval info: USED_PORT: data0x 0 0 8 0 INPUT NODEFVAL "data0x[7..0]" -- Retrieval info: USED_PORT: data10x 0 0 8 0 INPUT NODEFVAL "data10x[7..0]" -- Retrieval info: USED_PORT: data11x 0 0 8 0 INPUT NODEFVAL "data11x[7..0]" -- Retrieval info: USED_PORT: data12x 0 0 8 0 INPUT NODEFVAL "data12x[7..0]" -- Retrieval info: USED_PORT: data13x 0 0 8 0 INPUT NODEFVAL "data13x[7..0]" -- Retrieval info: USED_PORT: data14x 0 0 8 0 INPUT NODEFVAL "data14x[7..0]" -- Retrieval info: USED_PORT: data15x 0 0 8 0 INPUT NODEFVAL "data15x[7..0]" -- Retrieval info: USED_PORT: data1x 0 0 8 0 INPUT NODEFVAL "data1x[7..0]" -- Retrieval info: USED_PORT: data2x 0 0 8 0 INPUT NODEFVAL "data2x[7..0]" -- Retrieval info: USED_PORT: data3x 0 0 8 0 INPUT NODEFVAL "data3x[7..0]" -- Retrieval info: USED_PORT: data4x 0 0 8 0 INPUT NODEFVAL "data4x[7..0]" -- Retrieval info: USED_PORT: data5x 0 0 8 0 INPUT NODEFVAL "data5x[7..0]" -- Retrieval info: USED_PORT: data6x 0 0 8 0 INPUT NODEFVAL "data6x[7..0]" -- Retrieval info: USED_PORT: data7x 0 0 8 0 INPUT NODEFVAL "data7x[7..0]" -- Retrieval info: USED_PORT: data8x 0 0 8 0 INPUT NODEFVAL "data8x[7..0]" -- Retrieval info: USED_PORT: data9x 0 0 8 0 INPUT NODEFVAL "data9x[7..0]" -- Retrieval info: USED_PORT: result 0 0 8 0 OUTPUT NODEFVAL "result[7..0]" -- Retrieval info: USED_PORT: sel 0 0 4 0 INPUT NODEFVAL "sel[3..0]" -- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data 1 0 8 0 data0x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 10 8 0 data10x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 11 8 0 data11x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 12 8 0 data12x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 13 8 0 data13x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 14 8 0 data14x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 15 8 0 data15x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 1 8 0 data1x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 2 8 0 data2x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 3 8 0 data3x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 4 8 0 data4x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 5 8 0 data5x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 6 8 0 data6x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 7 8 0 data7x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 8 8 0 data8x 0 0 8 0 -- Retrieval info: CONNECT: @data 1 9 8 0 data9x 0 0 8 0 -- Retrieval info: CONNECT: @sel 0 0 4 0 sel 0 0 4 0 -- Retrieval info: CONNECT: result 0 0 8 0 @result 0 0 8 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL EnvAddrMux.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL EnvAddrMux.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL EnvAddrMux.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL EnvAddrMux.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL EnvAddrMux_inst.vhd FALSE -- Retrieval info: LIB_FILE: lpm
gpl-3.0
febf2a6971488b9b28065e8160da1faf
0.607594
2.490135
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_FlagReg_0_1/RAT_FlagReg_0_1_sim_netlist.vhdl
2
2,920
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Fri Oct 27 00:02:33 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_FlagReg_0_1/RAT_FlagReg_0_1_sim_netlist.vhdl -- Design : RAT_FlagReg_0_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_FlagReg_0_1_FlagReg is port ( OUT_FLAG : out STD_LOGIC; IN_FLAG : in STD_LOGIC; SET : in STD_LOGIC; LD : in STD_LOGIC; CLR : in STD_LOGIC; CLK : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_FlagReg_0_1_FlagReg : entity is "FlagReg"; end RAT_FlagReg_0_1_FlagReg; architecture STRUCTURE of RAT_FlagReg_0_1_FlagReg is signal \^out_flag\ : STD_LOGIC; signal OUT_FLAG_i_1_n_0 : STD_LOGIC; begin OUT_FLAG <= \^out_flag\; OUT_FLAG_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"ACAFACAC" ) port map ( I0 => IN_FLAG, I1 => SET, I2 => LD, I3 => CLR, I4 => \^out_flag\, O => OUT_FLAG_i_1_n_0 ); OUT_FLAG_reg: unisim.vcomponents.FDRE port map ( C => CLK, CE => '1', D => OUT_FLAG_i_1_n_0, Q => \^out_flag\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_FlagReg_0_1 is port ( IN_FLAG : in STD_LOGIC; LD : in STD_LOGIC; SET : in STD_LOGIC; CLR : in STD_LOGIC; CLK : in STD_LOGIC; OUT_FLAG : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_FlagReg_0_1 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_FlagReg_0_1 : entity is "RAT_FlagReg_0_1,FlagReg,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_FlagReg_0_1 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_FlagReg_0_1 : entity is "FlagReg,Vivado 2016.4"; end RAT_FlagReg_0_1; architecture STRUCTURE of RAT_FlagReg_0_1 is begin U0: entity work.RAT_FlagReg_0_1_FlagReg port map ( CLK => CLK, CLR => CLR, IN_FLAG => IN_FLAG, LD => LD, OUT_FLAG => OUT_FLAG, SET => SET ); end STRUCTURE;
mit
a49de82b6792ea7a0cb553a84c638438
0.606849
3.447462
false
false
false
false
MiddleMan5/233
Experiments/Experiment3-Program_Counter/RTL/Counter10bit.vhd
1
1,333
---------------------------------------------------------------------------------- -- Company: -- Engineer: Justin Nguyen, Quinn Mikelson -- -- Create Date: 09/19/2017 12:16:57 AM -- Design Name: -- Module Name: Counter10bit - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Counter10bit is Port ( Din : in STD_LOGIC_VECTOR (0 to 9); LOAD : in STD_LOGIC; INC : in STD_LOGIC; RESET : in STD_LOGIC; CLK : in STD_LOGIC; COUNT : out STD_LOGIC_VECTOR (0 to 9) ); end Counter10bit; architecture Behavioral of Counter10bit is signal s_COUNT : STD_LOGIC_VECTOR (0 to 9); begin process (CLK, RESET) begin if (RESET = '1') then s_COUNT <= ( others => '0' ); elsif (rising_edge(CLK)) then if (LOAD = '1') then s_COUNT <= Din; elsif (INC = '1') then s_COUNT <= s_COUNT + 1; end if; end if; end process; COUNT <= s_COUNT; end Behavioral;
mit
02a31ea2891e9738072b68b06c315610
0.485371
3.852601
false
false
false
false
VLSI-EDA/PoC-Examples
src/mem/ddr2/memtest_Atlys_1x128.vhdl
1
8,380
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Martin Zabel -- -- Module: Memory tester for Atlys board using Xilinx MIG with one -- 128-bit port. -- -- Description: -- ------------------------------------ -- -- License: -- ============================================================================= -- Copyright 2007-2016 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library poc; use poc.utils.all; entity memtest_Atlys_1x128 is generic ( C3_SIMULATION : string := "FALSE"); port ( Atlys_SystemClock_100MHz : in std_logic; Atlys_GPIO_LED : out std_logic_vector(7 downto 0); -- Memory Controller Bank 3 mcb3_dram_dq : inout std_logic_vector(16-1 downto 0); mcb3_dram_a : out std_logic_vector(13-1 downto 0); mcb3_dram_ba : out std_logic_vector(3-1 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_rzq : inout std_logic; mcb3_dram_udm : out std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic); end entity memtest_Atlys_1x128; architecture rtl of memtest_Atlys_1x128 is signal memtest0_status : std_logic_vector(2 downto 0); -- Memory Controller signals -- signal c3_sys_rst_i : std_logic; signal c3_calib_done : std_logic; signal c3_clk0 : std_logic; -- output from IP core signal c3_rst0 : std_logic; -- output from IP core, asynchronously asserted! -- signal c3_p0_cmd_clk : std_logic; signal c3_p0_cmd_en : std_logic; signal c3_p0_cmd_instr : std_logic_vector(2 downto 0); signal c3_p0_cmd_bl : std_logic_vector(5 downto 0); signal c3_p0_cmd_byte_addr : std_logic_vector(29 downto 0); signal c3_p0_cmd_empty : std_logic; signal c3_p0_cmd_full : std_logic; -- signal c3_p0_wr_clk : std_logic; signal c3_p0_wr_en : std_logic; signal c3_p0_wr_mask : std_logic_vector(16-1 downto 0); signal c3_p0_wr_data : std_logic_vector(128-1 downto 0); signal c3_p0_wr_full : std_logic; signal c3_p0_wr_empty : std_logic; signal c3_p0_wr_count : std_logic_vector(6 downto 0); signal c3_p0_wr_underrun : std_logic; signal c3_p0_wr_error : std_logic; -- signal c3_p0_rd_clk : std_logic; signal c3_p0_rd_en : std_logic; signal c3_p0_rd_data : std_logic_vector(128-1 downto 0); signal c3_p0_rd_full : std_logic; signal c3_p0_rd_empty : std_logic; signal c3_p0_rd_count : std_logic_vector(6 downto 0); signal c3_p0_rd_overflow : std_logic; signal c3_p0_rd_error : std_logic; begin -- architecture rtl ----------------------------------------------------------------------------- -- MemoryTester for Port 0 ----------------------------------------------------------------------------- MemoryTester0 : block constant BYTE_ADDR_BITS : natural := 4; -- 16 Byte / Word constant WORD_ADDR_BITS : natural := ite(SIMULATION, 15, -- 32 KByte = 2 rows 27) -- 128 MB = 1 GBit -BYTE_ADDR_BITS; signal mem_rdy : std_logic; signal mem_req : std_logic; signal mem_write : std_logic; signal mem_addr : unsigned(WORD_ADDR_BITS-1 downto 0); signal mem_wdata : std_logic_vector(127 downto 0); signal mem_rstb : std_logic; signal mem_rdata : std_logic_vector(127 downto 0); begin -- block MemoryTester0 fsm: entity work.memtest_fsm generic map ( A_BITS => WORD_ADDR_BITS, D_BITS => 128) port map ( clk => c3_clk0, rst => c3_rst0, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata, mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, status => memtest0_status); adapter: entity poc.ddr2_mem2mig_adapter_Spartan6 generic map ( D_BITS => 128, MEM_A_BITS => WORD_ADDR_BITS, APP_A_BITS => c3_p0_cmd_byte_addr'length) port map ( mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata, mig_calib_done => c3_calib_done, mig_cmd_full => c3_p0_cmd_full, mig_wr_full => c3_p0_wr_full, mig_rd_empty => c3_p0_rd_empty, mig_rd_data => c3_p0_rd_data, mig_cmd_instr => c3_p0_cmd_instr, mig_cmd_en => c3_p0_cmd_en, mig_cmd_bl => c3_p0_cmd_bl, mig_cmd_byte_addr => c3_p0_cmd_byte_addr, mig_wr_data => c3_p0_wr_data, mig_wr_mask => c3_p0_wr_mask, mig_wr_en => c3_p0_wr_en, mig_rd_en => c3_p0_rd_en); end block MemoryTester0; ----------------------------------------------------------------------------- -- Memory Controller Instantiation ----------------------------------------------------------------------------- mig : entity poc.mig_Atlys_1x128 port map ( mcb3_dram_dq => mcb3_dram_dq, mcb3_dram_a => mcb3_dram_a, mcb3_dram_ba => mcb3_dram_ba, mcb3_dram_ras_n => mcb3_dram_ras_n, mcb3_dram_cas_n => mcb3_dram_cas_n, mcb3_dram_we_n => mcb3_dram_we_n, mcb3_dram_odt => mcb3_dram_odt, mcb3_dram_cke => mcb3_dram_cke, mcb3_dram_dm => mcb3_dram_dm, mcb3_dram_udqs => mcb3_dram_udqs, mcb3_dram_udqs_n => mcb3_dram_udqs_n, mcb3_rzq => mcb3_rzq, mcb3_dram_udm => mcb3_dram_udm, c3_sys_clk => Atlys_SystemClock_100MHz, c3_sys_rst_i => '0', -- active high c3_calib_done => c3_calib_done, c3_clk0 => c3_clk0, c3_rst0 => c3_rst0, mcb3_dram_dqs => mcb3_dram_dqs, mcb3_dram_dqs_n => mcb3_dram_dqs_n, mcb3_dram_ck => mcb3_dram_ck, mcb3_dram_ck_n => mcb3_dram_ck_n, c3_p0_cmd_clk => c3_clk0, c3_p0_cmd_en => c3_p0_cmd_en, c3_p0_cmd_instr => c3_p0_cmd_instr, c3_p0_cmd_bl => c3_p0_cmd_bl, c3_p0_cmd_byte_addr => c3_p0_cmd_byte_addr, c3_p0_cmd_empty => c3_p0_cmd_empty, c3_p0_cmd_full => c3_p0_cmd_full, c3_p0_wr_clk => c3_clk0, c3_p0_wr_en => c3_p0_wr_en, c3_p0_wr_mask => c3_p0_wr_mask, c3_p0_wr_data => c3_p0_wr_data, c3_p0_wr_full => c3_p0_wr_full, c3_p0_wr_empty => c3_p0_wr_empty, c3_p0_wr_count => c3_p0_wr_count, c3_p0_wr_underrun => c3_p0_wr_underrun, c3_p0_wr_error => c3_p0_wr_error, c3_p0_rd_clk => c3_clk0, c3_p0_rd_en => c3_p0_rd_en, c3_p0_rd_data => c3_p0_rd_data, c3_p0_rd_full => c3_p0_rd_full, c3_p0_rd_empty => c3_p0_rd_empty, c3_p0_rd_count => c3_p0_rd_count, c3_p0_rd_overflow => c3_p0_rd_overflow, c3_p0_rd_error => c3_p0_rd_error); ----------------------------------------------------------------------------- -- Status outputs ----------------------------------------------------------------------------- Atlys_GPIO_LED(7) <= c3_rst0; Atlys_GPIO_LED(6) <= '0'; Atlys_GPIO_LED(5) <= '0'; Atlys_GPIO_LED(4) <= '0'; Atlys_GPIO_LED(3) <= c3_calib_done; Atlys_GPIO_LED(2 downto 0) <= memtest0_status; end architecture rtl;
apache-2.0
1a0c7861cd91c6ebea9641a8d5f11b11
0.552029
2.650221
false
true
false
false
VLSI-EDA/PoC-Examples
src/mem/memtest_fsm.vhdl
1
9,203
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Martin Zabel -- -- Module: Generic FSM for Memory Controller Test Modules -- -- Description: -- ------------------------------------ -- Check read/write by blocked and random memory accesses. -- -- Output status(0) indicates if an read error has occured (high-active). -- Output status(2 downto 1) are progress indicators, these should toogle with -- a visible frequency. Otherwise the memory controller does not except new -- commands. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ ------------------------------------------------------------------------------- -- Naming Conventions: -- (Based on: Keating and Bricaud: "Reuse Methodology Manual") -- -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: all UPPERCASE -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- output of a register: "*_r" -- asynchronous signal: "*_a" -- pipelined or register delay signals: "*_p#" -- data before being registered into register with the same name: "*_nxt" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- tristate internal signal "*_z" ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library poc; use poc.arith.all; use poc.utils.all; entity memtest_fsm is generic ( A_BITS : positive; D_BITS : positive ); port ( clk : in std_logic; rst : in std_logic; mem_rdy : in std_logic; mem_rstb : in std_logic; mem_rdata : in std_logic_vector(D_BITS-1 downto 0); mem_req : out std_logic; mem_write : out std_logic; mem_addr : out unsigned(A_BITS-1 downto 0); mem_wdata : out std_logic_vector(D_BITS-1 downto 0); status : out std_logic_vector(2 downto 0)); end memtest_fsm; architecture rtl of memtest_fsm is -- Main FSM type FSM_TYPE is (INIT, WRITE_BLOCK, READ_BLOCK, WRITE_READ1, WRITE_READ2, FINISHED); signal fsm_cs : FSM_TYPE; signal fsm_ns : FSM_TYPE; -- Read Check FSM type CHKFSM_TYPE is (CHK_INIT, CHK_RUN); signal chkfsm_cs : CHKFSM_TYPE; signal chkfsm_ns : CHKFSM_TYPE; -- Address register signal addr_r : unsigned(A_BITS downto 0); signal addr_rst : std_logic; signal addr_inc : std_logic; -- Write Data register signal wdata_r : std_logic_vector(D_BITS-1 downto 0); signal wdata_rst : std_logic; signal wdata_got : std_logic; -- Expected Read Data Register signal exp_rdata_r : std_logic_vector(D_BITS-1 downto 0); signal exp_rdata_rst : std_logic; signal exp_rdata_got : std_logic; -- End of block has been reached. signal block_finished : std_logic; -- Read data / strobe register signal rdata_r : std_logic_vector(D_BITS-1 downto 0); signal rstb_r : std_logic; -- Read data equals expected value. signal rdata_eq_exp : std_logic; -- Read fail indicator signal rd_failed_r : std_logic; signal rd_failed_rst : std_logic; signal rd_failed_set : std_logic; -- Run counter signal run_r : unsigned(1 downto 0) := (others => '0'); signal run_inc : std_logic; begin -- rtl ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- exp_rdata_prng: arith_prng generic map ( BITS => D_BITS) port map ( clk => clk, rst => exp_rdata_rst, got => exp_rdata_got, val => exp_rdata_r); wdata_prng: arith_prng generic map ( BITS => D_BITS) port map ( clk => clk, rst => wdata_rst, got => wdata_got, val => wdata_r); ----------------------------------------------------------------------------- -- Datapath not depending on FSM ----------------------------------------------------------------------------- block_finished <= addr_r(A_BITS); rdata_eq_exp <= '1' when rdata_r = std_logic_vector(exp_rdata_r) else '0'; ----------------------------------------------------------------------------- -- Main FSM ----------------------------------------------------------------------------- process (fsm_cs, mem_rdy, block_finished) begin -- process fsm_ns <= fsm_cs; mem_req <= '0'; mem_write <= '-'; run_inc <= '0'; addr_rst <= '0'; addr_inc <= '0'; wdata_rst <= '0'; wdata_got <= '0'; case fsm_cs is when INIT => wdata_rst <= '1'; addr_rst <= '1'; fsm_ns <= WRITE_BLOCK; when WRITE_BLOCK => if block_finished = '1' then addr_rst <= '1'; fsm_ns <= READ_BLOCK; else mem_req <= '1'; mem_write <= '1'; if mem_rdy = '1' then wdata_got <= '1'; addr_inc <= '1'; end if; end if; when READ_BLOCK => if block_finished = '1' then addr_rst <= '1'; fsm_ns <= WRITE_READ1; else -- Note: Read data is checked concurrently. mem_req <= '1'; mem_write <= '0'; if mem_rdy = '1' then addr_inc <= '1'; end if; end if; when WRITE_READ1 => if block_finished = '1' then addr_rst <= '1'; if SIMULATION then fsm_ns <= FINISHED; else run_inc <= '1'; fsm_ns <= WRITE_BLOCK; end if; else mem_req <= '1'; mem_write <= '1'; if mem_rdy = '1' then wdata_got <= '1'; -- do not increment address fsm_ns <= WRITE_READ2; end if; end if; when WRITE_READ2 => -- Note: Read data is checked concurrently. mem_req <= '1'; mem_write <= '0'; if mem_rdy = '1' then addr_inc <= '1'; fsm_ns <= WRITE_READ1; end if; when FINISHED => null; end case; end process; ----------------------------------------------------------------------------- -- Read Check FSM ----------------------------------------------------------------------------- process (chkfsm_cs, rstb_r, rdata_eq_exp) begin -- process chkfsm_ns <= chkfsm_cs; exp_rdata_rst <= '0'; exp_rdata_got <= '0'; rd_failed_rst <= '0'; rd_failed_set <= '0'; case chkfsm_cs is when CHK_INIT => exp_rdata_rst <= '1'; rd_failed_rst <= '1'; chkfsm_ns <= CHK_RUN; when CHK_RUN => if rstb_r = '1' then exp_rdata_got <= '1'; if rdata_eq_exp = '0' then rd_failed_set <= '1'; end if; end if; end case; end process; ----------------------------------------------------------------------------- -- Registers ----------------------------------------------------------------------------- process (clk) begin -- process if rising_edge(clk) then if rst = '1' then fsm_cs <= INIT; chkfsm_cs <= CHK_INIT; run_r <= (others => '0'); else fsm_cs <= fsm_ns; chkfsm_cs <= chkfsm_ns; if run_inc = '1' then run_r <= run_r + 1; end if; end if; if addr_rst = '1' then addr_r <= (others => '0'); elsif addr_inc = '1' then addr_r <= addr_r + 1; end if; if rd_failed_rst = '1' then rd_failed_r <= '0'; elsif rd_failed_set = '1' then rd_failed_r <= '1'; end if; if rst = '1' then rstb_r <= '0'; else rstb_r <= mem_rstb; end if; if mem_rstb = '1' then rdata_r <= mem_rdata; end if; end if; end process; ----------------------------------------------------------------------------- -- Outputs ----------------------------------------------------------------------------- mem_addr <= addr_r(A_BITS-1 downto 0); mem_wdata <= std_logic_vector(wdata_r); status(0) <= rd_failed_r; status(2 downto 1) <= std_logic_vector(run_r); end rtl;
apache-2.0
744a68152b45a24e6d9d3c08254da5bc
0.478214
3.899576
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_StackPointer_0_0/synth/RAT_StackPointer_0_0.vhd
1
4,225
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:StackPointer:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_StackPointer_0_0 IS PORT ( DATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); RST : IN STD_LOGIC; LD : IN STD_LOGIC; INCR : IN STD_LOGIC; DECR : IN STD_LOGIC; CLK : IN STD_LOGIC; DOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END RAT_StackPointer_0_0; ARCHITECTURE RAT_StackPointer_0_0_arch OF RAT_StackPointer_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_StackPointer_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT StackPointer IS PORT ( DATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); RST : IN STD_LOGIC; LD : IN STD_LOGIC; INCR : IN STD_LOGIC; DECR : IN STD_LOGIC; CLK : IN STD_LOGIC; DOUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT StackPointer; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_StackPointer_0_0_arch: ARCHITECTURE IS "StackPointer,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_StackPointer_0_0_arch : ARCHITECTURE IS "RAT_StackPointer_0_0,StackPointer,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_StackPointer_0_0_arch: ARCHITECTURE IS "RAT_StackPointer_0_0,StackPointer,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=StackPointer,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF RST: SIGNAL IS "xilinx.com:signal:reset:1.0 RST RST"; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : StackPointer PORT MAP ( DATA => DATA, RST => RST, LD => LD, INCR => INCR, DECR => DECR, CLK => CLK, DOUT => DOUT ); END RAT_StackPointer_0_0_arch;
mit
b5d6b1e98d1dd025c3b7400f23c4d6f6
0.728757
3.963415
false
false
false
false
VLSI-EDA/PoC-Examples
src/mem/sdram/memtest_de0.vhdl
1
7,478
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Martin Zabel -- -- Module: Memory Controller Test for Altera DE0 Board -- -- Description: -- ------------------------------------ -- Top-Level of Memory Controller Test for Altera DE0 Board -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library poc; use poc.fifo.all; entity memtest_de0 is port ( clk_in : in std_logic; btn : in std_logic_vector(2 downto 2); led : out std_logic_vector(9 downto 0); sd_ck : out std_logic; sd_cke : out std_logic; sd_cs : out std_logic; sd_ras : out std_logic; sd_cas : out std_logic; sd_we : out std_logic; sd_ba : out std_logic_vector(1 downto 0); sd_a : out std_logic_vector(11 downto 0); sd_ldm : out std_logic; sd_udm : out std_logic; sd_dq : inout std_logic_vector(15 downto 0)); end memtest_de0; architecture rtl of memtest_de0 is signal clk_sys : std_logic; signal clk_mem : std_logic; signal clk_memout : std_logic; signal rst_sys : std_logic; signal rst_mem : std_logic; signal locked : std_logic; signal clk_tb : std_logic; signal rst_tb : std_logic; signal cf_put : std_logic; signal cf_full : std_logic; signal cf_din : std_logic_vector(22 downto 0); signal cf_dout : std_logic_vector(22 downto 0); signal cf_valid : std_logic; signal cf_got : std_logic; signal wf_put : std_logic; signal wf_full : std_logic; signal wf_din : std_logic_vector(15 downto 0); signal wf_dout : std_logic_vector(15 downto 0); signal wf_valid : std_logic; signal wf_got : std_logic; signal mem_rdy : std_logic; signal mem_rstb : std_logic; signal mem_rdata : std_logic_vector(15 downto 0); signal mem_req : std_logic; signal mem_write : std_logic; signal mem_addr : unsigned(21 downto 0); signal mem_wdata : std_logic_vector(15 downto 0); signal fsm_status : std_logic_vector(2 downto 0); signal rf_put : std_logic; signal rf_din : std_logic_vector(15 downto 0); begin -- rtl pll: entity work.memtest_de0_pll port map ( inclk0 => clk_in, c0 => clk_sys, c1 => clk_mem, c2 => clk_memout, locked => locked); rst_sync : block signal do_rst : std_logic; signal rst_sys_r : std_logic_vector(4 downto 0); signal rst_mem_r : std_logic_vector(4 downto 0); begin -- block clockgen -- reset synchronizer do_rst <= not locked or not btn(2); rst_sys_r <= rst_sys_r(rst_sys_r'left-1 downto 0) & do_rst when rising_edge(clk_sys); rst_mem_r <= rst_mem_r(rst_mem_r'left-1 downto 0) & do_rst when rising_edge(clk_mem); rst_sys <= rst_sys_r(rst_sys_r'left); rst_mem <= rst_mem_r(rst_mem_r'left); end block rst_sync; -- Testbench clock selection -- Also update chipscope configuration. -- clk_tb <= clk_mem; -- rst_tb <= rst_mem; clk_tb <= clk_sys; rst_tb <= rst_sys; -- uses default configuration, see entity declaration mem_ctrl: entity poc.sdram_ctrl_de0 generic map ( CLK_PERIOD => 7.5, CL => 2, BL => 1) port map ( clk => clk_mem, clkout => clk_memout, rst => rst_mem, user_cmd_valid => cf_valid, user_wdata_valid => wf_valid, user_write => cf_dout(cf_dout'left), user_addr => cf_dout(cf_dout'left-1 downto 0), user_wdata => wf_dout, user_got_cmd => cf_got, user_got_wdata => wf_got, user_rdata => rf_din, user_rstb => rf_put, sd_ck => sd_ck, sd_cke => sd_cke, sd_cs => sd_cs, sd_ras => sd_ras, sd_cas => sd_cas, sd_we => sd_we, sd_ba => sd_ba, sd_a => sd_a, sd_dq => sd_dq); sd_ldm <= '0'; sd_udm <= '0'; cmd_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 23, MIN_DEPTH => 8) port map ( clk_wr => clk_tb, rst_wr => rst_tb, put => cf_put, din => cf_din, full => cf_full, clk_rd => clk_mem, rst_rd => rst_mem, got => cf_got, valid => cf_valid, dout => cf_dout); wr_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 16, MIN_DEPTH => 8) port map ( clk_wr => clk_tb, rst_wr => rst_tb, put => wf_put, din => wf_din, full => wf_full, clk_rd => clk_mem, rst_rd => rst_mem, got => wf_got, valid => wf_valid, dout => wf_dout); -- The size fo this FIFO depends on the latency between write and read -- clock domain rd_fifo: fifo_ic_got generic map ( DATA_REG => true, D_BITS => 16, MIN_DEPTH => 8) port map ( clk_wr => clk_mem, rst_wr => rst_mem, put => rf_put, din => rf_din, full => open, -- can't stall clk_rd => clk_tb, rst_rd => rst_tb, got => mem_rstb, valid => mem_rstb, dout => mem_rdata); fsm: entity work.memtest_fsm generic map ( A_BITS => 22, D_BITS => 16) port map ( clk => clk_tb, rst => rst_tb, mem_rdy => mem_rdy, mem_rstb => mem_rstb, mem_rdata => mem_rdata, mem_req => mem_req, mem_write => mem_write, mem_addr => mem_addr, mem_wdata => mem_wdata, status => fsm_status); -- Signal mem_ctrl ready only if both FIFOs are not full. mem_rdy <= cf_full nor wf_full; -- Word aligned access to memory. -- Parallel "put" to both FIFOs. cf_put <= mem_req and mem_rdy; wf_put <= mem_req and mem_write and mem_rdy; cf_din <= mem_write & std_logic_vector(mem_addr); wf_din <= mem_wdata; ----------------------------------------------------------------------------- -- Outputs ----------------------------------------------------------------------------- led(9) <= locked; led(8 downto 3) <= (others => '0'); led(2 downto 0) <= fsm_status; end rtl;
apache-2.0
3552097f6f76976e6ef5d116613b71a5
0.513105
3.409941
false
false
false
false
MiddleMan5/233
Experiments/Experiment5-Ram_Reg/RTL/ScratchRam.vhd
1
1,531
---------------------------------------------------------------------------------- -- Company: -- Engineer: Justin Nguyen, Quinn Mikelson -- -- Create Date: 09/29/2017 12:31:58 AM -- Design Name: ScratchRam -- Module Name: ScratchRam - Behavioral -- Project Name: RAT CPU -- Target Devices: xc7a50tcsg324-1 -- Tool Versions: -- Description: This is the RAM domponent for our RAT CPU. The function of the RAM is to: -- - Provide temporary storage that is accessile using the RAT instruction set -- - Provide storage for the stack -- The RAM is 256x10 memory module with asyc read and synchronous write. -- -- Dependencies: N/A -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ScratchRam is Port ( DATA_IN : in STD_LOGIC_VECTOR (9 downto 0); DATA_OUT : out STD_LOGIC_VECTOR (9 downto 0); ADDR : in STD_LOGIC_VECTOR (7 downto 0); WE : in STD_LOGIC; CLK : in STD_LOGIC); end ScratchRam; architecture Behavioral of ScratchRam is TYPE memory is array (0 to 255) of std_logic_vector(9 downto 0); SIGNAL RAM: memory := (others=>(others=>'0')); begin process(clk) begin if (rising_edge(clk)) then if (WE = '1') then RAM(conv_integer(ADDR)) <= DATA_IN; end if; end if; end process; DATA_OUT <= RAM(conv_integer(ADDR)); end Behavioral;
mit
f06521183171785cb475cb109bd6b7ad
0.585238
3.680288
false
false
false
false
viniciussmello/SistemasDigitais
Trabalho 1/GeradorDeEntradas.vhd
1
996
---------------------------------------------------------------------------------- -- Create Date: 15:48:51 04/18/2017 -- Module Name: GeradorDeEntradas - Behavioral ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; entity GeradorDeEntradas is Port ( Saida : out STD_LOGIC_VECTOR (7 downto 0); clock : in STD_LOGIC); end GeradorDeEntradas; architecture Behavioral of GeradorDeEntradas is signal counter: integer := 0; signal VectorAB: STD_LOGIC_VECTOR(7 downto 0) := "00000000"; begin ClockCounter: process(clock, counter) -- Processo para que os 'if' possam ocorrer begin saida <= VectorAB; if(clock'event and clock = '1') then counter <= counter + 1; VectorAB <= conv_std_logic_vector(counter, VectorAB'length); end if; if(counter = 256) then counter <= 0; end if; end process ClockCounter; end Behavioral;
gpl-3.0
969173cda24acf30ccdc30f55ff38d85
0.563253
4.065306
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_FIR_resized2_2/synth/design_1_FIR_resized2_2.vhd
1
12,514
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:fir_compiler:7.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY fir_compiler_v7_2_6; USE fir_compiler_v7_2_6.fir_compiler_v7_2_6; ENTITY design_1_FIR_resized2_2 IS PORT ( aclk : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_FIR_resized2_2; ARCHITECTURE design_1_FIR_resized2_2_arch OF design_1_FIR_resized2_2 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_FIR_resized2_2_arch: ARCHITECTURE IS "yes"; COMPONENT fir_compiler_v7_2_6 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_COMPONENT_NAME : STRING; C_COEF_FILE : STRING; C_COEF_FILE_LINES : INTEGER; C_FILTER_TYPE : INTEGER; C_INTERP_RATE : INTEGER; C_DECIM_RATE : INTEGER; C_ZERO_PACKING_FACTOR : INTEGER; C_SYMMETRY : INTEGER; C_NUM_FILTS : INTEGER; C_NUM_TAPS : INTEGER; C_NUM_CHANNELS : INTEGER; C_CHANNEL_PATTERN : STRING; C_ROUND_MODE : INTEGER; C_COEF_RELOAD : INTEGER; C_NUM_RELOAD_SLOTS : INTEGER; C_COL_MODE : INTEGER; C_COL_PIPE_LEN : INTEGER; C_COL_CONFIG : STRING; C_OPTIMIZATION : INTEGER; C_DATA_PATH_WIDTHS : STRING; C_DATA_IP_PATH_WIDTHS : STRING; C_DATA_PX_PATH_WIDTHS : STRING; C_DATA_WIDTH : INTEGER; C_COEF_PATH_WIDTHS : STRING; C_COEF_WIDTH : INTEGER; C_DATA_PATH_SRC : STRING; C_COEF_PATH_SRC : STRING; C_PX_PATH_SRC : STRING; C_DATA_PATH_SIGN : STRING; C_COEF_PATH_SIGN : STRING; C_ACCUM_PATH_WIDTHS : STRING; C_OUTPUT_WIDTH : INTEGER; C_OUTPUT_PATH_WIDTHS : STRING; C_ACCUM_OP_PATH_WIDTHS : STRING; C_EXT_MULT_CNFG : STRING; C_DATA_PATH_PSAMP_SRC : STRING; C_OP_PATH_PSAMP_SRC : STRING; C_NUM_MADDS : INTEGER; C_OPT_MADDS : STRING; C_OVERSAMPLING_RATE : INTEGER; C_INPUT_RATE : INTEGER; C_OUTPUT_RATE : INTEGER; C_DATA_MEMTYPE : INTEGER; C_COEF_MEMTYPE : INTEGER; C_IPBUFF_MEMTYPE : INTEGER; C_OPBUFF_MEMTYPE : INTEGER; C_DATAPATH_MEMTYPE : INTEGER; C_MEM_ARRANGEMENT : INTEGER; C_DATA_MEM_PACKING : INTEGER; C_COEF_MEM_PACKING : INTEGER; C_FILTS_PACKED : INTEGER; C_LATENCY : INTEGER; C_HAS_ARESETn : INTEGER; C_HAS_ACLKEN : INTEGER; C_DATA_HAS_TLAST : INTEGER; C_S_DATA_HAS_FIFO : INTEGER; C_S_DATA_HAS_TUSER : INTEGER; C_S_DATA_TDATA_WIDTH : INTEGER; C_S_DATA_TUSER_WIDTH : INTEGER; C_M_DATA_HAS_TREADY : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_CONFIG_CHANNEL : INTEGER; C_CONFIG_SYNC_MODE : INTEGER; C_CONFIG_PACKET_SIZE : INTEGER; C_CONFIG_TDATA_WIDTH : INTEGER; C_RELOAD_TDATA_WIDTH : INTEGER ); PORT ( aresetn : IN STD_LOGIC; aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_data_tvalid : IN STD_LOGIC; s_axis_data_tready : OUT STD_LOGIC; s_axis_data_tlast : IN STD_LOGIC; s_axis_data_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_data_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tlast : IN STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_reload_tvalid : IN STD_LOGIC; s_axis_reload_tready : OUT STD_LOGIC; s_axis_reload_tlast : IN STD_LOGIC; s_axis_reload_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_data_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); event_s_data_tlast_missing : OUT STD_LOGIC; event_s_data_tlast_unexpected : OUT STD_LOGIC; event_s_data_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC; event_s_reload_tlast_missing : OUT STD_LOGIC; event_s_reload_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT fir_compiler_v7_2_6; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_1_FIR_resized2_2_arch: ARCHITECTURE IS "fir_compiler_v7_2_6,Vivado 2016.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_FIR_resized2_2_arch : ARCHITECTURE IS "design_1_FIR_resized2_2,fir_compiler_v7_2_6,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_1_FIR_resized2_2_arch: ARCHITECTURE IS "design_1_FIR_resized2_2,fir_compiler_v7_2_6,{x_ipProduct=Vivado 2016.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fir_compiler,x_ipVersion=7.2,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_COMPONENT_NAME=design_1_FIR_resized2_2,C_COEF_FILE=design_1_FIR_resized2_2.mif,C_COEF_FILE_LINES=105,C_FILTER_TYPE=1,C_INTERP_RATE=1,C_DECIM_RATE=5,C_ZERO_PACKING_FACTOR=1,C_SYMMETRY=1,C_NUM_FILTS=1,C_NUM_TAPS=204,C_NUM_CHANNELS=1,C_CHANNEL_PATTERN" & "=fixed,C_ROUND_MODE=1,C_COEF_RELOAD=0,C_NUM_RELOAD_SLOTS=1,C_COL_MODE=1,C_COL_PIPE_LEN=4,C_COL_CONFIG=21,C_OPTIMIZATION=0,C_DATA_PATH_WIDTHS=24,C_DATA_IP_PATH_WIDTHS=24,C_DATA_PX_PATH_WIDTHS=24,C_DATA_WIDTH=24,C_COEF_PATH_WIDTHS=16,C_COEF_WIDTH=16,C_DATA_PATH_SRC=0,C_COEF_PATH_SRC=0,C_PX_PATH_SRC=0,C_DATA_PATH_SIGN=0,C_COEF_PATH_SIGN=0,C_ACCUM_PATH_WIDTHS=43,C_OUTPUT_WIDTH=32,C_OUTPUT_PATH_WIDTHS=32,C_ACCUM_OP_PATH_WIDTHS=43,C_EXT_MULT_CNFG=none,C_DATA_PATH_PSAMP_SRC=0,C_OP_PATH_PSAMP_SRC=0,C_NU" & "M_MADDS=21,C_OPT_MADDS=none,C_OVERSAMPLING_RATE=1,C_INPUT_RATE=1,C_OUTPUT_RATE=5,C_DATA_MEMTYPE=0,C_COEF_MEMTYPE=2,C_IPBUFF_MEMTYPE=2,C_OPBUFF_MEMTYPE=0,C_DATAPATH_MEMTYPE=2,C_MEM_ARRANGEMENT=1,C_DATA_MEM_PACKING=0,C_COEF_MEM_PACKING=0,C_FILTS_PACKED=0,C_LATENCY=28,C_HAS_ARESETn=0,C_HAS_ACLKEN=0,C_DATA_HAS_TLAST=0,C_S_DATA_HAS_FIFO=1,C_S_DATA_HAS_TUSER=0,C_S_DATA_TDATA_WIDTH=24,C_S_DATA_TUSER_WIDTH=1,C_M_DATA_HAS_TREADY=0,C_M_DATA_HAS_TUSER=0,C_M_DATA_TDATA_WIDTH=32,C_M_DATA_TUSER_WIDTH=1,C_HAS_" & "CONFIG_CHANNEL=0,C_CONFIG_SYNC_MODE=0,C_CONFIG_PACKET_SIZE=0,C_CONFIG_TDATA_WIDTH=1,C_RELOAD_TDATA_WIDTH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; BEGIN U0 : fir_compiler_v7_2_6 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_COMPONENT_NAME => "design_1_FIR_resized2_2", C_COEF_FILE => "design_1_FIR_resized2_2.mif", C_COEF_FILE_LINES => 105, C_FILTER_TYPE => 1, C_INTERP_RATE => 1, C_DECIM_RATE => 5, C_ZERO_PACKING_FACTOR => 1, C_SYMMETRY => 1, C_NUM_FILTS => 1, C_NUM_TAPS => 204, C_NUM_CHANNELS => 1, C_CHANNEL_PATTERN => "fixed", C_ROUND_MODE => 1, C_COEF_RELOAD => 0, C_NUM_RELOAD_SLOTS => 1, C_COL_MODE => 1, C_COL_PIPE_LEN => 4, C_COL_CONFIG => "21", C_OPTIMIZATION => 0, C_DATA_PATH_WIDTHS => "24", C_DATA_IP_PATH_WIDTHS => "24", C_DATA_PX_PATH_WIDTHS => "24", C_DATA_WIDTH => 24, C_COEF_PATH_WIDTHS => "16", C_COEF_WIDTH => 16, C_DATA_PATH_SRC => "0", C_COEF_PATH_SRC => "0", C_PX_PATH_SRC => "0", C_DATA_PATH_SIGN => "0", C_COEF_PATH_SIGN => "0", C_ACCUM_PATH_WIDTHS => "43", C_OUTPUT_WIDTH => 32, C_OUTPUT_PATH_WIDTHS => "32", C_ACCUM_OP_PATH_WIDTHS => "43", C_EXT_MULT_CNFG => "none", C_DATA_PATH_PSAMP_SRC => "0", C_OP_PATH_PSAMP_SRC => "0", C_NUM_MADDS => 21, C_OPT_MADDS => "none", C_OVERSAMPLING_RATE => 1, C_INPUT_RATE => 1, C_OUTPUT_RATE => 5, C_DATA_MEMTYPE => 0, C_COEF_MEMTYPE => 2, C_IPBUFF_MEMTYPE => 2, C_OPBUFF_MEMTYPE => 0, C_DATAPATH_MEMTYPE => 2, C_MEM_ARRANGEMENT => 1, C_DATA_MEM_PACKING => 0, C_COEF_MEM_PACKING => 0, C_FILTS_PACKED => 0, C_LATENCY => 28, C_HAS_ARESETn => 0, C_HAS_ACLKEN => 0, C_DATA_HAS_TLAST => 0, C_S_DATA_HAS_FIFO => 1, C_S_DATA_HAS_TUSER => 0, C_S_DATA_TDATA_WIDTH => 24, C_S_DATA_TUSER_WIDTH => 1, C_M_DATA_HAS_TREADY => 0, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TDATA_WIDTH => 32, C_M_DATA_TUSER_WIDTH => 1, C_HAS_CONFIG_CHANNEL => 0, C_CONFIG_SYNC_MODE => 0, C_CONFIG_PACKET_SIZE => 0, C_CONFIG_TDATA_WIDTH => 1, C_RELOAD_TDATA_WIDTH => 1 ) PORT MAP ( aresetn => '1', aclk => aclk, aclken => '1', s_axis_data_tvalid => s_axis_data_tvalid, s_axis_data_tready => s_axis_data_tready, s_axis_data_tlast => '0', s_axis_data_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_data_tdata => s_axis_data_tdata, s_axis_config_tvalid => '0', s_axis_config_tlast => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_reload_tvalid => '0', s_axis_reload_tlast => '0', s_axis_reload_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '1', m_axis_data_tdata => m_axis_data_tdata ); END design_1_FIR_resized2_2_arch;
mit
31f77a1ef3bdfdb5dccf982eaacaded0
0.654307
3.051451
false
true
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux4x1_8_0_1/sim/RAT_Mux4x1_8_0_1.vhd
1
3,384
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:Mux4x1_8:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_Mux4x1_8_0_1 IS PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); C : IN STD_LOGIC_VECTOR(7 DOWNTO 0); D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END RAT_Mux4x1_8_0_1; ARCHITECTURE RAT_Mux4x1_8_0_1_arch OF RAT_Mux4x1_8_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_Mux4x1_8_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT Mux4x1_8 IS PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); C : IN STD_LOGIC_VECTOR(7 DOWNTO 0); D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT Mux4x1_8; BEGIN U0 : Mux4x1_8 PORT MAP ( A => A, B => B, C => C, D => D, SEL => SEL, X => X ); END RAT_Mux4x1_8_0_1_arch;
mit
d2533a97fba6e44c59e7b0a3d03a6e9a
0.71247
3.751663
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_alu_0_0/synth/RAT_alu_0_0.vhd
2
4,091
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:alu:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_alu_0_0 IS PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); C_IN : IN STD_LOGIC; Sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0); SUM : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); C_FLAG : OUT STD_LOGIC; Z_FLAG : OUT STD_LOGIC ); END RAT_alu_0_0; ARCHITECTURE RAT_alu_0_0_arch OF RAT_alu_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_alu_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT alu IS GENERIC ( data_width : INTEGER; sel_width : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(7 DOWNTO 0); B : IN STD_LOGIC_VECTOR(7 DOWNTO 0); C_IN : IN STD_LOGIC; Sel : IN STD_LOGIC_VECTOR(3 DOWNTO 0); SUM : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); C_FLAG : OUT STD_LOGIC; Z_FLAG : OUT STD_LOGIC ); END COMPONENT alu; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_alu_0_0_arch: ARCHITECTURE IS "alu,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_alu_0_0_arch : ARCHITECTURE IS "RAT_alu_0_0,alu,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_alu_0_0_arch: ARCHITECTURE IS "RAT_alu_0_0,alu,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=alu,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,data_width=8,sel_width=4}"; BEGIN U0 : alu GENERIC MAP ( data_width => 8, sel_width => 4 ) PORT MAP ( A => A, B => B, C_IN => C_IN, Sel => Sel, SUM => SUM, C_FLAG => C_FLAG, Z_FLAG => Z_FLAG ); END RAT_alu_0_0_arch;
mit
ad5e9c9bda543c87daefd832cacfd449
0.705207
3.729262
false
false
false
false
ayueha/infomatic-pj
src/ALU/alu-original-error-include.vhd
2
2,377
library ieee; use ieee.std_logic_1164.all; entity alu is port ( n : in std_logic_vector (3 downto 0); m : in std_logic_vector (3 downto 0); opcode : in std_logic_vector (1 downto 0); d : out std_logic_vector (3 downto 0); cout : out std_logic ); end alu; architecture behavioral of alu is component carry_ripple_adder port ( a : in std_logic_vector (3 downto 0); b : in std_logic_vector (3 downto 0); ci : in std_logic; s : out std_logic_vector (3 downto 0); co : out std_logic ); end component; signal m_inverted : std_logic_vector (3 downto 0); signal nand_result : std_logic_vector (3 downto 0); signal nor_result : std_logic_vector (3 downto 0); signal adder_result : std_logic_vector (3 downto 0); signal adder_carry_out : std_logic; signal operation_type : std_logic; signal sub : std_logic; begin -- Make sense from control bits operation_type <= opcode(1); -- Are we doing logical or arithmetic operation? sub <= opcode(0); -- Are we doing addition/NAND or subtraction/NOR? -- Here we calculate inverted bits for subtraction if necessary m_inverted(0) <= not m(0); m_inverted(1) <= not m(1); m_inverted(2) <= not m(2); m_inverted(3) <= not m(3); -- Addition adder_instance: carry_ripple_adder port map( a => n, b => m_inverted, ci => '1', s => adder_result, co => adder_carry_out ); -- Logical NAND operation nand_result(0) <= not m(0) and n(0); nand_result(1) <= not m(1) and n(1); nand_result(2) <= not m(2) and n(2); nand_result(3) <= not m(3) and n(3); -- Logical NOR operation nor_result(0) <= not m(0) or n(0); nor_result(1) <= not m(1) or n(1); nor_result(2) <= not m(2) or n(2); nor_result(3) <= not m(3) or n(3); -- Select output based on which operation was requested d <= nand_result when opcode ="10" else nor_result when opcode ="11" else adder_result; -- Carry out bit cout <= (adder_carry_out xor sub) when operation_type = '0' else '0'; end;
mit
ef4d4757fa3cbb4fa9b0ea9d60d7b4d5
0.533446
3.480234
false
false
false
false
MiddleMan5/233
Experiments/IP_Repo/Program Counter/src/Program_Counter.vhd
2
4,167
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 --Date : Mon Oct 16 23:04:57 2017 --Host : Juice-Laptop running 64-bit major release (build 9200) --Command : generate_target Program_Counter.bd --Design : Program_Counter --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity Program_Counter is port ( CLK : in STD_LOGIC; FROM_IMMED : in STD_LOGIC_VECTOR ( 9 downto 0 ); FROM_STACK : in STD_LOGIC_VECTOR ( 9 downto 0 ); PC_COUNT : out STD_LOGIC_VECTOR ( 0 to 9 ); PC_INC : in STD_LOGIC; PC_LD : in STD_LOGIC; PC_MUX_SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); RST : in STD_LOGIC ); attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of Program_Counter : entity is "Program_Counter,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=Program_Counter,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=3,numReposBlks=3,numNonXlnxBlks=2,numHierBlks=0,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=0,numPkgbdBlks=0,bdsource=USER,synth_mode=OOC_per_IP}"; attribute HW_HANDOFF : string; attribute HW_HANDOFF of Program_Counter : entity is "Program_Counter.hwdef"; end Program_Counter; architecture STRUCTURE of Program_Counter is component Program_Counter_Constant_0_0 is port ( dout : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end component Program_Counter_Constant_0_0; component Program_Counter_Mux4x1_0_1 is port ( A : in STD_LOGIC_VECTOR ( 9 downto 0 ); B : in STD_LOGIC_VECTOR ( 9 downto 0 ); C : in STD_LOGIC_VECTOR ( 9 downto 0 ); D : in STD_LOGIC_VECTOR ( 9 downto 0 ); SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); X : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end component Program_Counter_Mux4x1_0_1; component Program_Counter_Counter10bit_0_1 is port ( Din : in STD_LOGIC_VECTOR ( 0 to 9 ); LOAD : in STD_LOGIC; INC : in STD_LOGIC; RESET : in STD_LOGIC; CLK : in STD_LOGIC; COUNT : out STD_LOGIC_VECTOR ( 0 to 9 ) ); end component Program_Counter_Counter10bit_0_1; signal CLK_1 : STD_LOGIC; signal Constant_0_dout : STD_LOGIC_VECTOR ( 9 downto 0 ); signal Counter10bit_0_COUNT : STD_LOGIC_VECTOR ( 0 to 9 ); signal FROM_IMMED_1 : STD_LOGIC_VECTOR ( 9 downto 0 ); signal FROM_STACK_1 : STD_LOGIC_VECTOR ( 9 downto 0 ); signal Mux4x1_0_X : STD_LOGIC_VECTOR ( 9 downto 0 ); signal PC_INC_1 : STD_LOGIC; signal PC_LD_1 : STD_LOGIC; signal PC_MUX_SEL_1 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal RST_1 : STD_LOGIC; begin CLK_1 <= CLK; FROM_IMMED_1(9 downto 0) <= FROM_IMMED(9 downto 0); FROM_STACK_1(9 downto 0) <= FROM_STACK(9 downto 0); PC_COUNT(0 to 9) <= Counter10bit_0_COUNT(0 to 9); PC_INC_1 <= PC_INC; PC_LD_1 <= PC_LD; PC_MUX_SEL_1(1 downto 0) <= PC_MUX_SEL(1 downto 0); RST_1 <= RST; Constant_0: component Program_Counter_Constant_0_0 port map ( dout(9 downto 0) => Constant_0_dout(9 downto 0) ); Counter10bit_0: component Program_Counter_Counter10bit_0_1 port map ( CLK => CLK_1, COUNT(0 to 9) => Counter10bit_0_COUNT(0 to 9), Din(0) => Mux4x1_0_X(9), Din(1) => Mux4x1_0_X(8), Din(2) => Mux4x1_0_X(7), Din(3) => Mux4x1_0_X(6), Din(4) => Mux4x1_0_X(5), Din(5) => Mux4x1_0_X(4), Din(6) => Mux4x1_0_X(3), Din(7) => Mux4x1_0_X(2), Din(8) => Mux4x1_0_X(1), Din(9) => Mux4x1_0_X(0), INC => PC_INC_1, LOAD => PC_LD_1, RESET => RST_1 ); Mux4x1_0: component Program_Counter_Mux4x1_0_1 port map ( A(9 downto 0) => FROM_IMMED_1(9 downto 0), B(9 downto 0) => FROM_STACK_1(9 downto 0), C(9 downto 0) => Constant_0_dout(9 downto 0), D(9 downto 0) => B"0000000000", SEL(1 downto 0) => PC_MUX_SEL_1(1 downto 0), X(9 downto 0) => Mux4x1_0_X(9 downto 0) ); end STRUCTURE;
mit
62a3c11c0df6a5389cd0998d7f3815a9
0.613391
3.032751
false
false
false
false
BBN-Q/VHDL-Components
test/FakeOSERDES.vhd
1
1,203
-- Fake testing module that mocks a 4:1 DDR OSERDES module -- -- Original authors Diego Riste and Colm Ryan -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity FakeOSERDES is generic ( SAMPLE_WIDTH : natural := 16; CLK_PERIOD : time := 2 ns ); port ( reset : in std_logic; data_in : in std_logic_vector(4*SAMPLE_WIDTH-1 downto 0); clk_in : in std_logic; data_out : out std_logic_vector(SAMPLE_WIDTH-1 downto 0) ); end entity ; -- FakeOSERDES architecture arch of FakeOSERDES is begin serialize : process variable registered_data : std_logic_vector(4*SAMPLE_WIDTH-1 downto 0); begin wait until rising_edge(clk_in); while true loop --register the input data as a crude clock crosser registered_data := data_in; if reset = '1' then data_out <= (others => '0'); wait for CLK_PERIOD; else for ct in 0 to 3 loop data_out <= registered_data((ct+1)*SAMPLE_WIDTH-1 downto ct*SAMPLE_WIDTH); if ct = 3 then wait until rising_edge(clk_in); else wait for CLK_PERIOD/2; end if; end loop; -- end if; end loop ; -- end process; -- serialize end architecture ; -- arch
mpl-2.0
f31b42e1d89bbc9c95096348efa5c185
0.67581
3.076726
false
false
false
false
open-power/snap
actions/hdl_example/hw/action_axi_nvme.vhd
1
10,881
---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- -- Copyright 2016 International Business Machines -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions AND -- limitations under the License. -- ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.STD_LOGIC_UNSIGNED.all; use ieee.numeric_std.all; entity action_axi_nvme is generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Thread ID Width C_M_AXI_ID_WIDTH : integer := 1; -- Width of Address Bus C_M_AXI_ADDR_WIDTH : integer := 32; -- Width of Data Bus C_M_AXI_DATA_WIDTH : integer := 32; -- Width of User Write Address Bus C_M_AXI_AWUSER_WIDTH : integer := 1; -- Width of User Read Address Bus C_M_AXI_ARUSER_WIDTH : integer := 1; -- Width of User Write Data Bus C_M_AXI_WUSER_WIDTH : integer := 1; -- Width of User Read Data Bus C_M_AXI_RUSER_WIDTH : integer := 1; -- Width of User Response Bus C_M_AXI_BUSER_WIDTH : integer := 1 ); port ( -- Users to add ports here nvme_cmd_valid_i : in std_logic; nvme_cmd_i : in std_logic_vector(11 downto 0); nvme_mem_addr_i : in std_logic_vector(63 downto 0); nvme_lba_addr_i : in std_logic_vector(63 downto 0); nvme_lba_count_i : in std_logic_vector(31 downto 0); nvme_status : out std_logic_vector(31 downto 0); M_AXI_ACLK : in std_logic; M_AXI_ARESETN : in std_logic; M_AXI_AWID : out std_logic_vector(C_M_AXI_ID_WIDTH-1 downto 0); M_AXI_AWADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); M_AXI_AWLEN : out std_logic_vector(7 downto 0); M_AXI_AWSIZE : out std_logic_vector(2 downto 0); M_AXI_AWBURST : out std_logic_vector(1 downto 0); M_AXI_AWLOCK : out std_logic_vector(1 downto 0); M_AXI_AWCACHE : out std_logic_vector(3 downto 0); M_AXI_AWPROT : out std_logic_vector(2 downto 0); M_AXI_AWQOS : out std_logic_vector(3 downto 0); M_AXI_AWUSER : out std_logic_vector(C_M_AXI_AWUSER_WIDTH-1 downto 0); M_AXI_AWVALID : out std_logic; M_AXI_AWREADY : in std_logic; M_AXI_WDATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); M_AXI_WSTRB : out std_logic_vector(C_M_AXI_DATA_WIDTH/8-1 downto 0); M_AXI_WLAST : out std_logic; M_AXI_WUSER : out std_logic_vector(C_M_AXI_WUSER_WIDTH-1 downto 0); M_AXI_WVALID : out std_logic; M_AXI_WREADY : in std_logic; M_AXI_BID : in std_logic_vector(C_M_AXI_ID_WIDTH-1 downto 0); M_AXI_BRESP : in std_logic_vector(1 downto 0); M_AXI_BUSER : in std_logic_vector(C_M_AXI_BUSER_WIDTH-1 downto 0); M_AXI_BVALID : in std_logic; M_AXI_BREADY : out std_logic; M_AXI_ARUSER : out std_logic_vector(C_M_AXI_ARUSER_WIDTH-1 downto 0); M_AXI_ARID : out std_logic_vector(C_M_AXI_ID_WIDTH-1 downto 0); M_AXI_ARADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); M_AXI_ARLEN : out std_logic_vector(7 downto 0); M_AXI_ARSIZE : out std_logic_vector(2 downto 0); M_AXI_ARBURST : out std_logic_vector(1 downto 0); M_AXI_ARLOCK : out std_logic_vector(1 downto 0); M_AXI_ARCACHE : out std_logic_vector(3 downto 0); M_AXI_ARPROT : out std_logic_vector(2 downto 0); M_AXI_ARQOS : out std_logic_vector(3 downto 0); M_AXI_ARVALID : out std_logic; M_AXI_ARREADY : in std_logic; M_AXI_RID : in std_logic_vector(C_M_AXI_ID_WIDTH-1 downto 0); M_AXI_RDATA : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); M_AXI_RRESP : in std_logic_vector(1 downto 0); M_AXI_RLAST : in std_logic; M_AXI_RUSER : in std_logic_vector(C_M_AXI_RUSER_WIDTH-1 downto 0); M_AXI_RVALID : in std_logic; M_AXI_RREADY : out std_logic ); end action_axi_nvme; architecture action_axi_nvme of action_axi_nvme is -- function called clogb2 that returns an integer which has the --value of the ceiling of the log base 2 function clogb2 (bit_depth : integer) return integer is variable depth : integer := bit_depth; variable count : integer := 1; begin for clogb2 in 1 to bit_depth loop -- Works for up to 32 bit integers if (bit_depth <= 2) then count := 1; else if(depth <= 1) then count := count; else depth := depth / 2; count := count + 1; end if; end if; end loop; return(count); end; function or_reduce (signal arg : std_logic_vector) return std_logic is variable result : std_logic; begin result := '0'; for i in arg'low to arg'high loop result := result or arg(i); end loop; -- i return result; end or_reduce; signal axi_awaddr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); signal axi_awvalid : std_logic; signal axi_wdata : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); signal axi_wlast : std_logic; signal axi_wvalid : std_logic; signal axi_wstrb : std_logic_vector(3 downto 0); signal axi_bready : std_logic; signal axi_araddr : std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); signal axi_arvalid : std_logic; signal axi_rready : std_logic; signal axi_awlen : std_logic_vector(7 downto 0); signal axi_arlen : std_logic_vector(7 downto 0); signal continue_polling : std_logic; signal start_polling : std_logic; signal cmd_complete : std_logic_vector(1 downto 0); signal wr_count : std_logic_vector(3 downto 0); begin M_AXI_AWID <= (others => '0'); M_AXI_AWADDR <= axi_awaddr; M_AXI_AWLEN <= axi_awlen; M_AXI_AWSIZE <= std_logic_vector( to_unsigned(clogb2((C_M_AXI_DATA_WIDTH/8)-1), 3) ); M_AXI_AWBURST <= "01"; M_AXI_AWLOCK <= (others => '0'); M_AXI_AWCACHE <= "0010"; M_AXI_AWPROT <= "000"; M_AXI_AWQOS <= x"0"; M_AXI_AWUSER <= (others => '0'); M_AXI_AWVALID <= axi_awvalid; M_AXI_WDATA <= axi_wdata; M_AXI_WSTRB <= (others => '1'); M_AXI_WLAST <= axi_wlast; M_AXI_WUSER <= (others => '0'); M_AXI_WVALID <= axi_wvalid; M_AXI_BREADY <= axi_bready; M_AXI_ARID <= (others => '0'); M_AXI_ARADDR <= axi_araddr; M_AXI_ARLEN <= axi_arlen; M_AXI_ARSIZE <= std_logic_vector( to_unsigned( clogb2((C_M_AXI_DATA_WIDTH/8)-1),3 )); M_AXI_ARBURST <= "01"; M_AXI_ARLOCK <= (others => '0'); M_AXI_ARCACHE <= "0010"; M_AXI_ARPROT <= "000"; M_AXI_ARQOS <= x"0"; M_AXI_ARUSER <= (others => '0'); M_AXI_ARVALID <= axi_arvalid; M_AXI_RREADY <= axi_rready; -- data for NVMe host write burst with wr_count select axi_wdata <= nvme_mem_addr_i(31 downto 0) when x"5", nvme_mem_addr_i(63 downto 32) when x"4", nvme_lba_addr_i(31 downto 0) when x"3", nvme_lba_addr_i(63 downto 32) when x"2", nvme_lba_count_i(31 downto 0) when x"1", (31 downto 12 => '0') & nvme_cmd_i when others ; axi_wlast <= '1' when wr_count = x"0" else '0'; axi_awaddr <= (others => '0'); axi_awlen <= x"05"; axi_w: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then nvme_status(8) <= '0'; if M_AXI_ARESETN = '0' then axi_awvalid <= '0'; axi_bready <= '0'; axi_wvalid <= '0'; nvme_status <= (others => '0'); else -- wait for valid command if nvme_cmd_valid_i = '1' then -- send command to NVMe host nvme_status <= (others => '0'); axi_awvalid <= '1'; wr_count <= x"5"; axi_wvalid <= '1'; end if; -- wait for NVMe host poll completion if cmd_complete /= "00" then nvme_status(2 downto 1) <= cmd_complete; nvme_status(8) <= '1'; end if; if axi_awvalid = '1' and M_AXI_AWREADY = '1' then axi_awvalid <= '0'; axi_bready <= '1'; end if; start_polling <= '0'; -- wait until command has been send to NVMe host -- and then start polling for completion if M_AXI_BVALID = '1' and axi_bready = '1' then axi_bready <= '0'; nvme_status(0) <= '1'; if wr_count = x"f" then start_polling <= '1'; end if; end if; if axi_wvalid = '1' and M_AXI_WREADY = '1' then wr_count <= wr_count - '1'; if wr_count = x"0" then axi_wvalid <= '0'; end if; end if; end if; end if; end process; axi_araddr <= x"0000_0004"; axi_arlen <= x"00"; -- poll NVMe host Action Track register until -- bit 0 (command complete) or -- bit 1 (error) is set axi_r: process(M_AXI_ACLK) begin if (rising_edge (M_AXI_ACLK)) then continue_polling <= '0'; cmd_complete <= (others => '0'); if (M_AXI_ARESETN = '0' ) then axi_arvalid <= '0'; axi_rready <= '0'; else if start_polling = '1' or continue_polling = '1' then axi_arvalid <= '1'; end if; if axi_arvalid = '1' and M_AXI_ARREADY = '1' then axi_arvalid <= '0'; axi_rready <= '1'; end if; if M_AXI_RVALID = '1' and axi_rready = '1' then axi_rready <= '0'; if M_AXI_RDATA(1 downto 0) = "00" then continue_polling <= '1'; else cmd_complete <= M_AXI_RDATA(1 downto 0); end if; end if; end if; end if; end process; end action_axi_nvme;
apache-2.0
ca73caab8695ef9e5658c3078c1ee312
0.534877
3.210682
false
false
false
false
alpenwasser/pitaya
firmware/fpga/cores/axis_multiplexer_v1_0/tb/full_tb.vhd
1
2,120
---------------------------------------------------------------------------------- -- -- full_tb.vhd -- -- (c) 2017 -- N. Huesser -- R. Frey -- ---------------------------------------------------------------------------------- -- -- A testbench to test the multiplexer with real inputs. -- ---------------------------------------------------------------------------------- library UNISIM; use UNISIM.VCOMPONENTS.all; library UNIMACRO; use UNIMACRO.VCOMPONENTS.all; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.math_real.all; entity full_tb is end full_tb; architecture Behavioral of full_tb is signal tbClkxC: std_logic := '0'; signal tbRstxRB: std_logic := '0'; signal tbSelectxDI: std_logic_vector(1 downto 0) := (others => '0'); signal tbData1xDI: std_logic_vector(31 downto 0) := (0 => '1', others => '0'); signal tbData2xDI: std_logic_vector(31 downto 0) := (1 => '1', others => '0'); signal tbDataxDO: std_logic_vector(31 downto 0); begin -- generate clock tbClkxC <= not tbClkxC after 1ns; DUT : entity work.multiplexer port map ( ClkxCI => tbClkxC, RstxRBI => tbRstxRB, SelectxDI => tbSelectxDI, Data1xDI => tbData1xDI, Data2xDI => tbData2xDI, DataxDO => tbDataxDO ); process begin -- write chain of events here tbRstxRB <= '0'; wait until rising_edge(tbClkxC); wait until rising_edge(tbClkxC); tbRstxRB <= '1'; -- wait 3 clk cycles for i in 0 to 3 loop wait until rising_edge(tbClkxC); end loop; tbSelectxDI <= (0 => '1', others => '0'); -- wait 3 clk cycles for i in 0 to 3 loop wait until rising_edge(tbClkxC); end loop; tbSelectxDI <= (1 => '1', 0 => '0', others => '0'); -- wait 3 clk cycles for i in 0 to 3 loop wait until rising_edge(tbClkxC); end loop; tbSelectxDI <= (1 => '1', 0 => '1', others => '0'); wait; end process; end Behavioral;
mit
afc4dcd46aaa60ace4f843ef0dc67080
0.495283
4.124514
false
false
false
false
open-power/snap
hardware/hdl/core/mmio_to_axi_master.vhd
1
13,299
---------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- -- Copyright 2016 International Business Machines -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions AND -- limitations under the License. -- ---------------------------------------------------------------------------- ---------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_misc.all; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.all; USE work.psl_accel_types.ALL; USE work.snap_core_types.all; entity mmio_to_axi_master is generic ( NUM_OF_ACTIONS : integer range 1 to 8 := 1 ); port ( clk : IN std_logic; rst : IN std_logic; mmx_d_i : IN MMX_D_T; xmm_d_o : OUT XMM_D_T; xk_d_o : out XK_D_T; kx_d_i : in KX_D_T; xj_c_o : out XJ_C_T; jx_c_i : in JX_C_T; xn_d_o : out XN_D_T; nx_d_i : in NX_D_T ); end mmio_to_axi_master; architecture implementation of mmio_to_axi_master is type fsm_t is ( AXI_IDLE, AXI_WR_DATA, AXI_WR_RESP, AXI_RD_REQ, AXI_RD_DATA ); signal axi_master_fsm_q : fsm_t ; -- AXI4LITE signals --write address valid signal axi_awvalid_q : std_logic; --write data valid signal axi_wvalid_q : std_logic; --read address valid signal axi_arvalid_q : std_logic; --read data acceptance signal axi_rready_q : std_logic; --write response acceptance signal axi_bready_q : std_logic; --write address signal axi_address_q : std_logic_vector(31 downto 0); signal saved_address_q : std_logic_vector(31 downto 0); signal axi_wr_data_q : std_logic_vector(31 downto 0); signal mmio_ack_q : std_logic; signal mmio_rd_data_q : std_logic_vector(31 downto 0); signal mmio_error_q : std_logic_vector( 1 downto 0); signal poll_addr_q : std_logic_vector( 3 downto 0); signal idle_vector_q : std_logic_vector( 4 downto 0); signal poll_active_q : boolean; signal poll_done_q : std_logic; signal addr_32b_q : boolean; signal wr_pending_q : std_logic; signal rd_pending_q : std_logic; signal max_actions : std_logic_vector(3 downto 0); signal running_status_q : std_logic_vector(15 downto 0); signal wr_pulse_q : std_logic; signal wr_addr_q : std_logic_vector(17 downto 0); signal start_bit_q : std_logic; signal rvalid_q : std_logic; signal nvme_q : std_logic; begin xk_d_o.M_AXI_AWADDR <= x"0000" & axi_address_q(15 downto 0); xn_d_o.M_AXI_AWADDR <= axi_address_q; --AXI 4 write data xk_d_o.M_AXI_WDATA <= axi_wr_data_q; xn_d_o.M_AXI_WDATA <= axi_wr_data_q; xk_d_o.M_AXI_AWPROT <= "000"; xn_d_o.M_AXI_AWPROT <= "000"; xk_d_o.M_AXI_AWVALID <= axi_awvalid_q and not nvme_q; xn_d_o.M_AXI_AWVALID <= axi_awvalid_q and nvme_q; --Write Data(W) xk_d_o.M_AXI_WVALID <= axi_wvalid_q and not nvme_q; xn_d_o.M_AXI_WVALID <= axi_wvalid_q and nvme_q; --Set all byte strobes in this example xk_d_o.M_AXI_WSTRB <= "1111"; xn_d_o.M_AXI_WSTRB <= "1111"; --Write Response (B) xk_d_o.M_AXI_BREADY <= axi_bready_q and not nvme_q; xn_d_o.M_AXI_BREADY <= axi_bready_q and nvme_q; --Read Address (AR) xk_d_o.M_AXI_ARADDR <= x"0000" & axi_address_q(15 downto 0); xn_d_o.M_AXI_ARADDR <= axi_address_q; xk_d_o.M_AXI_ARVALID <= axi_arvalid_q and not nvme_q; xn_d_o.M_AXI_ARVALID <= axi_arvalid_q and nvme_q; xk_d_o.M_AXI_ARPROT <= "001"; xn_d_o.M_AXI_ARPROT <= "001"; --Read and Read Response (R) xk_d_o.M_AXI_RREADY <= axi_rready_q and not nvme_q; xn_d_o.M_AXI_RREADY <= axi_rready_q and nvme_q; --Example design I/O xmm_d_o.ack <= mmio_ack_q; xmm_d_o.data <= mmio_rd_data_q; xmm_d_o.error <= mmio_error_q; max_actions <= std_logic_vector(to_unsigned(NUM_OF_ACTIONS - 1,4)); xj_c_o.valid <= idle_vector_q(4); xj_c_o.action <= idle_vector_q(3 downto 0); process(clk) begin if rising_edge(clk) then rvalid_q <= '0'; mmio_ack_q <= '0'; if nvme_q = '0' then mmio_rd_data_q <= kx_d_i.M_AXI_RDATA; else mmio_rd_data_q <= nx_d_i.M_AXI_RDATA; end if; if rst = '1' then axi_master_fsm_q <= AXI_IDLE; axi_awvalid_q <= '0'; axi_wvalid_q <= '0'; axi_bready_q <= '0'; axi_arvalid_q <= '0'; mmio_ack_q <= '0'; axi_rready_q <= '0'; poll_active_q <= false; addr_32b_q <= false; poll_addr_q <= (others => '0'); poll_done_q <= '0'; wr_pending_q <= '0'; rd_pending_q <= '0'; nvme_q <= '0'; else if mmx_d_i.wr_strobe = '1' then if mmx_d_i.addr(17 downto 16 ) = "11" then -- indirect write if mmx_d_i.addr(2) = '0' then saved_address_q <= std_logic_vector(mmx_d_i.data); mmio_ack_q <= '1'; else wr_pending_q <= '1'; end if; -- write address register addr_32b_q <= true; else -- direct write wr_pending_q <= '1'; addr_32b_q <= false; end if; end if; if mmx_d_i.rd_strobe = '1' then rd_pending_q <= '1'; if mmx_d_i.addr(17 downto 16 ) = "11" then addr_32b_q <= true; else addr_32b_q <= false; end if; end if; case axi_master_fsm_q is when AXI_IDLE => if addr_32b_q then axi_address_q <= saved_address_q; -- 32 bit request goes always to the NVMe port nvme_q <= '1'; else axi_address_q <= std_logic_vector(mmx_d_i.addr); if mmx_d_i.addr(29 downto 28) = "00" then axi_address_q(17) <= '0'; end if; -- address is eq or gt than 0x20000 --> is NVMe access nvme_q <= mmx_d_i.addr(17); end if; axi_wr_data_q <= std_logic_vector(mmx_d_i.data); axi_awvalid_q <= '0'; axi_wvalid_q <= '0'; axi_bready_q <= '0'; axi_arvalid_q <= '0'; axi_rready_q <= '0'; if wr_pending_q = '1' then -- mmio write axi_master_fsm_q <= AXI_WR_DATA; axi_awvalid_q <= '1'; axi_wvalid_q <= '1'; elsif rd_pending_q = '1' then -- mmio read axi_master_fsm_q <= AXI_RD_REQ; axi_arvalid_q <= '1'; elsif (running_status_q /= x"0000") and (jx_c_i.check_for_idle(to_integer(unsigned(poll_addr_q))) = '1') then -- poll idle bit when no rd request is pending axi_master_fsm_q <= AXI_RD_REQ; axi_arvalid_q <= '1'; poll_active_q <= true; axi_address_q <= x"0000" & poll_addr_q & x"000"; end if; when AXI_RD_REQ => if(kx_d_i.M_AXI_ARREADY = '1' and nvme_q = '0') or (nx_d_i.M_AXI_ARREADY = '1' and nvme_q = '1') then axi_master_fsm_q <= AXI_RD_DATA; axi_arvalid_q <= '0'; axi_rready_q <= '1'; end if; when AXI_RD_DATA => if (kx_d_i.M_AXI_RVALID = '1' and nvme_q = '0') or (nx_d_i.M_AXI_RVALID = '1' and nvme_q = '1') then rvalid_q <= '1'; axi_master_fsm_q <= AXI_IDLE; axi_rready_q <= '0'; if poll_active_q then poll_active_q <= false; if poll_addr_q = max_actions then poll_addr_q <= (others => '0'); else poll_addr_q <= poll_addr_q + '1'; end if; else mmio_ack_q <= '1'; rd_pending_q <= '0'; end if; if nvme_q = '0' then mmio_error_q <= kx_d_i.M_AXI_BRESP; else mmio_error_q <= nx_d_i.M_AXI_BRESP; end if; end if; when AXI_WR_DATA => if (kx_d_i.M_AXI_AWREADY = '1' and nvme_q = '0') or (nx_d_i.M_AXI_AWREADY = '1' and nvme_q = '1') then axi_awvalid_q <= '0'; end if; if (kx_d_i.M_AXI_WREADY = '1' and nvme_q = '0') or (nx_d_i.M_AXI_WREADY = '1' and nvme_q = '1') then axi_wvalid_q <= '0'; end if; if axi_awvalid_q = '0' and axi_wvalid_q = '0' then axi_master_fsm_q <= AXI_WR_RESP; axi_bready_q <= '1'; end if; when AXI_WR_RESP => if (kx_d_i.M_AXI_BVALID = '1' and nvme_q = '0') or (nx_d_i.M_AXI_BVALID = '1' and nvme_q = '1') then axi_master_fsm_q <= AXI_IDLE; axi_bready_q <= '0'; mmio_ack_q <= '1'; if nvme_q = '0' then mmio_error_q <= kx_d_i.M_AXI_BRESP; else mmio_error_q <= nx_d_i.M_AXI_BRESP; end if; wr_pending_q <= '0'; end if; when others => null; end case; if jx_c_i.check_for_idle(to_integer(unsigned(poll_addr_q))) = '0' then if poll_addr_q = max_actions then poll_addr_q <= (others => '0'); else poll_addr_q <= poll_addr_q + '1'; end if; end if; end if; -- rst end if; -- clk end process; -- process to observe which action is running -- if an action goes to idle, notify job manager process(clk) begin if rising_edge(clk) then idle_vector_q(4) <= '0'; if rst = '1' then running_status_q <= (others => '0'); else wr_pulse_q <= mmx_d_i.wr_strobe; wr_addr_q <= std_logic_vector(mmx_d_i.addr(17 downto 0)); start_bit_q <= std_logic(mmx_d_i.data(0)); if wr_pulse_q = '1' and wr_addr_q(11 downto 0) = x"000" and wr_addr_q(17 downto 16) = "01" then -- capture which action was started running_status_q(to_integer(unsigned(wr_addr_q(15 downto 12)))) <= start_bit_q; end if; if mmio_rd_data_q(2) = '1' and axi_address_q(11 downto 0 ) = x"000" and rvalid_q = '1' and running_status_q(to_integer(unsigned(axi_address_q(15 downto 12)))) = '1' then -- turn off the running bit running_status_q(to_integer(unsigned(axi_address_q(15 downto 12)))) <= '0'; -- valid pulse idle_vector_q(4) <= jx_c_i.check_for_idle(to_integer(unsigned(axi_address_q(15 downto 19)))); idle_vector_q(3 downto 0) <= axi_address_q(15 downto 12); end if; end if; end if; end process; end implementation;
apache-2.0
55f93dec144632409ab30de040620980
0.429431
3.502502
false
false
false
false
VLSI-EDA/PoC-Examples
projects/mem/sdram/memtest_s3esk/my_project.vhdl
3
1,661
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- Martin Zabel -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- This files bases on template lib/PoC/src/common/my_project.vhdl.template -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library PoC; package my_project is -- Change these lines to setup configuration. constant MY_PROJECT_DIR : string := "CHANGE THIS"; -- e.g. "d:/vhdl/myproject/", "/home/me/projects/myproject/" constant MY_OPERATING_SYSTEM : string := "CHANGE THIS"; -- e.g. "WINDOWS", "LINUX" end package;
apache-2.0
65d719b66d8d2bb999f4ac4e838bbb78
0.584588
4.280928
false
false
false
false
alpenwasser/pitaya
firmware/fpga/cores/dec_to_fir_mux_v1_0/tb/full_tb.vhd
2
2,476
---------------------------------------------------------------------------------- -- -- full_tb.vhd -- -- (c) 2015 -- L. Schrittwieser -- N. Huesser -- ---------------------------------------------------------------------------------- -- -- A testbench to test the logger core with real inputs. -- ---------------------------------------------------------------------------------- library UNISIM; use UNISIM.VCOMPONENTS.all; library UNIMACRO; use UNIMACRO.VCOMPONENTS.all; library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.math_real.all; entity full_tb is end full_tb; architecture Behavioral of full_tb is -- TODO: -- create testsignals here signal tbClkxC : std_logic := '0'; signal tbRstxRB : std_logic := '0'; signal tbDataxD : std_logic_vector(31 downto 0) := (others => '0'); signal tbCntxD: signed(15 downto 0) := to_signed(-430, 16); signal tbValidxS : std_logic := '0'; signal tbReadyxS: std_logic := '0'; signal tbData0xDO: std_logic_vector(15 downto 0) := (others => '0'); signal tbData1xDO: std_logic_vector(15 downto 0) := (others => '0'); signal tbStrobexS: std_logic := '0'; begin -- generate clock tbClkxC <= not tbClkxC after 1ns; tbDataxD <= std_logic_vector(tbCntxD) & std_logic_vector(tbCntxD); DUT : entity work.axis_to_data_lanes generic map ( Decimation => 3 ) port map ( ClkxCI => tbClkxC, RstxRBI => tbRstxRB, AxiTDataxDI=> tbDataxD, AxiTValid => tbValidxS, AxiTReady => tbReadyxS, Data0xDO => tbData0xDO, Data1xDO => tbData1xDO, DataStrobexDO => tbStrobexS ); process begin -- TODO: -- write chain of events here tbRstxRB <= '0'; wait until rising_edge(tbClkxC); wait until rising_edge(tbClkxC); tbRstxRB <= '1'; wait until rising_edge(tbClkxC); tbValidxS <= '0'; for i in 0 to 30 loop wait until rising_edge(tbClkxC); end loop; tbValidxS <= '1'; for i in 0 to 30 loop wait until rising_edge(tbClkxC); end loop; wait; end process; process(tbClkxC, tbRstxRB, tbCntxD) begin if rising_edge(tbClkxC) then tbCntxD <= to_signed(-430, 16); if tbRstxRB = '1' then tbCntxD <= tbCntxD + 1; end if; end if; end process; end Behavioral;
mit
594d091af21e095ff45acf3cd0658699
0.523425
4.026016
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_Mux4x1_8_0_0/RAT_Mux4x1_8_0_0_sim_netlist.vhdl
2
4,781
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Thu Oct 26 22:46:24 2017 -- Host : Juice-Laptop running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/RATCPU/Experiments/Experiment7-Its_Alive/IPI-BD/RAT/ip/RAT_Mux4x1_8_0_0/RAT_Mux4x1_8_0_0_sim_netlist.vhdl -- Design : RAT_Mux4x1_8_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35tcpg236-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_Mux4x1_8_0_0_Mux4x1_8 is port ( X : out STD_LOGIC_VECTOR ( 7 downto 0 ); D : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 7 downto 0 ); C : in STD_LOGIC_VECTOR ( 7 downto 0 ); SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); A : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of RAT_Mux4x1_8_0_0_Mux4x1_8 : entity is "Mux4x1_8"; end RAT_Mux4x1_8_0_0_Mux4x1_8; architecture STRUCTURE of RAT_Mux4x1_8_0_0_Mux4x1_8 is begin \X[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(0), I1 => B(0), I2 => C(0), I3 => SEL(1), I4 => A(0), I5 => SEL(0), O => X(0) ); \X[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(1), I1 => B(1), I2 => C(1), I3 => SEL(1), I4 => A(1), I5 => SEL(0), O => X(1) ); \X[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(2), I1 => B(2), I2 => C(2), I3 => SEL(1), I4 => A(2), I5 => SEL(0), O => X(2) ); \X[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(3), I1 => B(3), I2 => C(3), I3 => SEL(1), I4 => A(3), I5 => SEL(0), O => X(3) ); \X[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(4), I1 => B(4), I2 => C(4), I3 => SEL(1), I4 => A(4), I5 => SEL(0), O => X(4) ); \X[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(5), I1 => B(5), I2 => C(5), I3 => SEL(1), I4 => A(5), I5 => SEL(0), O => X(5) ); \X[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(6), I1 => B(6), I2 => C(6), I3 => SEL(1), I4 => A(6), I5 => SEL(0), O => X(6) ); \X[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"AACCAACCF0FFF000" ) port map ( I0 => D(7), I1 => B(7), I2 => C(7), I3 => SEL(1), I4 => A(7), I5 => SEL(0), O => X(7) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity RAT_Mux4x1_8_0_0 is port ( A : in STD_LOGIC_VECTOR ( 7 downto 0 ); B : in STD_LOGIC_VECTOR ( 7 downto 0 ); C : in STD_LOGIC_VECTOR ( 7 downto 0 ); D : in STD_LOGIC_VECTOR ( 7 downto 0 ); SEL : in STD_LOGIC_VECTOR ( 1 downto 0 ); X : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of RAT_Mux4x1_8_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of RAT_Mux4x1_8_0_0 : entity is "RAT_Mux4x1_8_0_0,Mux4x1_8,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of RAT_Mux4x1_8_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of RAT_Mux4x1_8_0_0 : entity is "Mux4x1_8,Vivado 2016.4"; end RAT_Mux4x1_8_0_0; architecture STRUCTURE of RAT_Mux4x1_8_0_0 is begin U0: entity work.RAT_Mux4x1_8_0_0_Mux4x1_8 port map ( A(7 downto 0) => A(7 downto 0), B(7 downto 0) => B(7 downto 0), C(7 downto 0) => C(7 downto 0), D(7 downto 0) => D(7 downto 0), SEL(1 downto 0) => SEL(1 downto 0), X(7 downto 0) => X(7 downto 0) ); end STRUCTURE;
mit
b2d9c572ab50e013e15e630393a651b7
0.526668
2.913467
false
false
false
false
MiddleMan5/233
Experiments/Experiment8-GeterDone/IPI-BD/RAT/ip/RAT_ControlUnit_0_0/synth/RAT_ControlUnit_0_0.vhd
1
7,164
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:module_ref:ControlUnit:1.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY RAT_ControlUnit_0_0 IS PORT ( CLK : IN STD_LOGIC; C : IN STD_LOGIC; Z : IN STD_LOGIC; INT : IN STD_LOGIC; RST : IN STD_LOGIC; OPCODE_HI_5 : IN STD_LOGIC_VECTOR(4 DOWNTO 0); OPCODE_LO_2 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); PC_LD : OUT STD_LOGIC; PC_INC : OUT STD_LOGIC; PC_RESET : OUT STD_LOGIC; PC_MUX_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); SP_LD : OUT STD_LOGIC; SP_RESET : OUT STD_LOGIC; SP_INCR : OUT STD_LOGIC; SP_DECR : OUT STD_LOGIC; RF_WR : OUT STD_LOGIC; RF_WR_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); ALU_SEL : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); ALU_OPY_SEL : OUT STD_LOGIC; SCR_WR : OUT STD_LOGIC; SCR_ADDR_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); SCR_DATA_SEL : OUT STD_LOGIC; C_FLAG_SEL : OUT STD_LOGIC; C_FLAG_LD : OUT STD_LOGIC; C_FLAG_SET : OUT STD_LOGIC; C_FLAG_CLR : OUT STD_LOGIC; SHAD_C_LD : OUT STD_LOGIC; Z_FLAG_SEL : OUT STD_LOGIC; Z_FLAG_LD : OUT STD_LOGIC; Z_FLAG_SET : OUT STD_LOGIC; Z_FLAG_CLR : OUT STD_LOGIC; SHAD_Z_LD : OUT STD_LOGIC; I_FLAG_SET : OUT STD_LOGIC; I_FLAG_CLR : OUT STD_LOGIC; IO_OE : OUT STD_LOGIC ); END RAT_ControlUnit_0_0; ARCHITECTURE RAT_ControlUnit_0_0_arch OF RAT_ControlUnit_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF RAT_ControlUnit_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT ControlUnit IS PORT ( CLK : IN STD_LOGIC; C : IN STD_LOGIC; Z : IN STD_LOGIC; INT : IN STD_LOGIC; RST : IN STD_LOGIC; OPCODE_HI_5 : IN STD_LOGIC_VECTOR(4 DOWNTO 0); OPCODE_LO_2 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); PC_LD : OUT STD_LOGIC; PC_INC : OUT STD_LOGIC; PC_RESET : OUT STD_LOGIC; PC_MUX_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); SP_LD : OUT STD_LOGIC; SP_RESET : OUT STD_LOGIC; SP_INCR : OUT STD_LOGIC; SP_DECR : OUT STD_LOGIC; RF_WR : OUT STD_LOGIC; RF_WR_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); ALU_SEL : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); ALU_OPY_SEL : OUT STD_LOGIC; SCR_WR : OUT STD_LOGIC; SCR_ADDR_SEL : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); SCR_DATA_SEL : OUT STD_LOGIC; C_FLAG_SEL : OUT STD_LOGIC; C_FLAG_LD : OUT STD_LOGIC; C_FLAG_SET : OUT STD_LOGIC; C_FLAG_CLR : OUT STD_LOGIC; SHAD_C_LD : OUT STD_LOGIC; Z_FLAG_SEL : OUT STD_LOGIC; Z_FLAG_LD : OUT STD_LOGIC; Z_FLAG_SET : OUT STD_LOGIC; Z_FLAG_CLR : OUT STD_LOGIC; SHAD_Z_LD : OUT STD_LOGIC; I_FLAG_SET : OUT STD_LOGIC; I_FLAG_CLR : OUT STD_LOGIC; IO_OE : OUT STD_LOGIC ); END COMPONENT ControlUnit; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF RAT_ControlUnit_0_0_arch: ARCHITECTURE IS "ControlUnit,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF RAT_ControlUnit_0_0_arch : ARCHITECTURE IS "RAT_ControlUnit_0_0,ControlUnit,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF RAT_ControlUnit_0_0_arch: ARCHITECTURE IS "RAT_ControlUnit_0_0,ControlUnit,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=module_ref,x_ipName=ControlUnit,x_ipVersion=1.0,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF RST: SIGNAL IS "xilinx.com:signal:reset:1.0 RST RST"; ATTRIBUTE X_INTERFACE_INFO OF PC_RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 PC_RESET RST"; ATTRIBUTE X_INTERFACE_INFO OF SP_RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 SP_RESET RST"; BEGIN U0 : ControlUnit PORT MAP ( CLK => CLK, C => C, Z => Z, INT => INT, RST => RST, OPCODE_HI_5 => OPCODE_HI_5, OPCODE_LO_2 => OPCODE_LO_2, PC_LD => PC_LD, PC_INC => PC_INC, PC_RESET => PC_RESET, PC_MUX_SEL => PC_MUX_SEL, SP_LD => SP_LD, SP_RESET => SP_RESET, SP_INCR => SP_INCR, SP_DECR => SP_DECR, RF_WR => RF_WR, RF_WR_SEL => RF_WR_SEL, ALU_SEL => ALU_SEL, ALU_OPY_SEL => ALU_OPY_SEL, SCR_WR => SCR_WR, SCR_ADDR_SEL => SCR_ADDR_SEL, SCR_DATA_SEL => SCR_DATA_SEL, C_FLAG_SEL => C_FLAG_SEL, C_FLAG_LD => C_FLAG_LD, C_FLAG_SET => C_FLAG_SET, C_FLAG_CLR => C_FLAG_CLR, SHAD_C_LD => SHAD_C_LD, Z_FLAG_SEL => Z_FLAG_SEL, Z_FLAG_LD => Z_FLAG_LD, Z_FLAG_SET => Z_FLAG_SET, Z_FLAG_CLR => Z_FLAG_CLR, SHAD_Z_LD => SHAD_Z_LD, I_FLAG_SET => I_FLAG_SET, I_FLAG_CLR => I_FLAG_CLR, IO_OE => IO_OE ); END RAT_ControlUnit_0_0_arch;
mit
ca688dc15027b202e52891e1abfb2828
0.659687
3.325905
false
false
false
false
MiddleMan5/233
Experiments/IP_Repo/Program Counter/sim/Program_Counter_Mux4x1_0_1.vhd
2
3,425
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: CPE233:F17:Mux4x1:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY Program_Counter_Mux4x1_0_1 IS PORT ( A : IN STD_LOGIC_VECTOR(9 DOWNTO 0); B : IN STD_LOGIC_VECTOR(9 DOWNTO 0); C : IN STD_LOGIC_VECTOR(9 DOWNTO 0); D : IN STD_LOGIC_VECTOR(9 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END Program_Counter_Mux4x1_0_1; ARCHITECTURE Program_Counter_Mux4x1_0_1_arch OF Program_Counter_Mux4x1_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF Program_Counter_Mux4x1_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT Mux4x1 IS PORT ( A : IN STD_LOGIC_VECTOR(9 DOWNTO 0); B : IN STD_LOGIC_VECTOR(9 DOWNTO 0); C : IN STD_LOGIC_VECTOR(9 DOWNTO 0); D : IN STD_LOGIC_VECTOR(9 DOWNTO 0); SEL : IN STD_LOGIC_VECTOR(1 DOWNTO 0); X : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT Mux4x1; BEGIN U0 : Mux4x1 PORT MAP ( A => A, B => B, C => C, D => D, SEL => SEL, X => X ); END Program_Counter_Mux4x1_0_1_arch;
mit
be689db3016393a305f666dcec4f9fcf
0.717664
3.839686
false
false
false
false
alpenwasser/pitaya
firmware/fpga/p_FIR_sim/FIR_sim/FIR_sim.srcs/sources_1/bd/design_1/ip/design_1_dds_compiler_0_1/sim/design_1_dds_compiler_0_1.vhd
2
8,862
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:dds_compiler:6.0 -- IP Revision: 12 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY dds_compiler_v6_0_12; USE dds_compiler_v6_0_12.dds_compiler_v6_0_12; ENTITY design_1_dds_compiler_0_1 IS PORT ( aclk : IN STD_LOGIC; m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_phase_tvalid : OUT STD_LOGIC; m_axis_phase_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END design_1_dds_compiler_0_1; ARCHITECTURE design_1_dds_compiler_0_1_arch OF design_1_dds_compiler_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_dds_compiler_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT dds_compiler_v6_0_12 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_MODE_OF_OPERATION : INTEGER; C_MODULUS : INTEGER; C_ACCUMULATOR_WIDTH : INTEGER; C_CHANNELS : INTEGER; C_HAS_PHASE_OUT : INTEGER; C_HAS_PHASEGEN : INTEGER; C_HAS_SINCOS : INTEGER; C_LATENCY : INTEGER; C_MEM_TYPE : INTEGER; C_NEGATIVE_COSINE : INTEGER; C_NEGATIVE_SINE : INTEGER; C_NOISE_SHAPING : INTEGER; C_OUTPUTS_REQUIRED : INTEGER; C_OUTPUT_FORM : INTEGER; C_OUTPUT_WIDTH : INTEGER; C_PHASE_ANGLE_WIDTH : INTEGER; C_PHASE_INCREMENT : INTEGER; C_PHASE_INCREMENT_VALUE : STRING; C_RESYNC : INTEGER; C_PHASE_OFFSET : INTEGER; C_PHASE_OFFSET_VALUE : STRING; C_OPTIMISE_GOAL : INTEGER; C_USE_DSP48 : INTEGER; C_POR_MODE : INTEGER; C_AMPLITUDE : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_HAS_TLAST : INTEGER; C_HAS_TREADY : INTEGER; C_HAS_S_PHASE : INTEGER; C_S_PHASE_TDATA_WIDTH : INTEGER; C_S_PHASE_HAS_TUSER : INTEGER; C_S_PHASE_TUSER_WIDTH : INTEGER; C_HAS_S_CONFIG : INTEGER; C_S_CONFIG_SYNC_MODE : INTEGER; C_S_CONFIG_TDATA_WIDTH : INTEGER; C_HAS_M_DATA : INTEGER; C_M_DATA_TDATA_WIDTH : INTEGER; C_M_DATA_HAS_TUSER : INTEGER; C_M_DATA_TUSER_WIDTH : INTEGER; C_HAS_M_PHASE : INTEGER; C_M_PHASE_TDATA_WIDTH : INTEGER; C_M_PHASE_HAS_TUSER : INTEGER; C_M_PHASE_TUSER_WIDTH : INTEGER; C_DEBUG_INTERFACE : INTEGER; C_CHAN_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_phase_tvalid : IN STD_LOGIC; s_axis_phase_tready : OUT STD_LOGIC; s_axis_phase_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_phase_tlast : IN STD_LOGIC; s_axis_phase_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_config_tvalid : IN STD_LOGIC; s_axis_config_tready : OUT STD_LOGIC; s_axis_config_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_config_tlast : IN STD_LOGIC; m_axis_data_tvalid : OUT STD_LOGIC; m_axis_data_tready : IN STD_LOGIC; m_axis_data_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_data_tlast : OUT STD_LOGIC; m_axis_data_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_phase_tvalid : OUT STD_LOGIC; m_axis_phase_tready : IN STD_LOGIC; m_axis_phase_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_phase_tlast : OUT STD_LOGIC; m_axis_phase_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); event_pinc_invalid : OUT STD_LOGIC; event_poff_invalid : OUT STD_LOGIC; event_phase_in_invalid : OUT STD_LOGIC; event_s_phase_tlast_missing : OUT STD_LOGIC; event_s_phase_tlast_unexpected : OUT STD_LOGIC; event_s_phase_chanid_incorrect : OUT STD_LOGIC; event_s_config_tlast_missing : OUT STD_LOGIC; event_s_config_tlast_unexpected : OUT STD_LOGIC ); END COMPONENT dds_compiler_v6_0_12; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_data_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DATA TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_phase_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_PHASE TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_phase_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_PHASE TDATA"; BEGIN U0 : dds_compiler_v6_0_12 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_MODE_OF_OPERATION => 0, C_MODULUS => 9, C_ACCUMULATOR_WIDTH => 29, C_CHANNELS => 1, C_HAS_PHASE_OUT => 1, C_HAS_PHASEGEN => 1, C_HAS_SINCOS => 1, C_LATENCY => 3, C_MEM_TYPE => 1, C_NEGATIVE_COSINE => 0, C_NEGATIVE_SINE => 0, C_NOISE_SHAPING => 0, C_OUTPUTS_REQUIRED => 2, C_OUTPUT_FORM => 0, C_OUTPUT_WIDTH => 8, C_PHASE_ANGLE_WIDTH => 8, C_PHASE_INCREMENT => 2, C_PHASE_INCREMENT_VALUE => "10100111110001011,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0", C_RESYNC => 0, C_PHASE_OFFSET => 0, C_PHASE_OFFSET_VALUE => "0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0", C_OPTIMISE_GOAL => 0, C_USE_DSP48 => 0, C_POR_MODE => 0, C_AMPLITUDE => 0, C_HAS_ACLKEN => 0, C_HAS_ARESETN => 0, C_HAS_TLAST => 0, C_HAS_TREADY => 0, C_HAS_S_PHASE => 0, C_S_PHASE_TDATA_WIDTH => 1, C_S_PHASE_HAS_TUSER => 0, C_S_PHASE_TUSER_WIDTH => 1, C_HAS_S_CONFIG => 0, C_S_CONFIG_SYNC_MODE => 0, C_S_CONFIG_TDATA_WIDTH => 1, C_HAS_M_DATA => 1, C_M_DATA_TDATA_WIDTH => 16, C_M_DATA_HAS_TUSER => 0, C_M_DATA_TUSER_WIDTH => 1, C_HAS_M_PHASE => 1, C_M_PHASE_TDATA_WIDTH => 32, C_M_PHASE_HAS_TUSER => 0, C_M_PHASE_TUSER_WIDTH => 1, C_DEBUG_INTERFACE => 0, C_CHAN_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => '1', aresetn => '1', s_axis_phase_tvalid => '0', s_axis_phase_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_phase_tlast => '0', s_axis_phase_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_config_tvalid => '0', s_axis_config_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_config_tlast => '0', m_axis_data_tvalid => m_axis_data_tvalid, m_axis_data_tready => '0', m_axis_data_tdata => m_axis_data_tdata, m_axis_phase_tvalid => m_axis_phase_tvalid, m_axis_phase_tready => '0', m_axis_phase_tdata => m_axis_phase_tdata ); END design_1_dds_compiler_0_1_arch;
mit
7f4dff872cbf55c2c27bcd1caee8c2e0
0.647371
3.279793
false
true
false
false
David-Estevez/spaceinvaders
src/toneGenerator_tb.vhd
1
1,759
LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY toneGenerator_tb IS END toneGenerator_tb; ARCHITECTURE behavior OF toneGenerator_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT toneGenerator PORT( clk : IN std_logic; reset : IN std_logic; a : IN std_logic; b : IN std_logic; c : IN std_logic; d : IN std_logic; q : INOUT std_logic ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal a : std_logic := '0'; signal b : std_logic := '0'; signal c : std_logic := '0'; signal d : std_logic := '0'; --BiDirs signal q : std_logic; -- Clock period definitions constant clk_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: toneGenerator PORT MAP ( clk => clk, reset => reset, a => a, b => b, c => c, d => d, q => q ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. reset <= '1'; wait for 100 ns; reset <= '0'; wait for clk_period*10; a <= '1'; wait for clk_period; a <= '0'; wait for 500 ms; b <= '1'; wait for clk_period; b <= '0'; wait; end process; END;
gpl-3.0
ca0f12a138d50be4f4ac468ffd7f3ada
0.520182
3.718816
false
false
false
false
David-Estevez/spaceinvaders
src/edgeDetector.vhd
1
1,252
---------------------------------------------------------------------------------- -- -- Lab session #2: edge detector -- -- Detects raising edges and ouputs a one-period pulse. -- -- Authors: -- David Estévez Fernández -- Sergio Vilches Expósito -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity edgeDetector is port( clk: in STD_LOGIC; reset: in STD_LOGIC; enable: in STD_LOGIC; input: in STD_LOGIC; detected: out STD_LOGIC ); end edgeDetector; architecture Behavioral of edgeDetector is begin process( clk, reset) variable currentState: STD_LOGIC; variable previousState: STD_LOGIC; begin -- Reset if reset = '1' then currentState := '0'; previousState := '0'; detected <= '0'; -- Synchronous behaviour elsif clk'Event and clk = '1' then if enable = '1' then -- Update states previousState := currentState; currentState := input; -- If the current state is high, and the previous state was low, -- an edge has arrived: detected <= currentState and not previousState; end if; end if; end process; end Behavioral;
gpl-3.0
7d13c9da3948692f6394ab04171aa309
0.548439
4.108553
false
false
false
false
MiddleMan5/233
Experiments/IP_Repo/Program Counter/src/Program_Counter_Counter10bit_0_1.vhd
2
3,921
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: CPE233:F17:Counter10bit:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY Program_Counter_Counter10bit_0_1 IS PORT ( Din : IN STD_LOGIC_VECTOR(0 TO 9); LOAD : IN STD_LOGIC; INC : IN STD_LOGIC; RESET : IN STD_LOGIC; CLK : IN STD_LOGIC; COUNT : OUT STD_LOGIC_VECTOR(0 TO 9) ); END Program_Counter_Counter10bit_0_1; ARCHITECTURE Program_Counter_Counter10bit_0_1_arch OF Program_Counter_Counter10bit_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF Program_Counter_Counter10bit_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT Counter10bit IS PORT ( Din : IN STD_LOGIC_VECTOR(0 TO 9); LOAD : IN STD_LOGIC; INC : IN STD_LOGIC; RESET : IN STD_LOGIC; CLK : IN STD_LOGIC; COUNT : OUT STD_LOGIC_VECTOR(0 TO 9) ); END COMPONENT Counter10bit; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF Program_Counter_Counter10bit_0_1_arch: ARCHITECTURE IS "Counter10bit,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF Program_Counter_Counter10bit_0_1_arch : ARCHITECTURE IS "Program_Counter_Counter10bit_0_1,Counter10bit,{}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF RESET: SIGNAL IS "xilinx.com:signal:reset:1.0 RESET RST"; ATTRIBUTE X_INTERFACE_INFO OF CLK: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK CLK"; BEGIN U0 : Counter10bit PORT MAP ( Din => Din, LOAD => LOAD, INC => INC, RESET => RESET, CLK => CLK, COUNT => COUNT ); END Program_Counter_Counter10bit_0_1_arch;
mit
b9c71d8601005d3e2f7bef5eceadbc08
0.731446
3.94864
false
false
false
false
marcoep/MusicBoxNano
hdl/ResetSync.vhd
1
3,130
------------------------------------------------------------------------------- -- Title : Reset Synchronizer Circuit with 4 FFs -- Project : ------------------------------------------------------------------------------- -- File : ResetSync.vhd -- Author : <marcoep@ITET-IEF-W03> -- Company : Institute of Electromagnetic Fields, ETH Zurich -- Created : 2016-01-09 -- Last update: 2016-01-09 -- Platform : Mentor Graphics ModelSim (simulation), Xilinx Vivado (synthesis, implementation) -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: -- The Reset is asynchronously asserted logic high. The reset pulse is -- guaranteed to last at least 4 Clk_CI cycles. Then, the reset is desasserted -- synchronously with Clk_CI. ------------------------------------------------------------------------------- -- Copyright (c) 2016 Marco Eppenberger <[email protected]> ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-01-09 1.0 marcoep Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ResetSync is port ( --------------------------------------------------------------------------- -- Clock to synchronize reset to --------------------------------------------------------------------------- Clk_CI : in std_logic; --------------------------------------------------------------------------- -- Reset Inputs -- As long as ClkStable_RI is low, reset is asserted -- As long as OtherReset_RI is high, reset is asserted --------------------------------------------------------------------------- ClkStable_RI : in std_logic; OtherReset_RI : in std_logic; --------------------------------------------------------------------------- -- Syncronized Reset Out -- Active high synchronized reset. -- SyncReset_SO is desasserted 4 cycles after reset condition is lifted --------------------------------------------------------------------------- SyncReset_SO : out std_logic); end entity ResetSync; architecture RTL of ResetSync is signal AsyncReset_R : std_logic := '0'; signal ShiftRst_SN, ShiftRst_SP : std_logic_vector(3 downto 0) := (others => '1'); begin -- architecture RTL -- reset condition AsyncReset_R <= OtherReset_RI or not(ClkStable_RI); -- Feed 0 to first FF ShiftRst_SN(0) <= '0'; -- connect FFs ShiftRst_SN(3 downto 1) <= ShiftRst_SP(2 downto 0); -- FF chain ResetFFs : process (Clk_CI, AsyncReset_R) is begin -- process ResetFFs if AsyncReset_R = '1' then -- asynchronous active high reset ShiftRst_SP <= (others => '1'); elsif Clk_CI'event and Clk_CI = '1' then -- rising clock edge ShiftRst_SP <= ShiftRst_SN; end if; end process ResetFFs; -- assign output SyncReset_SO <= ShiftRst_SP(3); end architecture RTL;
gpl-3.0
0e048b1e72e1350cb4a780d0b43fb9ba
0.450799
5.008
false
false
false
false