content
stringlengths
1
1.04M
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: mmu_cache -- File: mmu_cache.vhd -- Author: Jiri Gaisler -- Description: Cache controllers and AHB interface ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.libiu.all; use gaisler.libcache.all; use gaisler.libleon3.all; use gaisler.mmuconfig.all; use gaisler.mmuiface.all; use gaisler.libmmu.all; entity mmu_cache is generic ( hindex : integer := 0; memtech : integer range 0 to NTECH := 0; dsu : integer range 0 to 1 := 0; icen : integer range 0 to 1 := 0; irepl : integer range 0 to 3 := 0; isets : integer range 1 to 4 := 1; ilinesize : integer range 4 to 8 := 4; isetsize : integer range 1 to 256 := 1; isetlock : integer range 0 to 1 := 0; dcen : integer range 0 to 1 := 0; drepl : integer range 0 to 3 := 0; dsets : integer range 1 to 4 := 1; dlinesize : integer range 4 to 8 := 4; dsetsize : integer range 1 to 256 := 1; dsetlock : integer range 0 to 1 := 0; dsnoop : integer range 0 to 6 := 0; ilram : integer range 0 to 1 := 0; ilramsize : integer range 1 to 512 := 1; ilramstart : integer range 0 to 255 := 16#8e#; dlram : integer range 0 to 1 := 0; dlramsize : integer range 1 to 512 := 1; dlramstart : integer range 0 to 255 := 16#8f#; itlbnum : integer range 2 to 64 := 8; dtlbnum : integer range 2 to 64 := 8; tlb_type : integer range 0 to 3 := 1; tlb_rep : integer range 0 to 1 := 0; cached : integer := 0; clk2x : integer := 0; scantest : integer := 0; mmupgsz : integer range 0 to 5 := 0; smp : integer := 0; mmuen : integer range 0 to 1 := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ici : in icache_in_type; ico : out icache_out_type; dci : in dcache_in_type; dco : out dcache_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : in ahb_slv_out_vector; crami : out cram_in_type; cramo : in cram_out_type; fpuholdn : in std_ulogic; hclk, sclk : in std_ulogic; hclken : in std_ulogic ); end; architecture rtl of mmu_cache is signal icol : icache_out_type; signal dcol : dcache_out_type; signal mcii : memory_ic_in_type; signal mcio : memory_ic_out_type; signal mcdi : memory_dc_in_type; signal mcdo : memory_dc_out_type; signal mcmmi : memory_mm_in_type; signal mcmmo : memory_mm_out_type; signal mmudci : mmudc_in_type; signal mmudco : mmudc_out_type; signal mmuici : mmuic_in_type; signal mmuico : mmuic_out_type; signal ahbsi2 : ahb_slv_in_type; signal ahbi2 : ahb_mst_in_type; signal ahbo2 : ahb_mst_out_type; signal gndv: std_logic_vector(1 downto 0); begin gndv <= (others => '0'); icache0 : mmu_icache generic map (memtech, icen, irepl, isets, ilinesize, isetsize, isetlock, ilram, ilramsize, ilramstart, mmuen) port map (rst, clk, ici, icol, dci, dcol, mcii, mcio, crami.icramin, cramo.icramo, fpuholdn, mmudci, mmuici, mmuico); dcache0 : mmu_dcache generic map (dsu, dcen, drepl, dsets, dlinesize, dsetsize, dsetlock, dsnoop, dlram, dlramsize, dlramstart, ilram, ilramstart, itlbnum, dtlbnum, tlb_type, memtech, cached, mmupgsz, smp, mmuen) port map (rst, clk, dci, dcol, icol, mcdi, mcdo, ahbsi2, crami.dcramin, cramo.dcramo, fpuholdn, mmudci, mmudco, sclk, ahbso); -- AMBA AHB interface a0 : mmu_acache generic map (hindex, ilinesize, cached, clk2x, scantest ) port map (rst, sclk, mcii, mcio, mcdi, mcdo, mcmmi, mcmmo, ahbi2, ahbo2, ahbso, hclken); -- MMU mmugen : if mmuen = 1 generate m0 : mmu generic map (memtech, itlbnum, dtlbnum, tlb_type, tlb_rep, mmupgsz, memtest_vlen) port map (rst, clk, mmudci, mmudco, mmuici, mmuico, mcmmo, mcmmi, ahbi.testin ); end generate; nommu : if mmuen = 0 generate mcmmi <= mci_zero; mmudco <= mmudco_zero; mmuico <= mmuico_zero; end generate; ico <= icol; dco <= dcol; clk2xgen: if clk2x /= 0 generate sync0 : clk2xsync generic map (hindex, clk2x) port map (rst, hclk, clk, ahbi, ahbi2, ahbo2, ahbo, ahbsi, ahbsi2, mcii, mcdi, mcdo, mcmmi.req, mcmmo.grant, hclken); end generate; noclk2x : if clk2x = 0 generate ahbsi2 <= ahbsi; ahbi2 <= ahbi; ahbo <= ahbo2; end generate; end;
-- Copyright (c) 2012 Brian Nezvadovitz <http://nezzen.net> -- This software is distributed under the terms of the MIT License shown below. -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to -- deal in the Software without restriction, including without limitation the -- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or -- sell copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS -- IN THE SOFTWARE. -- Testbench for synchronous register of a given width with a load signal. library ieee; use ieee.std_logic_1164.all; entity reg_tb is end reg_tb; architecture TB of reg_tb is signal rst, clk, load : std_logic; signal input, output : std_logic_vector(0 downto 0); constant clk_period : time := 20 ns; -- for a 50MHz clock begin -- Instantiate the Unit Under Test (UUT) UUT : entity work.reg generic map ( WIDTH => 1 ) port map ( rst => rst, clk => clk, load => load, input => input, output => output ); -- Clock process process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process process begin -- Hold reset state rst <= '1'; load <= '0'; input(0) <= '0'; wait for clk_period; -- Release reset rst <= '0'; -- Perform the simulation input(0) <= '1'; wait for clk_period; input(0) <= '0'; load <= '1'; wait for clk_period; input(0) <= '1'; wait for clk_period; input(0) <= '0'; wait; end process; end TB;
-------------------------------------------------------------------------------- --! @file topmetal_analog_scan.vhd --! @brief Generate appropriate signals for driving the analog scan of Topmetal array. --! @author Yuan Mei --! --! The bram_sdp_w32r4 must have read latency of 1 (select no register on output). -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. LIBRARY UNISIM; USE UNISIM.VComponents.ALL; ENTITY topmetal_analog_scan IS GENERIC ( ROWS : positive := 45; -- number of ROWS in the array COLS : positive := 216; -- number of COLS in the ARRAY CLK_DIV_WIDTH : positive := 16; CLK_DIV_WLOG2 : positive := 4; CONFIG_WIDTH : positive := 16 ); PORT ( CLK : IN std_logic; -- clock, TM_CLK_S is derived from this one RESET : IN std_logic; -- reset -- data input for writing to in-chip SRAM MEM_CLK : IN std_logic; -- connect to control_interface MEM_WE : IN std_logic; MEM_ADDR : IN std_logic_vector(31 DOWNTO 0); MEM_DIN : IN std_logic_vector(31 DOWNTO 0); SRAM_WR_START : IN std_logic; -- 1 MEM_CLK wide pulse to initiate in-chip SRAM write -- configuration CLK_DIV : IN std_logic_vector(CLK_DIV_WLOG2-1 DOWNTO 0); -- log2(CLK_DIV_WIDTH), CLK/(2**CLK_DIV) WR_CLK_DIV : IN std_logic_vector(CLK_DIV_WLOG2-1 DOWNTO 0); STOP_ADDR : IN std_logic_vector(CONFIG_WIDTH-1 DOWNTO 0); --MSB enables TRIGGER_RATE : IN std_logic_vector(CONFIG_WIDTH-1 DOWNTO 0); --trigger every () frames TRIGGER_DELAY : IN std_logic_vector(CONFIG_WIDTH-1 DOWNTO 0); STOP_CLK_S : IN std_logic; -- 1: stop TM_CLK_S, 0: run TM_CLK_S KEEP_WE : IN std_logic; -- 1: SRAM_WE keep high in writing mode, 0: SRAM_WE runs in writing mode -- input MARKER_A : IN std_logic; -- output TRIGGER_OUT :OUT std_logic; -- SRAM_D :OUT std_logic_vector(3 DOWNTO 0); SRAM_WE :OUT std_logic; TM_RST :OUT std_logic; -- digital reset TM_CLK_S :OUT std_logic; TM_RST_S :OUT std_logic; TM_START_S :OUT std_logic; TM_SPEAK_S :OUT std_logic ); END topmetal_analog_scan; ARCHITECTURE Behavioral OF topmetal_analog_scan IS COMPONENT bram_sdp_w32r4 PORT ( CLKA : IN std_logic; WEA : IN std_logic_vector(0 DOWNTO 0); ADDRA : IN std_logic_vector(10 DOWNTO 0); DINA : IN std_logic_vector(31 DOWNTO 0); CLKB : IN std_logic; ADDRB : IN std_logic_vector(13 DOWNTO 0); DOUTB : OUT std_logic_vector(3 DOWNTO 0) ); END COMPONENT; COMPONENT pulse2pulse PORT ( IN_CLK : IN std_logic; OUT_CLK : IN std_logic; RST : IN std_logic; PULSEIN : IN std_logic; INBUSY : OUT std_logic; PULSEOUT : OUT std_logic ); END COMPONENT; SIGNAL clk_cnt : unsigned(CLK_DIV_WIDTH-1 DOWNTO 0); SIGNAL we_clk_cnt : unsigned(CLK_DIV_WIDTH-1 DOWNTO 0); SIGNAL we_clk_cnt_p0 : unsigned(CLK_DIV_WIDTH-1 DOWNTO 0); SIGNAL TM_CLK_buf : std_logic; SIGNAL TM_RST_S_buf : std_logic; SIGNAL TM_RST_S_buf1 : std_logic; SIGNAL TM_START_S_buf : std_logic; SIGNAL TM_START_S_buf1 : std_logic; SIGNAL TM_SPEAK_S_buf : std_logic; SIGNAL TM_SPEAK_S_buf1 : std_logic; SIGNAL sram_wr_startp : std_logic; SIGNAL sram_wr_clk : std_logic; SIGNAL sram_we_clk : std_logic; SIGNAL sram_writing : std_logic; SIGNAL sram_we_buf : std_logic; SIGNAL sram_we_keep : std_logic; -- SIGNAL bram_wea : std_logic_vector(0 DOWNTO 0); SIGNAL bram_outb : std_logic_vector(3 DOWNTO 0); SIGNAL bram_addrb : std_logic_vector(13 DOWNTO 0); SIGNAL bram_addrb_cnt : unsigned(bram_addrb'length-1 DOWNTO 0); -- SIGNAL pxladdr : unsigned(bram_addrb'length-1 DOWNTO 0); SIGNAL trigger_cnt : unsigned(TRIGGER_RATE'length-1 DOWNTO 0); SIGNAL trigger_buf : std_logic; -- TYPE driveState_t IS (S0, S1, S2, S3, S4, S5, S6, S7,S8); SIGNAL driveState : driveState_t; SIGNAL driveState1 : driveState_t; SIGNAL driveState2 : driveState_t; SIGNAL driveState3 : driveState_t; BEGIN bram_inst : bram_sdp_w32r4 PORT MAP ( CLKA => MEM_CLK, WEA => bram_wea, ADDRA => MEM_ADDR(10 DOWNTO 0), DINA => MEM_DIN, CLKB => sram_wr_clk, ADDRB => bram_addrb(13 DOWNTO 0), DOUTB => bram_outb ); bram_wea <= (OTHERS => MEM_WE); pulse2pulse_inst : pulse2pulse PORT MAP ( IN_CLK => MEM_CLK, OUT_CLK => sram_wr_clk, RST => RESET, PULSEIN => SRAM_WR_START, INBUSY => OPEN, PULSEOUT => sram_wr_startp ); clk_proc: PROCESS (CLK, RESET) BEGIN IF RESET = '1' THEN clk_cnt <= to_unsigned(0, clk_cnt'length); ELSIF rising_edge(CLK) THEN clk_cnt <= clk_cnt + 1; END IF; END PROCESS clk_proc; PROCESS (WR_CLK_DIV) BEGIN we_clk_cnt_p0 <= ( others => '0'); we_clk_cnt_p0((to_integer(unsigned(WR_CLK_DIV)))-2) <= '1'; END PROCESS; TM_CLK_buf <= CLK WHEN to_integer(unsigned(CLK_DIV)) = 0 ELSE clk_cnt(to_integer(unsigned(CLK_DIV))-1); sram_wr_clk <= CLK WHEN to_integer(unsigned(WR_CLK_DIV)) = 0 ELSE clk_cnt(to_integer(unsigned(WR_CLK_DIV))-1); we_clk_cnt <= to_unsigned(0, clk_cnt'length) WHEN clk_cnt = 0 ELSE clk_cnt - we_clk_cnt_p0; -- we_clk_cnt <= clk_cnt - we_clk_cnt_p0; sram_we_clk <= we_clk_cnt(to_integer(unsigned(WR_CLK_DIV))-2); tm_proc : PROCESS (TM_CLK_buf, RESET) VARIABLE stopped : std_logic; BEGIN IF RESET = '1' OR sram_writing = '1' THEN TM_RST_S_buf <= '0'; TM_START_S_buf <= '0'; TM_SPEAK_S_buf <= '0'; trigger_buf <= '0'; pxladdr <= (OTHERS => '0'); trigger_cnt <= (OTHERS => '0'); stopped := '0'; driveState <= S0; ELSIF falling_edge(TM_CLK_buf) THEN TM_RST_S_buf <= '0'; TM_START_S_buf <= '0'; TM_SPEAK_S_buf <= '0'; trigger_buf <= '0'; CASE driveState IS WHEN S0 => -- wait a clk cycle driveState <= S1; WHEN S1 => TM_RST_S_buf <= '1'; driveState <= S2; WHEN S2 => -- wait a clk cycle then start driveState <= S3; WHEN S3 => TM_START_S_buf <= '1'; pxladdr <= (OTHERS => '1'); driveState <= S4; WHEN S4 => IF STOP_ADDR(STOP_ADDR'length-1) = '0' THEN TM_SPEAK_S_buf <= '1'; ELSIF stopped = '1' THEN TM_SPEAK_S_buf <= '0'; ELSE TM_SPEAK_S_buf <= '1'; IF pxladdr = unsigned(STOP_ADDR(pxladdr'length-1 DOWNTO 0)) - 1 THEN TM_SPEAK_S_buf <= '0'; stopped := '1'; END IF; END IF; IF trigger_cnt = unsigned(TRIGGER_RATE) AND (pxladdr = unsigned(TRIGGER_DELAY(pxladdr'length-1 DOWNTO 0))-1 OR (pxladdr = to_unsigned(ROWS*COLS-1, pxladdr'length) AND unsigned(TRIGGER_DELAY(pxladdr'length-1 DOWNTO 0)) = 0)) THEN trigger_buf <= '1'; END IF; IF pxladdr >= to_unsigned(ROWS*COLS-1, pxladdr'length) THEN pxladdr <= (OTHERS => '0'); IF trigger_cnt >= unsigned(TRIGGER_RATE) THEN trigger_cnt <= (OTHERS => '0'); ELSE trigger_cnt <= trigger_cnt + 1; END IF; ELSE pxladdr <= pxladdr + 1; END IF; driveState <= S4; WHEN OTHERS => driveState <= S0; END CASE; END IF; END PROCESS; sram_write_proc : PROCESS (sram_wr_clk, RESET) --falling edge controls TM_RST, TM_START, TM_SPEAK BEGIN IF RESET = '1' THEN bram_addrb_cnt <= to_unsigned(0, bram_addrb_cnt'length); sram_writing <= '0'; TM_RST_S_buf1 <= '0'; TM_START_S_buf1 <= '0'; TM_SPEAK_S_buf1 <= '0'; sram_we_keep <= '0'; driveState1 <= S0; ELSIF falling_edge(sram_wr_clk) THEN TM_RST_S_buf1 <= '0'; TM_START_S_buf1 <= '0'; TM_SPEAK_S_buf1 <= '0'; sram_we_keep <= '0'; bram_addrb_cnt <= to_unsigned(0, bram_addrb_cnt'length); CASE driveState1 IS WHEN S0 => IF sram_wr_startp = '1' THEN sram_writing <= '1'; driveState1 <= S1; END IF; WHEN S1 => TM_RST_S_buf1 <= '1'; driveState1 <= S2; WHEN S2 => TM_RST_S_buf1 <= '0'; driveState1 <= S3; -- wait a clk cycle then start WHEN S3 => TM_START_S_buf1 <= '1'; driveState1 <= S4; WHEN S4 => -- wait a clk cycle then speak TM_START_S_buf1 <= '0'; TM_SPEAK_S_buf1 <= '1'; sram_we_keep <= '1'; driveState1 <= S5; WHEN S5 => TM_SPEAK_S_buf1 <= '1'; sram_we_keep <= '1'; bram_addrb_cnt <= bram_addrb_cnt + 1; IF bram_addrb_cnt = to_unsigned(ROWS*COLS-2, bram_addrb_cnt'length) THEN driveState1 <= S6; END IF; WHEN S6 => TM_SPEAK_S_buf1 <= '0'; sram_we_keep <= '0'; sram_writing <= '0'; driveState1 <= S0; WHEN OTHERS => TM_SPEAK_S_buf1 <= '0'; sram_we_keep <= '0'; sram_writing <= '0'; driveState1 <= S0; END CASE; END IF; END PROCESS; write_en_pros : PROCESS(sram_we_clk, RESET) BEGIN IF RESET = '1' THEN sram_we_buf <= '0'; driveState2 <= S0; ELSIF falling_edge(sram_we_clk) THEN sram_we_buf <= '0'; CASE driveState2 IS WHEN S0 => IF sram_writing = '1' AND TM_START_S_buf1 = '1' THEN driveState2 <= S3 ; END IF; WHEN S3 => driveState2 <= S1; WHEN S1 => IF sram_writing = '1' THEN driveState2 <= S2; ELSE driveState2 <= S0; END IF; WHEN S2 => sram_we_buf <= '1'; IF bram_addrb_cnt = to_unsigned(ROWS*COLS-1, bram_addrb_cnt'length) THEN driveState2 <= S0; ELSE driveState2 <= S1 ; END IF; WHEN OTHERS => driveState2 <= S0; END CASE; END IF; END PROCESS; bram_addrb <= std_logic_vector(bram_addrb_cnt); -- output TM_RST <= RESET; TM_CLK_S <= '1' WHEN STOP_CLK_S = '1' ELSE sram_wr_clk WHEN sram_writing = '1' ELSE TM_CLK_buf; TM_RST_S <= TM_RST_S_buf1 WHEN sram_writing = '1' ELSE TM_RST_S_buf; TM_START_S <= TM_START_S_buf1 WHEN sram_writing = '1' ELSE TM_START_S_buf; TM_SPEAK_S <= TM_SPEAK_S_buf1 WHEN sram_writing = '1' ELSE TM_SPEAK_S_buf; TRIGGER_OUT <= trigger_buf; SRAM_WE <= sram_we_keep WHEN KEEP_WE = '1' ELSE sram_we_buf; -- SRAM_D <= bram_outb(SRAM_D'length-1 DOWNTO 0); END Behavioral;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; --================================================================================================= --================================================================================================= --================================================================================================= package transaction_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local MASTER_TRANSMIT_AND_RECEIVE, MASTER_TRANSMIT_AND_CHECK, MASTER_TRANSMIT_ONLY, MASTER_RECEIVE_ONLY, MASTER_CHECK_ONLY, SLAVE_TRANSMIT_AND_RECEIVE, SLAVE_TRANSMIT_AND_CHECK, SLAVE_TRANSMIT_ONLY, SLAVE_RECEIVE_ONLY, SLAVE_CHECK_ONLY); constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_MAX_WORDS : natural := C_SPI_VVC_DATA_ARRAY_WIDTH; --========================================================================================== -- -- Transaction info types, constants and global signal -- --========================================================================================== -- Transaction status type t_transaction_status is (INACTIVE, IN_PROGRESS, FAILED, SUCCEEDED); constant C_TRANSACTION_STATUS_DEFAULT : t_transaction_status := INACTIVE; -- VVC Meta type t_vvc_meta is record msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : integer; end record; constant C_VVC_META_DEFAULT : t_vvc_meta := ( msg => (others => ' '), cmd_idx => -1 ); -- Base transaction type t_base_transaction is record operation : t_operation; data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; when_to_start_transfer : t_when_to_start_transfer; action_when_transfer_is_done : t_action_when_transfer_is_done; action_between_words : t_action_between_words; vvc_meta : t_vvc_meta; transaction_status : t_transaction_status; end record; constant C_BASE_TRANSACTION_SET_DEFAULT : t_base_transaction := ( operation => NO_OPERATION, data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, when_to_start_transfer => START_TRANSFER_IMMEDIATE, action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER, action_between_words => HOLD_LINE_BETWEEN_WORDS, vvc_meta => C_VVC_META_DEFAULT, transaction_status => C_TRANSACTION_STATUS_DEFAULT ); -- Transaction group type t_transaction_group is record bt : t_base_transaction; end record; constant C_TRANSACTION_GROUP_DEFAULT : t_transaction_group := ( bt => C_BASE_TRANSACTION_SET_DEFAULT ); -- Global transaction info trigger signal type t_spi_transaction_trigger_array is array (natural range <>) of std_logic; signal global_spi_vvc_transaction_trigger : t_spi_transaction_trigger_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => '0'); -- Type is defined as array to coincide with channel based VVCs type t_spi_transaction_group_array is array (natural range <>) of t_transaction_group; -- Shared transaction info variable shared variable shared_spi_vvc_transaction_info : t_spi_transaction_group_array(0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => C_TRANSACTION_GROUP_DEFAULT); end package transaction_pkg;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:00:40 10/08/2011 -- Design Name: -- Module Name: ArithmeticModule - ArithmeticArchitecture -- Project Name: DLP Proyecto Codename Calculadora -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; entity ArithmeticModule is Port ( A : in STD_LOGIC_VECTOR(7 downto 0);--Primer operando(valor binario) B : in STD_LOGIC_VECTOR(7 downto 0);--Segundo operando(valor binario) Op : in STD_LOGIC_VECTOR(1 downto 0);--Operador Res : out STD_LOGIC_VECTOR(15 downto 0));--Resultado de la operacion(valor binario) end ArithmeticModule; architecture ArithmeticArchitecture of ArithmeticModule is begin PROCESS(A, B, Op) BEGIN CASE Op IS WHEN "00" => --Suma Res(7 downto 0) <= A+B; Res(15 downto 8) <= "00000000"; WHEN "01" => --Resta Res(7 downto 0) <= A-B; Res(15 downto 8) <= "00000000"; WHEN "10" =>--Multiplicacion Res <= A*B; WHEN "11" =>--Division NO IMPLEMENTADA TODAVIA Res <= "1111111111111111"; when others => Res <= "1111111111111111"; END CASE; END PROCESS; end ArithmeticArchitecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity assert2 is port (clk, rst: std_logic; cnt : out unsigned(3 downto 0)); end assert2; architecture behav of assert2 is signal val : unsigned (3 downto 0); begin process(clk) begin if rising_edge(clk) then if rst = '1' then val <= (others => '0'); else val <= val + 1; end if; end if; end process; cnt <= val; end behav;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity one_hot is port( si : in std_logic_vector (6 downto 0); so : out std_logic_vector (2 downto 0) ); end entity; architecture behav of one_hot is signal si_1 : std_logic_vector(6 downto 0); begin si_1 <= si; so(0) <= si_1(0) or si_1(2) or si_1(4) or si_1(6); so(1) <= si_1(1) or si_1(2) or si_1(5) or si_1(6); so(2) <= si_1(3) or si_1(4) or si_1(5) or si_1(6); --C LSB --so(0) = (nso_1(2) and nso_1(1) and so_1(0)) and (nso_1(2) and so_1(1) and so_1(0)) and (so_1(2) and nso_1(1) and so_1(0)) and (so_1(2) and so_1(1) and so_1(0)); --so(1) = (nso_1(2) and so_1(1) and nso_1(0)) and (so_1(2) and so_1(1) and nso_1(0)) and (so_1(2) and so_1(1) and so_1(0)); --so(2) = (nso_1()) end architecture;
------------------------------------------------------------------------------- -- -- RapidIO IP Library Core -- -- This file is part of the RapidIO IP library project -- http://www.opencores.org/cores/rio/ -- -- Description -- Containing RapidIO packet buffering functionallity. Two different entities -- are implemented, one with transmission window support and one without. -- -- To Do: -- - -- -- Author(s): -- - Magnus Rosenius, [email protected] -- ------------------------------------------------------------------------------- -- -- Copyright (C) 2013 Authors and OPENCORES.ORG -- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, download it -- from http://www.opencores.org/lgpl.shtml -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- RioPacketBuffer ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.rio_common.all; ------------------------------------------------------------------------------- -- Entity for RioPacketBuffer. -- -- Generic variables -- ----------------- -- SIZE_ADDRESS_WIDTH - The number of frames in powers of two. -- CONTENT_ADDRESS_WIDTH - The total number of entries in the memory that can -- be used to store packet data. -- CONTENT_WIDTH - The width of the data to store as packet content in the memory. -- MAX_PACKET_SIZE - The number of entries that must be available for a new -- complete full sized packet to be received. This option is present to ensure -- that it is always possible to move a packet to the storage without being -- surprised that the storage is suddenly empty. ------------------------------------------------------------------------------- entity RioPacketBuffer is generic( SIZE_ADDRESS_WIDTH : natural := 6; CONTENT_ADDRESS_WIDTH : natural := 8; CONTENT_WIDTH : natural := 32; MAX_PACKET_SIZE : natural := 69); port( clk : in std_logic; areset_n : in std_logic; inboundWriteFrameFull_o : out std_logic; inboundWriteFrame_i : in std_logic; inboundWriteFrameAbort_i : in std_logic; inboundWriteContent_i : in std_logic; inboundWriteContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); inboundReadFrameEmpty_o : out std_logic; inboundReadFrame_i : in std_logic; inboundReadFrameRestart_i : in std_logic; inboundReadFrameAborted_o : out std_logic; inboundReadFrameSize_o : out std_logic_vector(CONTENT_ADDRESS_WIDTH-1 downto 0); inboundReadContentEmpty_o : out std_logic; inboundReadContent_i : in std_logic; inboundReadContentEnd_o : out std_logic; inboundReadContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0); outboundWriteFrameFull_o : out std_logic; outboundWriteFrame_i : in std_logic; outboundWriteFrameAbort_i : in std_logic; outboundWriteContent_i : in std_logic; outboundWriteContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); outboundReadFrameEmpty_o : out std_logic; outboundReadFrame_i : in std_logic; outboundReadFrameRestart_i : in std_logic; outboundReadFrameAborted_o : out std_logic; outboundReadFrameSize_o : out std_logic_vector(CONTENT_ADDRESS_WIDTH-1 downto 0); outboundReadContentEmpty_o : out std_logic; outboundReadContent_i : in std_logic; outboundReadContentEnd_o : out std_logic; outboundReadContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for RioPacketBuffer. ------------------------------------------------------------------------------- architecture RioPacketBufferImpl of RioPacketBuffer is component PacketBufferContinous is generic( SIZE_ADDRESS_WIDTH : natural; CONTENT_ADDRESS_WIDTH : natural; CONTENT_WIDTH : natural; MAX_PACKET_SIZE : natural); port( clk : in std_logic; areset_n : in std_logic; writeFrameFull_o : out std_logic; writeFrame_i : in std_logic; writeFrameAbort_i : in std_logic; writeContent_i : in std_logic; writeContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); readFrameEmpty_o : out std_logic; readFrame_i : in std_logic; readFrameRestart_i : in std_logic; readFrameAborted_o : out std_logic; readFrameSize_o : out std_logic_vector(CONTENT_ADDRESS_WIDTH-1 downto 0); readContentEmpty_o : out std_logic; readContent_i : in std_logic; readContentEnd_o : out std_logic; readContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0)); end component; begin ----------------------------------------------------------------------------- -- Outbound frame buffers. ----------------------------------------------------------------------------- OutboundPacketBuffer: PacketBufferContinous generic map( SIZE_ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH, CONTENT_ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH, CONTENT_WIDTH=>CONTENT_WIDTH, MAX_PACKET_SIZE=>MAX_PACKET_SIZE) port map( clk=>clk, areset_n=>areset_n, writeFrameFull_o=>outboundWriteFrameFull_o, writeFrame_i=>outboundWriteFrame_i, writeFrameAbort_i=>outboundWriteFrameAbort_i, writeContent_i=>outboundWriteContent_i, writeContentData_i=>outboundWriteContentData_i, readFrameEmpty_o=>outboundReadFrameEmpty_o, readFrame_i=>outboundReadFrame_i, readFrameRestart_i=>outboundReadFrameRestart_i, readFrameAborted_o=>outboundReadFrameAborted_o, readFrameSize_o=>outboundReadFrameSize_o, readContentEmpty_o=>outboundReadContentEmpty_o, readContent_i=>outboundReadContent_i, readContentEnd_o=>outboundReadContentEnd_o, readContentData_o=>outboundReadContentData_o); ----------------------------------------------------------------------------- -- Inbound frame buffers. ----------------------------------------------------------------------------- InboundPacketBuffer: PacketBufferContinous generic map( SIZE_ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH, CONTENT_ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH, CONTENT_WIDTH=>CONTENT_WIDTH, MAX_PACKET_SIZE=>MAX_PACKET_SIZE) port map( clk=>clk, areset_n=>areset_n, writeFrameFull_o=>inboundWriteFrameFull_o, writeFrame_i=>inboundWriteFrame_i, writeFrameAbort_i=>inboundWriteFrameAbort_i, writeContent_i=>inboundWriteContent_i, writeContentData_i=>inboundWriteContentData_i, readFrameEmpty_o=>inboundReadFrameEmpty_o, readFrame_i=>inboundReadFrame_i, readFrameRestart_i=>inboundReadFrameRestart_i, readFrameAborted_o=>inboundReadFrameAborted_o, readFrameSize_o=>inboundReadFrameSize_o, readContentEmpty_o=>inboundReadContentEmpty_o, readContent_i=>inboundReadContent_i, readContentEnd_o=>inboundReadContentEnd_o, readContentData_o=>inboundReadContentData_o); end architecture; ------------------------------------------------------------------------------- -- RioPacketBufferWindow ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.rio_common.all; ------------------------------------------------------------------------------- -- Entity for RioPacketBufferWindow. ------------------------------------------------------------------------------- entity RioPacketBufferWindow is generic( SIZE_ADDRESS_WIDTH : natural := 6; CONTENT_ADDRESS_WIDTH : natural := 8; CONTENT_WIDTH : natural := 32; MAX_PACKET_SIZE : natural := 69); port( clk : in std_logic; areset_n : in std_logic; inboundWriteFrameFull_o : out std_logic; inboundWriteFrame_i : in std_logic; inboundWriteFrameAbort_i : in std_logic; inboundWriteContent_i : in std_logic; inboundWriteContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); inboundReadFrameEmpty_o : out std_logic; inboundReadFrame_i : in std_logic; inboundReadFrameRestart_i : in std_logic; inboundReadFrameAborted_o : out std_logic; inboundReadContentEmpty_o : out std_logic; inboundReadContent_i : in std_logic; inboundReadContentEnd_o : out std_logic; inboundReadContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0); outboundWriteFrameFull_o : out std_logic; outboundWriteFrame_i : in std_logic; outboundWriteFrameAbort_i : in std_logic; outboundWriteContent_i : in std_logic; outboundWriteContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); outboundReadFrameEmpty_o : out std_logic; outboundReadFrame_i : in std_logic; outboundReadFrameRestart_i : in std_logic; outboundReadFrameAborted_o : out std_logic; outboundReadWindowEmpty_o : out std_logic; outboundReadWindowReset_i : in std_logic; outboundReadWindowNext_i : in std_logic; outboundReadContentEmpty_o : out std_logic; outboundReadContent_i : in std_logic; outboundReadContentEnd_o : out std_logic; outboundReadContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for RioPacketBufferWindow. ------------------------------------------------------------------------------- architecture RioPacketBufferWindowImpl of RioPacketBufferWindow is component PacketBufferContinous is generic( SIZE_ADDRESS_WIDTH : natural; CONTENT_ADDRESS_WIDTH : natural; CONTENT_WIDTH : natural; MAX_PACKET_SIZE : natural); port( clk : in std_logic; areset_n : in std_logic; writeFrameFull_o : out std_logic; writeFrame_i : in std_logic; writeFrameAbort_i : in std_logic; writeContent_i : in std_logic; writeContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); readFrameEmpty_o : out std_logic; readFrame_i : in std_logic; readFrameRestart_i : in std_logic; readFrameAborted_o : out std_logic; readFrameSize_o : out std_logic_vector(CONTENT_ADDRESS_WIDTH-1 downto 0); readContentEmpty_o : out std_logic; readContent_i : in std_logic; readContentEnd_o : out std_logic; readContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0)); end component; component PacketBufferContinousWindow is generic( SIZE_ADDRESS_WIDTH : natural; CONTENT_ADDRESS_WIDTH : natural; CONTENT_WIDTH : natural; MAX_PACKET_SIZE : natural); port( clk : in std_logic; areset_n : in std_logic; writeFrameFull_o : out std_logic; writeFrame_i : in std_logic; writeFrameAbort_i : in std_logic; writeContent_i : in std_logic; writeContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); readFrameEmpty_o : out std_logic; readFrame_i : in std_logic; readFrameRestart_i : in std_logic; readFrameAborted_o : out std_logic; readWindowEmpty_o : out std_logic; readWindowReset_i : in std_logic; readWindowNext_i : in std_logic; readContentEmpty_o : out std_logic; readContent_i : in std_logic; readContentEnd_o : out std_logic; readContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0)); end component; begin ----------------------------------------------------------------------------- -- Outbound frame buffers. ----------------------------------------------------------------------------- OutboundPacketBuffer: PacketBufferContinousWindow generic map( SIZE_ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH, CONTENT_ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH, CONTENT_WIDTH=>CONTENT_WIDTH, MAX_PACKET_SIZE=>MAX_PACKET_SIZE) port map( clk=>clk, areset_n=>areset_n, writeFrameFull_o=>outboundWriteFrameFull_o, writeFrame_i=>outboundWriteFrame_i, writeFrameAbort_i=>outboundWriteFrameAbort_i, writeContent_i=>outboundWriteContent_i, writeContentData_i=>outboundWriteContentData_i, readFrameEmpty_o=>outboundReadFrameEmpty_o, readFrame_i=>outboundReadFrame_i, readFrameRestart_i=>outboundReadFrameRestart_i, readFrameAborted_o=>outboundReadFrameAborted_o, readWindowEmpty_o=>outboundReadWindowEmpty_o, readWindowReset_i=>outboundReadWindowReset_i, readWindowNext_i=>outboundReadWindowNext_i, readContentEmpty_o=>outboundReadContentEmpty_o, readContent_i=>outboundReadContent_i, readContentEnd_o=>outboundReadContentEnd_o, readContentData_o=>outboundReadContentData_o); ----------------------------------------------------------------------------- -- Inbound frame buffers. ----------------------------------------------------------------------------- InboundPacketBuffer: PacketBufferContinous generic map( SIZE_ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH, CONTENT_ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH, CONTENT_WIDTH=>CONTENT_WIDTH, MAX_PACKET_SIZE=>MAX_PACKET_SIZE) port map( clk=>clk, areset_n=>areset_n, writeFrameFull_o=>inboundWriteFrameFull_o, writeFrame_i=>inboundWriteFrame_i, writeFrameAbort_i=>inboundWriteFrameAbort_i, writeContent_i=>inboundWriteContent_i, writeContentData_i=>inboundWriteContentData_i, readFrameEmpty_o=>inboundReadFrameEmpty_o, readFrame_i=>inboundReadFrame_i, readFrameRestart_i=>inboundReadFrameRestart_i, readFrameAborted_o=>inboundReadFrameAborted_o, readFrameSize_o=>open, readContentEmpty_o=>inboundReadContentEmpty_o, readContent_i=>inboundReadContent_i, readContentEnd_o=>inboundReadContentEnd_o, readContentData_o=>inboundReadContentData_o); end architecture; ------------------------------------------------------------------------------- -- PacketBufferContinous -- This component stores data in chuncks and stores the size of them. The full -- memory can be used, except for one word, or a specified (using generic) -- maximum number of frames. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Entity for PacketBufferContinous. ------------------------------------------------------------------------------- entity PacketBufferContinous is generic( SIZE_ADDRESS_WIDTH : natural; CONTENT_ADDRESS_WIDTH : natural; CONTENT_WIDTH : natural; MAX_PACKET_SIZE : natural); port( clk : in std_logic; areset_n : in std_logic; writeFrameFull_o : out std_logic; writeFrame_i : in std_logic; writeFrameAbort_i : in std_logic; writeContent_i : in std_logic; writeContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); readFrameEmpty_o : out std_logic; readFrame_i : in std_logic; readFrameRestart_i : in std_logic; readFrameAborted_o : out std_logic; readFrameSize_o : out std_logic_vector(CONTENT_ADDRESS_WIDTH-1 downto 0); readContentEmpty_o : out std_logic; readContent_i : in std_logic; readContentEnd_o : out std_logic; readContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for PacketBufferContinous. ------------------------------------------------------------------------------- architecture PacketBufferContinousImpl of PacketBufferContinous is component MemorySimpleDualPortAsync is generic( ADDRESS_WIDTH : natural := 1; DATA_WIDTH : natural := 1); port( clkA_i : in std_logic; enableA_i : in std_logic; addressA_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataA_i : in std_logic_vector(DATA_WIDTH-1 downto 0); addressB_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataB_o : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; component MemorySimpleDualPort is generic( ADDRESS_WIDTH : natural := 1; DATA_WIDTH : natural := 1); port( clkA_i : in std_logic; enableA_i : in std_logic; addressA_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataA_i : in std_logic_vector(DATA_WIDTH-1 downto 0); clkB_i : in std_logic; enableB_i : in std_logic; addressB_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataB_o : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; -- The number of available word positions left in the memory. signal available : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The position to place new frames. signal backIndex, backIndexNext : unsigned(SIZE_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The position to remove old frames. signal frontIndex, frontIndexNext : unsigned(SIZE_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The size of the current frame. signal readFrameEnd_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The start of unread content. signal memoryStart_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The current reading position. signal memoryRead_p, memoryReadNext_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The end of unread content. signal memoryEnd_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The current writing position. signal memoryWrite_p, memoryWriteNext_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- Memory output signal containing the position of a frame. signal framePositionReadData : std_logic_vector(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); begin ----------------------------------------------------------------------------- -- Internal signal assignments. ----------------------------------------------------------------------------- available <= not (memoryEnd_p - memoryStart_p); backIndexNext <= backIndex + 1; frontIndexNext <= frontIndex + 1; memoryWriteNext_p <= memoryWrite_p + 1; memoryReadNext_p <= memoryRead_p + 1; ----------------------------------------------------------------------------- -- Writer logic. ----------------------------------------------------------------------------- writeFrameFull_o <= '1' when ((backIndexNext = frontIndex) or (available < MAX_PACKET_SIZE)) else '0'; Writer: process(clk, areset_n) begin if (areset_n = '0') then backIndex <= (others=>'0'); memoryEnd_p <= (others=>'0'); memoryWrite_p <= (others=>'0'); elsif (clk'event and clk = '1') then if (writeFrameAbort_i = '1') then memoryWrite_p <= memoryEnd_p; elsif (writeContent_i = '1') then memoryWrite_p <= memoryWriteNext_p; end if; if(writeFrame_i = '1') then memoryEnd_p <= memoryWrite_p; backIndex <= backIndexNext; end if; end if; end process; ----------------------------------------------------------------------------- -- Frame cancellation logic. ----------------------------------------------------------------------------- process(clk, areset_n) begin if (areset_n = '0') then readFrameAborted_o <= '0'; elsif (clk'event and clk = '1') then if ((frontIndex = backIndex) and ((writeFrameAbort_i = '1') and (readFrameRestart_i = '0'))) then readFrameAborted_o <= '1'; elsif ((writeFrameAbort_i = '0') and (readFrameRestart_i = '1')) then readFrameAborted_o <= '0'; end if; end if; end process; ----------------------------------------------------------------------------- -- Reader logic. ----------------------------------------------------------------------------- readFrameEmpty_o <= '1' when (frontIndex = backIndex) else '0'; readContentEmpty_o <= '1' when ((frontIndex = backIndex) and (memoryWrite_p = memoryRead_p)) else '0'; readFrameSize_o <= std_logic_vector(readFrameEnd_p - memoryStart_p); Reader: process(clk, areset_n) begin if (areset_n = '0') then frontIndex <= (others=>'0'); memoryStart_p <= (others=>'0'); memoryRead_p <= (others=>'0'); readContentEnd_o <= '0'; elsif (clk'event and clk = '1') then -- REMARK: Break apart into registers to avoid priority ladder??? if(readFrameRestart_i = '1') then memoryRead_p <= memoryStart_p; elsif(readContent_i = '1') then if(memoryRead_p = readFrameEnd_p) then readContentEnd_o <= '1'; else readContentEnd_o <= '0'; memoryRead_p <= memoryReadNext_p; end if; elsif(readFrame_i = '1') then memoryStart_p <= readFrameEnd_p; frontIndex <= frontIndexNext; memoryRead_p <= readFrameEnd_p; end if; end if; end process; ----------------------------------------------------------------------------- -- Frame positioning memory signals. ----------------------------------------------------------------------------- readFrameEnd_p <= unsigned(framePositionReadData); -- Memory to keep frame starting/ending positions in. FramePosition: MemorySimpleDualPortAsync generic map(ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH, DATA_WIDTH=>CONTENT_ADDRESS_WIDTH) port map( clkA_i=>clk, enableA_i=>writeFrame_i, addressA_i=>std_logic_vector(backIndex), dataA_i=>std_logic_vector(memoryWrite_p), addressB_i=>std_logic_vector(frontIndex), dataB_o=>framePositionReadData); ----------------------------------------------------------------------------- -- Frame content memory signals. ----------------------------------------------------------------------------- -- Memory to keep frame content in. -- REMARK: Use paritybits here as well to make sure the frame data does not -- become corrupt??? FrameContent: MemorySimpleDualPort generic map(ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH, DATA_WIDTH=>CONTENT_WIDTH) port map( clkA_i=>clk, enableA_i=>writeContent_i, addressA_i=>std_logic_vector(memoryWrite_p), dataA_i=>writeContentData_i, clkB_i=>clk, enableB_i=>readContent_i, addressB_i=>std_logic_vector(memoryRead_p), dataB_o=>readContentData_o); end architecture; ------------------------------------------------------------------------------- -- PacketBufferContinousWindow -- This component stores data in chuncks and stores the size of them. The full -- memory can be used, except for one word, or a specified (using generic) -- maximum number of frames. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- -- Entity for PacketBufferContinousWindow. ------------------------------------------------------------------------------- entity PacketBufferContinousWindow is generic( SIZE_ADDRESS_WIDTH : natural; CONTENT_ADDRESS_WIDTH : natural; CONTENT_WIDTH : natural; MAX_PACKET_SIZE : natural); port( clk : in std_logic; areset_n : in std_logic; writeFrameFull_o : out std_logic; writeFrame_i : in std_logic; writeFrameAbort_i : in std_logic; writeContent_i : in std_logic; writeContentData_i : in std_logic_vector(CONTENT_WIDTH-1 downto 0); readFrameEmpty_o : out std_logic; readFrame_i : in std_logic; readFrameRestart_i : in std_logic; readFrameAborted_o : out std_logic; readWindowEmpty_o : out std_logic; readWindowReset_i : in std_logic; readWindowNext_i : in std_logic; readContentEmpty_o : out std_logic; readContent_i : in std_logic; readContentEnd_o : out std_logic; readContentData_o : out std_logic_vector(CONTENT_WIDTH-1 downto 0)); end entity; ------------------------------------------------------------------------------- -- Architecture for PacketBufferContinousWindow. ------------------------------------------------------------------------------- architecture PacketBufferContinousWindowImpl of PacketBufferContinousWindow is component MemorySimpleDualPortAsync is generic( ADDRESS_WIDTH : natural := 1; DATA_WIDTH : natural := 1); port( clkA_i : in std_logic; enableA_i : in std_logic; addressA_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataA_i : in std_logic_vector(DATA_WIDTH-1 downto 0); addressB_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataB_o : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; component MemorySimpleDualPort is generic( ADDRESS_WIDTH : natural := 1; DATA_WIDTH : natural := 1); port( clkA_i : in std_logic; enableA_i : in std_logic; addressA_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataA_i : in std_logic_vector(DATA_WIDTH-1 downto 0); clkB_i : in std_logic; enableB_i : in std_logic; addressB_i : in std_logic_vector(ADDRESS_WIDTH-1 downto 0); dataB_o : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; -- The number of available word positions left in the memory. signal available : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); signal backIndex, backIndexNext : unsigned(SIZE_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); signal frontIndex, frontIndexNext : unsigned(SIZE_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); signal windowIndex, windowIndexNext : unsigned(SIZE_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The size of the current frame. signal readFrameEnd_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The start of unread content. signal memoryStart_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The start of unread window content. signal memoryStartWindow_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The current reading position. signal memoryRead_p, memoryReadNext_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The end of unread content. signal memoryEnd_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); -- The current writing position. signal memoryWrite_p, memoryWriteNext_p : unsigned(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); signal framePositionReadAddress : std_logic_vector(SIZE_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); signal framePositionReadData : std_logic_vector(CONTENT_ADDRESS_WIDTH-1 downto 0) := (others=>'0'); begin ----------------------------------------------------------------------------- -- Internal signal assignments. ----------------------------------------------------------------------------- available <= not (memoryEnd_p - memoryStart_p); backIndexNext <= backIndex + 1; frontIndexNext <= frontIndex + 1; windowIndexNext <= windowIndex + 1; memoryWriteNext_p <= memoryWrite_p + 1; memoryReadNext_p <= memoryRead_p + 1; ----------------------------------------------------------------------------- -- Writer logic. ----------------------------------------------------------------------------- writeFrameFull_o <= '1' when ((backIndexNext = frontIndex) or (available < MAX_PACKET_SIZE)) else '0'; Writer: process(clk, areset_n) begin if (areset_n = '0') then backIndex <= (others=>'0'); memoryEnd_p <= (others=>'0'); memoryWrite_p <= (others=>'0'); elsif (clk'event and clk = '1') then if (writeFrameAbort_i = '1') then memoryWrite_p <= memoryEnd_p; elsif (writeContent_i = '1') then memoryWrite_p <= memoryWriteNext_p; end if; if(writeFrame_i = '1') then memoryEnd_p <= memoryWrite_p; backIndex <= backIndexNext; end if; end if; end process; ----------------------------------------------------------------------------- -- Frame cancellation logic. ----------------------------------------------------------------------------- process(clk, areset_n) begin if (areset_n = '0') then readFrameAborted_o <= '0'; elsif (clk'event and clk = '1') then if ((windowIndex = backIndex) and ((writeFrameAbort_i = '1') and (readFrameRestart_i = '0'))) then readFrameAborted_o <= '1'; elsif ((writeFrameAbort_i = '0') and (readFrameRestart_i = '1')) then readFrameAborted_o <= '0'; end if; end if; end process; ----------------------------------------------------------------------------- -- Reader logic. ----------------------------------------------------------------------------- readFrameEmpty_o <= '1' when (frontIndex = backIndex) else '0'; readWindowEmpty_o <= '1' when (windowIndex = backIndex) else '0'; readContentEmpty_o <= '1' when ((windowIndex = backIndex) and (memoryWrite_p = memoryRead_p)) else '0'; Reader: process(clk, areset_n) begin if (areset_n = '0') then frontIndex <= (others=>'0'); windowIndex <= (others=>'0'); memoryStart_p <= (others=>'0'); memoryStartWindow_p <= (others=>'0'); memoryRead_p <= (others=>'0'); readContentEnd_o <= '0'; elsif (clk'event and clk = '1') then -- REMARK: Break apart into registers to avoid priority ladder??? if(readFrameRestart_i = '1') then memoryRead_p <= memoryStartWindow_p; elsif(readContent_i = '1') then if(memoryRead_p = readFrameEnd_p) then readContentEnd_o <= '1'; else readContentEnd_o <= '0'; memoryRead_p <= memoryReadNext_p; end if; elsif(readFrame_i = '1') then memoryStart_p <= readFrameEnd_p; frontIndex <= frontIndexNext; elsif(readWindowReset_i = '1') then memoryStartWindow_p <= memoryStart_p; windowIndex <= frontIndex; memoryRead_p <= memoryStart_p; elsif(readWindowNext_i = '1') then memoryStartWindow_p <= readFrameEnd_p; windowIndex <= windowIndexNext; memoryRead_p <= readFrameEnd_p; end if; end if; end process; ----------------------------------------------------------------------------- -- Frame positioning memory signals. ----------------------------------------------------------------------------- -- Assign the address from both frontIndex and windowIndex to be able to -- share the memory between the two different types of accesses. This assumes -- that the window is not accessed at the same time as the other signal. framePositionReadAddress <= std_logic_vector(frontIndex) when (readFrame_i = '1') else std_logic_vector(windowIndex); readFrameEnd_p <= unsigned(framePositionReadData); -- Memory to keep frame starting/ending positions in. FramePosition: MemorySimpleDualPortAsync generic map(ADDRESS_WIDTH=>SIZE_ADDRESS_WIDTH, DATA_WIDTH=>CONTENT_ADDRESS_WIDTH) port map( clkA_i=>clk, enableA_i=>writeFrame_i, addressA_i=>std_logic_vector(backIndex), dataA_i=>std_logic_vector(memoryWrite_p), addressB_i=>framePositionReadAddress, dataB_o=>framePositionReadData); ----------------------------------------------------------------------------- -- Frame content memory signals. ----------------------------------------------------------------------------- -- Memory to keep frame content in. -- REMARK: Use paritybits here as well to make sure the frame data does not -- become corrupt??? FrameContent: MemorySimpleDualPort generic map(ADDRESS_WIDTH=>CONTENT_ADDRESS_WIDTH, DATA_WIDTH=>CONTENT_WIDTH) port map( clkA_i=>clk, enableA_i=>writeContent_i, addressA_i=>std_logic_vector(memoryWrite_p), dataA_i=>writeContentData_i, clkB_i=>clk, enableB_i=>readContent_i, addressB_i=>std_logic_vector(memoryRead_p), dataB_o=>readContentData_o); end architecture;
-- Added these lines on rev. 42 in order to remove the commit message saying that -- there is a bug in the implementation, since the bug has been fixed in the same rev. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity node_port_readdec is Port ( I_clk : in STD_LOGIC; I_portID : in STD_LOGIC_VECTOR (2 downto 0); I_readEnable : in STD_LOGIC; O_readEnableUp : out STD_LOGIC; O_readEnableDown : out STD_LOGIC; O_readEnableLeft : out STD_LOGIC; O_readEnableRight : out STD_LOGIC); end node_port_readdec; -- NOTE: The architecture below doesn't support ANY or LAST ports. architecture Behavioral of node_port_readdec is begin O_readEnableUp <= I_readEnable when I_portID = "000" else '0'; O_readEnableDown <= I_readEnable when I_portID = "001" else '0'; O_readEnableLeft <= I_readEnable when I_portID = "010" else '0'; O_readEnableRight <= I_readEnable when I_portID = "011" else '0'; end Behavioral;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: charrom -- File: charrom.vhd -- Author: Marcus Hellqvist -- Description: Character ROM for video controller ----------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library grlib; use grlib.stdlib.all; entity charrom is port( clk : in std_ulogic; addr : in std_logic_vector(11 downto 0); data : out std_logic_vector(7 downto 0) ); end entity; architecture rtl of charrom is signal romdata : std_logic_vector(7 downto 0); signal romaddr : std_logic_vector(11 downto 0); begin data <= romdata; p0: process(clk) begin if rising_edge(clk) then romaddr <= addr; end if; end process; p1: process(romaddr) begin case conv_integer(romaddr) is when 16#000# => romdata <= X"00"; -- when 16#100# => romdata <= X"00"; -- when 16#200# => romdata <= X"00"; -- when 16#300# => romdata <= X"00"; -- when 16#400# => romdata <= X"00"; -- when 16#500# => romdata <= X"00"; -- when 16#600# => romdata <= X"00"; -- when 16#700# => romdata <= X"00"; -- when 16#800# => romdata <= X"00"; -- when 16#900# => romdata <= X"00"; -- when 16#a00# => romdata <= X"00"; -- when 16#b00# => romdata <= X"00"; -- when 16#c00# => romdata <= X"00"; -- when 16#020# => romdata <= X"00"; -- when 16#120# => romdata <= X"00"; -- when 16#220# => romdata <= X"00"; -- when 16#320# => romdata <= X"00"; -- when 16#420# => romdata <= X"00"; -- when 16#520# => romdata <= X"00"; -- when 16#620# => romdata <= X"00"; -- when 16#720# => romdata <= X"00"; -- when 16#820# => romdata <= X"00"; -- when 16#920# => romdata <= X"00"; -- when 16#a20# => romdata <= X"00"; -- when 16#b20# => romdata <= X"00"; -- when 16#c20# => romdata <= X"00"; -- when 16#021# => romdata <= X"00"; -- ! when 16#121# => romdata <= X"00"; -- ! when 16#221# => romdata <= X"10"; -- ! when 16#321# => romdata <= X"10"; -- ! when 16#421# => romdata <= X"10"; -- ! when 16#521# => romdata <= X"10"; -- ! when 16#621# => romdata <= X"10"; -- ! when 16#721# => romdata <= X"10"; -- ! when 16#821# => romdata <= X"10"; -- ! when 16#921# => romdata <= X"00"; -- ! when 16#a21# => romdata <= X"10"; -- ! when 16#b21# => romdata <= X"00"; -- ! when 16#c21# => romdata <= X"00"; -- ! when 16#022# => romdata <= X"00"; -- " when 16#122# => romdata <= X"00"; -- " when 16#222# => romdata <= X"24"; -- " when 16#322# => romdata <= X"24"; -- " when 16#422# => romdata <= X"24"; -- " when 16#522# => romdata <= X"00"; -- " when 16#622# => romdata <= X"00"; -- " when 16#722# => romdata <= X"00"; -- " when 16#822# => romdata <= X"00"; -- " when 16#922# => romdata <= X"00"; -- " when 16#a22# => romdata <= X"00"; -- " when 16#b22# => romdata <= X"00"; -- " when 16#c22# => romdata <= X"00"; -- " when 16#023# => romdata <= X"00"; -- # when 16#123# => romdata <= X"00"; -- # when 16#223# => romdata <= X"00"; -- # when 16#323# => romdata <= X"24"; -- # when 16#423# => romdata <= X"24"; -- # when 16#523# => romdata <= X"7e"; -- # when 16#623# => romdata <= X"24"; -- # when 16#723# => romdata <= X"7e"; -- # when 16#823# => romdata <= X"24"; -- # when 16#923# => romdata <= X"24"; -- # when 16#a23# => romdata <= X"00"; -- # when 16#b23# => romdata <= X"00"; -- # when 16#c23# => romdata <= X"00"; -- # when 16#024# => romdata <= X"00"; -- $ when 16#124# => romdata <= X"00"; -- $ when 16#224# => romdata <= X"10"; -- $ when 16#324# => romdata <= X"3c"; -- $ when 16#424# => romdata <= X"50"; -- $ when 16#524# => romdata <= X"50"; -- $ when 16#624# => romdata <= X"38"; -- $ when 16#724# => romdata <= X"14"; -- $ when 16#824# => romdata <= X"14"; -- $ when 16#924# => romdata <= X"78"; -- $ when 16#a24# => romdata <= X"10"; -- $ when 16#b24# => romdata <= X"00"; -- $ when 16#c24# => romdata <= X"00"; -- $ when 16#025# => romdata <= X"00"; -- % when 16#125# => romdata <= X"00"; -- % when 16#225# => romdata <= X"22"; -- % when 16#325# => romdata <= X"52"; -- % when 16#425# => romdata <= X"24"; -- % when 16#525# => romdata <= X"08"; -- % when 16#625# => romdata <= X"08"; -- % when 16#725# => romdata <= X"10"; -- % when 16#825# => romdata <= X"24"; -- % when 16#925# => romdata <= X"2a"; -- % when 16#a25# => romdata <= X"44"; -- % when 16#b25# => romdata <= X"00"; -- % when 16#c25# => romdata <= X"00"; -- % when 16#026# => romdata <= X"00"; -- & when 16#126# => romdata <= X"00"; -- & when 16#226# => romdata <= X"00"; -- & when 16#326# => romdata <= X"00"; -- & when 16#426# => romdata <= X"30"; -- & when 16#526# => romdata <= X"48"; -- & when 16#626# => romdata <= X"48"; -- & when 16#726# => romdata <= X"30"; -- & when 16#826# => romdata <= X"4a"; -- & when 16#926# => romdata <= X"44"; -- & when 16#a26# => romdata <= X"3a"; -- & when 16#b26# => romdata <= X"00"; -- & when 16#c26# => romdata <= X"00"; -- & when 16#027# => romdata <= X"00"; -- ' when 16#127# => romdata <= X"00"; -- ' when 16#227# => romdata <= X"10"; -- ' when 16#327# => romdata <= X"10"; -- ' when 16#427# => romdata <= X"10"; -- ' when 16#527# => romdata <= X"00"; -- ' when 16#627# => romdata <= X"00"; -- ' when 16#727# => romdata <= X"00"; -- ' when 16#827# => romdata <= X"00"; -- ' when 16#927# => romdata <= X"00"; -- ' when 16#a27# => romdata <= X"00"; -- ' when 16#b27# => romdata <= X"00"; -- ' when 16#c27# => romdata <= X"00"; -- ' when 16#028# => romdata <= X"00"; -- ( when 16#128# => romdata <= X"00"; -- ( when 16#228# => romdata <= X"04"; -- ( when 16#328# => romdata <= X"08"; -- ( when 16#428# => romdata <= X"08"; -- ( when 16#528# => romdata <= X"10"; -- ( when 16#628# => romdata <= X"10"; -- ( when 16#728# => romdata <= X"10"; -- ( when 16#828# => romdata <= X"08"; -- ( when 16#928# => romdata <= X"08"; -- ( when 16#a28# => romdata <= X"04"; -- ( when 16#b28# => romdata <= X"00"; -- ( when 16#c28# => romdata <= X"00"; -- ( when 16#029# => romdata <= X"00"; -- ) when 16#129# => romdata <= X"00"; -- ) when 16#229# => romdata <= X"20"; -- ) when 16#329# => romdata <= X"10"; -- ) when 16#429# => romdata <= X"10"; -- ) when 16#529# => romdata <= X"08"; -- ) when 16#629# => romdata <= X"08"; -- ) when 16#729# => romdata <= X"08"; -- ) when 16#829# => romdata <= X"10"; -- ) when 16#929# => romdata <= X"10"; -- ) when 16#a29# => romdata <= X"20"; -- ) when 16#b29# => romdata <= X"00"; -- ) when 16#c29# => romdata <= X"00"; -- ) when 16#02a# => romdata <= X"00"; -- * when 16#12a# => romdata <= X"00"; -- * when 16#22a# => romdata <= X"24"; -- * when 16#32a# => romdata <= X"18"; -- * when 16#42a# => romdata <= X"7e"; -- * when 16#52a# => romdata <= X"18"; -- * when 16#62a# => romdata <= X"24"; -- * when 16#72a# => romdata <= X"00"; -- * when 16#82a# => romdata <= X"00"; -- * when 16#92a# => romdata <= X"00"; -- * when 16#a2a# => romdata <= X"00"; -- * when 16#b2a# => romdata <= X"00"; -- * when 16#c2a# => romdata <= X"00"; -- * when 16#02b# => romdata <= X"00"; -- + when 16#12b# => romdata <= X"00"; -- + when 16#22b# => romdata <= X"00"; -- + when 16#32b# => romdata <= X"00"; -- + when 16#42b# => romdata <= X"10"; -- + when 16#52b# => romdata <= X"10"; -- + when 16#62b# => romdata <= X"7c"; -- + when 16#72b# => romdata <= X"10"; -- + when 16#82b# => romdata <= X"10"; -- + when 16#92b# => romdata <= X"00"; -- + when 16#a2b# => romdata <= X"00"; -- + when 16#b2b# => romdata <= X"00"; -- + when 16#c2b# => romdata <= X"00"; -- + when 16#02c# => romdata <= X"00"; -- , when 16#12c# => romdata <= X"00"; -- , when 16#22c# => romdata <= X"00"; -- , when 16#32c# => romdata <= X"00"; -- , when 16#42c# => romdata <= X"00"; -- , when 16#52c# => romdata <= X"00"; -- , when 16#62c# => romdata <= X"00"; -- , when 16#72c# => romdata <= X"00"; -- , when 16#82c# => romdata <= X"00"; -- , when 16#92c# => romdata <= X"38"; -- , when 16#a2c# => romdata <= X"30"; -- , when 16#b2c# => romdata <= X"40"; -- , when 16#c2c# => romdata <= X"00"; -- , when 16#02d# => romdata <= X"00"; -- - when 16#12d# => romdata <= X"00"; -- - when 16#22d# => romdata <= X"00"; -- - when 16#32d# => romdata <= X"00"; -- - when 16#42d# => romdata <= X"00"; -- - when 16#52d# => romdata <= X"00"; -- - when 16#62d# => romdata <= X"7c"; -- - when 16#72d# => romdata <= X"00"; -- - when 16#82d# => romdata <= X"00"; -- - when 16#92d# => romdata <= X"00"; -- - when 16#a2d# => romdata <= X"00"; -- - when 16#b2d# => romdata <= X"00"; -- - when 16#c2d# => romdata <= X"00"; -- - when 16#02e# => romdata <= X"00"; -- . when 16#12e# => romdata <= X"00"; -- . when 16#22e# => romdata <= X"00"; -- . when 16#32e# => romdata <= X"00"; -- . when 16#42e# => romdata <= X"00"; -- . when 16#52e# => romdata <= X"00"; -- . when 16#62e# => romdata <= X"00"; -- . when 16#72e# => romdata <= X"00"; -- . when 16#82e# => romdata <= X"00"; -- . when 16#92e# => romdata <= X"10"; -- . when 16#a2e# => romdata <= X"38"; -- . when 16#b2e# => romdata <= X"10"; -- . when 16#c2e# => romdata <= X"00"; -- . when 16#02f# => romdata <= X"00"; -- / when 16#12f# => romdata <= X"00"; -- / when 16#22f# => romdata <= X"02"; -- / when 16#32f# => romdata <= X"02"; -- / when 16#42f# => romdata <= X"04"; -- / when 16#52f# => romdata <= X"08"; -- / when 16#62f# => romdata <= X"10"; -- / when 16#72f# => romdata <= X"20"; -- / when 16#82f# => romdata <= X"40"; -- / when 16#92f# => romdata <= X"80"; -- / when 16#a2f# => romdata <= X"80"; -- / when 16#b2f# => romdata <= X"00"; -- / when 16#c2f# => romdata <= X"00"; -- / when 16#030# => romdata <= X"00"; -- 0 when 16#130# => romdata <= X"00"; -- 0 when 16#230# => romdata <= X"18"; -- 0 when 16#330# => romdata <= X"24"; -- 0 when 16#430# => romdata <= X"42"; -- 0 when 16#530# => romdata <= X"42"; -- 0 when 16#630# => romdata <= X"42"; -- 0 when 16#730# => romdata <= X"42"; -- 0 when 16#830# => romdata <= X"42"; -- 0 when 16#930# => romdata <= X"24"; -- 0 when 16#a30# => romdata <= X"18"; -- 0 when 16#b30# => romdata <= X"00"; -- 0 when 16#c30# => romdata <= X"00"; -- 0 when 16#031# => romdata <= X"00"; -- 1 when 16#131# => romdata <= X"00"; -- 1 when 16#231# => romdata <= X"10"; -- 1 when 16#331# => romdata <= X"30"; -- 1 when 16#431# => romdata <= X"50"; -- 1 when 16#531# => romdata <= X"10"; -- 1 when 16#631# => romdata <= X"10"; -- 1 when 16#731# => romdata <= X"10"; -- 1 when 16#831# => romdata <= X"10"; -- 1 when 16#931# => romdata <= X"10"; -- 1 when 16#a31# => romdata <= X"7c"; -- 1 when 16#b31# => romdata <= X"00"; -- 1 when 16#c31# => romdata <= X"00"; -- 1 when 16#032# => romdata <= X"00"; -- 2 when 16#132# => romdata <= X"00"; -- 2 when 16#232# => romdata <= X"3c"; -- 2 when 16#332# => romdata <= X"42"; -- 2 when 16#432# => romdata <= X"42"; -- 2 when 16#532# => romdata <= X"02"; -- 2 when 16#632# => romdata <= X"04"; -- 2 when 16#732# => romdata <= X"18"; -- 2 when 16#832# => romdata <= X"20"; -- 2 when 16#932# => romdata <= X"40"; -- 2 when 16#a32# => romdata <= X"7e"; -- 2 when 16#b32# => romdata <= X"00"; -- 2 when 16#c32# => romdata <= X"00"; -- 2 when 16#033# => romdata <= X"00"; -- 3 when 16#133# => romdata <= X"00"; -- 3 when 16#233# => romdata <= X"7e"; -- 3 when 16#333# => romdata <= X"02"; -- 3 when 16#433# => romdata <= X"04"; -- 3 when 16#533# => romdata <= X"08"; -- 3 when 16#633# => romdata <= X"1c"; -- 3 when 16#733# => romdata <= X"02"; -- 3 when 16#833# => romdata <= X"02"; -- 3 when 16#933# => romdata <= X"42"; -- 3 when 16#a33# => romdata <= X"3c"; -- 3 when 16#b33# => romdata <= X"00"; -- 3 when 16#c33# => romdata <= X"00"; -- 3 when 16#034# => romdata <= X"00"; -- 4 when 16#134# => romdata <= X"00"; -- 4 when 16#234# => romdata <= X"04"; -- 4 when 16#334# => romdata <= X"0c"; -- 4 when 16#434# => romdata <= X"14"; -- 4 when 16#534# => romdata <= X"24"; -- 4 when 16#634# => romdata <= X"44"; -- 4 when 16#734# => romdata <= X"44"; -- 4 when 16#834# => romdata <= X"7e"; -- 4 when 16#934# => romdata <= X"04"; -- 4 when 16#a34# => romdata <= X"04"; -- 4 when 16#b34# => romdata <= X"00"; -- 4 when 16#c34# => romdata <= X"00"; -- 4 when 16#035# => romdata <= X"00"; -- 5 when 16#135# => romdata <= X"00"; -- 5 when 16#235# => romdata <= X"7e"; -- 5 when 16#335# => romdata <= X"40"; -- 5 when 16#435# => romdata <= X"40"; -- 5 when 16#535# => romdata <= X"5c"; -- 5 when 16#635# => romdata <= X"62"; -- 5 when 16#735# => romdata <= X"02"; -- 5 when 16#835# => romdata <= X"02"; -- 5 when 16#935# => romdata <= X"42"; -- 5 when 16#a35# => romdata <= X"3c"; -- 5 when 16#b35# => romdata <= X"00"; -- 5 when 16#c35# => romdata <= X"00"; -- 5 when 16#036# => romdata <= X"00"; -- 6 when 16#136# => romdata <= X"00"; -- 6 when 16#236# => romdata <= X"1c"; -- 6 when 16#336# => romdata <= X"20"; -- 6 when 16#436# => romdata <= X"40"; -- 6 when 16#536# => romdata <= X"40"; -- 6 when 16#636# => romdata <= X"5c"; -- 6 when 16#736# => romdata <= X"62"; -- 6 when 16#836# => romdata <= X"42"; -- 6 when 16#936# => romdata <= X"42"; -- 6 when 16#a36# => romdata <= X"3c"; -- 6 when 16#b36# => romdata <= X"00"; -- 6 when 16#c36# => romdata <= X"00"; -- 6 when 16#037# => romdata <= X"00"; -- 7 when 16#137# => romdata <= X"00"; -- 7 when 16#237# => romdata <= X"7e"; -- 7 when 16#337# => romdata <= X"02"; -- 7 when 16#437# => romdata <= X"04"; -- 7 when 16#537# => romdata <= X"08"; -- 7 when 16#637# => romdata <= X"08"; -- 7 when 16#737# => romdata <= X"10"; -- 7 when 16#837# => romdata <= X"10"; -- 7 when 16#937# => romdata <= X"20"; -- 7 when 16#a37# => romdata <= X"20"; -- 7 when 16#b37# => romdata <= X"00"; -- 7 when 16#c37# => romdata <= X"00"; -- 7 when 16#038# => romdata <= X"00"; -- 8 when 16#138# => romdata <= X"00"; -- 8 when 16#238# => romdata <= X"3c"; -- 8 when 16#338# => romdata <= X"42"; -- 8 when 16#438# => romdata <= X"42"; -- 8 when 16#538# => romdata <= X"42"; -- 8 when 16#638# => romdata <= X"3c"; -- 8 when 16#738# => romdata <= X"42"; -- 8 when 16#838# => romdata <= X"42"; -- 8 when 16#938# => romdata <= X"42"; -- 8 when 16#a38# => romdata <= X"3c"; -- 8 when 16#b38# => romdata <= X"00"; -- 8 when 16#c38# => romdata <= X"00"; -- 8 when 16#039# => romdata <= X"00"; -- 9 when 16#139# => romdata <= X"00"; -- 9 when 16#239# => romdata <= X"3c"; -- 9 when 16#339# => romdata <= X"42"; -- 9 when 16#439# => romdata <= X"42"; -- 9 when 16#539# => romdata <= X"46"; -- 9 when 16#639# => romdata <= X"3a"; -- 9 when 16#739# => romdata <= X"02"; -- 9 when 16#839# => romdata <= X"02"; -- 9 when 16#939# => romdata <= X"04"; -- 9 when 16#a39# => romdata <= X"38"; -- 9 when 16#b39# => romdata <= X"00"; -- 9 when 16#c39# => romdata <= X"00"; -- 9 when 16#03a# => romdata <= X"00"; -- : when 16#13a# => romdata <= X"00"; -- : when 16#23a# => romdata <= X"00"; -- : when 16#33a# => romdata <= X"00"; -- : when 16#43a# => romdata <= X"10"; -- : when 16#53a# => romdata <= X"38"; -- : when 16#63a# => romdata <= X"10"; -- : when 16#73a# => romdata <= X"00"; -- : when 16#83a# => romdata <= X"00"; -- : when 16#93a# => romdata <= X"10"; -- : when 16#a3a# => romdata <= X"38"; -- : when 16#b3a# => romdata <= X"10"; -- : when 16#c3a# => romdata <= X"00"; -- : when 16#03b# => romdata <= X"00"; -- ; when 16#13b# => romdata <= X"00"; -- ; when 16#23b# => romdata <= X"00"; -- ; when 16#33b# => romdata <= X"00"; -- ; when 16#43b# => romdata <= X"10"; -- ; when 16#53b# => romdata <= X"38"; -- ; when 16#63b# => romdata <= X"10"; -- ; when 16#73b# => romdata <= X"00"; -- ; when 16#83b# => romdata <= X"00"; -- ; when 16#93b# => romdata <= X"38"; -- ; when 16#a3b# => romdata <= X"30"; -- ; when 16#b3b# => romdata <= X"40"; -- ; when 16#c3b# => romdata <= X"00"; -- ; when 16#03c# => romdata <= X"00"; -- < when 16#13c# => romdata <= X"00"; -- < when 16#23c# => romdata <= X"02"; -- < when 16#33c# => romdata <= X"04"; -- < when 16#43c# => romdata <= X"08"; -- < when 16#53c# => romdata <= X"10"; -- < when 16#63c# => romdata <= X"20"; -- < when 16#73c# => romdata <= X"10"; -- < when 16#83c# => romdata <= X"08"; -- < when 16#93c# => romdata <= X"04"; -- < when 16#a3c# => romdata <= X"02"; -- < when 16#b3c# => romdata <= X"00"; -- < when 16#c3c# => romdata <= X"00"; -- < when 16#03d# => romdata <= X"00"; -- = when 16#13d# => romdata <= X"00"; -- = when 16#23d# => romdata <= X"00"; -- = when 16#33d# => romdata <= X"00"; -- = when 16#43d# => romdata <= X"00"; -- = when 16#53d# => romdata <= X"7e"; -- = when 16#63d# => romdata <= X"00"; -- = when 16#73d# => romdata <= X"00"; -- = when 16#83d# => romdata <= X"7e"; -- = when 16#93d# => romdata <= X"00"; -- = when 16#a3d# => romdata <= X"00"; -- = when 16#b3d# => romdata <= X"00"; -- = when 16#c3d# => romdata <= X"00"; -- = when 16#03e# => romdata <= X"00"; -- > when 16#13e# => romdata <= X"00"; -- > when 16#23e# => romdata <= X"40"; -- > when 16#33e# => romdata <= X"20"; -- > when 16#43e# => romdata <= X"10"; -- > when 16#53e# => romdata <= X"08"; -- > when 16#63e# => romdata <= X"04"; -- > when 16#73e# => romdata <= X"08"; -- > when 16#83e# => romdata <= X"10"; -- > when 16#93e# => romdata <= X"20"; -- > when 16#a3e# => romdata <= X"40"; -- > when 16#b3e# => romdata <= X"00"; -- > when 16#c3e# => romdata <= X"00"; -- > when 16#03f# => romdata <= X"00"; -- ? when 16#13f# => romdata <= X"00"; -- ? when 16#23f# => romdata <= X"3c"; -- ? when 16#33f# => romdata <= X"42"; -- ? when 16#43f# => romdata <= X"42"; -- ? when 16#53f# => romdata <= X"02"; -- ? when 16#63f# => romdata <= X"04"; -- ? when 16#73f# => romdata <= X"08"; -- ? when 16#83f# => romdata <= X"08"; -- ? when 16#93f# => romdata <= X"00"; -- ? when 16#a3f# => romdata <= X"08"; -- ? when 16#b3f# => romdata <= X"00"; -- ? when 16#c3f# => romdata <= X"00"; -- ? when 16#040# => romdata <= X"00"; -- @ when 16#140# => romdata <= X"00"; -- @ when 16#240# => romdata <= X"3c"; -- @ when 16#340# => romdata <= X"42"; -- @ when 16#440# => romdata <= X"42"; -- @ when 16#540# => romdata <= X"4e"; -- @ when 16#640# => romdata <= X"52"; -- @ when 16#740# => romdata <= X"56"; -- @ when 16#840# => romdata <= X"4a"; -- @ when 16#940# => romdata <= X"40"; -- @ when 16#a40# => romdata <= X"3c"; -- @ when 16#b40# => romdata <= X"00"; -- @ when 16#c40# => romdata <= X"00"; -- @ when 16#041# => romdata <= X"00"; -- A when 16#141# => romdata <= X"00"; -- A when 16#241# => romdata <= X"18"; -- A when 16#341# => romdata <= X"24"; -- A when 16#441# => romdata <= X"42"; -- A when 16#541# => romdata <= X"42"; -- A when 16#641# => romdata <= X"42"; -- A when 16#741# => romdata <= X"7e"; -- A when 16#841# => romdata <= X"42"; -- A when 16#941# => romdata <= X"42"; -- A when 16#a41# => romdata <= X"42"; -- A when 16#b41# => romdata <= X"00"; -- A when 16#c41# => romdata <= X"00"; -- A when 16#042# => romdata <= X"00"; -- B when 16#142# => romdata <= X"00"; -- B when 16#242# => romdata <= X"78"; -- B when 16#342# => romdata <= X"44"; -- B when 16#442# => romdata <= X"42"; -- B when 16#542# => romdata <= X"44"; -- B when 16#642# => romdata <= X"78"; -- B when 16#742# => romdata <= X"44"; -- B when 16#842# => romdata <= X"42"; -- B when 16#942# => romdata <= X"44"; -- B when 16#a42# => romdata <= X"78"; -- B when 16#b42# => romdata <= X"00"; -- B when 16#c42# => romdata <= X"00"; -- B when 16#043# => romdata <= X"00"; -- C when 16#143# => romdata <= X"00"; -- C when 16#243# => romdata <= X"3c"; -- C when 16#343# => romdata <= X"42"; -- C when 16#443# => romdata <= X"40"; -- C when 16#543# => romdata <= X"40"; -- C when 16#643# => romdata <= X"40"; -- C when 16#743# => romdata <= X"40"; -- C when 16#843# => romdata <= X"40"; -- C when 16#943# => romdata <= X"42"; -- C when 16#a43# => romdata <= X"3c"; -- C when 16#b43# => romdata <= X"00"; -- C when 16#c43# => romdata <= X"00"; -- C when 16#044# => romdata <= X"00"; -- D when 16#144# => romdata <= X"00"; -- D when 16#244# => romdata <= X"78"; -- D when 16#344# => romdata <= X"44"; -- D when 16#444# => romdata <= X"42"; -- D when 16#544# => romdata <= X"42"; -- D when 16#644# => romdata <= X"42"; -- D when 16#744# => romdata <= X"42"; -- D when 16#844# => romdata <= X"42"; -- D when 16#944# => romdata <= X"44"; -- D when 16#a44# => romdata <= X"78"; -- D when 16#b44# => romdata <= X"00"; -- D when 16#c44# => romdata <= X"00"; -- D when 16#045# => romdata <= X"00"; -- E when 16#145# => romdata <= X"00"; -- E when 16#245# => romdata <= X"7e"; -- E when 16#345# => romdata <= X"40"; -- E when 16#445# => romdata <= X"40"; -- E when 16#545# => romdata <= X"40"; -- E when 16#645# => romdata <= X"78"; -- E when 16#745# => romdata <= X"40"; -- E when 16#845# => romdata <= X"40"; -- E when 16#945# => romdata <= X"40"; -- E when 16#a45# => romdata <= X"7e"; -- E when 16#b45# => romdata <= X"00"; -- E when 16#c45# => romdata <= X"00"; -- E when 16#046# => romdata <= X"00"; -- F when 16#146# => romdata <= X"00"; -- F when 16#246# => romdata <= X"7e"; -- F when 16#346# => romdata <= X"40"; -- F when 16#446# => romdata <= X"40"; -- F when 16#546# => romdata <= X"40"; -- F when 16#646# => romdata <= X"78"; -- F when 16#746# => romdata <= X"40"; -- F when 16#846# => romdata <= X"40"; -- F when 16#946# => romdata <= X"40"; -- F when 16#a46# => romdata <= X"40"; -- F when 16#b46# => romdata <= X"00"; -- F when 16#c46# => romdata <= X"00"; -- F when 16#047# => romdata <= X"00"; -- G when 16#147# => romdata <= X"00"; -- G when 16#247# => romdata <= X"3c"; -- G when 16#347# => romdata <= X"42"; -- G when 16#447# => romdata <= X"40"; -- G when 16#547# => romdata <= X"40"; -- G when 16#647# => romdata <= X"40"; -- G when 16#747# => romdata <= X"4e"; -- G when 16#847# => romdata <= X"42"; -- G when 16#947# => romdata <= X"46"; -- G when 16#a47# => romdata <= X"3a"; -- G when 16#b47# => romdata <= X"00"; -- G when 16#c47# => romdata <= X"00"; -- G when 16#048# => romdata <= X"00"; -- H when 16#148# => romdata <= X"00"; -- H when 16#248# => romdata <= X"42"; -- H when 16#348# => romdata <= X"42"; -- H when 16#448# => romdata <= X"42"; -- H when 16#548# => romdata <= X"42"; -- H when 16#648# => romdata <= X"7e"; -- H when 16#748# => romdata <= X"42"; -- H when 16#848# => romdata <= X"42"; -- H when 16#948# => romdata <= X"42"; -- H when 16#a48# => romdata <= X"42"; -- H when 16#b48# => romdata <= X"00"; -- H when 16#c48# => romdata <= X"00"; -- H when 16#049# => romdata <= X"00"; -- I when 16#149# => romdata <= X"00"; -- I when 16#249# => romdata <= X"7c"; -- I when 16#349# => romdata <= X"10"; -- I when 16#449# => romdata <= X"10"; -- I when 16#549# => romdata <= X"10"; -- I when 16#649# => romdata <= X"10"; -- I when 16#749# => romdata <= X"10"; -- I when 16#849# => romdata <= X"10"; -- I when 16#949# => romdata <= X"10"; -- I when 16#a49# => romdata <= X"7c"; -- I when 16#b49# => romdata <= X"00"; -- I when 16#c49# => romdata <= X"00"; -- I when 16#04a# => romdata <= X"00"; -- J when 16#14a# => romdata <= X"00"; -- J when 16#24a# => romdata <= X"1f"; -- J when 16#34a# => romdata <= X"04"; -- J when 16#44a# => romdata <= X"04"; -- J when 16#54a# => romdata <= X"04"; -- J when 16#64a# => romdata <= X"04"; -- J when 16#74a# => romdata <= X"04"; -- J when 16#84a# => romdata <= X"04"; -- J when 16#94a# => romdata <= X"44"; -- J when 16#a4a# => romdata <= X"38"; -- J when 16#b4a# => romdata <= X"00"; -- J when 16#c4a# => romdata <= X"00"; -- J when 16#04b# => romdata <= X"00"; -- K when 16#14b# => romdata <= X"00"; -- K when 16#24b# => romdata <= X"42"; -- K when 16#34b# => romdata <= X"44"; -- K when 16#44b# => romdata <= X"48"; -- K when 16#54b# => romdata <= X"50"; -- K when 16#64b# => romdata <= X"60"; -- K when 16#74b# => romdata <= X"50"; -- K when 16#84b# => romdata <= X"48"; -- K when 16#94b# => romdata <= X"44"; -- K when 16#a4b# => romdata <= X"42"; -- K when 16#b4b# => romdata <= X"00"; -- K when 16#c4b# => romdata <= X"00"; -- K when 16#04c# => romdata <= X"00"; -- L when 16#14c# => romdata <= X"00"; -- L when 16#24c# => romdata <= X"40"; -- L when 16#34c# => romdata <= X"40"; -- L when 16#44c# => romdata <= X"40"; -- L when 16#54c# => romdata <= X"40"; -- L when 16#64c# => romdata <= X"40"; -- L when 16#74c# => romdata <= X"40"; -- L when 16#84c# => romdata <= X"40"; -- L when 16#94c# => romdata <= X"40"; -- L when 16#a4c# => romdata <= X"7e"; -- L when 16#b4c# => romdata <= X"00"; -- L when 16#c4c# => romdata <= X"00"; -- L when 16#04d# => romdata <= X"00"; -- M when 16#14d# => romdata <= X"00"; -- M when 16#24d# => romdata <= X"82"; -- M when 16#34d# => romdata <= X"82"; -- M when 16#44d# => romdata <= X"c6"; -- M when 16#54d# => romdata <= X"aa"; -- M when 16#64d# => romdata <= X"92"; -- M when 16#74d# => romdata <= X"92"; -- M when 16#84d# => romdata <= X"82"; -- M when 16#94d# => romdata <= X"82"; -- M when 16#a4d# => romdata <= X"82"; -- M when 16#b4d# => romdata <= X"00"; -- M when 16#c4d# => romdata <= X"00"; -- M when 16#04e# => romdata <= X"00"; -- N when 16#14e# => romdata <= X"00"; -- N when 16#24e# => romdata <= X"42"; -- N when 16#34e# => romdata <= X"42"; -- N when 16#44e# => romdata <= X"62"; -- N when 16#54e# => romdata <= X"52"; -- N when 16#64e# => romdata <= X"4a"; -- N when 16#74e# => romdata <= X"46"; -- N when 16#84e# => romdata <= X"42"; -- N when 16#94e# => romdata <= X"42"; -- N when 16#a4e# => romdata <= X"42"; -- N when 16#b4e# => romdata <= X"00"; -- N when 16#c4e# => romdata <= X"00"; -- N when 16#04f# => romdata <= X"00"; -- O when 16#14f# => romdata <= X"00"; -- O when 16#24f# => romdata <= X"3c"; -- O when 16#34f# => romdata <= X"42"; -- O when 16#44f# => romdata <= X"42"; -- O when 16#54f# => romdata <= X"42"; -- O when 16#64f# => romdata <= X"42"; -- O when 16#74f# => romdata <= X"42"; -- O when 16#84f# => romdata <= X"42"; -- O when 16#94f# => romdata <= X"42"; -- O when 16#a4f# => romdata <= X"3c"; -- O when 16#b4f# => romdata <= X"00"; -- O when 16#c4f# => romdata <= X"00"; -- O when 16#050# => romdata <= X"00"; -- P when 16#150# => romdata <= X"00"; -- P when 16#250# => romdata <= X"7c"; -- P when 16#350# => romdata <= X"42"; -- P when 16#450# => romdata <= X"42"; -- P when 16#550# => romdata <= X"42"; -- P when 16#650# => romdata <= X"7c"; -- P when 16#750# => romdata <= X"40"; -- P when 16#850# => romdata <= X"40"; -- P when 16#950# => romdata <= X"40"; -- P when 16#a50# => romdata <= X"40"; -- P when 16#b50# => romdata <= X"00"; -- P when 16#c50# => romdata <= X"00"; -- P when 16#051# => romdata <= X"00"; -- Q when 16#151# => romdata <= X"00"; -- Q when 16#251# => romdata <= X"3c"; -- Q when 16#351# => romdata <= X"42"; -- Q when 16#451# => romdata <= X"42"; -- Q when 16#551# => romdata <= X"42"; -- Q when 16#651# => romdata <= X"42"; -- Q when 16#751# => romdata <= X"42"; -- Q when 16#851# => romdata <= X"52"; -- Q when 16#951# => romdata <= X"4a"; -- Q when 16#a51# => romdata <= X"3c"; -- Q when 16#b51# => romdata <= X"02"; -- Q when 16#c51# => romdata <= X"00"; -- Q when 16#052# => romdata <= X"00"; -- R when 16#152# => romdata <= X"00"; -- R when 16#252# => romdata <= X"7c"; -- R when 16#352# => romdata <= X"42"; -- R when 16#452# => romdata <= X"42"; -- R when 16#552# => romdata <= X"42"; -- R when 16#652# => romdata <= X"7c"; -- R when 16#752# => romdata <= X"50"; -- R when 16#852# => romdata <= X"48"; -- R when 16#952# => romdata <= X"44"; -- R when 16#a52# => romdata <= X"42"; -- R when 16#b52# => romdata <= X"00"; -- R when 16#c52# => romdata <= X"00"; -- R when 16#053# => romdata <= X"00"; -- S when 16#153# => romdata <= X"00"; -- S when 16#253# => romdata <= X"3c"; -- S when 16#353# => romdata <= X"42"; -- S when 16#453# => romdata <= X"40"; -- S when 16#553# => romdata <= X"40"; -- S when 16#653# => romdata <= X"3c"; -- S when 16#753# => romdata <= X"02"; -- S when 16#853# => romdata <= X"02"; -- S when 16#953# => romdata <= X"42"; -- S when 16#a53# => romdata <= X"3c"; -- S when 16#b53# => romdata <= X"00"; -- S when 16#c53# => romdata <= X"00"; -- S when 16#054# => romdata <= X"00"; -- T when 16#154# => romdata <= X"00"; -- T when 16#254# => romdata <= X"fe"; -- T when 16#354# => romdata <= X"10"; -- T when 16#454# => romdata <= X"10"; -- T when 16#554# => romdata <= X"10"; -- T when 16#654# => romdata <= X"10"; -- T when 16#754# => romdata <= X"10"; -- T when 16#854# => romdata <= X"10"; -- T when 16#954# => romdata <= X"10"; -- T when 16#a54# => romdata <= X"10"; -- T when 16#b54# => romdata <= X"00"; -- T when 16#c54# => romdata <= X"00"; -- T when 16#055# => romdata <= X"00"; -- U when 16#155# => romdata <= X"00"; -- U when 16#255# => romdata <= X"42"; -- U when 16#355# => romdata <= X"42"; -- U when 16#455# => romdata <= X"42"; -- U when 16#555# => romdata <= X"42"; -- U when 16#655# => romdata <= X"42"; -- U when 16#755# => romdata <= X"42"; -- U when 16#855# => romdata <= X"42"; -- U when 16#955# => romdata <= X"42"; -- U when 16#a55# => romdata <= X"3c"; -- U when 16#b55# => romdata <= X"00"; -- U when 16#c55# => romdata <= X"00"; -- U when 16#056# => romdata <= X"00"; -- V when 16#156# => romdata <= X"00"; -- V when 16#256# => romdata <= X"82"; -- V when 16#356# => romdata <= X"82"; -- V when 16#456# => romdata <= X"44"; -- V when 16#556# => romdata <= X"44"; -- V when 16#656# => romdata <= X"44"; -- V when 16#756# => romdata <= X"28"; -- V when 16#856# => romdata <= X"28"; -- V when 16#956# => romdata <= X"28"; -- V when 16#a56# => romdata <= X"10"; -- V when 16#b56# => romdata <= X"00"; -- V when 16#c56# => romdata <= X"00"; -- V when 16#057# => romdata <= X"00"; -- W when 16#157# => romdata <= X"00"; -- W when 16#257# => romdata <= X"82"; -- W when 16#357# => romdata <= X"82"; -- W when 16#457# => romdata <= X"82"; -- W when 16#557# => romdata <= X"82"; -- W when 16#657# => romdata <= X"92"; -- W when 16#757# => romdata <= X"92"; -- W when 16#857# => romdata <= X"92"; -- W when 16#957# => romdata <= X"aa"; -- W when 16#a57# => romdata <= X"44"; -- W when 16#b57# => romdata <= X"00"; -- W when 16#c57# => romdata <= X"00"; -- W when 16#058# => romdata <= X"00"; -- X when 16#158# => romdata <= X"00"; -- X when 16#258# => romdata <= X"82"; -- X when 16#358# => romdata <= X"82"; -- X when 16#458# => romdata <= X"44"; -- X when 16#558# => romdata <= X"28"; -- X when 16#658# => romdata <= X"10"; -- X when 16#758# => romdata <= X"28"; -- X when 16#858# => romdata <= X"44"; -- X when 16#958# => romdata <= X"82"; -- X when 16#a58# => romdata <= X"82"; -- X when 16#b58# => romdata <= X"00"; -- X when 16#c58# => romdata <= X"00"; -- X when 16#059# => romdata <= X"00"; -- Y when 16#159# => romdata <= X"00"; -- Y when 16#259# => romdata <= X"82"; -- Y when 16#359# => romdata <= X"82"; -- Y when 16#459# => romdata <= X"44"; -- Y when 16#559# => romdata <= X"28"; -- Y when 16#659# => romdata <= X"10"; -- Y when 16#759# => romdata <= X"10"; -- Y when 16#859# => romdata <= X"10"; -- Y when 16#959# => romdata <= X"10"; -- Y when 16#a59# => romdata <= X"10"; -- Y when 16#b59# => romdata <= X"00"; -- Y when 16#c59# => romdata <= X"00"; -- Y when 16#05a# => romdata <= X"00"; -- Z when 16#15a# => romdata <= X"00"; -- Z when 16#25a# => romdata <= X"7e"; -- Z when 16#35a# => romdata <= X"02"; -- Z when 16#45a# => romdata <= X"04"; -- Z when 16#55a# => romdata <= X"08"; -- Z when 16#65a# => romdata <= X"10"; -- Z when 16#75a# => romdata <= X"20"; -- Z when 16#85a# => romdata <= X"40"; -- Z when 16#95a# => romdata <= X"40"; -- Z when 16#a5a# => romdata <= X"7e"; -- Z when 16#b5a# => romdata <= X"00"; -- Z when 16#c5a# => romdata <= X"00"; -- Z when 16#05b# => romdata <= X"00"; -- [ when 16#15b# => romdata <= X"00"; -- [ when 16#25b# => romdata <= X"3c"; -- [ when 16#35b# => romdata <= X"20"; -- [ when 16#45b# => romdata <= X"20"; -- [ when 16#55b# => romdata <= X"20"; -- [ when 16#65b# => romdata <= X"20"; -- [ when 16#75b# => romdata <= X"20"; -- [ when 16#85b# => romdata <= X"20"; -- [ when 16#95b# => romdata <= X"20"; -- [ when 16#a5b# => romdata <= X"3c"; -- [ when 16#b5b# => romdata <= X"00"; -- [ when 16#c5b# => romdata <= X"00"; -- [ when 16#05c# => romdata <= X"00"; -- \ when 16#15c# => romdata <= X"00"; -- \ when 16#25c# => romdata <= X"80"; -- \ when 16#35c# => romdata <= X"80"; -- \ when 16#45c# => romdata <= X"40"; -- \ when 16#55c# => romdata <= X"20"; -- \ when 16#65c# => romdata <= X"10"; -- \ when 16#75c# => romdata <= X"08"; -- \ when 16#85c# => romdata <= X"04"; -- \ when 16#95c# => romdata <= X"02"; -- \ when 16#a5c# => romdata <= X"02"; -- \ when 16#b5c# => romdata <= X"00"; -- \ when 16#c5c# => romdata <= X"00"; -- \ when 16#05d# => romdata <= X"00"; -- ] when 16#15d# => romdata <= X"00"; -- ] when 16#25d# => romdata <= X"78"; -- ] when 16#35d# => romdata <= X"08"; -- ] when 16#45d# => romdata <= X"08"; -- ] when 16#55d# => romdata <= X"08"; -- ] when 16#65d# => romdata <= X"08"; -- ] when 16#75d# => romdata <= X"08"; -- ] when 16#85d# => romdata <= X"08"; -- ] when 16#95d# => romdata <= X"08"; -- ] when 16#a5d# => romdata <= X"78"; -- ] when 16#b5d# => romdata <= X"00"; -- ] when 16#c5d# => romdata <= X"00"; -- ] when 16#05e# => romdata <= X"00"; -- ^ when 16#15e# => romdata <= X"00"; -- ^ when 16#25e# => romdata <= X"10"; -- ^ when 16#35e# => romdata <= X"28"; -- ^ when 16#45e# => romdata <= X"44"; -- ^ when 16#55e# => romdata <= X"00"; -- ^ when 16#65e# => romdata <= X"00"; -- ^ when 16#75e# => romdata <= X"00"; -- ^ when 16#85e# => romdata <= X"00"; -- ^ when 16#95e# => romdata <= X"00"; -- ^ when 16#a5e# => romdata <= X"00"; -- ^ when 16#b5e# => romdata <= X"00"; -- ^ when 16#c5e# => romdata <= X"00"; -- ^ when 16#05f# => romdata <= X"00"; -- _ when 16#15f# => romdata <= X"00"; -- _ when 16#25f# => romdata <= X"00"; -- _ when 16#35f# => romdata <= X"00"; -- _ when 16#45f# => romdata <= X"00"; -- _ when 16#55f# => romdata <= X"00"; -- _ when 16#65f# => romdata <= X"00"; -- _ when 16#75f# => romdata <= X"00"; -- _ when 16#85f# => romdata <= X"00"; -- _ when 16#95f# => romdata <= X"00"; -- _ when 16#a5f# => romdata <= X"00"; -- _ when 16#b5f# => romdata <= X"fe"; -- _ when 16#c5f# => romdata <= X"00"; -- _ when 16#060# => romdata <= X"00"; -- ` when 16#160# => romdata <= X"10"; -- ` when 16#260# => romdata <= X"08"; -- ` when 16#360# => romdata <= X"00"; -- ` when 16#460# => romdata <= X"00"; -- ` when 16#560# => romdata <= X"00"; -- ` when 16#660# => romdata <= X"00"; -- ` when 16#760# => romdata <= X"00"; -- ` when 16#860# => romdata <= X"00"; -- ` when 16#960# => romdata <= X"00"; -- ` when 16#a60# => romdata <= X"00"; -- ` when 16#b60# => romdata <= X"00"; -- ` when 16#c60# => romdata <= X"00"; -- ` when 16#061# => romdata <= X"00"; -- a when 16#161# => romdata <= X"00"; -- a when 16#261# => romdata <= X"00"; -- a when 16#361# => romdata <= X"00"; -- a when 16#461# => romdata <= X"00"; -- a when 16#561# => romdata <= X"3c"; -- a when 16#661# => romdata <= X"02"; -- a when 16#761# => romdata <= X"3e"; -- a when 16#861# => romdata <= X"42"; -- a when 16#961# => romdata <= X"46"; -- a when 16#a61# => romdata <= X"3a"; -- a when 16#b61# => romdata <= X"00"; -- a when 16#c61# => romdata <= X"00"; -- a when 16#062# => romdata <= X"00"; -- b when 16#162# => romdata <= X"00"; -- b when 16#262# => romdata <= X"40"; -- b when 16#362# => romdata <= X"40"; -- b when 16#462# => romdata <= X"40"; -- b when 16#562# => romdata <= X"5c"; -- b when 16#662# => romdata <= X"62"; -- b when 16#762# => romdata <= X"42"; -- b when 16#862# => romdata <= X"42"; -- b when 16#962# => romdata <= X"62"; -- b when 16#a62# => romdata <= X"5c"; -- b when 16#b62# => romdata <= X"00"; -- b when 16#c62# => romdata <= X"00"; -- b when 16#063# => romdata <= X"00"; -- c when 16#163# => romdata <= X"00"; -- c when 16#263# => romdata <= X"00"; -- c when 16#363# => romdata <= X"00"; -- c when 16#463# => romdata <= X"00"; -- c when 16#563# => romdata <= X"3c"; -- c when 16#663# => romdata <= X"42"; -- c when 16#763# => romdata <= X"40"; -- c when 16#863# => romdata <= X"40"; -- c when 16#963# => romdata <= X"42"; -- c when 16#a63# => romdata <= X"3c"; -- c when 16#b63# => romdata <= X"00"; -- c when 16#c63# => romdata <= X"00"; -- c when 16#064# => romdata <= X"00"; -- d when 16#164# => romdata <= X"00"; -- d when 16#264# => romdata <= X"02"; -- d when 16#364# => romdata <= X"02"; -- d when 16#464# => romdata <= X"02"; -- d when 16#564# => romdata <= X"3a"; -- d when 16#664# => romdata <= X"46"; -- d when 16#764# => romdata <= X"42"; -- d when 16#864# => romdata <= X"42"; -- d when 16#964# => romdata <= X"46"; -- d when 16#a64# => romdata <= X"3a"; -- d when 16#b64# => romdata <= X"00"; -- d when 16#c64# => romdata <= X"00"; -- d when 16#065# => romdata <= X"00"; -- e when 16#165# => romdata <= X"00"; -- e when 16#265# => romdata <= X"00"; -- e when 16#365# => romdata <= X"00"; -- e when 16#465# => romdata <= X"00"; -- e when 16#565# => romdata <= X"3c"; -- e when 16#665# => romdata <= X"42"; -- e when 16#765# => romdata <= X"7e"; -- e when 16#865# => romdata <= X"40"; -- e when 16#965# => romdata <= X"42"; -- e when 16#a65# => romdata <= X"3c"; -- e when 16#b65# => romdata <= X"00"; -- e when 16#c65# => romdata <= X"00"; -- e when 16#066# => romdata <= X"00"; -- f when 16#166# => romdata <= X"00"; -- f when 16#266# => romdata <= X"1c"; -- f when 16#366# => romdata <= X"22"; -- f when 16#466# => romdata <= X"20"; -- f when 16#566# => romdata <= X"20"; -- f when 16#666# => romdata <= X"7c"; -- f when 16#766# => romdata <= X"20"; -- f when 16#866# => romdata <= X"20"; -- f when 16#966# => romdata <= X"20"; -- f when 16#a66# => romdata <= X"20"; -- f when 16#b66# => romdata <= X"00"; -- f when 16#c66# => romdata <= X"00"; -- f when 16#067# => romdata <= X"00"; -- g when 16#167# => romdata <= X"00"; -- g when 16#267# => romdata <= X"00"; -- g when 16#367# => romdata <= X"00"; -- g when 16#467# => romdata <= X"00"; -- g when 16#567# => romdata <= X"3a"; -- g when 16#667# => romdata <= X"44"; -- g when 16#767# => romdata <= X"44"; -- g when 16#867# => romdata <= X"38"; -- g when 16#967# => romdata <= X"40"; -- g when 16#a67# => romdata <= X"3c"; -- g when 16#b67# => romdata <= X"42"; -- g when 16#c67# => romdata <= X"3c"; -- g when 16#068# => romdata <= X"00"; -- h when 16#168# => romdata <= X"00"; -- h when 16#268# => romdata <= X"40"; -- h when 16#368# => romdata <= X"40"; -- h when 16#468# => romdata <= X"40"; -- h when 16#568# => romdata <= X"5c"; -- h when 16#668# => romdata <= X"62"; -- h when 16#768# => romdata <= X"42"; -- h when 16#868# => romdata <= X"42"; -- h when 16#968# => romdata <= X"42"; -- h when 16#a68# => romdata <= X"42"; -- h when 16#b68# => romdata <= X"00"; -- h when 16#c68# => romdata <= X"00"; -- h when 16#069# => romdata <= X"00"; -- i when 16#169# => romdata <= X"00"; -- i when 16#269# => romdata <= X"00"; -- i when 16#369# => romdata <= X"10"; -- i when 16#469# => romdata <= X"00"; -- i when 16#569# => romdata <= X"30"; -- i when 16#669# => romdata <= X"10"; -- i when 16#769# => romdata <= X"10"; -- i when 16#869# => romdata <= X"10"; -- i when 16#969# => romdata <= X"10"; -- i when 16#a69# => romdata <= X"7c"; -- i when 16#b69# => romdata <= X"00"; -- i when 16#c69# => romdata <= X"00"; -- i when 16#06a# => romdata <= X"00"; -- j when 16#16a# => romdata <= X"00"; -- j when 16#26a# => romdata <= X"00"; -- j when 16#36a# => romdata <= X"04"; -- j when 16#46a# => romdata <= X"00"; -- j when 16#56a# => romdata <= X"0c"; -- j when 16#66a# => romdata <= X"04"; -- j when 16#76a# => romdata <= X"04"; -- j when 16#86a# => romdata <= X"04"; -- j when 16#96a# => romdata <= X"04"; -- j when 16#a6a# => romdata <= X"44"; -- j when 16#b6a# => romdata <= X"44"; -- j when 16#c6a# => romdata <= X"38"; -- j when 16#06b# => romdata <= X"00"; -- k when 16#16b# => romdata <= X"00"; -- k when 16#26b# => romdata <= X"40"; -- k when 16#36b# => romdata <= X"40"; -- k when 16#46b# => romdata <= X"40"; -- k when 16#56b# => romdata <= X"44"; -- k when 16#66b# => romdata <= X"48"; -- k when 16#76b# => romdata <= X"70"; -- k when 16#86b# => romdata <= X"48"; -- k when 16#96b# => romdata <= X"44"; -- k when 16#a6b# => romdata <= X"42"; -- k when 16#b6b# => romdata <= X"00"; -- k when 16#c6b# => romdata <= X"00"; -- k when 16#06c# => romdata <= X"00"; -- l when 16#16c# => romdata <= X"00"; -- l when 16#26c# => romdata <= X"30"; -- l when 16#36c# => romdata <= X"10"; -- l when 16#46c# => romdata <= X"10"; -- l when 16#56c# => romdata <= X"10"; -- l when 16#66c# => romdata <= X"10"; -- l when 16#76c# => romdata <= X"10"; -- l when 16#86c# => romdata <= X"10"; -- l when 16#96c# => romdata <= X"10"; -- l when 16#a6c# => romdata <= X"7c"; -- l when 16#b6c# => romdata <= X"00"; -- l when 16#c6c# => romdata <= X"00"; -- l when 16#06d# => romdata <= X"00"; -- m when 16#16d# => romdata <= X"00"; -- m when 16#26d# => romdata <= X"00"; -- m when 16#36d# => romdata <= X"00"; -- m when 16#46d# => romdata <= X"00"; -- m when 16#56d# => romdata <= X"ec"; -- m when 16#66d# => romdata <= X"92"; -- m when 16#76d# => romdata <= X"92"; -- m when 16#86d# => romdata <= X"92"; -- m when 16#96d# => romdata <= X"92"; -- m when 16#a6d# => romdata <= X"82"; -- m when 16#b6d# => romdata <= X"00"; -- m when 16#c6d# => romdata <= X"00"; -- m when 16#06e# => romdata <= X"00"; -- n when 16#16e# => romdata <= X"00"; -- n when 16#26e# => romdata <= X"00"; -- n when 16#36e# => romdata <= X"00"; -- n when 16#46e# => romdata <= X"00"; -- n when 16#56e# => romdata <= X"5c"; -- n when 16#66e# => romdata <= X"62"; -- n when 16#76e# => romdata <= X"42"; -- n when 16#86e# => romdata <= X"42"; -- n when 16#96e# => romdata <= X"42"; -- n when 16#a6e# => romdata <= X"42"; -- n when 16#b6e# => romdata <= X"00"; -- n when 16#c6e# => romdata <= X"00"; -- n when 16#06f# => romdata <= X"00"; -- o when 16#16f# => romdata <= X"00"; -- o when 16#26f# => romdata <= X"00"; -- o when 16#36f# => romdata <= X"00"; -- o when 16#46f# => romdata <= X"00"; -- o when 16#56f# => romdata <= X"3c"; -- o when 16#66f# => romdata <= X"42"; -- o when 16#76f# => romdata <= X"42"; -- o when 16#86f# => romdata <= X"42"; -- o when 16#96f# => romdata <= X"42"; -- o when 16#a6f# => romdata <= X"3c"; -- o when 16#b6f# => romdata <= X"00"; -- o when 16#c6f# => romdata <= X"00"; -- o when 16#070# => romdata <= X"00"; -- p when 16#170# => romdata <= X"00"; -- p when 16#270# => romdata <= X"00"; -- p when 16#370# => romdata <= X"00"; -- p when 16#470# => romdata <= X"00"; -- p when 16#570# => romdata <= X"5c"; -- p when 16#670# => romdata <= X"62"; -- p when 16#770# => romdata <= X"42"; -- p when 16#870# => romdata <= X"62"; -- p when 16#970# => romdata <= X"5c"; -- p when 16#a70# => romdata <= X"40"; -- p when 16#b70# => romdata <= X"40"; -- p when 16#c70# => romdata <= X"40"; -- p when 16#071# => romdata <= X"00"; -- q when 16#171# => romdata <= X"00"; -- q when 16#271# => romdata <= X"00"; -- q when 16#371# => romdata <= X"00"; -- q when 16#471# => romdata <= X"00"; -- q when 16#571# => romdata <= X"3a"; -- q when 16#671# => romdata <= X"46"; -- q when 16#771# => romdata <= X"42"; -- q when 16#871# => romdata <= X"46"; -- q when 16#971# => romdata <= X"3a"; -- q when 16#a71# => romdata <= X"02"; -- q when 16#b71# => romdata <= X"02"; -- q when 16#c71# => romdata <= X"02"; -- q when 16#072# => romdata <= X"00"; -- r when 16#172# => romdata <= X"00"; -- r when 16#272# => romdata <= X"00"; -- r when 16#372# => romdata <= X"00"; -- r when 16#472# => romdata <= X"00"; -- r when 16#572# => romdata <= X"5c"; -- r when 16#672# => romdata <= X"22"; -- r when 16#772# => romdata <= X"20"; -- r when 16#872# => romdata <= X"20"; -- r when 16#972# => romdata <= X"20"; -- r when 16#a72# => romdata <= X"20"; -- r when 16#b72# => romdata <= X"00"; -- r when 16#c72# => romdata <= X"00"; -- r when 16#073# => romdata <= X"00"; -- s when 16#173# => romdata <= X"00"; -- s when 16#273# => romdata <= X"00"; -- s when 16#373# => romdata <= X"00"; -- s when 16#473# => romdata <= X"00"; -- s when 16#573# => romdata <= X"3c"; -- s when 16#673# => romdata <= X"42"; -- s when 16#773# => romdata <= X"30"; -- s when 16#873# => romdata <= X"0c"; -- s when 16#973# => romdata <= X"42"; -- s when 16#a73# => romdata <= X"3c"; -- s when 16#b73# => romdata <= X"00"; -- s when 16#c73# => romdata <= X"00"; -- s when 16#074# => romdata <= X"00"; -- t when 16#174# => romdata <= X"00"; -- t when 16#274# => romdata <= X"00"; -- t when 16#374# => romdata <= X"20"; -- t when 16#474# => romdata <= X"20"; -- t when 16#574# => romdata <= X"7c"; -- t when 16#674# => romdata <= X"20"; -- t when 16#774# => romdata <= X"20"; -- t when 16#874# => romdata <= X"20"; -- t when 16#974# => romdata <= X"22"; -- t when 16#a74# => romdata <= X"1c"; -- t when 16#b74# => romdata <= X"00"; -- t when 16#c74# => romdata <= X"00"; -- t when 16#075# => romdata <= X"00"; -- u when 16#175# => romdata <= X"00"; -- u when 16#275# => romdata <= X"00"; -- u when 16#375# => romdata <= X"00"; -- u when 16#475# => romdata <= X"00"; -- u when 16#575# => romdata <= X"44"; -- u when 16#675# => romdata <= X"44"; -- u when 16#775# => romdata <= X"44"; -- u when 16#875# => romdata <= X"44"; -- u when 16#975# => romdata <= X"44"; -- u when 16#a75# => romdata <= X"3a"; -- u when 16#b75# => romdata <= X"00"; -- u when 16#c75# => romdata <= X"00"; -- u when 16#076# => romdata <= X"00"; -- v when 16#176# => romdata <= X"00"; -- v when 16#276# => romdata <= X"00"; -- v when 16#376# => romdata <= X"00"; -- v when 16#476# => romdata <= X"00"; -- v when 16#576# => romdata <= X"44"; -- v when 16#676# => romdata <= X"44"; -- v when 16#776# => romdata <= X"44"; -- v when 16#876# => romdata <= X"28"; -- v when 16#976# => romdata <= X"28"; -- v when 16#a76# => romdata <= X"10"; -- v when 16#b76# => romdata <= X"00"; -- v when 16#c76# => romdata <= X"00"; -- v when 16#077# => romdata <= X"00"; -- w when 16#177# => romdata <= X"00"; -- w when 16#277# => romdata <= X"00"; -- w when 16#377# => romdata <= X"00"; -- w when 16#477# => romdata <= X"00"; -- w when 16#577# => romdata <= X"82"; -- w when 16#677# => romdata <= X"82"; -- w when 16#777# => romdata <= X"92"; -- w when 16#877# => romdata <= X"92"; -- w when 16#977# => romdata <= X"aa"; -- w when 16#a77# => romdata <= X"44"; -- w when 16#b77# => romdata <= X"00"; -- w when 16#c77# => romdata <= X"00"; -- w when 16#078# => romdata <= X"00"; -- x when 16#178# => romdata <= X"00"; -- x when 16#278# => romdata <= X"00"; -- x when 16#378# => romdata <= X"00"; -- x when 16#478# => romdata <= X"00"; -- x when 16#578# => romdata <= X"42"; -- x when 16#678# => romdata <= X"24"; -- x when 16#778# => romdata <= X"18"; -- x when 16#878# => romdata <= X"18"; -- x when 16#978# => romdata <= X"24"; -- x when 16#a78# => romdata <= X"42"; -- x when 16#b78# => romdata <= X"00"; -- x when 16#c78# => romdata <= X"00"; -- x when 16#079# => romdata <= X"00"; -- y when 16#179# => romdata <= X"00"; -- y when 16#279# => romdata <= X"00"; -- y when 16#379# => romdata <= X"00"; -- y when 16#479# => romdata <= X"00"; -- y when 16#579# => romdata <= X"42"; -- y when 16#679# => romdata <= X"42"; -- y when 16#779# => romdata <= X"42"; -- y when 16#879# => romdata <= X"46"; -- y when 16#979# => romdata <= X"3a"; -- y when 16#a79# => romdata <= X"02"; -- y when 16#b79# => romdata <= X"42"; -- y when 16#c79# => romdata <= X"3c"; -- y when 16#07a# => romdata <= X"00"; -- z when 16#17a# => romdata <= X"00"; -- z when 16#27a# => romdata <= X"00"; -- z when 16#37a# => romdata <= X"00"; -- z when 16#47a# => romdata <= X"00"; -- z when 16#57a# => romdata <= X"7e"; -- z when 16#67a# => romdata <= X"04"; -- z when 16#77a# => romdata <= X"08"; -- z when 16#87a# => romdata <= X"10"; -- z when 16#97a# => romdata <= X"20"; -- z when 16#a7a# => romdata <= X"7e"; -- z when 16#b7a# => romdata <= X"00"; -- z when 16#c7a# => romdata <= X"00"; -- z when 16#07b# => romdata <= X"00"; -- { when 16#17b# => romdata <= X"00"; -- { when 16#27b# => romdata <= X"0e"; -- { when 16#37b# => romdata <= X"10"; -- { when 16#47b# => romdata <= X"10"; -- { when 16#57b# => romdata <= X"08"; -- { when 16#67b# => romdata <= X"30"; -- { when 16#77b# => romdata <= X"08"; -- { when 16#87b# => romdata <= X"10"; -- { when 16#97b# => romdata <= X"10"; -- { when 16#a7b# => romdata <= X"0e"; -- { when 16#b7b# => romdata <= X"00"; -- { when 16#c7b# => romdata <= X"00"; -- { when 16#07c# => romdata <= X"00"; -- | when 16#17c# => romdata <= X"00"; -- | when 16#27c# => romdata <= X"10"; -- | when 16#37c# => romdata <= X"10"; -- | when 16#47c# => romdata <= X"10"; -- | when 16#57c# => romdata <= X"10"; -- | when 16#67c# => romdata <= X"10"; -- | when 16#77c# => romdata <= X"10"; -- | when 16#87c# => romdata <= X"10"; -- | when 16#97c# => romdata <= X"10"; -- | when 16#a7c# => romdata <= X"10"; -- | when 16#b7c# => romdata <= X"00"; -- | when 16#c7c# => romdata <= X"00"; -- | when 16#07d# => romdata <= X"00"; -- } when 16#17d# => romdata <= X"00"; -- } when 16#27d# => romdata <= X"70"; -- } when 16#37d# => romdata <= X"08"; -- } when 16#47d# => romdata <= X"08"; -- } when 16#57d# => romdata <= X"10"; -- } when 16#67d# => romdata <= X"0c"; -- } when 16#77d# => romdata <= X"10"; -- } when 16#87d# => romdata <= X"08"; -- } when 16#97d# => romdata <= X"08"; -- } when 16#a7d# => romdata <= X"70"; -- } when 16#b7d# => romdata <= X"00"; -- } when 16#c7d# => romdata <= X"00"; -- } when 16#07e# => romdata <= X"00"; -- ~ when 16#17e# => romdata <= X"00"; -- ~ when 16#27e# => romdata <= X"24"; -- ~ when 16#37e# => romdata <= X"54"; -- ~ when 16#47e# => romdata <= X"48"; -- ~ when 16#57e# => romdata <= X"00"; -- ~ when 16#67e# => romdata <= X"00"; -- ~ when 16#77e# => romdata <= X"00"; -- ~ when 16#87e# => romdata <= X"00"; -- ~ when 16#97e# => romdata <= X"00"; -- ~ when 16#a7e# => romdata <= X"00"; -- ~ when 16#b7e# => romdata <= X"00"; -- ~ when 16#c7e# => romdata <= X"00"; -- ~ when 16#0a0# => romdata <= X"00"; --   when 16#1a0# => romdata <= X"00"; --   when 16#2a0# => romdata <= X"00"; --   when 16#3a0# => romdata <= X"00"; --   when 16#4a0# => romdata <= X"00"; --   when 16#5a0# => romdata <= X"00"; --   when 16#6a0# => romdata <= X"00"; --   when 16#7a0# => romdata <= X"00"; --   when 16#8a0# => romdata <= X"00"; --   when 16#9a0# => romdata <= X"00"; --   when 16#aa0# => romdata <= X"00"; --   when 16#ba0# => romdata <= X"00"; --   when 16#ca0# => romdata <= X"00"; --   when 16#0a1# => romdata <= X"00"; -- ¡ when 16#1a1# => romdata <= X"00"; -- ¡ when 16#2a1# => romdata <= X"10"; -- ¡ when 16#3a1# => romdata <= X"00"; -- ¡ when 16#4a1# => romdata <= X"10"; -- ¡ when 16#5a1# => romdata <= X"10"; -- ¡ when 16#6a1# => romdata <= X"10"; -- ¡ when 16#7a1# => romdata <= X"10"; -- ¡ when 16#8a1# => romdata <= X"10"; -- ¡ when 16#9a1# => romdata <= X"10"; -- ¡ when 16#aa1# => romdata <= X"10"; -- ¡ when 16#ba1# => romdata <= X"00"; -- ¡ when 16#ca1# => romdata <= X"00"; -- ¡ when 16#0a2# => romdata <= X"00"; -- ¢ when 16#1a2# => romdata <= X"00"; -- ¢ when 16#2a2# => romdata <= X"10"; -- ¢ when 16#3a2# => romdata <= X"38"; -- ¢ when 16#4a2# => romdata <= X"54"; -- ¢ when 16#5a2# => romdata <= X"50"; -- ¢ when 16#6a2# => romdata <= X"50"; -- ¢ when 16#7a2# => romdata <= X"54"; -- ¢ when 16#8a2# => romdata <= X"38"; -- ¢ when 16#9a2# => romdata <= X"10"; -- ¢ when 16#aa2# => romdata <= X"00"; -- ¢ when 16#ba2# => romdata <= X"00"; -- ¢ when 16#ca2# => romdata <= X"00"; -- ¢ when 16#0a3# => romdata <= X"00"; -- £ when 16#1a3# => romdata <= X"00"; -- £ when 16#2a3# => romdata <= X"1c"; -- £ when 16#3a3# => romdata <= X"22"; -- £ when 16#4a3# => romdata <= X"20"; -- £ when 16#5a3# => romdata <= X"70"; -- £ when 16#6a3# => romdata <= X"20"; -- £ when 16#7a3# => romdata <= X"20"; -- £ when 16#8a3# => romdata <= X"20"; -- £ when 16#9a3# => romdata <= X"62"; -- £ when 16#aa3# => romdata <= X"dc"; -- £ when 16#ba3# => romdata <= X"00"; -- £ when 16#ca3# => romdata <= X"00"; -- £ when 16#0a4# => romdata <= X"00"; -- ¤ when 16#1a4# => romdata <= X"00"; -- ¤ when 16#2a4# => romdata <= X"00"; -- ¤ when 16#3a4# => romdata <= X"00"; -- ¤ when 16#4a4# => romdata <= X"42"; -- ¤ when 16#5a4# => romdata <= X"3c"; -- ¤ when 16#6a4# => romdata <= X"24"; -- ¤ when 16#7a4# => romdata <= X"24"; -- ¤ when 16#8a4# => romdata <= X"3c"; -- ¤ when 16#9a4# => romdata <= X"42"; -- ¤ when 16#aa4# => romdata <= X"00"; -- ¤ when 16#ba4# => romdata <= X"00"; -- ¤ when 16#ca4# => romdata <= X"00"; -- ¤ when 16#0a5# => romdata <= X"00"; -- ¥ when 16#1a5# => romdata <= X"00"; -- ¥ when 16#2a5# => romdata <= X"82"; -- ¥ when 16#3a5# => romdata <= X"82"; -- ¥ when 16#4a5# => romdata <= X"44"; -- ¥ when 16#5a5# => romdata <= X"28"; -- ¥ when 16#6a5# => romdata <= X"7c"; -- ¥ when 16#7a5# => romdata <= X"10"; -- ¥ when 16#8a5# => romdata <= X"7c"; -- ¥ when 16#9a5# => romdata <= X"10"; -- ¥ when 16#aa5# => romdata <= X"10"; -- ¥ when 16#ba5# => romdata <= X"00"; -- ¥ when 16#ca5# => romdata <= X"00"; -- ¥ when 16#0a6# => romdata <= X"00"; -- ¦ when 16#1a6# => romdata <= X"00"; -- ¦ when 16#2a6# => romdata <= X"10"; -- ¦ when 16#3a6# => romdata <= X"10"; -- ¦ when 16#4a6# => romdata <= X"10"; -- ¦ when 16#5a6# => romdata <= X"10"; -- ¦ when 16#6a6# => romdata <= X"00"; -- ¦ when 16#7a6# => romdata <= X"10"; -- ¦ when 16#8a6# => romdata <= X"10"; -- ¦ when 16#9a6# => romdata <= X"10"; -- ¦ when 16#aa6# => romdata <= X"10"; -- ¦ when 16#ba6# => romdata <= X"00"; -- ¦ when 16#ca6# => romdata <= X"00"; -- ¦ when 16#0a7# => romdata <= X"00"; -- § when 16#1a7# => romdata <= X"18"; -- § when 16#2a7# => romdata <= X"24"; -- § when 16#3a7# => romdata <= X"20"; -- § when 16#4a7# => romdata <= X"18"; -- § when 16#5a7# => romdata <= X"24"; -- § when 16#6a7# => romdata <= X"24"; -- § when 16#7a7# => romdata <= X"18"; -- § when 16#8a7# => romdata <= X"04"; -- § when 16#9a7# => romdata <= X"24"; -- § when 16#aa7# => romdata <= X"18"; -- § when 16#ba7# => romdata <= X"00"; -- § when 16#ca7# => romdata <= X"00"; -- § when 16#0a8# => romdata <= X"00"; -- ¨ when 16#1a8# => romdata <= X"24"; -- ¨ when 16#2a8# => romdata <= X"24"; -- ¨ when 16#3a8# => romdata <= X"00"; -- ¨ when 16#4a8# => romdata <= X"00"; -- ¨ when 16#5a8# => romdata <= X"00"; -- ¨ when 16#6a8# => romdata <= X"00"; -- ¨ when 16#7a8# => romdata <= X"00"; -- ¨ when 16#8a8# => romdata <= X"00"; -- ¨ when 16#9a8# => romdata <= X"00"; -- ¨ when 16#aa8# => romdata <= X"00"; -- ¨ when 16#ba8# => romdata <= X"00"; -- ¨ when 16#ca8# => romdata <= X"00"; -- ¨ when 16#0a9# => romdata <= X"00"; -- © when 16#1a9# => romdata <= X"38"; -- © when 16#2a9# => romdata <= X"44"; -- © when 16#3a9# => romdata <= X"92"; -- © when 16#4a9# => romdata <= X"aa"; -- © when 16#5a9# => romdata <= X"a2"; -- © when 16#6a9# => romdata <= X"aa"; -- © when 16#7a9# => romdata <= X"92"; -- © when 16#8a9# => romdata <= X"44"; -- © when 16#9a9# => romdata <= X"38"; -- © when 16#aa9# => romdata <= X"00"; -- © when 16#ba9# => romdata <= X"00"; -- © when 16#ca9# => romdata <= X"00"; -- © when 16#0aa# => romdata <= X"00"; -- ª when 16#1aa# => romdata <= X"00"; -- ª when 16#2aa# => romdata <= X"38"; -- ª when 16#3aa# => romdata <= X"04"; -- ª when 16#4aa# => romdata <= X"3c"; -- ª when 16#5aa# => romdata <= X"44"; -- ª when 16#6aa# => romdata <= X"3c"; -- ª when 16#7aa# => romdata <= X"00"; -- ª when 16#8aa# => romdata <= X"7c"; -- ª when 16#9aa# => romdata <= X"00"; -- ª when 16#aaa# => romdata <= X"00"; -- ª when 16#baa# => romdata <= X"00"; -- ª when 16#caa# => romdata <= X"00"; -- ª when 16#0ab# => romdata <= X"00"; -- « when 16#1ab# => romdata <= X"00"; -- « when 16#2ab# => romdata <= X"00"; -- « when 16#3ab# => romdata <= X"12"; -- « when 16#4ab# => romdata <= X"24"; -- « when 16#5ab# => romdata <= X"48"; -- « when 16#6ab# => romdata <= X"90"; -- « when 16#7ab# => romdata <= X"48"; -- « when 16#8ab# => romdata <= X"24"; -- « when 16#9ab# => romdata <= X"12"; -- « when 16#aab# => romdata <= X"00"; -- « when 16#bab# => romdata <= X"00"; -- « when 16#cab# => romdata <= X"00"; -- « when 16#0ac# => romdata <= X"00"; -- ¬ when 16#1ac# => romdata <= X"00"; -- ¬ when 16#2ac# => romdata <= X"00"; -- ¬ when 16#3ac# => romdata <= X"00"; -- ¬ when 16#4ac# => romdata <= X"00"; -- ¬ when 16#5ac# => romdata <= X"00"; -- ¬ when 16#6ac# => romdata <= X"7e"; -- ¬ when 16#7ac# => romdata <= X"02"; -- ¬ when 16#8ac# => romdata <= X"02"; -- ¬ when 16#9ac# => romdata <= X"02"; -- ¬ when 16#aac# => romdata <= X"00"; -- ¬ when 16#bac# => romdata <= X"00"; -- ¬ when 16#cac# => romdata <= X"00"; -- ¬ when 16#0ad# => romdata <= X"00"; -- ­ when 16#1ad# => romdata <= X"00"; -- ­ when 16#2ad# => romdata <= X"00"; -- ­ when 16#3ad# => romdata <= X"00"; -- ­ when 16#4ad# => romdata <= X"00"; -- ­ when 16#5ad# => romdata <= X"00"; -- ­ when 16#6ad# => romdata <= X"3c"; -- ­ when 16#7ad# => romdata <= X"00"; -- ­ when 16#8ad# => romdata <= X"00"; -- ­ when 16#9ad# => romdata <= X"00"; -- ­ when 16#aad# => romdata <= X"00"; -- ­ when 16#bad# => romdata <= X"00"; -- ­ when 16#cad# => romdata <= X"00"; -- ­ when 16#0ae# => romdata <= X"00"; -- ® when 16#1ae# => romdata <= X"38"; -- ® when 16#2ae# => romdata <= X"44"; -- ® when 16#3ae# => romdata <= X"92"; -- ® when 16#4ae# => romdata <= X"aa"; -- ® when 16#5ae# => romdata <= X"aa"; -- ® when 16#6ae# => romdata <= X"b2"; -- ® when 16#7ae# => romdata <= X"aa"; -- ® when 16#8ae# => romdata <= X"44"; -- ® when 16#9ae# => romdata <= X"38"; -- ® when 16#aae# => romdata <= X"00"; -- ® when 16#bae# => romdata <= X"00"; -- ® when 16#cae# => romdata <= X"00"; -- ® when 16#0af# => romdata <= X"00"; -- ¯ when 16#1af# => romdata <= X"00"; -- ¯ when 16#2af# => romdata <= X"7e"; -- ¯ when 16#3af# => romdata <= X"00"; -- ¯ when 16#4af# => romdata <= X"00"; -- ¯ when 16#5af# => romdata <= X"00"; -- ¯ when 16#6af# => romdata <= X"00"; -- ¯ when 16#7af# => romdata <= X"00"; -- ¯ when 16#8af# => romdata <= X"00"; -- ¯ when 16#9af# => romdata <= X"00"; -- ¯ when 16#aaf# => romdata <= X"00"; -- ¯ when 16#baf# => romdata <= X"00"; -- ¯ when 16#caf# => romdata <= X"00"; -- ¯ when 16#0b0# => romdata <= X"00"; -- ° when 16#1b0# => romdata <= X"00"; -- ° when 16#2b0# => romdata <= X"18"; -- ° when 16#3b0# => romdata <= X"24"; -- ° when 16#4b0# => romdata <= X"24"; -- ° when 16#5b0# => romdata <= X"18"; -- ° when 16#6b0# => romdata <= X"00"; -- ° when 16#7b0# => romdata <= X"00"; -- ° when 16#8b0# => romdata <= X"00"; -- ° when 16#9b0# => romdata <= X"00"; -- ° when 16#ab0# => romdata <= X"00"; -- ° when 16#bb0# => romdata <= X"00"; -- ° when 16#cb0# => romdata <= X"00"; -- ° when 16#0b1# => romdata <= X"00"; -- ± when 16#1b1# => romdata <= X"00"; -- ± when 16#2b1# => romdata <= X"00"; -- ± when 16#3b1# => romdata <= X"10"; -- ± when 16#4b1# => romdata <= X"10"; -- ± when 16#5b1# => romdata <= X"7c"; -- ± when 16#6b1# => romdata <= X"10"; -- ± when 16#7b1# => romdata <= X"10"; -- ± when 16#8b1# => romdata <= X"00"; -- ± when 16#9b1# => romdata <= X"7c"; -- ± when 16#ab1# => romdata <= X"00"; -- ± when 16#bb1# => romdata <= X"00"; -- ± when 16#cb1# => romdata <= X"00"; -- ± when 16#0b2# => romdata <= X"00"; -- ² when 16#1b2# => romdata <= X"30"; -- ² when 16#2b2# => romdata <= X"48"; -- ² when 16#3b2# => romdata <= X"08"; -- ² when 16#4b2# => romdata <= X"30"; -- ² when 16#5b2# => romdata <= X"40"; -- ² when 16#6b2# => romdata <= X"78"; -- ² when 16#7b2# => romdata <= X"00"; -- ² when 16#8b2# => romdata <= X"00"; -- ² when 16#9b2# => romdata <= X"00"; -- ² when 16#ab2# => romdata <= X"00"; -- ² when 16#bb2# => romdata <= X"00"; -- ² when 16#cb2# => romdata <= X"00"; -- ² when 16#0b3# => romdata <= X"00"; -- ³ when 16#1b3# => romdata <= X"30"; -- ³ when 16#2b3# => romdata <= X"48"; -- ³ when 16#3b3# => romdata <= X"10"; -- ³ when 16#4b3# => romdata <= X"08"; -- ³ when 16#5b3# => romdata <= X"48"; -- ³ when 16#6b3# => romdata <= X"30"; -- ³ when 16#7b3# => romdata <= X"00"; -- ³ when 16#8b3# => romdata <= X"00"; -- ³ when 16#9b3# => romdata <= X"00"; -- ³ when 16#ab3# => romdata <= X"00"; -- ³ when 16#bb3# => romdata <= X"00"; -- ³ when 16#cb3# => romdata <= X"00"; -- ³ when 16#0b4# => romdata <= X"00"; -- ´ when 16#1b4# => romdata <= X"08"; -- ´ when 16#2b4# => romdata <= X"10"; -- ´ when 16#3b4# => romdata <= X"00"; -- ´ when 16#4b4# => romdata <= X"00"; -- ´ when 16#5b4# => romdata <= X"00"; -- ´ when 16#6b4# => romdata <= X"00"; -- ´ when 16#7b4# => romdata <= X"00"; -- ´ when 16#8b4# => romdata <= X"00"; -- ´ when 16#9b4# => romdata <= X"00"; -- ´ when 16#ab4# => romdata <= X"00"; -- ´ when 16#bb4# => romdata <= X"00"; -- ´ when 16#cb4# => romdata <= X"00"; -- ´ when 16#0b5# => romdata <= X"00"; -- µ when 16#1b5# => romdata <= X"00"; -- µ when 16#2b5# => romdata <= X"00"; -- µ when 16#3b5# => romdata <= X"00"; -- µ when 16#4b5# => romdata <= X"00"; -- µ when 16#5b5# => romdata <= X"42"; -- µ when 16#6b5# => romdata <= X"42"; -- µ when 16#7b5# => romdata <= X"42"; -- µ when 16#8b5# => romdata <= X"42"; -- µ when 16#9b5# => romdata <= X"66"; -- µ when 16#ab5# => romdata <= X"5a"; -- µ when 16#bb5# => romdata <= X"40"; -- µ when 16#cb5# => romdata <= X"00"; -- µ when 16#0b6# => romdata <= X"00"; -- ¶ when 16#1b6# => romdata <= X"00"; -- ¶ when 16#2b6# => romdata <= X"3e"; -- ¶ when 16#3b6# => romdata <= X"74"; -- ¶ when 16#4b6# => romdata <= X"74"; -- ¶ when 16#5b6# => romdata <= X"74"; -- ¶ when 16#6b6# => romdata <= X"34"; -- ¶ when 16#7b6# => romdata <= X"14"; -- ¶ when 16#8b6# => romdata <= X"14"; -- ¶ when 16#9b6# => romdata <= X"14"; -- ¶ when 16#ab6# => romdata <= X"14"; -- ¶ when 16#bb6# => romdata <= X"00"; -- ¶ when 16#cb6# => romdata <= X"00"; -- ¶ when 16#0b7# => romdata <= X"00"; -- · when 16#1b7# => romdata <= X"00"; -- · when 16#2b7# => romdata <= X"00"; -- · when 16#3b7# => romdata <= X"00"; -- · when 16#4b7# => romdata <= X"00"; -- · when 16#5b7# => romdata <= X"00"; -- · when 16#6b7# => romdata <= X"18"; -- · when 16#7b7# => romdata <= X"00"; -- · when 16#8b7# => romdata <= X"00"; -- · when 16#9b7# => romdata <= X"00"; -- · when 16#ab7# => romdata <= X"00"; -- · when 16#bb7# => romdata <= X"00"; -- · when 16#cb7# => romdata <= X"00"; -- · when 16#0b8# => romdata <= X"00"; -- ¸ when 16#1b8# => romdata <= X"00"; -- ¸ when 16#2b8# => romdata <= X"00"; -- ¸ when 16#3b8# => romdata <= X"00"; -- ¸ when 16#4b8# => romdata <= X"00"; -- ¸ when 16#5b8# => romdata <= X"00"; -- ¸ when 16#6b8# => romdata <= X"00"; -- ¸ when 16#7b8# => romdata <= X"00"; -- ¸ when 16#8b8# => romdata <= X"00"; -- ¸ when 16#9b8# => romdata <= X"00"; -- ¸ when 16#ab8# => romdata <= X"00"; -- ¸ when 16#bb8# => romdata <= X"08"; -- ¸ when 16#cb8# => romdata <= X"18"; -- ¸ when 16#0b9# => romdata <= X"00"; -- ¹ when 16#1b9# => romdata <= X"20"; -- ¹ when 16#2b9# => romdata <= X"60"; -- ¹ when 16#3b9# => romdata <= X"20"; -- ¹ when 16#4b9# => romdata <= X"20"; -- ¹ when 16#5b9# => romdata <= X"20"; -- ¹ when 16#6b9# => romdata <= X"70"; -- ¹ when 16#7b9# => romdata <= X"00"; -- ¹ when 16#8b9# => romdata <= X"00"; -- ¹ when 16#9b9# => romdata <= X"00"; -- ¹ when 16#ab9# => romdata <= X"00"; -- ¹ when 16#bb9# => romdata <= X"00"; -- ¹ when 16#cb9# => romdata <= X"00"; -- ¹ when 16#0ba# => romdata <= X"00"; -- º when 16#1ba# => romdata <= X"00"; -- º when 16#2ba# => romdata <= X"30"; -- º when 16#3ba# => romdata <= X"48"; -- º when 16#4ba# => romdata <= X"48"; -- º when 16#5ba# => romdata <= X"30"; -- º when 16#6ba# => romdata <= X"00"; -- º when 16#7ba# => romdata <= X"78"; -- º when 16#8ba# => romdata <= X"00"; -- º when 16#9ba# => romdata <= X"00"; -- º when 16#aba# => romdata <= X"00"; -- º when 16#bba# => romdata <= X"00"; -- º when 16#cba# => romdata <= X"00"; -- º when 16#0bb# => romdata <= X"00"; -- » when 16#1bb# => romdata <= X"00"; -- » when 16#2bb# => romdata <= X"00"; -- » when 16#3bb# => romdata <= X"90"; -- » when 16#4bb# => romdata <= X"48"; -- » when 16#5bb# => romdata <= X"24"; -- » when 16#6bb# => romdata <= X"12"; -- » when 16#7bb# => romdata <= X"24"; -- » when 16#8bb# => romdata <= X"48"; -- » when 16#9bb# => romdata <= X"90"; -- » when 16#abb# => romdata <= X"00"; -- » when 16#bbb# => romdata <= X"00"; -- » when 16#cbb# => romdata <= X"00"; -- » when 16#0bc# => romdata <= X"00"; -- ¼ when 16#1bc# => romdata <= X"40"; -- ¼ when 16#2bc# => romdata <= X"c0"; -- ¼ when 16#3bc# => romdata <= X"40"; -- ¼ when 16#4bc# => romdata <= X"40"; -- ¼ when 16#5bc# => romdata <= X"42"; -- ¼ when 16#6bc# => romdata <= X"e6"; -- ¼ when 16#7bc# => romdata <= X"0a"; -- ¼ when 16#8bc# => romdata <= X"12"; -- ¼ when 16#9bc# => romdata <= X"1a"; -- ¼ when 16#abc# => romdata <= X"06"; -- ¼ when 16#bbc# => romdata <= X"00"; -- ¼ when 16#cbc# => romdata <= X"00"; -- ¼ when 16#0bd# => romdata <= X"00"; -- ½ when 16#1bd# => romdata <= X"40"; -- ½ when 16#2bd# => romdata <= X"c0"; -- ½ when 16#3bd# => romdata <= X"40"; -- ½ when 16#4bd# => romdata <= X"40"; -- ½ when 16#5bd# => romdata <= X"4c"; -- ½ when 16#6bd# => romdata <= X"f2"; -- ½ when 16#7bd# => romdata <= X"02"; -- ½ when 16#8bd# => romdata <= X"0c"; -- ½ when 16#9bd# => romdata <= X"10"; -- ½ when 16#abd# => romdata <= X"1e"; -- ½ when 16#bbd# => romdata <= X"00"; -- ½ when 16#cbd# => romdata <= X"00"; -- ½ when 16#0be# => romdata <= X"00"; -- ¾ when 16#1be# => romdata <= X"60"; -- ¾ when 16#2be# => romdata <= X"90"; -- ¾ when 16#3be# => romdata <= X"20"; -- ¾ when 16#4be# => romdata <= X"10"; -- ¾ when 16#5be# => romdata <= X"92"; -- ¾ when 16#6be# => romdata <= X"66"; -- ¾ when 16#7be# => romdata <= X"0a"; -- ¾ when 16#8be# => romdata <= X"12"; -- ¾ when 16#9be# => romdata <= X"1a"; -- ¾ when 16#abe# => romdata <= X"06"; -- ¾ when 16#bbe# => romdata <= X"00"; -- ¾ when 16#cbe# => romdata <= X"00"; -- ¾ when 16#0bf# => romdata <= X"00"; -- ¿ when 16#1bf# => romdata <= X"00"; -- ¿ when 16#2bf# => romdata <= X"10"; -- ¿ when 16#3bf# => romdata <= X"00"; -- ¿ when 16#4bf# => romdata <= X"10"; -- ¿ when 16#5bf# => romdata <= X"10"; -- ¿ when 16#6bf# => romdata <= X"20"; -- ¿ when 16#7bf# => romdata <= X"40"; -- ¿ when 16#8bf# => romdata <= X"42"; -- ¿ when 16#9bf# => romdata <= X"42"; -- ¿ when 16#abf# => romdata <= X"3c"; -- ¿ when 16#bbf# => romdata <= X"00"; -- ¿ when 16#cbf# => romdata <= X"00"; -- ¿ when 16#0c0# => romdata <= X"00"; -- À when 16#1c0# => romdata <= X"10"; -- À when 16#2c0# => romdata <= X"08"; -- À when 16#3c0# => romdata <= X"00"; -- À when 16#4c0# => romdata <= X"18"; -- À when 16#5c0# => romdata <= X"24"; -- À when 16#6c0# => romdata <= X"42"; -- À when 16#7c0# => romdata <= X"42"; -- À when 16#8c0# => romdata <= X"7e"; -- À when 16#9c0# => romdata <= X"42"; -- À when 16#ac0# => romdata <= X"42"; -- À when 16#bc0# => romdata <= X"00"; -- À when 16#cc0# => romdata <= X"00"; -- À when 16#0c1# => romdata <= X"00"; -- Á when 16#1c1# => romdata <= X"08"; -- Á when 16#2c1# => romdata <= X"10"; -- Á when 16#3c1# => romdata <= X"00"; -- Á when 16#4c1# => romdata <= X"18"; -- Á when 16#5c1# => romdata <= X"24"; -- Á when 16#6c1# => romdata <= X"42"; -- Á when 16#7c1# => romdata <= X"42"; -- Á when 16#8c1# => romdata <= X"7e"; -- Á when 16#9c1# => romdata <= X"42"; -- Á when 16#ac1# => romdata <= X"42"; -- Á when 16#bc1# => romdata <= X"00"; -- Á when 16#cc1# => romdata <= X"00"; -- Á when 16#0c2# => romdata <= X"00"; -- Â when 16#1c2# => romdata <= X"18"; -- Â when 16#2c2# => romdata <= X"24"; -- Â when 16#3c2# => romdata <= X"00"; -- Â when 16#4c2# => romdata <= X"18"; -- Â when 16#5c2# => romdata <= X"24"; -- Â when 16#6c2# => romdata <= X"42"; -- Â when 16#7c2# => romdata <= X"42"; -- Â when 16#8c2# => romdata <= X"7e"; -- Â when 16#9c2# => romdata <= X"42"; -- Â when 16#ac2# => romdata <= X"42"; -- Â when 16#bc2# => romdata <= X"00"; -- Â when 16#cc2# => romdata <= X"00"; -- Â when 16#0c3# => romdata <= X"00"; -- Ã when 16#1c3# => romdata <= X"32"; -- Ã when 16#2c3# => romdata <= X"4c"; -- Ã when 16#3c3# => romdata <= X"00"; -- Ã when 16#4c3# => romdata <= X"18"; -- Ã when 16#5c3# => romdata <= X"24"; -- Ã when 16#6c3# => romdata <= X"42"; -- Ã when 16#7c3# => romdata <= X"42"; -- Ã when 16#8c3# => romdata <= X"7e"; -- Ã when 16#9c3# => romdata <= X"42"; -- Ã when 16#ac3# => romdata <= X"42"; -- Ã when 16#bc3# => romdata <= X"00"; -- Ã when 16#cc3# => romdata <= X"00"; -- Ã when 16#0c4# => romdata <= X"00"; -- Ä when 16#1c4# => romdata <= X"24"; -- Ä when 16#2c4# => romdata <= X"24"; -- Ä when 16#3c4# => romdata <= X"00"; -- Ä when 16#4c4# => romdata <= X"18"; -- Ä when 16#5c4# => romdata <= X"24"; -- Ä when 16#6c4# => romdata <= X"42"; -- Ä when 16#7c4# => romdata <= X"42"; -- Ä when 16#8c4# => romdata <= X"7e"; -- Ä when 16#9c4# => romdata <= X"42"; -- Ä when 16#ac4# => romdata <= X"42"; -- Ä when 16#bc4# => romdata <= X"00"; -- Ä when 16#cc4# => romdata <= X"00"; -- Ä when 16#0c5# => romdata <= X"00"; -- Å when 16#1c5# => romdata <= X"18"; -- Å when 16#2c5# => romdata <= X"24"; -- Å when 16#3c5# => romdata <= X"18"; -- Å when 16#4c5# => romdata <= X"18"; -- Å when 16#5c5# => romdata <= X"24"; -- Å when 16#6c5# => romdata <= X"42"; -- Å when 16#7c5# => romdata <= X"42"; -- Å when 16#8c5# => romdata <= X"7e"; -- Å when 16#9c5# => romdata <= X"42"; -- Å when 16#ac5# => romdata <= X"42"; -- Å when 16#bc5# => romdata <= X"00"; -- Å when 16#cc5# => romdata <= X"00"; -- Å when 16#0c6# => romdata <= X"00"; -- Æ when 16#1c6# => romdata <= X"00"; -- Æ when 16#2c6# => romdata <= X"6e"; -- Æ when 16#3c6# => romdata <= X"90"; -- Æ when 16#4c6# => romdata <= X"90"; -- Æ when 16#5c6# => romdata <= X"90"; -- Æ when 16#6c6# => romdata <= X"9c"; -- Æ when 16#7c6# => romdata <= X"f0"; -- Æ when 16#8c6# => romdata <= X"90"; -- Æ when 16#9c6# => romdata <= X"90"; -- Æ when 16#ac6# => romdata <= X"9e"; -- Æ when 16#bc6# => romdata <= X"00"; -- Æ when 16#cc6# => romdata <= X"00"; -- Æ when 16#0c7# => romdata <= X"00"; -- Ç when 16#1c7# => romdata <= X"00"; -- Ç when 16#2c7# => romdata <= X"3c"; -- Ç when 16#3c7# => romdata <= X"42"; -- Ç when 16#4c7# => romdata <= X"40"; -- Ç when 16#5c7# => romdata <= X"40"; -- Ç when 16#6c7# => romdata <= X"40"; -- Ç when 16#7c7# => romdata <= X"40"; -- Ç when 16#8c7# => romdata <= X"40"; -- Ç when 16#9c7# => romdata <= X"42"; -- Ç when 16#ac7# => romdata <= X"3c"; -- Ç when 16#bc7# => romdata <= X"08"; -- Ç when 16#cc7# => romdata <= X"10"; -- Ç when 16#0c8# => romdata <= X"00"; -- È when 16#1c8# => romdata <= X"10"; -- È when 16#2c8# => romdata <= X"08"; -- È when 16#3c8# => romdata <= X"00"; -- È when 16#4c8# => romdata <= X"7e"; -- È when 16#5c8# => romdata <= X"40"; -- È when 16#6c8# => romdata <= X"40"; -- È when 16#7c8# => romdata <= X"78"; -- È when 16#8c8# => romdata <= X"40"; -- È when 16#9c8# => romdata <= X"40"; -- È when 16#ac8# => romdata <= X"7e"; -- È when 16#bc8# => romdata <= X"00"; -- È when 16#cc8# => romdata <= X"00"; -- È when 16#0c9# => romdata <= X"00"; -- É when 16#1c9# => romdata <= X"08"; -- É when 16#2c9# => romdata <= X"10"; -- É when 16#3c9# => romdata <= X"00"; -- É when 16#4c9# => romdata <= X"7e"; -- É when 16#5c9# => romdata <= X"40"; -- É when 16#6c9# => romdata <= X"40"; -- É when 16#7c9# => romdata <= X"78"; -- É when 16#8c9# => romdata <= X"40"; -- É when 16#9c9# => romdata <= X"40"; -- É when 16#ac9# => romdata <= X"7e"; -- É when 16#bc9# => romdata <= X"00"; -- É when 16#cc9# => romdata <= X"00"; -- É when 16#0ca# => romdata <= X"00"; -- Ê when 16#1ca# => romdata <= X"18"; -- Ê when 16#2ca# => romdata <= X"24"; -- Ê when 16#3ca# => romdata <= X"00"; -- Ê when 16#4ca# => romdata <= X"7e"; -- Ê when 16#5ca# => romdata <= X"40"; -- Ê when 16#6ca# => romdata <= X"40"; -- Ê when 16#7ca# => romdata <= X"78"; -- Ê when 16#8ca# => romdata <= X"40"; -- Ê when 16#9ca# => romdata <= X"40"; -- Ê when 16#aca# => romdata <= X"7e"; -- Ê when 16#bca# => romdata <= X"00"; -- Ê when 16#cca# => romdata <= X"00"; -- Ê when 16#0cb# => romdata <= X"00"; -- Ë when 16#1cb# => romdata <= X"24"; -- Ë when 16#2cb# => romdata <= X"24"; -- Ë when 16#3cb# => romdata <= X"00"; -- Ë when 16#4cb# => romdata <= X"7e"; -- Ë when 16#5cb# => romdata <= X"40"; -- Ë when 16#6cb# => romdata <= X"40"; -- Ë when 16#7cb# => romdata <= X"78"; -- Ë when 16#8cb# => romdata <= X"40"; -- Ë when 16#9cb# => romdata <= X"40"; -- Ë when 16#acb# => romdata <= X"7e"; -- Ë when 16#bcb# => romdata <= X"00"; -- Ë when 16#ccb# => romdata <= X"00"; -- Ë when 16#0cc# => romdata <= X"00"; -- Ì when 16#1cc# => romdata <= X"20"; -- Ì when 16#2cc# => romdata <= X"10"; -- Ì when 16#3cc# => romdata <= X"00"; -- Ì when 16#4cc# => romdata <= X"7c"; -- Ì when 16#5cc# => romdata <= X"10"; -- Ì when 16#6cc# => romdata <= X"10"; -- Ì when 16#7cc# => romdata <= X"10"; -- Ì when 16#8cc# => romdata <= X"10"; -- Ì when 16#9cc# => romdata <= X"10"; -- Ì when 16#acc# => romdata <= X"7c"; -- Ì when 16#bcc# => romdata <= X"00"; -- Ì when 16#ccc# => romdata <= X"00"; -- Ì when 16#0cd# => romdata <= X"00"; -- Í when 16#1cd# => romdata <= X"08"; -- Í when 16#2cd# => romdata <= X"10"; -- Í when 16#3cd# => romdata <= X"00"; -- Í when 16#4cd# => romdata <= X"7c"; -- Í when 16#5cd# => romdata <= X"10"; -- Í when 16#6cd# => romdata <= X"10"; -- Í when 16#7cd# => romdata <= X"10"; -- Í when 16#8cd# => romdata <= X"10"; -- Í when 16#9cd# => romdata <= X"10"; -- Í when 16#acd# => romdata <= X"7c"; -- Í when 16#bcd# => romdata <= X"00"; -- Í when 16#ccd# => romdata <= X"00"; -- Í when 16#0ce# => romdata <= X"00"; -- Î when 16#1ce# => romdata <= X"18"; -- Î when 16#2ce# => romdata <= X"24"; -- Î when 16#3ce# => romdata <= X"00"; -- Î when 16#4ce# => romdata <= X"7c"; -- Î when 16#5ce# => romdata <= X"10"; -- Î when 16#6ce# => romdata <= X"10"; -- Î when 16#7ce# => romdata <= X"10"; -- Î when 16#8ce# => romdata <= X"10"; -- Î when 16#9ce# => romdata <= X"10"; -- Î when 16#ace# => romdata <= X"7c"; -- Î when 16#bce# => romdata <= X"00"; -- Î when 16#cce# => romdata <= X"00"; -- Î when 16#0cf# => romdata <= X"00"; -- Ï when 16#1cf# => romdata <= X"44"; -- Ï when 16#2cf# => romdata <= X"44"; -- Ï when 16#3cf# => romdata <= X"00"; -- Ï when 16#4cf# => romdata <= X"7c"; -- Ï when 16#5cf# => romdata <= X"10"; -- Ï when 16#6cf# => romdata <= X"10"; -- Ï when 16#7cf# => romdata <= X"10"; -- Ï when 16#8cf# => romdata <= X"10"; -- Ï when 16#9cf# => romdata <= X"10"; -- Ï when 16#acf# => romdata <= X"7c"; -- Ï when 16#bcf# => romdata <= X"00"; -- Ï when 16#ccf# => romdata <= X"00"; -- Ï when 16#0d0# => romdata <= X"00"; -- Ð when 16#1d0# => romdata <= X"00"; -- Ð when 16#2d0# => romdata <= X"78"; -- Ð when 16#3d0# => romdata <= X"44"; -- Ð when 16#4d0# => romdata <= X"42"; -- Ð when 16#5d0# => romdata <= X"42"; -- Ð when 16#6d0# => romdata <= X"e2"; -- Ð when 16#7d0# => romdata <= X"42"; -- Ð when 16#8d0# => romdata <= X"42"; -- Ð when 16#9d0# => romdata <= X"44"; -- Ð when 16#ad0# => romdata <= X"78"; -- Ð when 16#bd0# => romdata <= X"00"; -- Ð when 16#cd0# => romdata <= X"00"; -- Ð when 16#0d1# => romdata <= X"00"; -- Ñ when 16#1d1# => romdata <= X"64"; -- Ñ when 16#2d1# => romdata <= X"98"; -- Ñ when 16#3d1# => romdata <= X"00"; -- Ñ when 16#4d1# => romdata <= X"82"; -- Ñ when 16#5d1# => romdata <= X"c2"; -- Ñ when 16#6d1# => romdata <= X"a2"; -- Ñ when 16#7d1# => romdata <= X"92"; -- Ñ when 16#8d1# => romdata <= X"8a"; -- Ñ when 16#9d1# => romdata <= X"86"; -- Ñ when 16#ad1# => romdata <= X"82"; -- Ñ when 16#bd1# => romdata <= X"00"; -- Ñ when 16#cd1# => romdata <= X"00"; -- Ñ when 16#0d2# => romdata <= X"00"; -- Ò when 16#1d2# => romdata <= X"20"; -- Ò when 16#2d2# => romdata <= X"10"; -- Ò when 16#3d2# => romdata <= X"00"; -- Ò when 16#4d2# => romdata <= X"7c"; -- Ò when 16#5d2# => romdata <= X"82"; -- Ò when 16#6d2# => romdata <= X"82"; -- Ò when 16#7d2# => romdata <= X"82"; -- Ò when 16#8d2# => romdata <= X"82"; -- Ò when 16#9d2# => romdata <= X"82"; -- Ò when 16#ad2# => romdata <= X"7c"; -- Ò when 16#bd2# => romdata <= X"00"; -- Ò when 16#cd2# => romdata <= X"00"; -- Ò when 16#0d3# => romdata <= X"00"; -- Ó when 16#1d3# => romdata <= X"08"; -- Ó when 16#2d3# => romdata <= X"10"; -- Ó when 16#3d3# => romdata <= X"00"; -- Ó when 16#4d3# => romdata <= X"7c"; -- Ó when 16#5d3# => romdata <= X"82"; -- Ó when 16#6d3# => romdata <= X"82"; -- Ó when 16#7d3# => romdata <= X"82"; -- Ó when 16#8d3# => romdata <= X"82"; -- Ó when 16#9d3# => romdata <= X"82"; -- Ó when 16#ad3# => romdata <= X"7c"; -- Ó when 16#bd3# => romdata <= X"00"; -- Ó when 16#cd3# => romdata <= X"00"; -- Ó when 16#0d4# => romdata <= X"00"; -- Ô when 16#1d4# => romdata <= X"18"; -- Ô when 16#2d4# => romdata <= X"24"; -- Ô when 16#3d4# => romdata <= X"00"; -- Ô when 16#4d4# => romdata <= X"7c"; -- Ô when 16#5d4# => romdata <= X"82"; -- Ô when 16#6d4# => romdata <= X"82"; -- Ô when 16#7d4# => romdata <= X"82"; -- Ô when 16#8d4# => romdata <= X"82"; -- Ô when 16#9d4# => romdata <= X"82"; -- Ô when 16#ad4# => romdata <= X"7c"; -- Ô when 16#bd4# => romdata <= X"00"; -- Ô when 16#cd4# => romdata <= X"00"; -- Ô when 16#0d5# => romdata <= X"00"; -- Õ when 16#1d5# => romdata <= X"64"; -- Õ when 16#2d5# => romdata <= X"98"; -- Õ when 16#3d5# => romdata <= X"00"; -- Õ when 16#4d5# => romdata <= X"7c"; -- Õ when 16#5d5# => romdata <= X"82"; -- Õ when 16#6d5# => romdata <= X"82"; -- Õ when 16#7d5# => romdata <= X"82"; -- Õ when 16#8d5# => romdata <= X"82"; -- Õ when 16#9d5# => romdata <= X"82"; -- Õ when 16#ad5# => romdata <= X"7c"; -- Õ when 16#bd5# => romdata <= X"00"; -- Õ when 16#cd5# => romdata <= X"00"; -- Õ when 16#0d6# => romdata <= X"00"; -- Ö when 16#1d6# => romdata <= X"44"; -- Ö when 16#2d6# => romdata <= X"44"; -- Ö when 16#3d6# => romdata <= X"00"; -- Ö when 16#4d6# => romdata <= X"7c"; -- Ö when 16#5d6# => romdata <= X"82"; -- Ö when 16#6d6# => romdata <= X"82"; -- Ö when 16#7d6# => romdata <= X"82"; -- Ö when 16#8d6# => romdata <= X"82"; -- Ö when 16#9d6# => romdata <= X"82"; -- Ö when 16#ad6# => romdata <= X"7c"; -- Ö when 16#bd6# => romdata <= X"00"; -- Ö when 16#cd6# => romdata <= X"00"; -- Ö when 16#0d7# => romdata <= X"00"; -- × when 16#1d7# => romdata <= X"00"; -- × when 16#2d7# => romdata <= X"00"; -- × when 16#3d7# => romdata <= X"00"; -- × when 16#4d7# => romdata <= X"42"; -- × when 16#5d7# => romdata <= X"24"; -- × when 16#6d7# => romdata <= X"18"; -- × when 16#7d7# => romdata <= X"18"; -- × when 16#8d7# => romdata <= X"24"; -- × when 16#9d7# => romdata <= X"42"; -- × when 16#ad7# => romdata <= X"00"; -- × when 16#bd7# => romdata <= X"00"; -- × when 16#cd7# => romdata <= X"00"; -- × when 16#0d8# => romdata <= X"00"; -- Ø when 16#1d8# => romdata <= X"02"; -- Ø when 16#2d8# => romdata <= X"3c"; -- Ø when 16#3d8# => romdata <= X"46"; -- Ø when 16#4d8# => romdata <= X"4a"; -- Ø when 16#5d8# => romdata <= X"4a"; -- Ø when 16#6d8# => romdata <= X"52"; -- Ø when 16#7d8# => romdata <= X"52"; -- Ø when 16#8d8# => romdata <= X"52"; -- Ø when 16#9d8# => romdata <= X"62"; -- Ø when 16#ad8# => romdata <= X"3c"; -- Ø when 16#bd8# => romdata <= X"40"; -- Ø when 16#cd8# => romdata <= X"00"; -- Ø when 16#0d9# => romdata <= X"00"; -- Ù when 16#1d9# => romdata <= X"20"; -- Ù when 16#2d9# => romdata <= X"10"; -- Ù when 16#3d9# => romdata <= X"00"; -- Ù when 16#4d9# => romdata <= X"42"; -- Ù when 16#5d9# => romdata <= X"42"; -- Ù when 16#6d9# => romdata <= X"42"; -- Ù when 16#7d9# => romdata <= X"42"; -- Ù when 16#8d9# => romdata <= X"42"; -- Ù when 16#9d9# => romdata <= X"42"; -- Ù when 16#ad9# => romdata <= X"3c"; -- Ù when 16#bd9# => romdata <= X"00"; -- Ù when 16#cd9# => romdata <= X"00"; -- Ù when 16#0da# => romdata <= X"00"; -- Ú when 16#1da# => romdata <= X"08"; -- Ú when 16#2da# => romdata <= X"10"; -- Ú when 16#3da# => romdata <= X"00"; -- Ú when 16#4da# => romdata <= X"42"; -- Ú when 16#5da# => romdata <= X"42"; -- Ú when 16#6da# => romdata <= X"42"; -- Ú when 16#7da# => romdata <= X"42"; -- Ú when 16#8da# => romdata <= X"42"; -- Ú when 16#9da# => romdata <= X"42"; -- Ú when 16#ada# => romdata <= X"3c"; -- Ú when 16#bda# => romdata <= X"00"; -- Ú when 16#cda# => romdata <= X"00"; -- Ú when 16#0db# => romdata <= X"00"; -- Û when 16#1db# => romdata <= X"18"; -- Û when 16#2db# => romdata <= X"24"; -- Û when 16#3db# => romdata <= X"00"; -- Û when 16#4db# => romdata <= X"42"; -- Û when 16#5db# => romdata <= X"42"; -- Û when 16#6db# => romdata <= X"42"; -- Û when 16#7db# => romdata <= X"42"; -- Û when 16#8db# => romdata <= X"42"; -- Û when 16#9db# => romdata <= X"42"; -- Û when 16#adb# => romdata <= X"3c"; -- Û when 16#bdb# => romdata <= X"00"; -- Û when 16#cdb# => romdata <= X"00"; -- Û when 16#0dc# => romdata <= X"00"; -- Ü when 16#1dc# => romdata <= X"24"; -- Ü when 16#2dc# => romdata <= X"24"; -- Ü when 16#3dc# => romdata <= X"00"; -- Ü when 16#4dc# => romdata <= X"42"; -- Ü when 16#5dc# => romdata <= X"42"; -- Ü when 16#6dc# => romdata <= X"42"; -- Ü when 16#7dc# => romdata <= X"42"; -- Ü when 16#8dc# => romdata <= X"42"; -- Ü when 16#9dc# => romdata <= X"42"; -- Ü when 16#adc# => romdata <= X"3c"; -- Ü when 16#bdc# => romdata <= X"00"; -- Ü when 16#cdc# => romdata <= X"00"; -- Ü when 16#0dd# => romdata <= X"00"; -- Ý when 16#1dd# => romdata <= X"08"; -- Ý when 16#2dd# => romdata <= X"10"; -- Ý when 16#3dd# => romdata <= X"00"; -- Ý when 16#4dd# => romdata <= X"44"; -- Ý when 16#5dd# => romdata <= X"44"; -- Ý when 16#6dd# => romdata <= X"28"; -- Ý when 16#7dd# => romdata <= X"10"; -- Ý when 16#8dd# => romdata <= X"10"; -- Ý when 16#9dd# => romdata <= X"10"; -- Ý when 16#add# => romdata <= X"10"; -- Ý when 16#bdd# => romdata <= X"00"; -- Ý when 16#cdd# => romdata <= X"00"; -- Ý when 16#0de# => romdata <= X"00"; -- Þ when 16#1de# => romdata <= X"00"; -- Þ when 16#2de# => romdata <= X"40"; -- Þ when 16#3de# => romdata <= X"7c"; -- Þ when 16#4de# => romdata <= X"42"; -- Þ when 16#5de# => romdata <= X"42"; -- Þ when 16#6de# => romdata <= X"42"; -- Þ when 16#7de# => romdata <= X"7c"; -- Þ when 16#8de# => romdata <= X"40"; -- Þ when 16#9de# => romdata <= X"40"; -- Þ when 16#ade# => romdata <= X"40"; -- Þ when 16#bde# => romdata <= X"00"; -- Þ when 16#cde# => romdata <= X"00"; -- Þ when 16#0df# => romdata <= X"00"; -- ß when 16#1df# => romdata <= X"00"; -- ß when 16#2df# => romdata <= X"38"; -- ß when 16#3df# => romdata <= X"44"; -- ß when 16#4df# => romdata <= X"44"; -- ß when 16#5df# => romdata <= X"48"; -- ß when 16#6df# => romdata <= X"50"; -- ß when 16#7df# => romdata <= X"4c"; -- ß when 16#8df# => romdata <= X"42"; -- ß when 16#9df# => romdata <= X"42"; -- ß when 16#adf# => romdata <= X"5c"; -- ß when 16#bdf# => romdata <= X"00"; -- ß when 16#cdf# => romdata <= X"00"; -- ß when 16#0e0# => romdata <= X"00"; -- à when 16#1e0# => romdata <= X"00"; -- à when 16#2e0# => romdata <= X"10"; -- à when 16#3e0# => romdata <= X"08"; -- à when 16#4e0# => romdata <= X"00"; -- à when 16#5e0# => romdata <= X"3c"; -- à when 16#6e0# => romdata <= X"02"; -- à when 16#7e0# => romdata <= X"3e"; -- à when 16#8e0# => romdata <= X"42"; -- à when 16#9e0# => romdata <= X"46"; -- à when 16#ae0# => romdata <= X"3a"; -- à when 16#be0# => romdata <= X"00"; -- à when 16#ce0# => romdata <= X"00"; -- à when 16#0e1# => romdata <= X"00"; -- á when 16#1e1# => romdata <= X"00"; -- á when 16#2e1# => romdata <= X"04"; -- á when 16#3e1# => romdata <= X"08"; -- á when 16#4e1# => romdata <= X"00"; -- á when 16#5e1# => romdata <= X"3c"; -- á when 16#6e1# => romdata <= X"02"; -- á when 16#7e1# => romdata <= X"3e"; -- á when 16#8e1# => romdata <= X"42"; -- á when 16#9e1# => romdata <= X"46"; -- á when 16#ae1# => romdata <= X"3a"; -- á when 16#be1# => romdata <= X"00"; -- á when 16#ce1# => romdata <= X"00"; -- á when 16#0e2# => romdata <= X"00"; -- â when 16#1e2# => romdata <= X"00"; -- â when 16#2e2# => romdata <= X"18"; -- â when 16#3e2# => romdata <= X"24"; -- â when 16#4e2# => romdata <= X"00"; -- â when 16#5e2# => romdata <= X"3c"; -- â when 16#6e2# => romdata <= X"02"; -- â when 16#7e2# => romdata <= X"3e"; -- â when 16#8e2# => romdata <= X"42"; -- â when 16#9e2# => romdata <= X"46"; -- â when 16#ae2# => romdata <= X"3a"; -- â when 16#be2# => romdata <= X"00"; -- â when 16#ce2# => romdata <= X"00"; -- â when 16#0e3# => romdata <= X"00"; -- ã when 16#1e3# => romdata <= X"00"; -- ã when 16#2e3# => romdata <= X"32"; -- ã when 16#3e3# => romdata <= X"4c"; -- ã when 16#4e3# => romdata <= X"00"; -- ã when 16#5e3# => romdata <= X"3c"; -- ã when 16#6e3# => romdata <= X"02"; -- ã when 16#7e3# => romdata <= X"3e"; -- ã when 16#8e3# => romdata <= X"42"; -- ã when 16#9e3# => romdata <= X"46"; -- ã when 16#ae3# => romdata <= X"3a"; -- ã when 16#be3# => romdata <= X"00"; -- ã when 16#ce3# => romdata <= X"00"; -- ã when 16#0e4# => romdata <= X"00"; -- ä when 16#1e4# => romdata <= X"00"; -- ä when 16#2e4# => romdata <= X"24"; -- ä when 16#3e4# => romdata <= X"24"; -- ä when 16#4e4# => romdata <= X"00"; -- ä when 16#5e4# => romdata <= X"3c"; -- ä when 16#6e4# => romdata <= X"02"; -- ä when 16#7e4# => romdata <= X"3e"; -- ä when 16#8e4# => romdata <= X"42"; -- ä when 16#9e4# => romdata <= X"46"; -- ä when 16#ae4# => romdata <= X"3a"; -- ä when 16#be4# => romdata <= X"00"; -- ä when 16#ce4# => romdata <= X"00"; -- ä when 16#0e5# => romdata <= X"00"; -- å when 16#1e5# => romdata <= X"18"; -- å when 16#2e5# => romdata <= X"24"; -- å when 16#3e5# => romdata <= X"18"; -- å when 16#4e5# => romdata <= X"00"; -- å when 16#5e5# => romdata <= X"3c"; -- å when 16#6e5# => romdata <= X"02"; -- å when 16#7e5# => romdata <= X"3e"; -- å when 16#8e5# => romdata <= X"42"; -- å when 16#9e5# => romdata <= X"46"; -- å when 16#ae5# => romdata <= X"3a"; -- å when 16#be5# => romdata <= X"00"; -- å when 16#ce5# => romdata <= X"00"; -- å when 16#0e6# => romdata <= X"00"; -- æ when 16#1e6# => romdata <= X"00"; -- æ when 16#2e6# => romdata <= X"00"; -- æ when 16#3e6# => romdata <= X"00"; -- æ when 16#4e6# => romdata <= X"00"; -- æ when 16#5e6# => romdata <= X"6c"; -- æ when 16#6e6# => romdata <= X"12"; -- æ when 16#7e6# => romdata <= X"7c"; -- æ when 16#8e6# => romdata <= X"90"; -- æ when 16#9e6# => romdata <= X"92"; -- æ when 16#ae6# => romdata <= X"6c"; -- æ when 16#be6# => romdata <= X"00"; -- æ when 16#ce6# => romdata <= X"00"; -- æ when 16#0e7# => romdata <= X"00"; -- ç when 16#1e7# => romdata <= X"00"; -- ç when 16#2e7# => romdata <= X"00"; -- ç when 16#3e7# => romdata <= X"00"; -- ç when 16#4e7# => romdata <= X"00"; -- ç when 16#5e7# => romdata <= X"3c"; -- ç when 16#6e7# => romdata <= X"42"; -- ç when 16#7e7# => romdata <= X"40"; -- ç when 16#8e7# => romdata <= X"40"; -- ç when 16#9e7# => romdata <= X"42"; -- ç when 16#ae7# => romdata <= X"3c"; -- ç when 16#be7# => romdata <= X"08"; -- ç when 16#ce7# => romdata <= X"10"; -- ç when 16#0e8# => romdata <= X"00"; -- è when 16#1e8# => romdata <= X"00"; -- è when 16#2e8# => romdata <= X"10"; -- è when 16#3e8# => romdata <= X"08"; -- è when 16#4e8# => romdata <= X"00"; -- è when 16#5e8# => romdata <= X"3c"; -- è when 16#6e8# => romdata <= X"42"; -- è when 16#7e8# => romdata <= X"7e"; -- è when 16#8e8# => romdata <= X"40"; -- è when 16#9e8# => romdata <= X"42"; -- è when 16#ae8# => romdata <= X"3c"; -- è when 16#be8# => romdata <= X"00"; -- è when 16#ce8# => romdata <= X"00"; -- è when 16#0e9# => romdata <= X"00"; -- é when 16#1e9# => romdata <= X"00"; -- é when 16#2e9# => romdata <= X"08"; -- é when 16#3e9# => romdata <= X"10"; -- é when 16#4e9# => romdata <= X"00"; -- é when 16#5e9# => romdata <= X"3c"; -- é when 16#6e9# => romdata <= X"42"; -- é when 16#7e9# => romdata <= X"7e"; -- é when 16#8e9# => romdata <= X"40"; -- é when 16#9e9# => romdata <= X"42"; -- é when 16#ae9# => romdata <= X"3c"; -- é when 16#be9# => romdata <= X"00"; -- é when 16#ce9# => romdata <= X"00"; -- é when 16#0ea# => romdata <= X"00"; -- ê when 16#1ea# => romdata <= X"00"; -- ê when 16#2ea# => romdata <= X"18"; -- ê when 16#3ea# => romdata <= X"24"; -- ê when 16#4ea# => romdata <= X"00"; -- ê when 16#5ea# => romdata <= X"3c"; -- ê when 16#6ea# => romdata <= X"42"; -- ê when 16#7ea# => romdata <= X"7e"; -- ê when 16#8ea# => romdata <= X"40"; -- ê when 16#9ea# => romdata <= X"42"; -- ê when 16#aea# => romdata <= X"3c"; -- ê when 16#bea# => romdata <= X"00"; -- ê when 16#cea# => romdata <= X"00"; -- ê when 16#0eb# => romdata <= X"00"; -- ë when 16#1eb# => romdata <= X"00"; -- ë when 16#2eb# => romdata <= X"24"; -- ë when 16#3eb# => romdata <= X"24"; -- ë when 16#4eb# => romdata <= X"00"; -- ë when 16#5eb# => romdata <= X"3c"; -- ë when 16#6eb# => romdata <= X"42"; -- ë when 16#7eb# => romdata <= X"7e"; -- ë when 16#8eb# => romdata <= X"40"; -- ë when 16#9eb# => romdata <= X"42"; -- ë when 16#aeb# => romdata <= X"3c"; -- ë when 16#beb# => romdata <= X"00"; -- ë when 16#ceb# => romdata <= X"00"; -- ë when 16#0ec# => romdata <= X"00"; -- ì when 16#1ec# => romdata <= X"00"; -- ì when 16#2ec# => romdata <= X"20"; -- ì when 16#3ec# => romdata <= X"10"; -- ì when 16#4ec# => romdata <= X"00"; -- ì when 16#5ec# => romdata <= X"30"; -- ì when 16#6ec# => romdata <= X"10"; -- ì when 16#7ec# => romdata <= X"10"; -- ì when 16#8ec# => romdata <= X"10"; -- ì when 16#9ec# => romdata <= X"10"; -- ì when 16#aec# => romdata <= X"7c"; -- ì when 16#bec# => romdata <= X"00"; -- ì when 16#cec# => romdata <= X"00"; -- ì when 16#0ed# => romdata <= X"00"; -- í when 16#1ed# => romdata <= X"00"; -- í when 16#2ed# => romdata <= X"10"; -- í when 16#3ed# => romdata <= X"20"; -- í when 16#4ed# => romdata <= X"00"; -- í when 16#5ed# => romdata <= X"30"; -- í when 16#6ed# => romdata <= X"10"; -- í when 16#7ed# => romdata <= X"10"; -- í when 16#8ed# => romdata <= X"10"; -- í when 16#9ed# => romdata <= X"10"; -- í when 16#aed# => romdata <= X"7c"; -- í when 16#bed# => romdata <= X"00"; -- í when 16#ced# => romdata <= X"00"; -- í when 16#0ee# => romdata <= X"00"; -- î when 16#1ee# => romdata <= X"00"; -- î when 16#2ee# => romdata <= X"30"; -- î when 16#3ee# => romdata <= X"48"; -- î when 16#4ee# => romdata <= X"00"; -- î when 16#5ee# => romdata <= X"30"; -- î when 16#6ee# => romdata <= X"10"; -- î when 16#7ee# => romdata <= X"10"; -- î when 16#8ee# => romdata <= X"10"; -- î when 16#9ee# => romdata <= X"10"; -- î when 16#aee# => romdata <= X"7c"; -- î when 16#bee# => romdata <= X"00"; -- î when 16#cee# => romdata <= X"00"; -- î when 16#0ef# => romdata <= X"00"; -- ï when 16#1ef# => romdata <= X"00"; -- ï when 16#2ef# => romdata <= X"48"; -- ï when 16#3ef# => romdata <= X"48"; -- ï when 16#4ef# => romdata <= X"00"; -- ï when 16#5ef# => romdata <= X"30"; -- ï when 16#6ef# => romdata <= X"10"; -- ï when 16#7ef# => romdata <= X"10"; -- ï when 16#8ef# => romdata <= X"10"; -- ï when 16#9ef# => romdata <= X"10"; -- ï when 16#aef# => romdata <= X"7c"; -- ï when 16#bef# => romdata <= X"00"; -- ï when 16#cef# => romdata <= X"00"; -- ï when 16#0f0# => romdata <= X"00"; -- ð when 16#1f0# => romdata <= X"24"; -- ð when 16#2f0# => romdata <= X"18"; -- ð when 16#3f0# => romdata <= X"28"; -- ð when 16#4f0# => romdata <= X"04"; -- ð when 16#5f0# => romdata <= X"3c"; -- ð when 16#6f0# => romdata <= X"42"; -- ð when 16#7f0# => romdata <= X"42"; -- ð when 16#8f0# => romdata <= X"42"; -- ð when 16#9f0# => romdata <= X"42"; -- ð when 16#af0# => romdata <= X"3c"; -- ð when 16#bf0# => romdata <= X"00"; -- ð when 16#cf0# => romdata <= X"00"; -- ð when 16#0f1# => romdata <= X"00"; -- ñ when 16#1f1# => romdata <= X"00"; -- ñ when 16#2f1# => romdata <= X"32"; -- ñ when 16#3f1# => romdata <= X"4c"; -- ñ when 16#4f1# => romdata <= X"00"; -- ñ when 16#5f1# => romdata <= X"5c"; -- ñ when 16#6f1# => romdata <= X"62"; -- ñ when 16#7f1# => romdata <= X"42"; -- ñ when 16#8f1# => romdata <= X"42"; -- ñ when 16#9f1# => romdata <= X"42"; -- ñ when 16#af1# => romdata <= X"42"; -- ñ when 16#bf1# => romdata <= X"00"; -- ñ when 16#cf1# => romdata <= X"00"; -- ñ when 16#0f2# => romdata <= X"00"; -- ò when 16#1f2# => romdata <= X"00"; -- ò when 16#2f2# => romdata <= X"20"; -- ò when 16#3f2# => romdata <= X"10"; -- ò when 16#4f2# => romdata <= X"00"; -- ò when 16#5f2# => romdata <= X"3c"; -- ò when 16#6f2# => romdata <= X"42"; -- ò when 16#7f2# => romdata <= X"42"; -- ò when 16#8f2# => romdata <= X"42"; -- ò when 16#9f2# => romdata <= X"42"; -- ò when 16#af2# => romdata <= X"3c"; -- ò when 16#bf2# => romdata <= X"00"; -- ò when 16#cf2# => romdata <= X"00"; -- ò when 16#0f3# => romdata <= X"00"; -- ó when 16#1f3# => romdata <= X"00"; -- ó when 16#2f3# => romdata <= X"08"; -- ó when 16#3f3# => romdata <= X"10"; -- ó when 16#4f3# => romdata <= X"00"; -- ó when 16#5f3# => romdata <= X"3c"; -- ó when 16#6f3# => romdata <= X"42"; -- ó when 16#7f3# => romdata <= X"42"; -- ó when 16#8f3# => romdata <= X"42"; -- ó when 16#9f3# => romdata <= X"42"; -- ó when 16#af3# => romdata <= X"3c"; -- ó when 16#bf3# => romdata <= X"00"; -- ó when 16#cf3# => romdata <= X"00"; -- ó when 16#0f4# => romdata <= X"00"; -- ô when 16#1f4# => romdata <= X"00"; -- ô when 16#2f4# => romdata <= X"18"; -- ô when 16#3f4# => romdata <= X"24"; -- ô when 16#4f4# => romdata <= X"00"; -- ô when 16#5f4# => romdata <= X"3c"; -- ô when 16#6f4# => romdata <= X"42"; -- ô when 16#7f4# => romdata <= X"42"; -- ô when 16#8f4# => romdata <= X"42"; -- ô when 16#9f4# => romdata <= X"42"; -- ô when 16#af4# => romdata <= X"3c"; -- ô when 16#bf4# => romdata <= X"00"; -- ô when 16#cf4# => romdata <= X"00"; -- ô when 16#0f5# => romdata <= X"00"; -- õ when 16#1f5# => romdata <= X"00"; -- õ when 16#2f5# => romdata <= X"32"; -- õ when 16#3f5# => romdata <= X"4c"; -- õ when 16#4f5# => romdata <= X"00"; -- õ when 16#5f5# => romdata <= X"3c"; -- õ when 16#6f5# => romdata <= X"42"; -- õ when 16#7f5# => romdata <= X"42"; -- õ when 16#8f5# => romdata <= X"42"; -- õ when 16#9f5# => romdata <= X"42"; -- õ when 16#af5# => romdata <= X"3c"; -- õ when 16#bf5# => romdata <= X"00"; -- õ when 16#cf5# => romdata <= X"00"; -- õ when 16#0f6# => romdata <= X"00"; -- ö when 16#1f6# => romdata <= X"00"; -- ö when 16#2f6# => romdata <= X"24"; -- ö when 16#3f6# => romdata <= X"24"; -- ö when 16#4f6# => romdata <= X"00"; -- ö when 16#5f6# => romdata <= X"3c"; -- ö when 16#6f6# => romdata <= X"42"; -- ö when 16#7f6# => romdata <= X"42"; -- ö when 16#8f6# => romdata <= X"42"; -- ö when 16#9f6# => romdata <= X"42"; -- ö when 16#af6# => romdata <= X"3c"; -- ö when 16#bf6# => romdata <= X"00"; -- ö when 16#cf6# => romdata <= X"00"; -- ö when 16#0f7# => romdata <= X"00"; -- ÷ when 16#1f7# => romdata <= X"00"; -- ÷ when 16#2f7# => romdata <= X"00"; -- ÷ when 16#3f7# => romdata <= X"10"; -- ÷ when 16#4f7# => romdata <= X"10"; -- ÷ when 16#5f7# => romdata <= X"00"; -- ÷ when 16#6f7# => romdata <= X"7c"; -- ÷ when 16#7f7# => romdata <= X"00"; -- ÷ when 16#8f7# => romdata <= X"10"; -- ÷ when 16#9f7# => romdata <= X"10"; -- ÷ when 16#af7# => romdata <= X"00"; -- ÷ when 16#bf7# => romdata <= X"00"; -- ÷ when 16#cf7# => romdata <= X"00"; -- ÷ when 16#0f8# => romdata <= X"00"; -- ø when 16#1f8# => romdata <= X"00"; -- ø when 16#2f8# => romdata <= X"00"; -- ø when 16#3f8# => romdata <= X"00"; -- ø when 16#4f8# => romdata <= X"02"; -- ø when 16#5f8# => romdata <= X"3c"; -- ø when 16#6f8# => romdata <= X"46"; -- ø when 16#7f8# => romdata <= X"4a"; -- ø when 16#8f8# => romdata <= X"52"; -- ø when 16#9f8# => romdata <= X"62"; -- ø when 16#af8# => romdata <= X"3c"; -- ø when 16#bf8# => romdata <= X"40"; -- ø when 16#cf8# => romdata <= X"00"; -- ø when 16#0f9# => romdata <= X"00"; -- ù when 16#1f9# => romdata <= X"00"; -- ù when 16#2f9# => romdata <= X"20"; -- ù when 16#3f9# => romdata <= X"10"; -- ù when 16#4f9# => romdata <= X"00"; -- ù when 16#5f9# => romdata <= X"44"; -- ù when 16#6f9# => romdata <= X"44"; -- ù when 16#7f9# => romdata <= X"44"; -- ù when 16#8f9# => romdata <= X"44"; -- ù when 16#9f9# => romdata <= X"44"; -- ù when 16#af9# => romdata <= X"3a"; -- ù when 16#bf9# => romdata <= X"00"; -- ù when 16#cf9# => romdata <= X"00"; -- ù when 16#0fa# => romdata <= X"00"; -- ú when 16#1fa# => romdata <= X"00"; -- ú when 16#2fa# => romdata <= X"08"; -- ú when 16#3fa# => romdata <= X"10"; -- ú when 16#4fa# => romdata <= X"00"; -- ú when 16#5fa# => romdata <= X"44"; -- ú when 16#6fa# => romdata <= X"44"; -- ú when 16#7fa# => romdata <= X"44"; -- ú when 16#8fa# => romdata <= X"44"; -- ú when 16#9fa# => romdata <= X"44"; -- ú when 16#afa# => romdata <= X"3a"; -- ú when 16#bfa# => romdata <= X"00"; -- ú when 16#cfa# => romdata <= X"00"; -- ú when 16#0fb# => romdata <= X"00"; -- û when 16#1fb# => romdata <= X"00"; -- û when 16#2fb# => romdata <= X"18"; -- û when 16#3fb# => romdata <= X"24"; -- û when 16#4fb# => romdata <= X"00"; -- û when 16#5fb# => romdata <= X"44"; -- û when 16#6fb# => romdata <= X"44"; -- û when 16#7fb# => romdata <= X"44"; -- û when 16#8fb# => romdata <= X"44"; -- û when 16#9fb# => romdata <= X"44"; -- û when 16#afb# => romdata <= X"3a"; -- û when 16#bfb# => romdata <= X"00"; -- û when 16#cfb# => romdata <= X"00"; -- û when 16#0fc# => romdata <= X"00"; -- ü when 16#1fc# => romdata <= X"00"; -- ü when 16#2fc# => romdata <= X"28"; -- ü when 16#3fc# => romdata <= X"28"; -- ü when 16#4fc# => romdata <= X"00"; -- ü when 16#5fc# => romdata <= X"44"; -- ü when 16#6fc# => romdata <= X"44"; -- ü when 16#7fc# => romdata <= X"44"; -- ü when 16#8fc# => romdata <= X"44"; -- ü when 16#9fc# => romdata <= X"44"; -- ü when 16#afc# => romdata <= X"3a"; -- ü when 16#bfc# => romdata <= X"00"; -- ü when 16#cfc# => romdata <= X"00"; -- ü when 16#0fd# => romdata <= X"00"; -- ý when 16#1fd# => romdata <= X"00"; -- ý when 16#2fd# => romdata <= X"08"; -- ý when 16#3fd# => romdata <= X"10"; -- ý when 16#4fd# => romdata <= X"00"; -- ý when 16#5fd# => romdata <= X"42"; -- ý when 16#6fd# => romdata <= X"42"; -- ý when 16#7fd# => romdata <= X"42"; -- ý when 16#8fd# => romdata <= X"46"; -- ý when 16#9fd# => romdata <= X"3a"; -- ý when 16#afd# => romdata <= X"02"; -- ý when 16#bfd# => romdata <= X"42"; -- ý when 16#cfd# => romdata <= X"3c"; -- ý when 16#0fe# => romdata <= X"00"; -- þ when 16#1fe# => romdata <= X"00"; -- þ when 16#2fe# => romdata <= X"00"; -- þ when 16#3fe# => romdata <= X"40"; -- þ when 16#4fe# => romdata <= X"40"; -- þ when 16#5fe# => romdata <= X"5c"; -- þ when 16#6fe# => romdata <= X"62"; -- þ when 16#7fe# => romdata <= X"42"; -- þ when 16#8fe# => romdata <= X"42"; -- þ when 16#9fe# => romdata <= X"62"; -- þ when 16#afe# => romdata <= X"5c"; -- þ when 16#bfe# => romdata <= X"40"; -- þ when 16#cfe# => romdata <= X"40"; -- þ when 16#0ff# => romdata <= X"00"; -- ÿ when 16#1ff# => romdata <= X"00"; -- ÿ when 16#2ff# => romdata <= X"24"; -- ÿ when 16#3ff# => romdata <= X"24"; -- ÿ when 16#4ff# => romdata <= X"00"; -- ÿ when 16#5ff# => romdata <= X"42"; -- ÿ when 16#6ff# => romdata <= X"42"; -- ÿ when 16#7ff# => romdata <= X"42"; -- ÿ when 16#8ff# => romdata <= X"46"; -- ÿ when 16#9ff# => romdata <= X"3a"; -- ÿ when 16#aff# => romdata <= X"02"; -- ÿ when 16#bff# => romdata <= X"42"; -- ÿ when 16#cff# => romdata <= X"3c"; -- ÿ when others => romdata <= (others => '0'); end case; end process; end architecture;
------------------------------------------------------------------------------- --! @file onewire_idtemp_pkg.vhd --! @author Johannes Walter <[email protected]> --! @copyright LGPL v2.1 --! @brief Constants for the 1-wire ID and temperature sensor interface. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; --! @brief Package declaration of onewire_idtemp_pkg package onewire_idtemp_pkg is ----------------------------------------------------------------------------- -- Types and Constants ----------------------------------------------------------------------------- --! DS18B20 ID and temperature sensor family code constant code_ds18b20_c : std_ulogic_vector(7 downto 0) := x"28"; --! DS2401 ID family code constant code_ds2401_c : std_ulogic_vector(7 downto 0) := x"01"; --! Search command constant cmd_search_c : std_ulogic_vector(7 downto 0) := x"F0"; --! Match ROM command constant cmd_match_c : std_ulogic_vector(7 downto 0) := x"55"; --! Skip ROM command constant cmd_skip_c : std_ulogic_vector(7 downto 0) := x"CC"; --! Convert command constant cmd_convert_c : std_ulogic_vector(7 downto 0) := x"44"; --! Read scratchpad constant cmd_read_sp_c : std_ulogic_vector(7 downto 0) := x"BE"; end package onewire_idtemp_pkg;
entity arrayop1 is end entity; architecture test of arrayop1 is begin process is variable x : bit_vector(1 to 3); begin assert x < "000"; wait; end process; end architecture;
entity arrayop1 is end entity; architecture test of arrayop1 is begin process is variable x : bit_vector(1 to 3); begin assert x < "000"; wait; end process; end architecture;
entity arrayop1 is end entity; architecture test of arrayop1 is begin process is variable x : bit_vector(1 to 3); begin assert x < "000"; wait; end process; end architecture;
entity arrayop1 is end entity; architecture test of arrayop1 is begin process is variable x : bit_vector(1 to 3); begin assert x < "000"; wait; end process; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: genclkbuf -- File: genclkbuf.vhd -- Author: Jiri Gaisler, Marko Isomaki - Gaisler Research -- Description: Hard buffers with tech wrapper ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; entity techbuf is generic( buftype : integer range 0 to 6 := 0; tech : integer range 0 to NTECH := inferred); port( i : in std_ulogic; o : out std_ulogic); end entity; architecture rtl of techbuf is component clkbuf_fusion is generic( buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_apa3 is generic( buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_apa3e is generic( buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_apa3l is generic( buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_actel is generic( buftype : integer range 0 to 6 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_xilinx is generic( buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_ut025crh is generic( buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_ut130hbd is generic( buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_nextreme is generic( buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; component clkbuf_n2x is generic(buftype : integer range 0 to 3 := 0); port( i : in std_ulogic; o : out std_ulogic); end component; signal vcc, gnd : std_ulogic; begin vcc <= '1'; gnd <= '0'; gen : if has_techbuf(tech) = 0 generate o <= i; end generate; fus : if (tech = actfus) generate fus0 : clkbuf_fusion generic map (buftype => buftype) port map(i => i, o => o); end generate; pa3 : if (tech = apa3) generate pa30 : clkbuf_apa3 generic map (buftype => buftype) port map(i => i, o => o); end generate; pa3e : if (tech = apa3e) generate pae30 : clkbuf_apa3e generic map (buftype => buftype) port map(i => i, o => o); end generate; pa3l : if (tech = apa3l) generate pa3l0 : clkbuf_apa3l generic map (buftype => buftype) port map(i => i, o => o); end generate; axc : if (tech = axcel) or (tech = axdsp) generate axc0 : clkbuf_actel generic map (buftype => buftype) port map(i => i, o => o); end generate; xil : if (is_unisim(tech) = 1) generate xil0 : clkbuf_xilinx generic map (buftype => buftype) port map(i => i, o => o); end generate; ut : if (tech = ut25) generate ut0 : clkbuf_ut025crh generic map (buftype => buftype) port map(i => i, o => o); end generate; ut13 : if (tech = ut130) generate ut0 : clkbuf_ut130hbd generic map (buftype => buftype) port map(i => i, o => o); end generate; ut09 : if (tech = ut90) generate ut0 : clkand_ut90nhbd port map(i => i, en => vcc, o => o, tsten => gnd); end generate; easic: if tech = easic90 generate eas : clkbuf_nextreme generic map (buftype => buftype) port map(i => i, o => o); end generate easic; n2x : if tech = easic45 generate n2x0 : clkbuf_n2x generic map (buftype => buftype) port map(i => i, o => o); end generate; end architecture;
library ieee, base; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use base.base.all; entity RightShiftTests is end entity RightShiftTests; architecture TB of RightShiftTests is component RightShift port(c_in : in unsigned(3 downto 0) := "0001"; c_out : out unsigned(3 downto 0); data_in : in unsigned(3 downto 0); data_out : out unsigned(3 downto 0)); end component RightShift; for uut : RightShift use entity work.RightShift(Behavioural); signal c_in : unsigned(3 downto 0) := "0000"; signal c_out : unsigned(3 downto 0) := "0000"; signal data_in : unsigned(3 downto 0) := "0000"; signal data_out : unsigned(3 downto 0) := "0000"; begin -- Unit under test uut : RightShift port map(c_in => c_in, c_out => c_out, data_in => data_in, data_out => data_out); test : process begin wait_for_sim_setup; c_in <= "0011"; wait for 10 ns; assert_equal(c_out, "0011"); c_in <= "0001"; data_in <= "0001"; wait for 10 ns; assert_equal(data_out, "0000"); c_in <= "0001"; data_in <= "0010"; wait for 10 ns; assert_equal(data_out, "0001"); c_in <= "0100"; data_in <= "0010"; wait for 10 ns; assert_equal(data_out, "0010"); report "Test complete"; wait; end process; end architecture TB;
-- ------------------------------------------------------------- -- -- Entity Declaration for inst_ec_e -- -- Generated -- by: wig -- on: Mon Mar 22 13:27:29 2004 -- cmd: H:\work\mix_new\mix\mix_0.pl -strip -nodelta ../../mde_tests.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_ec_e-e.vhd,v 1.1 2004/04/06 10:50:27 wig Exp $ -- $Date: 2004/04/06 10:50:27 $ -- $Log: inst_ec_e-e.vhd,v $ -- Revision 1.1 2004/04/06 10:50:27 wig -- Adding result/mde_tests -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.37 2003/12/23 13:25:21 abauer Exp -- -- Generator: mix_0.pl Version: Revision: 1.26 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity inst_ec_e -- entity inst_ec_e is -- Generics: -- No Generated Generics for Entity inst_ec_e -- Generated Port Declaration: port( -- Generated Port for Entity inst_ec_e p_mix_nreset_gi : in std_ulogic; p_mix_nreset_s_gi : in std_ulogic; p_mix_v_select_5_0_gi : in std_ulogic_vector(5 downto 0); tpm_scani : in std_ulogic_vector(12 downto 0); tpm_scano : out std_ulogic_vector(12 downto 0) -- End of Generated Port for Entity inst_ec_e ); end inst_ec_e; -- -- End of Generated Entity inst_ec_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: avnet:zedboard:zed_hdmi_out:2.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.zed_hdmi_out; ENTITY tutorial_zed_hdmi_out_0_0 IS PORT ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; audio_spdif : IN STD_LOGIC; video_vsync : IN STD_LOGIC; video_hsync : IN STD_LOGIC; video_de : IN STD_LOGIC; video_data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_spdif : OUT STD_LOGIC; io_hdmio_video : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_vsync : OUT STD_LOGIC; io_hdmio_hsync : OUT STD_LOGIC; io_hdmio_de : OUT STD_LOGIC; io_hdmio_clk : OUT STD_LOGIC ); END tutorial_zed_hdmi_out_0_0; ARCHITECTURE tutorial_zed_hdmi_out_0_0_arch OF tutorial_zed_hdmi_out_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_zed_hdmi_out_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT zed_hdmi_out IS GENERIC ( C_DATA_WIDTH : INTEGER; -- Video Data Width C_FAMILY : STRING ); PORT ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; audio_spdif : IN STD_LOGIC; video_vsync : IN STD_LOGIC; video_hsync : IN STD_LOGIC; video_de : IN STD_LOGIC; video_data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_spdif : OUT STD_LOGIC; io_hdmio_video : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_vsync : OUT STD_LOGIC; io_hdmio_hsync : OUT STD_LOGIC; io_hdmio_de : OUT STD_LOGIC; io_hdmio_clk : OUT STD_LOGIC ); END COMPONENT zed_hdmi_out; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF video_vsync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF video_hsync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF video_de: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN ACTIVE_VIDEO"; ATTRIBUTE X_INTERFACE_INFO OF video_data: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN DATA"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_spdif: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO SPDIF"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_video: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO DATA"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_vsync: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_hsync: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_de: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO DE"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_clk: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO CLK"; BEGIN U0 : zed_hdmi_out GENERIC MAP ( C_DATA_WIDTH => 16, C_FAMILY => "zynq" ) PORT MAP ( clk => clk, reset => reset, audio_spdif => audio_spdif, video_vsync => video_vsync, video_hsync => video_hsync, video_de => video_de, video_data => video_data, io_hdmio_spdif => io_hdmio_spdif, io_hdmio_video => io_hdmio_video, io_hdmio_vsync => io_hdmio_vsync, io_hdmio_hsync => io_hdmio_hsync, io_hdmio_de => io_hdmio_de, io_hdmio_clk => io_hdmio_clk ); END tutorial_zed_hdmi_out_0_0_arch;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: svga2ch7301c -- File: svga2ch7301c.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel -- CH7301C DVI transmitter. Multiplexes data and generates clocks. -- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB -- template designs. -- -- This multiplexer has been developed for use with the Chrontel CH7301C DVI -- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet: -- -- IDF Description -- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1) -- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2) -- 2 8-bit multiplexed RGB input (16-bit color, 565) -- 3 8-bit multiplexed RGB input (15-bit color, 555) -- -- This core assumes a 100 MHz input clock on the 'clk' input. -- -- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth -- to decide if multiplexing should be done according to IDF 0 or IDF 2. -- vago.bitdepth = "11" gives IDF 0, others give IDF2. -- The 'idf' generic is not used when the 'dynamic' generic is non-zero. -- Note that if dynamic selection is enabled you will need to reconfigure -- the DVI transmitter when the VGA core changes bit depth. -- library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.misc.all; library grlib; use grlib.stdlib.all; -- pragma translate_off library unisim; use unisim.BUFG; use unisim.DCM; -- pragma translate_on library techmap; use techmap.gencomp.all; entity svga2ch7301c is generic ( tech : integer := 0; idf : integer := 0; dynamic : integer := 0 ); port ( clk : in std_ulogic; rstn : in std_ulogic; clksel : in std_logic_vector(1 downto 0); vgao : in apbvga_out_type; vgaclk_fb : in std_ulogic; clk25_fb : in std_ulogic; clk40_fb : in std_ulogic; clk65_fb : in std_ulogic; vgaclk : out std_ulogic; clk25 : out std_ulogic; clk40 : out std_ulogic; clk65 : out std_ulogic; dclk_p : out std_ulogic; dclk_n : out std_ulogic; locked : out std_ulogic; data : out std_logic_vector(11 downto 0); hsync : out std_ulogic; vsync : out std_ulogic; de : out std_ulogic ); end svga2ch7301c; architecture rtl of svga2ch7301c is component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; constant VERSION : integer := 1; constant CLKIN_PERIOD_ST : string := "10.0"; attribute CLKIN_PERIOD : string; attribute CLKIN_PERIOD of dll1: label is CLKIN_PERIOD_ST; attribute CLKIN_PERIOD of dll2: label is CLKIN_PERIOD_ST; signal clk_l, clk_m, clk_n, clk_o : std_logic; signal dll0lock, dll1lock, dll2lock : std_logic; signal dllrst : std_ulogic; signal vcc, gnd : std_logic; signal d0, d1 : std_logic_vector(11 downto 0); signal red, green, blue : std_logic_vector(7 downto 0); signal lvgaclk, lclk40, lclk65, lclk40_65 : std_ulogic; signal clkval : std_logic_vector(1 downto 0); begin -- rtl vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------- -- RGB data multiplexer ----------------------------------------------------------------------------- red <= vgao.video_out_r; green <= vgao.video_out_g; blue <= vgao.video_out_b; static: if dynamic = 0 generate idf0: if (idf = 0) generate d0 <= green(3 downto 0) & blue(7 downto 0); d1 <= red(7 downto 0) & green(7 downto 4); end generate; idf1: if (idf = 1) generate d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0); d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1); end generate; idf2: if (idf = 2) generate d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate; idf3: if (idf = 3) generate d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate idf3; -- DDR regs dataregs: for i in 11 downto (4*(idf/2)) generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; nostatic: if dynamic /= 0 generate d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else green(4 downto 2) & blue(7 downto 3) & "0000"; d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else red(7 downto 3) & green(7 downto 5) & "0000"; dataregs: for i in 11 downto 0 generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; ----------------------------------------------------------------------------- -- Sync signals ----------------------------------------------------------------------------- process (vgaclk_fb) begin -- process if rising_edge(vgaclk_fb) then hsync <= vgao.hsync; vsync <= vgao.vsync; de <= vgao.blank; end if; end process; ----------------------------------------------------------------------------- -- Clock generation ----------------------------------------------------------------------------- ddroreg_p : ddr_oreg generic map (tech) port map (q => dclk_p, c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => vcc, d2 => gnd, r => gnd, s => gnd); ddroreg_n : ddr_oreg generic map (tech) port map (q => dclk_n, c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => gnd, d2 => vcc, r => gnd, s => gnd); -- Clock selection bufg00 : BUFG port map (I => lvgaclk, O => vgaclk); lvgaclk <= clk25_fb when clksel(1) = '0' else lclk40_65; lclk40_65 <= lclk40 when clksel(0) = '0' else lclk65; bufg01 : BUFG port map (I => clk40_fb, O => lclk40); bufg02 : BUFG port map (I => clk65_fb, O => lclk65); dllrst <= not rstn; -- Generate clocks clkdiv : process(clk_m, rstn) begin if (rstn and dll1lock) = '0' then clkval <= "00"; elsif rising_edge(clk_m) then clkval <= clkval + 1; end if; end process; clk25 <= clkval(1); dll0lock <= '1'; bufg03 : BUFG port map (I => clk_l, O => clk_m); dll1 : DCM generic map (CLKFX_MULTIPLY => 4, CLKFX_DIVIDE => 10, DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW") port map ( CLKIN => clk, CLKFB => clk_m, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dllrst, CLK0 => clk_l, CLKFX => clk40, LOCKED => dll1lock); bufg04 : BUFG port map (I => clk_n, O => clk_o); dll2 : DCM generic map (CLKFX_MULTIPLY => 13, CLKFX_DIVIDE => 20, DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW") port map ( CLKIN => clk, CLKFB => clk_o, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dllrst, CLK0 => clk_n, CLKFX => clk65, LOCKED => dll2lock); locked <= dll0lock and dll1lock and dll2lock; end rtl;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: svga2ch7301c -- File: svga2ch7301c.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel -- CH7301C DVI transmitter. Multiplexes data and generates clocks. -- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB -- template designs. -- -- This multiplexer has been developed for use with the Chrontel CH7301C DVI -- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet: -- -- IDF Description -- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1) -- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2) -- 2 8-bit multiplexed RGB input (16-bit color, 565) -- 3 8-bit multiplexed RGB input (15-bit color, 555) -- -- This core assumes a 100 MHz input clock on the 'clk' input. -- -- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth -- to decide if multiplexing should be done according to IDF 0 or IDF 2. -- vago.bitdepth = "11" gives IDF 0, others give IDF2. -- The 'idf' generic is not used when the 'dynamic' generic is non-zero. -- Note that if dynamic selection is enabled you will need to reconfigure -- the DVI transmitter when the VGA core changes bit depth. -- library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.misc.all; library grlib; use grlib.stdlib.all; -- pragma translate_off library unisim; use unisim.BUFG; use unisim.DCM; -- pragma translate_on library techmap; use techmap.gencomp.all; entity svga2ch7301c is generic ( tech : integer := 0; idf : integer := 0; dynamic : integer := 0 ); port ( clk : in std_ulogic; rstn : in std_ulogic; clksel : in std_logic_vector(1 downto 0); vgao : in apbvga_out_type; vgaclk_fb : in std_ulogic; clk25_fb : in std_ulogic; clk40_fb : in std_ulogic; clk65_fb : in std_ulogic; vgaclk : out std_ulogic; clk25 : out std_ulogic; clk40 : out std_ulogic; clk65 : out std_ulogic; dclk_p : out std_ulogic; dclk_n : out std_ulogic; locked : out std_ulogic; data : out std_logic_vector(11 downto 0); hsync : out std_ulogic; vsync : out std_ulogic; de : out std_ulogic ); end svga2ch7301c; architecture rtl of svga2ch7301c is component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; constant VERSION : integer := 1; constant CLKIN_PERIOD_ST : string := "10.0"; attribute CLKIN_PERIOD : string; attribute CLKIN_PERIOD of dll1: label is CLKIN_PERIOD_ST; attribute CLKIN_PERIOD of dll2: label is CLKIN_PERIOD_ST; signal clk_l, clk_m, clk_n, clk_o : std_logic; signal dll0lock, dll1lock, dll2lock : std_logic; signal dllrst : std_ulogic; signal vcc, gnd : std_logic; signal d0, d1 : std_logic_vector(11 downto 0); signal red, green, blue : std_logic_vector(7 downto 0); signal lvgaclk, lclk40, lclk65, lclk40_65 : std_ulogic; signal clkval : std_logic_vector(1 downto 0); begin -- rtl vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------- -- RGB data multiplexer ----------------------------------------------------------------------------- red <= vgao.video_out_r; green <= vgao.video_out_g; blue <= vgao.video_out_b; static: if dynamic = 0 generate idf0: if (idf = 0) generate d0 <= green(3 downto 0) & blue(7 downto 0); d1 <= red(7 downto 0) & green(7 downto 4); end generate; idf1: if (idf = 1) generate d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0); d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1); end generate; idf2: if (idf = 2) generate d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate; idf3: if (idf = 3) generate d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate idf3; -- DDR regs dataregs: for i in 11 downto (4*(idf/2)) generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; nostatic: if dynamic /= 0 generate d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else green(4 downto 2) & blue(7 downto 3) & "0000"; d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else red(7 downto 3) & green(7 downto 5) & "0000"; dataregs: for i in 11 downto 0 generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; ----------------------------------------------------------------------------- -- Sync signals ----------------------------------------------------------------------------- process (vgaclk_fb) begin -- process if rising_edge(vgaclk_fb) then hsync <= vgao.hsync; vsync <= vgao.vsync; de <= vgao.blank; end if; end process; ----------------------------------------------------------------------------- -- Clock generation ----------------------------------------------------------------------------- ddroreg_p : ddr_oreg generic map (tech) port map (q => dclk_p, c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => vcc, d2 => gnd, r => gnd, s => gnd); ddroreg_n : ddr_oreg generic map (tech) port map (q => dclk_n, c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => gnd, d2 => vcc, r => gnd, s => gnd); -- Clock selection bufg00 : BUFG port map (I => lvgaclk, O => vgaclk); lvgaclk <= clk25_fb when clksel(1) = '0' else lclk40_65; lclk40_65 <= lclk40 when clksel(0) = '0' else lclk65; bufg01 : BUFG port map (I => clk40_fb, O => lclk40); bufg02 : BUFG port map (I => clk65_fb, O => lclk65); dllrst <= not rstn; -- Generate clocks clkdiv : process(clk_m, rstn) begin if (rstn and dll1lock) = '0' then clkval <= "00"; elsif rising_edge(clk_m) then clkval <= clkval + 1; end if; end process; clk25 <= clkval(1); dll0lock <= '1'; bufg03 : BUFG port map (I => clk_l, O => clk_m); dll1 : DCM generic map (CLKFX_MULTIPLY => 4, CLKFX_DIVIDE => 10, DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW") port map ( CLKIN => clk, CLKFB => clk_m, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dllrst, CLK0 => clk_l, CLKFX => clk40, LOCKED => dll1lock); bufg04 : BUFG port map (I => clk_n, O => clk_o); dll2 : DCM generic map (CLKFX_MULTIPLY => 13, CLKFX_DIVIDE => 20, DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW") port map ( CLKIN => clk, CLKFB => clk_o, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dllrst, CLK0 => clk_n, CLKFX => clk65, LOCKED => dll2lock); locked <= dll0lock and dll1lock and dll2lock; end rtl;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: svga2ch7301c -- File: svga2ch7301c.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel -- CH7301C DVI transmitter. Multiplexes data and generates clocks. -- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB -- template designs. -- -- This multiplexer has been developed for use with the Chrontel CH7301C DVI -- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet: -- -- IDF Description -- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1) -- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2) -- 2 8-bit multiplexed RGB input (16-bit color, 565) -- 3 8-bit multiplexed RGB input (15-bit color, 555) -- -- This core assumes a 100 MHz input clock on the 'clk' input. -- -- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth -- to decide if multiplexing should be done according to IDF 0 or IDF 2. -- vago.bitdepth = "11" gives IDF 0, others give IDF2. -- The 'idf' generic is not used when the 'dynamic' generic is non-zero. -- Note that if dynamic selection is enabled you will need to reconfigure -- the DVI transmitter when the VGA core changes bit depth. -- library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.misc.all; library grlib; use grlib.stdlib.all; -- pragma translate_off library unisim; use unisim.BUFG; use unisim.DCM; -- pragma translate_on library techmap; use techmap.gencomp.all; entity svga2ch7301c is generic ( tech : integer := 0; idf : integer := 0; dynamic : integer := 0 ); port ( clk : in std_ulogic; rstn : in std_ulogic; clksel : in std_logic_vector(1 downto 0); vgao : in apbvga_out_type; vgaclk_fb : in std_ulogic; clk25_fb : in std_ulogic; clk40_fb : in std_ulogic; clk65_fb : in std_ulogic; vgaclk : out std_ulogic; clk25 : out std_ulogic; clk40 : out std_ulogic; clk65 : out std_ulogic; dclk_p : out std_ulogic; dclk_n : out std_ulogic; locked : out std_ulogic; data : out std_logic_vector(11 downto 0); hsync : out std_ulogic; vsync : out std_ulogic; de : out std_ulogic ); end svga2ch7301c; architecture rtl of svga2ch7301c is component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; constant VERSION : integer := 1; constant CLKIN_PERIOD_ST : string := "10.0"; attribute CLKIN_PERIOD : string; attribute CLKIN_PERIOD of dll1: label is CLKIN_PERIOD_ST; attribute CLKIN_PERIOD of dll2: label is CLKIN_PERIOD_ST; signal clk_l, clk_m, clk_n, clk_o : std_logic; signal dll0lock, dll1lock, dll2lock : std_logic; signal dllrst : std_ulogic; signal vcc, gnd : std_logic; signal d0, d1 : std_logic_vector(11 downto 0); signal red, green, blue : std_logic_vector(7 downto 0); signal lvgaclk, lclk40, lclk65, lclk40_65 : std_ulogic; signal clkval : std_logic_vector(1 downto 0); begin -- rtl vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------- -- RGB data multiplexer ----------------------------------------------------------------------------- red <= vgao.video_out_r; green <= vgao.video_out_g; blue <= vgao.video_out_b; static: if dynamic = 0 generate idf0: if (idf = 0) generate d0 <= green(3 downto 0) & blue(7 downto 0); d1 <= red(7 downto 0) & green(7 downto 4); end generate; idf1: if (idf = 1) generate d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0); d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1); end generate; idf2: if (idf = 2) generate d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate; idf3: if (idf = 3) generate d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate idf3; -- DDR regs dataregs: for i in 11 downto (4*(idf/2)) generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; nostatic: if dynamic /= 0 generate d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else green(4 downto 2) & blue(7 downto 3) & "0000"; d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else red(7 downto 3) & green(7 downto 5) & "0000"; dataregs: for i in 11 downto 0 generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; ----------------------------------------------------------------------------- -- Sync signals ----------------------------------------------------------------------------- process (vgaclk_fb) begin -- process if rising_edge(vgaclk_fb) then hsync <= vgao.hsync; vsync <= vgao.vsync; de <= vgao.blank; end if; end process; ----------------------------------------------------------------------------- -- Clock generation ----------------------------------------------------------------------------- ddroreg_p : ddr_oreg generic map (tech) port map (q => dclk_p, c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => vcc, d2 => gnd, r => gnd, s => gnd); ddroreg_n : ddr_oreg generic map (tech) port map (q => dclk_n, c1 => vgaclk_fb, c2 => gnd, ce => vcc, d1 => gnd, d2 => vcc, r => gnd, s => gnd); -- Clock selection bufg00 : BUFG port map (I => lvgaclk, O => vgaclk); lvgaclk <= clk25_fb when clksel(1) = '0' else lclk40_65; lclk40_65 <= lclk40 when clksel(0) = '0' else lclk65; bufg01 : BUFG port map (I => clk40_fb, O => lclk40); bufg02 : BUFG port map (I => clk65_fb, O => lclk65); dllrst <= not rstn; -- Generate clocks clkdiv : process(clk_m, rstn) begin if (rstn and dll1lock) = '0' then clkval <= "00"; elsif rising_edge(clk_m) then clkval <= clkval + 1; end if; end process; clk25 <= clkval(1); dll0lock <= '1'; bufg03 : BUFG port map (I => clk_l, O => clk_m); dll1 : DCM generic map (CLKFX_MULTIPLY => 4, CLKFX_DIVIDE => 10, DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW") port map ( CLKIN => clk, CLKFB => clk_m, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dllrst, CLK0 => clk_l, CLKFX => clk40, LOCKED => dll1lock); bufg04 : BUFG port map (I => clk_n, O => clk_o); dll2 : DCM generic map (CLKFX_MULTIPLY => 13, CLKFX_DIVIDE => 20, DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW") port map ( CLKIN => clk, CLKFB => clk_o, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dllrst, CLK0 => clk_n, CLKFX => clk65, LOCKED => dll2lock); locked <= dll0lock and dll1lock and dll2lock; end rtl;
------------------------------------------------------------------------------- -- -- SD/MMC Bootloader -- -- $Id: tb_elem-minimal-c.vhd,v 1.1 2005-02-08 21:09:20 arniml Exp $ -- ------------------------------------------------------------------------------- configuration tb_elem_behav_minimal of tb_elem is for behav for dut_b : chip use configuration work.chip_minimal_c0; end for; for card_b : card use configuration work.card_behav_c0; end for; end for; end tb_elem_behav_minimal;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1695.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p03n01i01695ent IS END c09s02b00x00p03n01i01695ent; ARCHITECTURE c09s02b00x00p03n01i01695arch OF c09s02b00x00p03n01i01695ent IS BEGIN TEST_PROCESS: process -- Illegal Disconnection specification. ERROR: disconnect all : BIT after 0 ns; begin end process TEST_PROCESS; TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c09s02b00x00p03n01i01695 - Disconnection specifications may not be declared inside a process." severity ERROR; wait; END PROCESS TESTING; END c09s02b00x00p03n01i01695arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1695.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p03n01i01695ent IS END c09s02b00x00p03n01i01695ent; ARCHITECTURE c09s02b00x00p03n01i01695arch OF c09s02b00x00p03n01i01695ent IS BEGIN TEST_PROCESS: process -- Illegal Disconnection specification. ERROR: disconnect all : BIT after 0 ns; begin end process TEST_PROCESS; TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c09s02b00x00p03n01i01695 - Disconnection specifications may not be declared inside a process." severity ERROR; wait; END PROCESS TESTING; END c09s02b00x00p03n01i01695arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1695.vhd,v 1.2 2001-10-26 16:30:12 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s02b00x00p03n01i01695ent IS END c09s02b00x00p03n01i01695ent; ARCHITECTURE c09s02b00x00p03n01i01695arch OF c09s02b00x00p03n01i01695ent IS BEGIN TEST_PROCESS: process -- Illegal Disconnection specification. ERROR: disconnect all : BIT after 0 ns; begin end process TEST_PROCESS; TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c09s02b00x00p03n01i01695 - Disconnection specifications may not be declared inside a process." severity ERROR; wait; END PROCESS TESTING; END c09s02b00x00p03n01i01695arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc127.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b02x01p04n01i00127ent IS generic ( signal c1 : in integer ) ; -- Failure_here -- signal declaration -- not allowed END c04s03b02x01p04n01i00127ent; ARCHITECTURE c04s03b02x01p04n01i00127arch OF c04s03b02x01p04n01i00127ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s03b02x01p04n01i00127 - Only constant declarations allowed in generic interface list." severity ERROR; wait; END PROCESS TESTING; END c04s03b02x01p04n01i00127arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc127.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b02x01p04n01i00127ent IS generic ( signal c1 : in integer ) ; -- Failure_here -- signal declaration -- not allowed END c04s03b02x01p04n01i00127ent; ARCHITECTURE c04s03b02x01p04n01i00127arch OF c04s03b02x01p04n01i00127ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s03b02x01p04n01i00127 - Only constant declarations allowed in generic interface list." severity ERROR; wait; END PROCESS TESTING; END c04s03b02x01p04n01i00127arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc127.vhd,v 1.2 2001-10-26 16:30:08 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s03b02x01p04n01i00127ent IS generic ( signal c1 : in integer ) ; -- Failure_here -- signal declaration -- not allowed END c04s03b02x01p04n01i00127ent; ARCHITECTURE c04s03b02x01p04n01i00127arch OF c04s03b02x01p04n01i00127ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c04s03b02x01p04n01i00127 - Only constant declarations allowed in generic interface list." severity ERROR; wait; END PROCESS TESTING; END c04s03b02x01p04n01i00127arch;
-- Prosoft VHDL tests. -- -- Copyright (C) 2011 Prosoft. -- -- Author: Zefirov, Karavaev. -- -- This is a set of simplest tests for isolated tests of VHDL features. -- -- Nothing more than standard package should be required. -- -- Categories: entity, architecture, process, after, if-then-else, enumerations, array, record, case, for-loop, signals-attributes. use work.std_logic_1164_for_tst.all; entity ENT00010_Test_Bench is end ENT00010_Test_Bench; architecture ARCH00010_Test_Bench of ENT00010_Test_Bench is type std_array_array is array (0 to 3, 1 to 4) of std_ulogic; signal I_saa : std_array_array := (others => x"B"); subtype byte is bit_vector(7 downto 0); subtype byte2 is bit_vector(0 to 7); signal b1 : byte := x"00"; signal b2 : byte2 := x"00"; type bit_array_array is array (0 to 3, 4 downto 1) of bit; signal I_baa : bit_array_array := (others => x"A"); type NatArray is array (natural range <>) of natural; type std_array is array (0 to 7) of std_logic; signal I_sa : std_array := "10101010"; type enum is (a_v, b_v, c_v, d_v, e_v, f_v); type enum_array is array (integer range <>) of enum; type rec is record f1 : integer; f2 : boolean; f3 : bit; f4 : enum; f5 : enum_array(0 to 3); f6 : NatArray(7 downto 0); f7 : bit_vector(7 downto 0); end record; type rec_array is array (integer range <>) of rec; signal e : enum := a_v; signal ea : enum_array(0 to 3) := (others => a_v); signal r : rec := ( f1 => 10 , f2 => true , f3 => '1' , f4 => a_v , f5 => (others => a_v) , f6 => (0 => 10, 7 => 3, others => 0) , f7 => x"33" ); signal ra : rec_array(0 to 3) := (others => ( f1 => 10 , f2 => true , f3 => '1' , f4 => a_v , f5 => (others => a_v) , f6 => (0 => 10, 7 => 3, others => 0) , f7 => x"33" ) ); signal bv : bit_vector(15 downto 0) := x"CCCC"; signal clk : std_ulogic := '0'; signal clk2 : std_ulogic := '0'; type BoolVector is array (integer range <>) of boolean; signal bool : BoolVector(1 to 60); begin bool(1) <= bv'Stable(20 ns); bool(2) <= ra'Stable(20 ns); bool(3) <= r'Stable(20 ns); bool(4) <= ea'Stable(20 ns); bool(5) <= e'Stable(20 ns); bool(6) <= I_sa'Stable(20 ns); bool(7) <= I_baa'Stable(20 ns); bool(8) <= I_saa'Stable(20 ns); bool(9) <= b1'Stable(20 ns); bool(10) <= b2'Stable(20 ns); bool(11) <= clk'Stable(20 ns); bool(12) <= clk2'Stable(20 ns); clk <= not clk after 1 us; clk2 <= not clk2 after 3 us; process (clk) begin if clk'event and clk = '1' then b1 <= b1(6 downto 0) & not b1(7); case e is when a_v => e <= b_v; when b_v => e <= c_v; when c_v => e <= d_v; when d_v => e <= e_v; when e_v => e <= f_v; when f_v => e <= a_v; end case; ea(0) <= e; ea_loop: for i in 1 to ea'length-1 loop ea(i) <= ea(i-1); end loop ea_loop; elsif falling_edge(clk) then bv <= bv(bv'left-1 downto bv'low) & bv(bv'high); r.f1 <= r.f1 + 1; r.f2 <= not r.f2; r.f3 <= not r.f3; r.f4 <= e; r.f5 <= ea; r_f6_loop: for i in r.f6'low to r.f6'high loop r.f6(i) <= r.f6(i) + 1; end loop r_f6_loop; r.f7 <= r.f7(6 downto 0) & r.f7(7); ra(ra'high) <= r; ra_loop: for i in ra'high-1 downto 0 loop ra(i) <= ra(i+1); end loop; end if; end process; process (clk2) begin if rising_edge(clk2) then I_sa <= I_sa(I_sa'length-1) & I_sa(0 to I_sa'length-2); elsif clk2'event and clk2 = '0' then I_saa_loop_1: for i in 0 to 3 loop I_saa_loop_2: for j in 1 to 4 loop I_saa(i,j) <= I_sa(i+j); end loop I_saa_loop_2; end loop I_saa_loop_1; I_baa_loop_1: for i in 0 to 3 loop I_baa_loop_2: for j in 1 to 4 loop I_baa(i,j) <= bv(i*j); end loop I_baa_loop_2; end loop I_baa_loop_1; end if; end process; end ARCH00010_Test_Bench ;
-- ------------------------------------------------------------- -- -- File Name: hdl_prj/hdlsrc/OFDM_transmitter/RADIX22FFT_SDNF2_4_block6.vhd -- Created: 2017-03-27 15:50:06 -- -- Generated by MATLAB 9.1 and HDL Coder 3.9 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: RADIX22FFT_SDNF2_4_block6 -- Source Path: OFDM_transmitter/IFFT HDL Optimized/RADIX22FFT_SDNF2_4 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY RADIX22FFT_SDNF2_4_block6 IS PORT( clk : IN std_logic; reset : IN std_logic; enb_1_16_0 : IN std_logic; rotate_15 : IN std_logic; -- ufix1 dout_14_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_14_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_16_re : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_16_im : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_1_vld : IN std_logic; softReset : IN std_logic; dout_15_re : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_15_im : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_16_re_1 : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_16_im_1 : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En13 dout_4_vld : OUT std_logic ); END RADIX22FFT_SDNF2_4_block6; ARCHITECTURE rtl OF RADIX22FFT_SDNF2_4_block6 IS -- Signals SIGNAL dout_14_re_signed : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_14_im_signed : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_16_re_signed : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_16_im_signed : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL Radix22ButterflyG2_NF_din_vld_dly : std_logic; SIGNAL Radix22ButterflyG2_NF_btf1_re_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG2_NF_btf1_im_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG2_NF_btf2_re_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG2_NF_btf2_im_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL Radix22ButterflyG2_NF_din_vld_dly_next : std_logic; SIGNAL Radix22ButterflyG2_NF_btf1_re_reg_next : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL Radix22ButterflyG2_NF_btf1_im_reg_next : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL Radix22ButterflyG2_NF_btf2_re_reg_next : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL Radix22ButterflyG2_NF_btf2_im_reg_next : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL dout_15_re_tmp : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_15_im_tmp : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_16_re_tmp : signed(15 DOWNTO 0); -- sfix16_En13 SIGNAL dout_16_im_tmp : signed(15 DOWNTO 0); -- sfix16_En13 BEGIN dout_14_re_signed <= signed(dout_14_re); dout_14_im_signed <= signed(dout_14_im); dout_16_re_signed <= signed(dout_16_re); dout_16_im_signed <= signed(dout_16_im); -- Radix22ButterflyG2_NF Radix22ButterflyG2_NF_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Radix22ButterflyG2_NF_din_vld_dly <= '0'; Radix22ButterflyG2_NF_btf1_re_reg <= to_signed(16#00000#, 17); Radix22ButterflyG2_NF_btf1_im_reg <= to_signed(16#00000#, 17); Radix22ButterflyG2_NF_btf2_re_reg <= to_signed(16#00000#, 17); Radix22ButterflyG2_NF_btf2_im_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN Radix22ButterflyG2_NF_din_vld_dly <= Radix22ButterflyG2_NF_din_vld_dly_next; Radix22ButterflyG2_NF_btf1_re_reg <= Radix22ButterflyG2_NF_btf1_re_reg_next; Radix22ButterflyG2_NF_btf1_im_reg <= Radix22ButterflyG2_NF_btf1_im_reg_next; Radix22ButterflyG2_NF_btf2_re_reg <= Radix22ButterflyG2_NF_btf2_re_reg_next; Radix22ButterflyG2_NF_btf2_im_reg <= Radix22ButterflyG2_NF_btf2_im_reg_next; END IF; END IF; END PROCESS Radix22ButterflyG2_NF_process; Radix22ButterflyG2_NF_output : PROCESS (Radix22ButterflyG2_NF_din_vld_dly, Radix22ButterflyG2_NF_btf1_re_reg, Radix22ButterflyG2_NF_btf1_im_reg, Radix22ButterflyG2_NF_btf2_re_reg, Radix22ButterflyG2_NF_btf2_im_reg, dout_14_re_signed, dout_14_im_signed, dout_16_re_signed, dout_16_im_signed, dout_1_vld, rotate_15) VARIABLE add_cast : signed(16 DOWNTO 0); VARIABLE add_cast_0 : signed(16 DOWNTO 0); VARIABLE add_cast_1 : signed(16 DOWNTO 0); VARIABLE add_cast_2 : signed(16 DOWNTO 0); VARIABLE sub_cast : signed(16 DOWNTO 0); VARIABLE sub_cast_0 : signed(16 DOWNTO 0); VARIABLE sub_cast_1 : signed(16 DOWNTO 0); VARIABLE sub_cast_2 : signed(16 DOWNTO 0); VARIABLE sra_temp : signed(16 DOWNTO 0); VARIABLE add_cast_3 : signed(16 DOWNTO 0); VARIABLE add_cast_4 : signed(16 DOWNTO 0); VARIABLE add_cast_5 : signed(16 DOWNTO 0); VARIABLE add_cast_6 : signed(16 DOWNTO 0); VARIABLE sra_temp_0 : signed(16 DOWNTO 0); VARIABLE sub_cast_3 : signed(16 DOWNTO 0); VARIABLE sub_cast_4 : signed(16 DOWNTO 0); VARIABLE sub_cast_5 : signed(16 DOWNTO 0); VARIABLE sub_cast_6 : signed(16 DOWNTO 0); VARIABLE sra_temp_1 : signed(16 DOWNTO 0); VARIABLE sra_temp_2 : signed(16 DOWNTO 0); BEGIN Radix22ButterflyG2_NF_btf1_re_reg_next <= Radix22ButterflyG2_NF_btf1_re_reg; Radix22ButterflyG2_NF_btf1_im_reg_next <= Radix22ButterflyG2_NF_btf1_im_reg; Radix22ButterflyG2_NF_btf2_re_reg_next <= Radix22ButterflyG2_NF_btf2_re_reg; Radix22ButterflyG2_NF_btf2_im_reg_next <= Radix22ButterflyG2_NF_btf2_im_reg; Radix22ButterflyG2_NF_din_vld_dly_next <= dout_1_vld; IF rotate_15 /= '0' THEN IF dout_1_vld = '1' THEN add_cast_1 := resize(dout_14_re_signed, 17); add_cast_2 := resize(dout_16_im_signed, 17); Radix22ButterflyG2_NF_btf1_re_reg_next <= add_cast_1 + add_cast_2; sub_cast_1 := resize(dout_14_re_signed, 17); sub_cast_2 := resize(dout_16_im_signed, 17); Radix22ButterflyG2_NF_btf2_re_reg_next <= sub_cast_1 - sub_cast_2; add_cast_5 := resize(dout_14_im_signed, 17); add_cast_6 := resize(dout_16_re_signed, 17); Radix22ButterflyG2_NF_btf2_im_reg_next <= add_cast_5 + add_cast_6; sub_cast_5 := resize(dout_14_im_signed, 17); sub_cast_6 := resize(dout_16_re_signed, 17); Radix22ButterflyG2_NF_btf1_im_reg_next <= sub_cast_5 - sub_cast_6; END IF; ELSIF dout_1_vld = '1' THEN add_cast := resize(dout_14_re_signed, 17); add_cast_0 := resize(dout_16_re_signed, 17); Radix22ButterflyG2_NF_btf1_re_reg_next <= add_cast + add_cast_0; sub_cast := resize(dout_14_re_signed, 17); sub_cast_0 := resize(dout_16_re_signed, 17); Radix22ButterflyG2_NF_btf2_re_reg_next <= sub_cast - sub_cast_0; add_cast_3 := resize(dout_14_im_signed, 17); add_cast_4 := resize(dout_16_im_signed, 17); Radix22ButterflyG2_NF_btf1_im_reg_next <= add_cast_3 + add_cast_4; sub_cast_3 := resize(dout_14_im_signed, 17); sub_cast_4 := resize(dout_16_im_signed, 17); Radix22ButterflyG2_NF_btf2_im_reg_next <= sub_cast_3 - sub_cast_4; END IF; sra_temp := SHIFT_RIGHT(Radix22ButterflyG2_NF_btf1_re_reg, 1); dout_15_re_tmp <= sra_temp(15 DOWNTO 0); sra_temp_0 := SHIFT_RIGHT(Radix22ButterflyG2_NF_btf1_im_reg, 1); dout_15_im_tmp <= sra_temp_0(15 DOWNTO 0); sra_temp_1 := SHIFT_RIGHT(Radix22ButterflyG2_NF_btf2_re_reg, 1); dout_16_re_tmp <= sra_temp_1(15 DOWNTO 0); sra_temp_2 := SHIFT_RIGHT(Radix22ButterflyG2_NF_btf2_im_reg, 1); dout_16_im_tmp <= sra_temp_2(15 DOWNTO 0); dout_4_vld <= Radix22ButterflyG2_NF_din_vld_dly; END PROCESS Radix22ButterflyG2_NF_output; dout_15_re <= std_logic_vector(dout_15_re_tmp); dout_15_im <= std_logic_vector(dout_15_im_tmp); dout_16_re_1 <= std_logic_vector(dout_16_re_tmp); dout_16_im_1 <= std_logic_vector(dout_16_im_tmp); END rtl;
------------------------------------------------------------------------------- -- Title : HDLC async Encoder ------------------------------------------------------------------------------- -- Author : Carl Treudler ([email protected]) -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: -- Decode 8-Bit HDLC Async framing int 8-Bit Data + Frame Delimiter -- -- Frame-seperator is encoded as 0x100. -- -- 0x00 to 0x7C -> 0x000 to 0x007C -- 0x7f to 0xff -> 0x07f to 0x0ff -- 0x7e -> 0x1XX -- 0x7D, 0x5E -> 0x07E -- 0x7D, 0x5D -> 0x07D -- -- Input port can't take in data while it outputs an escape sequence! -- TODO add a busy signal for the input. ------------------------------------------------------------------------------- -- Copyright (c) 2013, Carl Treudler -- All Rights Reserved. -- -- The file is part for the Loa project and is released under the -- 3-clause BSD license. See the file `LICENSE` for the full license -- governing this code. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.hdlc_pkg.all; ------------------------------------------------------------------------------- entity hdlc_dec is port ( din_p : in hdlc_dec_in_type; dout_p : out hdlc_dec_out_type; clk : in std_logic); end hdlc_dec; ------------------------------------------------------------------------------- architecture behavioural of hdlc_dec is type hdlc_dec_state_type is ( NOM, -- previous char was nominal ESC -- previous char was an escape ); type hdlc_dec_type is record state : hdlc_dec_state_type; strobe : std_logic; dout : std_logic_vector(8 downto 0); end record; ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal r, rin : hdlc_dec_type := (state => NOM, strobe => '0', dout => (others => '0')); ----------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------- -- None here. If any: in package begin -- architecture behavourial ---------------------------------------------------------------------------- -- Connections between ports and signals ---------------------------------------------------------------------------- dout_p.data <= r.dout; dout_p.enable <= r.strobe; ---------------------------------------------------------------------------- -- Sequential part of finite state machine (FSM) ---------------------------------------------------------------------------- seq_proc : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process seq_proc; ---------------------------------------------------------------------------- -- Combinatorial part of FSM ---------------------------------------------------------------------------- comb_proc : process(din_p, r) variable v : hdlc_dec_type; begin v := r; v.strobe := '0'; case r.state is when NOM => if din_p.enable = '1' then if din_p.data = x"7e" then v.dout := "1" & x"00"; v.strobe := '1'; elsif din_p.data = x"7d" then v.state := ESC; else v.dout := "0" & din_p.data; v.strobe := '1'; end if; end if; when ESC => if din_p.enable = '1' then v.dout := "0" & din_p.data(7 downto 6) & not din_p.data(5) & din_p.data(4 downto 0); v.strobe := '1'; v.state := NOM; end if; end case; rin <= v; end process comb_proc; ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- -- None. end behavioural;
------------------------------------------------------------------------------- -- Title : HDLC async Encoder ------------------------------------------------------------------------------- -- Author : Carl Treudler ([email protected]) -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: -- Decode 8-Bit HDLC Async framing int 8-Bit Data + Frame Delimiter -- -- Frame-seperator is encoded as 0x100. -- -- 0x00 to 0x7C -> 0x000 to 0x007C -- 0x7f to 0xff -> 0x07f to 0x0ff -- 0x7e -> 0x1XX -- 0x7D, 0x5E -> 0x07E -- 0x7D, 0x5D -> 0x07D -- -- Input port can't take in data while it outputs an escape sequence! -- TODO add a busy signal for the input. ------------------------------------------------------------------------------- -- Copyright (c) 2013, Carl Treudler -- All Rights Reserved. -- -- The file is part for the Loa project and is released under the -- 3-clause BSD license. See the file `LICENSE` for the full license -- governing this code. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.hdlc_pkg.all; ------------------------------------------------------------------------------- entity hdlc_dec is port ( din_p : in hdlc_dec_in_type; dout_p : out hdlc_dec_out_type; clk : in std_logic); end hdlc_dec; ------------------------------------------------------------------------------- architecture behavioural of hdlc_dec is type hdlc_dec_state_type is ( NOM, -- previous char was nominal ESC -- previous char was an escape ); type hdlc_dec_type is record state : hdlc_dec_state_type; strobe : std_logic; dout : std_logic_vector(8 downto 0); end record; ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- signal r, rin : hdlc_dec_type := (state => NOM, strobe => '0', dout => (others => '0')); ----------------------------------------------------------------------------- -- Component declarations ----------------------------------------------------------------------------- -- None here. If any: in package begin -- architecture behavourial ---------------------------------------------------------------------------- -- Connections between ports and signals ---------------------------------------------------------------------------- dout_p.data <= r.dout; dout_p.enable <= r.strobe; ---------------------------------------------------------------------------- -- Sequential part of finite state machine (FSM) ---------------------------------------------------------------------------- seq_proc : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process seq_proc; ---------------------------------------------------------------------------- -- Combinatorial part of FSM ---------------------------------------------------------------------------- comb_proc : process(din_p, r) variable v : hdlc_dec_type; begin v := r; v.strobe := '0'; case r.state is when NOM => if din_p.enable = '1' then if din_p.data = x"7e" then v.dout := "1" & x"00"; v.strobe := '1'; elsif din_p.data = x"7d" then v.state := ESC; else v.dout := "0" & din_p.data; v.strobe := '1'; end if; end if; when ESC => if din_p.enable = '1' then v.dout := "0" & din_p.data(7 downto 6) & not din_p.data(5) & din_p.data(4 downto 0); v.strobe := '1'; v.state := NOM; end if; end case; rin <= v; end process comb_proc; ----------------------------------------------------------------------------- -- Component instantiations ----------------------------------------------------------------------------- -- None. end behavioural;
library ieee; use ieee.std_logic_1164.all; entity targ02 is port (o0, o1, o2 : out std_logic); end targ02; architecture behav of targ02 is begin (o2, o1, o0) <= std_logic_vector'("001"); end behav;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 20 13:52:57 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- c:/ZyboIP/general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/ip/affine_block_ieee754_fp_to_uint_0_1/affine_block_ieee754_fp_to_uint_0_1_stub.vhdl -- Design : affine_block_ieee754_fp_to_uint_0_1 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity affine_block_ieee754_fp_to_uint_0_1 is Port ( x : in STD_LOGIC_VECTOR ( 31 downto 0 ); y : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end affine_block_ieee754_fp_to_uint_0_1; architecture stub of affine_block_ieee754_fp_to_uint_0_1 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "x[31:0],y[9:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "ieee754_fp_to_uint,Vivado 2016.4"; begin end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2369.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b01x00p06n02i02369ent IS END c07s03b01x00p06n02i02369ent; ARCHITECTURE c07s03b01x00p06n02i02369arch OF c07s03b01x00p06n02i02369ent IS BEGIN TESTING: PROCESS BEGIN assert NOT( bit_vector'(O"4777") = B"100_111_111_111" ) report "***PASSED TEST: c07s03b01x00p06n02i02369" severity NOTE; assert ( bit_vector'(O"4777") = B"100_111_111_111" ) report "***FAILED TEST: c07s03b01x00p06n02i02369 - The type of the literal is determinable from the context in which it appears." severity ERROR; wait; END PROCESS TESTING; END c07s03b01x00p06n02i02369arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2369.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b01x00p06n02i02369ent IS END c07s03b01x00p06n02i02369ent; ARCHITECTURE c07s03b01x00p06n02i02369arch OF c07s03b01x00p06n02i02369ent IS BEGIN TESTING: PROCESS BEGIN assert NOT( bit_vector'(O"4777") = B"100_111_111_111" ) report "***PASSED TEST: c07s03b01x00p06n02i02369" severity NOTE; assert ( bit_vector'(O"4777") = B"100_111_111_111" ) report "***FAILED TEST: c07s03b01x00p06n02i02369 - The type of the literal is determinable from the context in which it appears." severity ERROR; wait; END PROCESS TESTING; END c07s03b01x00p06n02i02369arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2369.vhd,v 1.2 2001-10-26 16:29:47 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b01x00p06n02i02369ent IS END c07s03b01x00p06n02i02369ent; ARCHITECTURE c07s03b01x00p06n02i02369arch OF c07s03b01x00p06n02i02369ent IS BEGIN TESTING: PROCESS BEGIN assert NOT( bit_vector'(O"4777") = B"100_111_111_111" ) report "***PASSED TEST: c07s03b01x00p06n02i02369" severity NOTE; assert ( bit_vector'(O"4777") = B"100_111_111_111" ) report "***FAILED TEST: c07s03b01x00p06n02i02369 - The type of the literal is determinable from the context in which it appears." severity ERROR; wait; END PROCESS TESTING; END c07s03b01x00p06n02i02369arch;
------------------------------------------------------------------------------- -- $Id: or_bits.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Or_bits ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_bits.vhd -- -- Description: This file is used to OR together consecutive bits within -- sections of a bus. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 04/06/01 -- First version -- -- ALS 05/18/01 -- ^^^^^^ -- Added use of carry chain muxes if number of bits is > 4 -- ~~~~~~ -- BLT 05/23/01 -- ^^^^^^ -- Removed pad_4 function, replaced with arithmetic expression -- ~~~~~~ -- -- DET 1/17/2008 v3_00_a -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- Unisim library contains Xilinx primitives library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_NUM_BITS -- number of bits to OR in bus section -- C_START_BIT -- starting bit location of bits to OR -- C_BUS_SIZE -- total size of the bus -- -- Definition of Ports: -- input In_Bus -- bus containing bits to be ORd -- input Sig -- another signal not in the bus to be ORd with the -- -- bus section -- output Or_out -- OR result -- ------------------------------------------------------------------------------- entity or_bits is generic ( C_NUM_BITS : integer := 8; C_START_BIT : integer := 0; C_BUS_SIZE : integer := 8); port ( In_bus : in std_logic_vector(0 to C_BUS_SIZE-1); Sig : in std_logic; Or_out : out std_logic ); end or_bits; architecture implementation of or_bits is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Pad the number of bits to OR to the next multiple of 4 constant NUM_BITS_PAD : integer := ((C_NUM_BITS-1)/4+1)*4; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- define output of OR chain ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- Carry Chain muxes are used to implement OR of 4 bits or more component MUXCY port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component; begin -- If the number of bits to OR is 4 or less (including Sig), a simple LUT can be used LESSTHAN4_GEN: if C_NUM_BITS < 4 generate -- define output of OR chain signal or_tmp : std_logic_vector(0 to C_NUM_BITS-1) := (others => '0'); begin BIT_LOOP: for i in 0 to C_NUM_BITS-1 generate FIRST: if i = 0 generate or_tmp(i) <= Sig or In_bus(C_START_BIT); end generate FIRST; REST: if i /= 0 generate or_tmp(i) <= or_tmp(i-1) or In_bus(C_START_BIT + i); end generate REST; end generate BIT_LOOP; Or_out <= or_tmp(C_NUM_BITS-1); end generate LESSTHAN4_GEN; -- If the number of bits to OR is 4 or more (including Sig), then use LUTs and -- carry chain. Pad the number of bits to the nearest multiple of 4 MORETHAN4_GEN: if C_NUM_BITS >= 4 generate -- define output of LUTs signal lut_out : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); -- define padded input bus signal in_bus_pad : std_logic_vector(0 to NUM_BITS_PAD-1) := (others => '0'); -- define output of OR chain signal or_tmp : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); begin -- pad input bus in_bus_pad(0 to C_NUM_BITS-1) <= In_bus(C_START_BIT to C_START_BIT+C_NUM_BITS-1); OR_GENERATE: for i in 0 to NUM_BITS_PAD/4-1 generate lut_out(i) <= not( in_bus_pad(i*4) or in_bus_pad(i*4+1) or in_bus_pad(i*4+2) or in_bus_pad(i*4+3) ); FIRST: if i = 0 generate FIRSTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] --CI => '0' , --[in] CI => Sig , --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate FIRST; REST: if i /= 0 generate RESTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => or_tmp(i-1), --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate REST; end generate OR_GENERATE; Or_out <= or_tmp(NUM_BITS_PAD/4-1); end generate MORETHAN4_GEN; end implementation;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 06.12.2015 15:39:44 -- Design Name: -- Module Name: topmodule - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity topmodule is Port ( clk : IN STD_LOGIC; SCL1 : INOUT STD_LOGIC; SDA1 : INOUT STD_LOGIC; SCL2 : INOUT STD_LOGIC; SDA2 : INOUT STD_LOGIC; SCL3 : INOUT STD_LOGIC; SDA3 : INOUT STD_LOGIC; SCL4 : INOUT STD_LOGIC; SDA4 : INOUT STD_LOGIC; SCL5 : INOUT STD_LOGIC; SDA5 : INOUT STD_LOGIC; SCL6 : INOUT STD_LOGIC; SDA6 : INOUT STD_LOGIC; SCL7 : INOUT STD_LOGIC; SDA7 : INOUT STD_LOGIC; SCL8 : INOUT STD_LOGIC; SDA8 : INOUT STD_LOGIC; SCL9 : INOUT STD_LOGIC; SDA9 : INOUT STD_LOGIC; SCL10 : INOUT STD_LOGIC; SDA10 : INOUT STD_LOGIC; --RsRx : IN STD_LOGIC; RsTx : OUT STD_LOGIC; --RsCts : --RsRts : btnCpuReset : IN STD_LOGIC ); end topmodule; architecture Behavioral of topmodule is -- internal reset signal resetin, reset, reset_n: std_logic; -- serial to serialLoader signals signal txd, ready, send: std_logic; signal serialData: std_logic_vector (7 downto 0); -- FIFO Signals serial loader to FIFO signals signal Serial_FIFO_Empty, Serial_FIFO_Full, Serial_FIFO_ReadEn, Serial_FIFO_WriteEn: std_logic; signal Serial_FIFO_DataOut, Serial_FIFO_DataIn: std_logic_vector (7 downto 0); --SENSORS: -- Global stuff constant I2C_RW : std_logic := '1'; -- we will always be reading so we can set this constant constant I2C_DELIMETER : std_logic_vector (7 downto 0):= "00000000"; -- the byte that will be between each reading. constant I2C_ADDR : std_logic_vector (6 downto 0):= "0101000"; -- the address of the --SENSOR1: constant I2C1_Id : std_Logic_vector (7 downto 0) := "00000001"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C1_FIFO_Empty, I2C1_FIFO_Full, I2C1_FIFO_ReadEn, I2C1_FIFO_WriteEn: std_logic; signal I2C1_FIFO_DataOut, I2C1_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C1_ENA,I2C1_RW,I2C1_BUSY,I2C1_ACK_ERROR : std_logic; signal I2C1_DATA_RD : std_logic_vector (7 downto 0); signal I2C1_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR2: constant I2C2_Id : std_Logic_vector (7 downto 0) := "00000010"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C2_FIFO_Empty, I2C2_FIFO_Full, I2C2_FIFO_ReadEn, I2C2_FIFO_WriteEn: std_logic; signal I2C2_FIFO_DataOut, I2C2_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C2_ENA,I2C2_RW,I2C2_BUSY,I2C2_ACK_ERROR : std_logic; signal I2C2_DATA_RD : std_logic_vector (7 downto 0); signal I2C2_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR3: constant I2C3_Id : std_Logic_vector (7 downto 0) := "00000011"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C3_FIFO_Empty, I2C3_FIFO_Full, I2C3_FIFO_ReadEn, I2C3_FIFO_WriteEn: std_logic; signal I2C3_FIFO_DataOut, I2C3_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C3_ENA,I2C3_RW,I2C3_BUSY,I2C3_ACK_ERROR : std_logic; signal I2C3_DATA_RD : std_logic_vector (7 downto 0); signal I2C3_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR4: constant I2C4_Id : std_Logic_vector (7 downto 0) := "00000100"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C4_FIFO_Empty, I2C4_FIFO_Full, I2C4_FIFO_ReadEn, I2C4_FIFO_WriteEn: std_logic; signal I2C4_FIFO_DataOut, I2C4_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C4_ENA,I2C4_RW,I2C4_BUSY,I2C4_ACK_ERROR : std_logic; signal I2C4_DATA_RD : std_logic_vector (7 downto 0); signal I2C4_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR5: constant I2C5_Id : std_Logic_vector (7 downto 0) := "00000101"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C5_FIFO_Empty, I2C5_FIFO_Full, I2C5_FIFO_ReadEn, I2C5_FIFO_WriteEn: std_logic; signal I2C5_FIFO_DataOut, I2C5_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C5_ENA,I2C5_RW,I2C5_BUSY,I2C5_ACK_ERROR : std_logic; signal I2C5_DATA_RD : std_logic_vector (7 downto 0); signal I2C5_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR6: constant I2C6_Id : std_Logic_vector (7 downto 0) := "00000110"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C6_FIFO_Empty, I2C6_FIFO_Full, I2C6_FIFO_ReadEn, I2C6_FIFO_WriteEn: std_logic; signal I2C6_FIFO_DataOut, I2C6_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C6_ENA,I2C6_RW,I2C6_BUSY,I2C6_ACK_ERROR : std_logic; signal I2C6_DATA_RD : std_logic_vector (7 downto 0); signal I2C6_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR7: constant I2C7_Id : std_Logic_vector (7 downto 0) := "00000111"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C7_FIFO_Empty, I2C7_FIFO_Full, I2C7_FIFO_ReadEn, I2C7_FIFO_WriteEn: std_logic; signal I2C7_FIFO_DataOut, I2C7_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C7_ENA,I2C7_RW,I2C7_BUSY,I2C7_ACK_ERROR : std_logic; signal I2C7_DATA_RD : std_logic_vector (7 downto 0); signal I2C7_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR8: constant I2C8_Id : std_Logic_vector (7 downto 0) := "00001000"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C8_FIFO_Empty, I2C8_FIFO_Full, I2C8_FIFO_ReadEn, I2C8_FIFO_WriteEn: std_logic; signal I2C8_FIFO_DataOut, I2C8_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C8_ENA,I2C8_RW,I2C8_BUSY,I2C8_ACK_ERROR : std_logic; signal I2C8_DATA_RD : std_logic_vector (7 downto 0); signal I2C8_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR9: constant I2C9_Id : std_Logic_vector (7 downto 0) := "00001001"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C9_FIFO_Empty, I2C9_FIFO_Full, I2C9_FIFO_ReadEn, I2C9_FIFO_WriteEn: std_logic; signal I2C9_FIFO_DataOut, I2C9_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C9_ENA,I2C9_RW,I2C9_BUSY,I2C9_ACK_ERROR : std_logic; signal I2C9_DATA_RD : std_logic_vector (7 downto 0); signal I2C9_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; --SENSOR10: constant I2C10_Id : std_Logic_vector (7 downto 0) := "00001010"; -- id for this sensor -- I2C Signals I2C loader to FIFO signals signal I2C10_FIFO_Empty, I2C10_FIFO_Full, I2C10_FIFO_ReadEn, I2C10_FIFO_WriteEn: std_logic; signal I2C10_FIFO_DataOut, I2C10_FIFO_DataIn: std_logic_vector (7 downto 0); -- I2C control signals signal I2C10_ENA,I2C10_RW,I2C10_BUSY,I2C10_ACK_ERROR : std_logic; signal I2C10_DATA_RD : std_logic_vector (7 downto 0); signal I2C10_DATA_WR : std_logic_vector (7 downto 0):= "00000000"; begin --wire up the global reset resetDebounce_unit: entity work.debouncer(Behavioral) port map( resetin => resetin, resetout => reset, resetout_n => reset_n ); resetin <= btnCpuReset; serial_unit: entity work.UART_TX_CTRL(Behavioral) port map( SEND => send, DATA => serialData, CLK => clk, READY => ready, UART_TX => txd ); RsTx <= txd; serialLoader_unit: entity work.serialLoader(Behavioral) port map ( -- global clock clk => clk, reset => reset, --serial control signals S_Send => send, S_DataOut => serialData, S_Ready => ready, --FIFO DATA FIFO_Empty => Serial_FIFO_Empty, FIFO_Data => Serial_FIFO_DataOut, FIFO_ReadEn => Serial_FIFO_ReadEn ); serialFIFObuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => Serial_FIFO_WriteEn, DataIn => Serial_FIFO_DataIn, ReadEn => Serial_FIFO_ReadEn, DataOut => Serial_FIFO_DataOut, Empty => Serial_FIFO_Empty, Full => Serial_FIFO_Full ); DataSequencer_unit: entity work.DataSequencer(Behavioral) port map ( clk => clk, reset => reset, S_FIFO_WriteEn => Serial_FIFO_WriteEn, S_FIFO_DataIn => Serial_FIFO_DataIn, S_FIFO_Full => Serial_FIFO_Full, I2C1_FIFO_ReadEn => I2C1_FIFO_ReadEn, I2C1_FIFO_DataOut => I2C1_FIFO_DataOut, I2C1_FIFO_Empty => I2C1_FIFO_Empty, I2C2_FIFO_ReadEn => I2C2_FIFO_ReadEn, I2C2_FIFO_DataOut => I2C2_FIFO_DataOut, I2C2_FIFO_Empty => I2C2_FIFO_Empty, I2C3_FIFO_ReadEn => I2C3_FIFO_ReadEn, I2C3_FIFO_DataOut => I2C3_FIFO_DataOut, I2C3_FIFO_Empty => I2C3_FIFO_Empty, I2C4_FIFO_ReadEn => I2C4_FIFO_ReadEn, I2C4_FIFO_DataOut => I2C4_FIFO_DataOut, I2C4_FIFO_Empty => I2C4_FIFO_Empty, I2C5_FIFO_ReadEn => I2C5_FIFO_ReadEn, I2C5_FIFO_DataOut => I2C5_FIFO_DataOut, I2C5_FIFO_Empty => I2C5_FIFO_Empty, I2C6_FIFO_ReadEn => I2C6_FIFO_ReadEn, I2C6_FIFO_DataOut => I2C6_FIFO_DataOut, I2C6_FIFO_Empty => I2C6_FIFO_Empty, I2C7_FIFO_ReadEn => I2C7_FIFO_ReadEn, I2C7_FIFO_DataOut => I2C7_FIFO_DataOut, I2C7_FIFO_Empty => I2C7_FIFO_Empty, I2C8_FIFO_ReadEn => I2C8_FIFO_ReadEn, I2C8_FIFO_DataOut => I2C8_FIFO_DataOut, I2C8_FIFO_Empty => I2C8_FIFO_Empty, I2C9_FIFO_ReadEn => I2C9_FIFO_ReadEn, I2C9_FIFO_DataOut => I2C9_FIFO_DataOut, I2C9_FIFO_Empty => I2C9_FIFO_Empty, I2C10_FIFO_ReadEn => I2C10_FIFO_ReadEn, I2C10_FIFO_DataOut => I2C10_FIFO_DataOut, I2C10_FIFO_Empty => I2C10_FIFO_Empty ); -- LOGIC FOR SENSORS: --SENSOR1: I2C1_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C1_FIFO_WriteEn, DataIn => I2C1_FIFO_DataIn, ReadEn => I2C1_FIFO_ReadEn, DataOut => I2C1_FIFO_DataOut, Empty => I2C1_FIFO_Empty, Full => I2C1_FIFO_Full ); I2C1_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C1_FIFO_WriteEn, FIFO_DataIn => I2C1_FIFO_DataIn, FIFO_Full => I2C1_FIFO_Full, ena => I2C1_ENA, busy => I2C1_BUSY, data_rd => I2C1_DATA_RD, ack_error => I2C1_ACK_ERROR, sensorId => I2C1_Id, delimeter => I2C_DELIMETER ); I2C1_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C1_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C1_DATA_WR, busy => I2C1_BUSY, data_rd => I2C1_DATA_RD, ack_error => I2C1_ACK_ERROR, sda => SDA1,-- sda, scl => SCL1 --scl ); --SENSOR2: I2C2_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C2_FIFO_WriteEn, DataIn => I2C2_FIFO_DataIn, ReadEn => I2C2_FIFO_ReadEn, DataOut => I2C2_FIFO_DataOut, Empty => I2C2_FIFO_Empty, Full => I2C2_FIFO_Full ); I2C2_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C2_FIFO_WriteEn, FIFO_DataIn => I2C2_FIFO_DataIn, FIFO_Full => I2C2_FIFO_Full, ena => I2C2_ENA, busy => I2C2_BUSY, data_rd => I2C2_DATA_RD, ack_error => I2C2_ACK_ERROR, sensorId => I2C2_Id, delimeter => I2C_DELIMETER ); I2C2_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C2_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C2_DATA_WR, busy => I2C2_BUSY, data_rd => I2C2_DATA_RD, ack_error => I2C2_ACK_ERROR, sda => SDA2,-- sda, scl => SCL2 --scl ); --SENSOR3: I2C3_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C3_FIFO_WriteEn, DataIn => I2C3_FIFO_DataIn, ReadEn => I2C3_FIFO_ReadEn, DataOut => I2C3_FIFO_DataOut, Empty => I2C3_FIFO_Empty, Full => I2C3_FIFO_Full ); I2C3_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C3_FIFO_WriteEn, FIFO_DataIn => I2C3_FIFO_DataIn, FIFO_Full => I2C3_FIFO_Full, ena => I2C3_ENA, busy => I2C3_BUSY, data_rd => I2C3_DATA_RD, ack_error => I2C3_ACK_ERROR, sensorId => I2C3_Id, delimeter => I2C_DELIMETER ); I2C3_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C3_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C3_DATA_WR, busy => I2C3_BUSY, data_rd => I2C3_DATA_RD, ack_error => I2C3_ACK_ERROR, sda => SDA3,-- sda, scl => SCL3 --scl ); --SENSOR4: I2C4_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C4_FIFO_WriteEn, DataIn => I2C4_FIFO_DataIn, ReadEn => I2C4_FIFO_ReadEn, DataOut => I2C4_FIFO_DataOut, Empty => I2C4_FIFO_Empty, Full => I2C4_FIFO_Full ); I2C4_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C4_FIFO_WriteEn, FIFO_DataIn => I2C4_FIFO_DataIn, FIFO_Full => I2C4_FIFO_Full, ena => I2C4_ENA, busy => I2C4_BUSY, data_rd => I2C4_DATA_RD, ack_error => I2C4_ACK_ERROR, sensorId => I2C4_Id, delimeter => I2C_DELIMETER ); I2C4_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C4_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C4_DATA_WR, busy => I2C4_BUSY, data_rd => I2C4_DATA_RD, ack_error => I2C4_ACK_ERROR, sda => SDA4,-- sda, scl => SCL4 --scl ); --SENSOR5: I2C5_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C5_FIFO_WriteEn, DataIn => I2C5_FIFO_DataIn, ReadEn => I2C5_FIFO_ReadEn, DataOut => I2C5_FIFO_DataOut, Empty => I2C5_FIFO_Empty, Full => I2C5_FIFO_Full ); I2C5_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C5_FIFO_WriteEn, FIFO_DataIn => I2C5_FIFO_DataIn, FIFO_Full => I2C5_FIFO_Full, ena => I2C5_ENA, busy => I2C5_BUSY, data_rd => I2C5_DATA_RD, ack_error => I2C5_ACK_ERROR, sensorId => I2C5_Id, delimeter => I2C_DELIMETER ); I2C5_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C5_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C5_DATA_WR, busy => I2C5_BUSY, data_rd => I2C5_DATA_RD, ack_error => I2C5_ACK_ERROR, sda => SDA5,-- sda, scl => SCL5 --scl ); --SENSOR6: I2C6_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C6_FIFO_WriteEn, DataIn => I2C6_FIFO_DataIn, ReadEn => I2C6_FIFO_ReadEn, DataOut => I2C6_FIFO_DataOut, Empty => I2C6_FIFO_Empty, Full => I2C6_FIFO_Full ); I2C6_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C6_FIFO_WriteEn, FIFO_DataIn => I2C6_FIFO_DataIn, FIFO_Full => I2C6_FIFO_Full, ena => I2C6_ENA, busy => I2C6_BUSY, data_rd => I2C6_DATA_RD, ack_error => I2C6_ACK_ERROR, sensorId => I2C6_Id, delimeter => I2C_DELIMETER ); I2C6_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C6_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C6_DATA_WR, busy => I2C6_BUSY, data_rd => I2C6_DATA_RD, ack_error => I2C6_ACK_ERROR, sda => SDA6,-- sda, scl => SCL6 --scl ); --SENSOR7: I2C7_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C7_FIFO_WriteEn, DataIn => I2C7_FIFO_DataIn, ReadEn => I2C7_FIFO_ReadEn, DataOut => I2C7_FIFO_DataOut, Empty => I2C7_FIFO_Empty, Full => I2C7_FIFO_Full ); I2C7_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C7_FIFO_WriteEn, FIFO_DataIn => I2C7_FIFO_DataIn, FIFO_Full => I2C7_FIFO_Full, ena => I2C7_ENA, busy => I2C7_BUSY, data_rd => I2C7_DATA_RD, ack_error => I2C7_ACK_ERROR, sensorId => I2C7_Id, delimeter => I2C_DELIMETER ); I2C7_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C7_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C7_DATA_WR, busy => I2C7_BUSY, data_rd => I2C7_DATA_RD, ack_error => I2C7_ACK_ERROR, sda => SDA7,-- sda, scl => SCL7 --scl ); --SENSOR8: I2C8_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C8_FIFO_WriteEn, DataIn => I2C8_FIFO_DataIn, ReadEn => I2C8_FIFO_ReadEn, DataOut => I2C8_FIFO_DataOut, Empty => I2C8_FIFO_Empty, Full => I2C8_FIFO_Full ); I2C8_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C8_FIFO_WriteEn, FIFO_DataIn => I2C8_FIFO_DataIn, FIFO_Full => I2C8_FIFO_Full, ena => I2C8_ENA, busy => I2C8_BUSY, data_rd => I2C8_DATA_RD, ack_error => I2C8_ACK_ERROR, sensorId => I2C8_Id, delimeter => I2C_DELIMETER ); I2C8_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C8_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C8_DATA_WR, busy => I2C8_BUSY, data_rd => I2C8_DATA_RD, ack_error => I2C8_ACK_ERROR, sda => SDA8,-- sda, scl => SCL8 --scl ); --SENSOR9: I2C9_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C9_FIFO_WriteEn, DataIn => I2C9_FIFO_DataIn, ReadEn => I2C9_FIFO_ReadEn, DataOut => I2C9_FIFO_DataOut, Empty => I2C9_FIFO_Empty, Full => I2C9_FIFO_Full ); I2C9_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C9_FIFO_WriteEn, FIFO_DataIn => I2C9_FIFO_DataIn, FIFO_Full => I2C9_FIFO_Full, ena => I2C9_ENA, busy => I2C9_BUSY, data_rd => I2C9_DATA_RD, ack_error => I2C9_ACK_ERROR, sensorId => I2C9_Id, delimeter => I2C_DELIMETER ); I2C9_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C9_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C9_DATA_WR, busy => I2C9_BUSY, data_rd => I2C9_DATA_RD, ack_error => I2C9_ACK_ERROR, sda => SDA9,-- sda, scl => SCL9 --scl ); --SENSOR10: I2C10_FIFOBuffer_unit: entity work.STD_FIFO(Behavioral) port map ( CLK => clk, RST => reset, WriteEn => I2C10_FIFO_WriteEn, DataIn => I2C10_FIFO_DataIn, ReadEn => I2C10_FIFO_ReadEn, DataOut => I2C10_FIFO_DataOut, Empty => I2C10_FIFO_Empty, Full => I2C10_FIFO_Full ); I2C10_Control_unit: entity work.i2c_controller(Behavioral) port map ( clk => clk, reset_n => reset_n, FIFO_WriteEn => I2C10_FIFO_WriteEn, FIFO_DataIn => I2C10_FIFO_DataIn, FIFO_Full => I2C10_FIFO_Full, ena => I2C10_ENA, busy => I2C10_BUSY, data_rd => I2C10_DATA_RD, ack_error => I2C10_ACK_ERROR, sensorId => I2C10_Id, delimeter => I2C_DELIMETER ); I2C10_Comms_unit: entity work.i2c_master(logic) port map ( clk => clk, reset_n => reset_n, ena => I2C10_ENA, addr => I2C_ADDR, rw => I2C_RW, data_wr => I2C10_DATA_WR, busy => I2C10_BUSY, data_rd => I2C10_DATA_RD, ack_error => I2C10_ACK_ERROR, sda => SDA10,-- sda, scl => SCL10 --scl ); end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 12:00:04 05/31/2011 -- Design Name: -- Module Name: arp_rx - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle receipt of arp pkt -- ignores other types of pkt -- -- When it receives an ARP pkt that is either addressed to our IP or is a global request, -- it outputs for a single clock cycle either recv_who_has or recv_I_have along -- with associated mac or arp entry data. -- -- Note that if recv who_has and we have it, then we also assert I_have so that we can cache the rev lookup -- on the expectation that we will want to reply to this host. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created - refactored from arp v0.02 module -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.arp_types.all; entity arp_rx is port ( -- MAC layer RX signals data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) data_in_valid : in std_logic; -- indicates data_in valid on clock data_in_last : in std_logic; -- indicates last data in frame -- ARP output signals recv_who_has : out std_logic; -- pulse will be latched arp_entry_for_who_has : out arp_entry_t; -- target for who_has msg (Iie, who to reply to) recv_I_have : out std_logic; -- pulse will be latched arp_entry_for_I_have : out arp_entry_t; -- arp target for I_have msg -- control and status signals req_count : out std_logic_vector(7 downto 0); -- count of arp pkts received -- system signals our_ip_address : in std_logic_vector (31 downto 0); rx_clk : in std_logic; reset : in std_logic ); end arp_rx; architecture Behavioral of arp_rx is type rx_state_t is (IDLE, PARSE, PROCESS_ARP, WAIT_END); type rx_event_t is (NO_EVENT, DATA); type count_mode_t is (RST, INCR, HOLD); type arp_oper_t is (NOP, REQUEST, REPLY); type tx_state_type is (IDLE, WAIT_MAC, SEND); -- state variables signal send_request_needed : std_logic; signal tx_mac_chn_reqd : std_logic; signal rx_state : rx_state_t; signal rx_count : unsigned (7 downto 0); signal arp_operation : arp_oper_t; signal arp_req_count : unsigned (7 downto 0); signal new_arp_entry : arp_entry_t; -- FIXME - remove these debug state signals signal arp_err_data : std_logic_vector (7 downto 0); signal set_err_data : std_logic; attribute keep : string; attribute keep of arp_err_data : signal is "true"; -- rx control signals signal next_rx_state : rx_state_t; signal set_rx_state : std_logic; signal rx_event : rx_event_t; signal rx_count_mode : count_mode_t; signal set_arp_oper : std_logic; signal arp_oper_set_val : arp_oper_t; signal dataval : std_logic_vector (7 downto 0); signal count_arp_rcvd : std_logic; signal set_mac5 : std_logic; signal set_mac4 : std_logic; signal set_mac3 : std_logic; signal set_mac2 : std_logic; signal set_mac1 : std_logic; signal set_mac0 : std_logic; signal set_ip3 : std_logic; signal set_ip2 : std_logic; signal set_ip1 : std_logic; signal set_ip0 : std_logic; -- function to determine whether the rx pkt is an arp pkt and whether we want to process it -- Returns 1 if we should discard -- The following will make us ignore the frame (all values hexadecimal): -- PDU type /= 0806 -- Protocol Type /= 0800 -- Hardware Type /= 1 -- Hardware Length /= 6 -- Protocol Length /= 4 -- Operation /= 1 or 2 -- Target IP /= our IP (i.er. message is not meant for us) -- function not_our_arp(data : std_logic_vector; count : unsigned; our_ip : std_logic_vector) return std_logic is begin if (count = 12 and data /= x"08") or -- PDU type 0806 : ARP (count = 13 and data /= x"06") or (count = 14 and data /= x"00") or -- HW type 1 : eth (count = 15 and data /= x"01") or (count = 16 and data /= x"08") or -- Protocol 0800 : IP (count = 17 and data /= x"00") or (count = 18 and data /= x"06") or -- HW Length 6 (count = 19 and data /= x"04") or -- protocol length 4 (count = 20 and data /= x"00") or -- operation 1 or 2 (req or reply) (count = 21 and data /= x"01" and data /= x"02") or (count = 38 and data /= our_ip(31 downto 24)) or -- target IP is ours (count = 39 and data /= our_ip(23 downto 16)) or (count = 40 and data /= our_ip(15 downto 8)) or (count = 41 and data /= our_ip(7 downto 0)) then return '1'; else return '0'; end if; end function not_our_arp; begin rx_combinatorial : process ( -- input signals data_in, data_in_valid, data_in_last, our_ip_address, -- state variables rx_state, rx_count, arp_operation, arp_req_count, arp_err_data, new_arp_entry, -- control signals next_rx_state, set_rx_state, rx_event, rx_count_mode, set_arp_oper, arp_oper_set_val, dataval, set_mac5, set_mac4, set_mac3, set_mac2, set_mac1, set_mac0, set_ip3, set_ip2, set_ip1, set_ip0, set_err_data, count_arp_rcvd ) begin -- set output followers req_count <= std_logic_vector(arp_req_count); -- set defaults for combinatorial outputs recv_who_has <= '0'; arp_entry_for_who_has.ip <= (others => '0'); arp_entry_for_who_has.mac <= (others => '0'); recv_I_have <= '0'; arp_entry_for_I_have.ip <= (others => '0'); arp_entry_for_I_have.mac <= (others => '0'); -- set signal defaults next_rx_state <= IDLE; set_rx_state <= '0'; rx_event <= NO_EVENT; rx_count_mode <= HOLD; set_arp_oper <= '0'; arp_oper_set_val <= NOP; dataval <= (others => '0'); set_mac5 <= '0'; set_mac4 <= '0'; set_mac3 <= '0'; set_mac2 <= '0'; set_mac1 <= '0'; set_mac0 <= '0'; set_ip3 <= '0'; set_ip2 <= '0'; set_ip1 <= '0'; set_ip0 <= '0'; count_arp_rcvd <= '0'; set_err_data <= '0'; -- determine event (if any) if data_in_valid = '1' then rx_event <= DATA; end if; -- RX FSM case rx_state is when IDLE => rx_count_mode <= RST; case rx_event is when NO_EVENT => -- (nothing to do) when DATA => next_rx_state <= PARSE; set_rx_state <= '1'; rx_count_mode <= INCR; end case; when PARSE => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => rx_count_mode <= INCR; -- handle early frame termination if data_in_last = '1' then next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; --else end if; -- check for end of frame. Also, detect and discard if not our frame if rx_count = 41 then -- TB 2013-01-14 15:09:45 was 42 next_rx_state <= PROCESS_ARP; set_rx_state <= '1'; elsif not_our_arp(data_in, rx_count, our_ip_address) = '1' then dataval <= data_in; set_err_data <= '1'; next_rx_state <= WAIT_END; set_rx_state <= '1'; elsif rx_count = 21 then -- capture ARP operation case data_in is when x"01" => arp_oper_set_val <= REQUEST; set_arp_oper <= '1'; when x"02" => arp_oper_set_val <= REPLY; set_arp_oper <= '1'; when others => -- ignore other values end case; -- capture source mac addr elsif rx_count = 22 then set_mac5 <= '1'; dataval <= data_in; elsif rx_count = 23 then set_mac4 <= '1'; dataval <= data_in; elsif rx_count = 24 then set_mac3 <= '1'; dataval <= data_in; elsif rx_count = 25 then set_mac2 <= '1'; dataval <= data_in; elsif rx_count = 26 then set_mac1 <= '1'; dataval <= data_in; elsif rx_count = 27 then set_mac0 <= '1'; dataval <= data_in; -- capture source ip addr elsif rx_count = 28 then set_ip3 <= '1'; dataval <= data_in; elsif rx_count = 29 then set_ip2 <= '1'; dataval <= data_in; elsif rx_count = 30 then set_ip1 <= '1'; dataval <= data_in; elsif rx_count = 31 then set_ip0 <= '1'; dataval <= data_in; end if; -- end if; end case; when PROCESS_ARP => next_rx_state <= WAIT_END; set_rx_state <= '1'; arp_oper_set_val <= NOP; set_arp_oper <= '1'; case arp_operation is when NOP => -- (nothing to do) when REQUEST => count_arp_rcvd <= '1'; recv_who_has <= '1'; arp_entry_for_who_has <= new_arp_entry; -- setting I_Have as well allows us to cache the remote node's entry immediately recv_I_have <= '1'; arp_entry_for_I_have <= new_arp_entry; when REPLY => count_arp_rcvd <= '1'; recv_I_have <= '1'; arp_entry_for_I_have <= new_arp_entry; end case; when WAIT_END => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => if data_in_last = '1' then next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end if; end case; end case; end process; rx_sequential : process (rx_clk) begin if rising_edge(rx_clk) then if reset = '1' then -- reset state variables rx_state <= IDLE; rx_count <= x"00"; arp_operation <= NOP; arp_req_count <= x"00"; arp_err_data <= (others => '0'); else -- Next rx_state processing if set_rx_state = '1' then rx_state <= next_rx_state; else rx_state <= rx_state; end if; -- rx_count processing case rx_count_mode is when RST => rx_count <= x"00"; when INCR => rx_count <= rx_count + 1; when HOLD => rx_count <= rx_count; end case; -- err data if set_err_data = '1' then arp_err_data <= data_in; else arp_err_data <= arp_err_data; end if; -- arp operation processing if set_arp_oper = '1' then arp_operation <= arp_oper_set_val; else arp_operation <= arp_operation; end if; -- source mac capture if (set_mac5 = '1') then new_arp_entry.mac(47 downto 40) <= dataval; end if; if (set_mac4 = '1') then new_arp_entry.mac(39 downto 32) <= dataval; end if; if (set_mac3 = '1') then new_arp_entry.mac(31 downto 24) <= dataval; end if; if (set_mac2 = '1') then new_arp_entry.mac(23 downto 16) <= dataval; end if; if (set_mac1 = '1') then new_arp_entry.mac(15 downto 8) <= dataval; end if; if (set_mac0 = '1') then new_arp_entry.mac(7 downto 0) <= dataval; end if; -- source ip capture if (set_ip3 = '1') then new_arp_entry.ip(31 downto 24) <= dataval; end if; if (set_ip2 = '1') then new_arp_entry.ip(23 downto 16) <= dataval; end if; if (set_ip1 = '1') then new_arp_entry.ip(15 downto 8) <= dataval; end if; if (set_ip0 = '1') then new_arp_entry.ip(7 downto 0) <= dataval; end if; -- set arp entry request if count_arp_rcvd = '1' then -- count another ARP pkt received arp_req_count <= arp_req_count + 1; else arp_req_count <= arp_req_count; end if; end if; end if; end process; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Peter Fall -- -- Create Date: 12:00:04 05/31/2011 -- Design Name: -- Module Name: arp_rx - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- handle receipt of arp pkt -- ignores other types of pkt -- -- When it receives an ARP pkt that is either addressed to our IP or is a global request, -- it outputs for a single clock cycle either recv_who_has or recv_I_have along -- with associated mac or arp entry data. -- -- Note that if recv who_has and we have it, then we also assert I_have so that we can cache the rev lookup -- on the expectation that we will want to reply to this host. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created - refactored from arp v0.02 module -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; use work.arp_types.all; entity arp_rx is port ( -- MAC layer RX signals data_in : in std_logic_vector (7 downto 0); -- ethernet frame (from dst mac addr through to last byte of frame) data_in_valid : in std_logic; -- indicates data_in valid on clock data_in_last : in std_logic; -- indicates last data in frame -- ARP output signals recv_who_has : out std_logic; -- pulse will be latched arp_entry_for_who_has : out arp_entry_t; -- target for who_has msg (Iie, who to reply to) recv_I_have : out std_logic; -- pulse will be latched arp_entry_for_I_have : out arp_entry_t; -- arp target for I_have msg -- control and status signals req_count : out std_logic_vector(7 downto 0); -- count of arp pkts received -- system signals our_ip_address : in std_logic_vector (31 downto 0); rx_clk : in std_logic; reset : in std_logic ); end arp_rx; architecture Behavioral of arp_rx is type rx_state_t is (IDLE, PARSE, PROCESS_ARP, WAIT_END); type rx_event_t is (NO_EVENT, DATA); type count_mode_t is (RST, INCR, HOLD); type arp_oper_t is (NOP, REQUEST, REPLY); type tx_state_type is (IDLE, WAIT_MAC, SEND); -- state variables signal send_request_needed : std_logic; signal tx_mac_chn_reqd : std_logic; signal rx_state : rx_state_t; signal rx_count : unsigned (7 downto 0); signal arp_operation : arp_oper_t; signal arp_req_count : unsigned (7 downto 0); signal new_arp_entry : arp_entry_t; -- FIXME - remove these debug state signals signal arp_err_data : std_logic_vector (7 downto 0); signal set_err_data : std_logic; attribute keep : string; attribute keep of arp_err_data : signal is "true"; -- rx control signals signal next_rx_state : rx_state_t; signal set_rx_state : std_logic; signal rx_event : rx_event_t; signal rx_count_mode : count_mode_t; signal set_arp_oper : std_logic; signal arp_oper_set_val : arp_oper_t; signal dataval : std_logic_vector (7 downto 0); signal count_arp_rcvd : std_logic; signal set_mac5 : std_logic; signal set_mac4 : std_logic; signal set_mac3 : std_logic; signal set_mac2 : std_logic; signal set_mac1 : std_logic; signal set_mac0 : std_logic; signal set_ip3 : std_logic; signal set_ip2 : std_logic; signal set_ip1 : std_logic; signal set_ip0 : std_logic; -- function to determine whether the rx pkt is an arp pkt and whether we want to process it -- Returns 1 if we should discard -- The following will make us ignore the frame (all values hexadecimal): -- PDU type /= 0806 -- Protocol Type /= 0800 -- Hardware Type /= 1 -- Hardware Length /= 6 -- Protocol Length /= 4 -- Operation /= 1 or 2 -- Target IP /= our IP (i.er. message is not meant for us) -- function not_our_arp(data : std_logic_vector; count : unsigned; our_ip : std_logic_vector) return std_logic is begin if (count = 12 and data /= x"08") or -- PDU type 0806 : ARP (count = 13 and data /= x"06") or (count = 14 and data /= x"00") or -- HW type 1 : eth (count = 15 and data /= x"01") or (count = 16 and data /= x"08") or -- Protocol 0800 : IP (count = 17 and data /= x"00") or (count = 18 and data /= x"06") or -- HW Length 6 (count = 19 and data /= x"04") or -- protocol length 4 (count = 20 and data /= x"00") or -- operation 1 or 2 (req or reply) (count = 21 and data /= x"01" and data /= x"02") or (count = 38 and data /= our_ip(31 downto 24)) or -- target IP is ours (count = 39 and data /= our_ip(23 downto 16)) or (count = 40 and data /= our_ip(15 downto 8)) or (count = 41 and data /= our_ip(7 downto 0)) then return '1'; else return '0'; end if; end function not_our_arp; begin rx_combinatorial : process ( -- input signals data_in, data_in_valid, data_in_last, our_ip_address, -- state variables rx_state, rx_count, arp_operation, arp_req_count, arp_err_data, new_arp_entry, -- control signals next_rx_state, set_rx_state, rx_event, rx_count_mode, set_arp_oper, arp_oper_set_val, dataval, set_mac5, set_mac4, set_mac3, set_mac2, set_mac1, set_mac0, set_ip3, set_ip2, set_ip1, set_ip0, set_err_data, count_arp_rcvd ) begin -- set output followers req_count <= std_logic_vector(arp_req_count); -- set defaults for combinatorial outputs recv_who_has <= '0'; arp_entry_for_who_has.ip <= (others => '0'); arp_entry_for_who_has.mac <= (others => '0'); recv_I_have <= '0'; arp_entry_for_I_have.ip <= (others => '0'); arp_entry_for_I_have.mac <= (others => '0'); -- set signal defaults next_rx_state <= IDLE; set_rx_state <= '0'; rx_event <= NO_EVENT; rx_count_mode <= HOLD; set_arp_oper <= '0'; arp_oper_set_val <= NOP; dataval <= (others => '0'); set_mac5 <= '0'; set_mac4 <= '0'; set_mac3 <= '0'; set_mac2 <= '0'; set_mac1 <= '0'; set_mac0 <= '0'; set_ip3 <= '0'; set_ip2 <= '0'; set_ip1 <= '0'; set_ip0 <= '0'; count_arp_rcvd <= '0'; set_err_data <= '0'; -- determine event (if any) if data_in_valid = '1' then rx_event <= DATA; end if; -- RX FSM case rx_state is when IDLE => rx_count_mode <= RST; case rx_event is when NO_EVENT => -- (nothing to do) when DATA => next_rx_state <= PARSE; set_rx_state <= '1'; rx_count_mode <= INCR; end case; when PARSE => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => rx_count_mode <= INCR; -- handle early frame termination if data_in_last = '1' then next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; --else end if; -- check for end of frame. Also, detect and discard if not our frame if rx_count = 41 then -- TB 2013-01-14 15:09:45 was 42 next_rx_state <= PROCESS_ARP; set_rx_state <= '1'; elsif not_our_arp(data_in, rx_count, our_ip_address) = '1' then dataval <= data_in; set_err_data <= '1'; next_rx_state <= WAIT_END; set_rx_state <= '1'; elsif rx_count = 21 then -- capture ARP operation case data_in is when x"01" => arp_oper_set_val <= REQUEST; set_arp_oper <= '1'; when x"02" => arp_oper_set_val <= REPLY; set_arp_oper <= '1'; when others => -- ignore other values end case; -- capture source mac addr elsif rx_count = 22 then set_mac5 <= '1'; dataval <= data_in; elsif rx_count = 23 then set_mac4 <= '1'; dataval <= data_in; elsif rx_count = 24 then set_mac3 <= '1'; dataval <= data_in; elsif rx_count = 25 then set_mac2 <= '1'; dataval <= data_in; elsif rx_count = 26 then set_mac1 <= '1'; dataval <= data_in; elsif rx_count = 27 then set_mac0 <= '1'; dataval <= data_in; -- capture source ip addr elsif rx_count = 28 then set_ip3 <= '1'; dataval <= data_in; elsif rx_count = 29 then set_ip2 <= '1'; dataval <= data_in; elsif rx_count = 30 then set_ip1 <= '1'; dataval <= data_in; elsif rx_count = 31 then set_ip0 <= '1'; dataval <= data_in; end if; -- end if; end case; when PROCESS_ARP => next_rx_state <= WAIT_END; set_rx_state <= '1'; arp_oper_set_val <= NOP; set_arp_oper <= '1'; case arp_operation is when NOP => -- (nothing to do) when REQUEST => count_arp_rcvd <= '1'; recv_who_has <= '1'; arp_entry_for_who_has <= new_arp_entry; -- setting I_Have as well allows us to cache the remote node's entry immediately recv_I_have <= '1'; arp_entry_for_I_have <= new_arp_entry; when REPLY => count_arp_rcvd <= '1'; recv_I_have <= '1'; arp_entry_for_I_have <= new_arp_entry; end case; when WAIT_END => case rx_event is when NO_EVENT => -- (nothing to do) when DATA => if data_in_last = '1' then next_rx_state <= IDLE; rx_count_mode <= RST; set_rx_state <= '1'; end if; end case; end case; end process; rx_sequential : process (rx_clk) begin if rising_edge(rx_clk) then if reset = '1' then -- reset state variables rx_state <= IDLE; rx_count <= x"00"; arp_operation <= NOP; arp_req_count <= x"00"; arp_err_data <= (others => '0'); else -- Next rx_state processing if set_rx_state = '1' then rx_state <= next_rx_state; else rx_state <= rx_state; end if; -- rx_count processing case rx_count_mode is when RST => rx_count <= x"00"; when INCR => rx_count <= rx_count + 1; when HOLD => rx_count <= rx_count; end case; -- err data if set_err_data = '1' then arp_err_data <= data_in; else arp_err_data <= arp_err_data; end if; -- arp operation processing if set_arp_oper = '1' then arp_operation <= arp_oper_set_val; else arp_operation <= arp_operation; end if; -- source mac capture if (set_mac5 = '1') then new_arp_entry.mac(47 downto 40) <= dataval; end if; if (set_mac4 = '1') then new_arp_entry.mac(39 downto 32) <= dataval; end if; if (set_mac3 = '1') then new_arp_entry.mac(31 downto 24) <= dataval; end if; if (set_mac2 = '1') then new_arp_entry.mac(23 downto 16) <= dataval; end if; if (set_mac1 = '1') then new_arp_entry.mac(15 downto 8) <= dataval; end if; if (set_mac0 = '1') then new_arp_entry.mac(7 downto 0) <= dataval; end if; -- source ip capture if (set_ip3 = '1') then new_arp_entry.ip(31 downto 24) <= dataval; end if; if (set_ip2 = '1') then new_arp_entry.ip(23 downto 16) <= dataval; end if; if (set_ip1 = '1') then new_arp_entry.ip(15 downto 8) <= dataval; end if; if (set_ip0 = '1') then new_arp_entry.ip(7 downto 0) <= dataval; end if; -- set arp entry request if count_arp_rcvd = '1' then -- count another ARP pkt received arp_req_count <= arp_req_count + 1; else arp_req_count <= arp_req_count; end if; end if; end if; end process; end Behavioral;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JOOl44UCPkDx2652/CLeuu3d7Yyv65ig03hKkQxA06lNJbcEZ8TsG0ipzWcNv3SoAtis4HdRhywF 8K0LXCvvKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NV/0cvWQpnm04Zl5qHD1mf53u0mW8u66M+/r9bG6lhPbv3iM11LByfxMAa/6lta8bHqA6XKhUrDK DV9/dvctsqMZ3mu/5OvtLDfjPg9WkVozFdBAp3VmdNh6goq3//QY0gkAwC64YsOaCN9veSx9DqH7 ukO3xgpSeWY6aG3sTRE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bIufNU/ucCiEFRooUPuEmYQl3qyxBvmwje4OUrb9+Z8vxh/6v0q4eqXj8Fs3uH42qpYdnZKmPBiF SpaWd1pWuNBzQqpykNM6fjQ6rRcxjDijDvHxYpMeYwg3pc+QpiIv8p/alB9EjXa0VsNvvNbqSQep GmZTHIJFTt+S6aFHtzpa5QGqd2s9H21EpnD6pwq6YH2C27tT4a/qbbAVzrpVBjmf4cwV5glsIR5F Du9RemvbFxCF4s7xRTYRtWSN3+qhO/8MCt1SjhTMna+UxQ3VmwuJfWB5NfZqTcTCDiA0/YzZs34Z iP/2sSI+X2zo0caTINzFcBem+f0ohiSA/+zquQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dZIK0/Qotja4J4jnmH5Sm2BaZo8WuCebHH1pzQkGn5PQ7qXWr76UVyEyIZ/Wy1P5i7xwhVRPkT0X +5EARZzUng81gLZywSc/ptVHG57E4+Rp/wKalSf62RgVyWdQMQj96ScRYcpv/jtC6BwoYupGRqwp 5Rc6AyrnZYGW0nMr9sU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tB9CVdmlvjeLmzR1OtIp3Vt4AoNXxGYponPamLsLpfp+4AGcyfbaKZk1i0nWnFK2+ZhEf5uJCxl4 Y/KNwQaY1aZrWrD/qSceFEJ8D3WmCXZ3eTYF/6ZtIbq2YjxRBy5FNhWPEnOzwm2OGZVQnEcrjeUG QdJrIvJhlFxQOrzSYShhgR7oZTvhKdA4pwOvzwg9YMCto7uZpzKCTDFz3vGB8QmchIRd4HkCtQ90 DvJB4cwhEG0aahJmGgVXVoJ5lnAXsufU6gupUuHNJ2Ln0IqrRcwZpn9VfWM8nBONtt9KzpcCjBXK WSrqZNCPB6eWwFQ1a7+87j6j6cEgtQ94DSSBCQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 246352) `protect data_block mhMYsURJvYIEIFqB8ku5YSDWUw3hnuzM9GosEgEZGkvVpQ+oWa4+ALvFplW5gt41em6tWHAUoWXY 21aBX3S3NPCj+A/ejhlpzyQWjdJleDfeJ3cwG8fIgkLiWplx3dfwpt6HfkD9VkF0Uu+X0PPGQhV/ Vx+FxD0jth2zyic75ekJbEBAhRGSH+9rAcylIM74wlkU/xkSLeiVUmLDuwanBwGMYzo6qsXiNFCr klZ2KFJ85VZupsNQa2KrE74pgIGCEKOalGZ/IjDrq3iQGkUmLR309TXQE4IC+6zIt0vBG7D/oHY3 MzsLsqWbCrzTbJy8L5IOinKNz2812wtHURTSX4En/sGz/SIktS0TXDLsBqyrTBM+t2QQeoWzEPUz HUhtNzs5GIiebq0fmpCLZtmvizyoiIxsSu5+RdZFuWg99Uym3ObMBYy+qZRSXnEUHtqyvhyFE09c H+zuUJaQfc5f7MCqPhvqODKmhM2SL7Un3RIlJCRdqsrFI0ilii8Fp5vuAX5y5ZkNq52+aLmA6/IK kqhYr1FH2JPFkMzMy9MeXChLomjsgyzrE880qYqa6pF/gUEU1yz+kgaECFbS161n7Nh2Abz7v+/B AsPyMHE4MAdVWI745E4dWLpj40FUobgH2IGYoiL6v9IS11zscg8/aP926qPME/I76542aIdkgLz7 qpnDBiLHExf7LYbD9hXR7381PmJLIaH7dAGwbuFOauHyGb7XIGdSSvM3BotKIZvQonp78rjdGEeL uLzhnwZzPi2SA+NNhgmN1zDTeTfda9V4RB6uhE5s/L/SFK3UuJmwwOs/RVWQuwxgY4OHSbkeWpQ0 kDVoq6bx2dMwa3zozdu9HaeGyjFS8lBIwNK1Eaeh9iX5R93bYWo9DCg62BfyAJ7SQaUFGkU3+iiv WuRv8nuqfuU+WpXCkZMc42yf2wG8LCCXWZpo5RmhT4EBeddszwIuTBBe1nSLqbiGjkglirULQoq9 HarvV0MgC7G6vidFb5J2nmKG4orcaozp9H7Gb+8urnGtIs7e7eY4QI/dTrzAWilZTNEMUTSwiT7T z1mFhdvhq3XH7fJArQt1bHIKJ3a+/Q/0Rg4+YzuR0Repg+Cclpu7Qh0ZdKvyqPBymOyuCfdmebiW 2tJVj0weWP2l+2i0uBmttglOA5BwhO4uUINlI7R9TNroGUxTRAFA+XajIM7YiIVK04DBjiwRwLZ0 qqAl1oGBjJ4wXRFP8DGHtZgbCdIwJy5sn0FPE/wVVi48xPY47emfQmA65+iaxD4rFHXtYwR/HBse 6Vxp4yx9ZgVW27tFSYqeDwUU9Vsx3Sy7ZCYGi6pP1dNyrRiWWe73MTFVz3diu8z1WjryICbmQY42 czwBmeDWksVEwdwQJ4PkYI72dEws2IVQKZ7oppcmfOsjdfqSXmHR8HiAf+9zNb63RPaSlbmV33ER GQ0uubTeu+VCzsY0r/UClARRzJvwVn2e3q8DTMZjTjJMyHGv6J6W/6LProjxNd00qGWH2RzIUqwQ IHcYVO5ohzmB7Frf63x6NUUtq4hDSAzntwsQqoe5ar4iWqBU8wn2HZf7dL8tqFpePI1SZuQbatWF 4NxxRNrg1xBXkvb2J7J8oRWXHOrTMWgpNPfkhziEP/a+0Lf2ONsNJ01BE3oJXYivJcAhdHnIQHif YH8xAlOxwhu6BP1OMoRH7WrRKYDioOzpu8ga903/G5hyLalD1pPn4bbrILg0vLzJ6BJYeKXXINZh fXLp4opgBcFqcyRjMbGDXYrjyxAiKJ2bP2QAtdGbEcNEkI0wCPgS/KfTxXJWBcodWaRO8AjHV/BK 5cwG9vsPV5m3tnDEyfXpnMbgXcmQXaXtJLG+kI65WT/m3jk4TbIJbsUjgJpMAalRf14Y+evfdwOm vs9dT9FbrqBiD8LkseWQ0konxwTbFP+PuaaeQHP8eaYyBegHlp0cKx73pZFaLcfzGTNE7fWZxesW Rj0v6gOjON4GktvGLTf3U2ba8wIqTGUeBoQ32NH7KGH7LoXsNMKu8kP/J5nAi0s5kXWd+3/4gO07 uhGCwxUarAY4gKQGnUy8sB0tCfNX2b1elhv67LPjzab2cW494KIWiN0dwQaxqO1bZ4D37FNdpt4F aa86co3y/jHlZuGNaYh+W7xPO9aXkuW0RoEXvIJQ0/eG9dcC5NCWisf8PlIPeBwl3164DlwMoA39 fax57QpcFZkinxW7m/WqFcnT3Okzr3QFhTF2CG0spP0eviTa6+yNIaa7SRZE43TIgBmlBBei2a3H aWd+gHnPq1K8/6Z6bs6NfskuOkRuFTPzMyZTSqr9ORKUkhEBODe2xU/Kgrg41EawuyOPjm8plcIr HWPJ8ReHuivGYicGd74faz6YvIpoV8BoIfibwWPS3GDZctK9+zqMqgxjN5ehF0toePHj+PEFoaBu cFJFXb645VARkdPms0wGDrkIurABkZcNiKhv3dBW3J10Jg+LQP2jh8UJMQxYmUbVSjRTOsGSlZ5F E53r5OKK9vJVyWaV63GkWoQ+efINJ40F+yWVBul+m318dLZKBPH9KJskfO3nnbu11JmP7sMp81Q8 ERFLNDrwp0DkTcPAL/pdwPAJaSYVBGtrkWhJK1dwBy46wOpblT90OtBs71toY708q3p+Ci+fDgH7 WIPOsQeALjiuIotDWOPUGHONv1B9Ex3FTck8JJjn5Uy+qTslrIssYPJifS8KhFyMUDFVe9be0jZ+ dyWl7ypniFoO2RsVGNnmDBnyKy6vc1F31HTn+VxbCKlq/xk9rQE6dNAHfBNdAZ4RD6ZtXRuNmcKB Yox3LOjwZby6PvQPMAJ9c837g5IrxBIjE+EMRvP3CHXv/tNm2AQ4Hhd7oUiaSQ4mkZCJ/MVL9dBr ZWKBb/5O89r+TC2+5hSHonFdh43dON2qlCRA1NEt1VW72OBASuxrrH/Sklv1/LCrjxONVOS/TDdK 1jPhJ/zctWOWZG4NbmCBQVllcRUrRpzJHZePTL8E5Zt7RKW5ikLLmyoSBaPkxzI22z+uAmb0KSmg AFtmZ49ZQRckqCPebHLu7ZSrwcfGZh2EiWOTb2k7KgsNEpQPX7Oc4+6taUYIE15C4+83ePY0rMhn YUMDT4gmZs/2MV/eCfq+fIB4NfWexyG4gKcB60Ag5CTpqOJWgMXw8RYw0ofg2FgknGlVofoeVT2d o4PlctNit+Zdjsh+dAkdVSFiMM2UWIjuae+q4xQXybrbBgRNc7/ToJ4Yh+SxVf7OX4R0lwJrOGV1 kaoQanjBzZn1cOwzwfKABkqD6a0gg7u4VPJxscZvI5kVDqzqMPRBW51AQepfCrfqF8mE2XNMwx7q e2UackWl6jOsXyvGvS8s1TnNMkkpUrLWZF2N+RlxeUF+Pg2nURdpIVCZrz/ucQgtGKrWX80KeoX5 fCG08jShO+uuCcRW5r/67171D4XoRwEwcfSvrGwmdFziP44hSsm5Xf/OdXQbSvAGi/mq/AZUvh3b m1uX5W60shyX1vY552qv3sjTU/pNzIsuTkCCukYXPLDV8YLm0dmgjrOJiCCHR8rRgIMNYy3eXKGQ KF/RauODEGokgPi/4o37+dD0FKTKj07JDVXSR4H2BQE7h0nOuJlAO1BaAkY5RlW6z/6jnheTEEzI luwGoG1FA1Dw1Rh+ZYo4kfXBdG6LVkBPGm2FGt6/ySWa7RTvhpFgK4rP6+kZ9R9SI6mZ9Fk+M6Qv rdNWYEAalX1AhdFqIiWP2wyGVqJEru7zAQ0s4nxfYRoj8h54cSgcAzvkAc2QdAcNxSrR4aCtY3Ie GSq5XYoHZmv/bn8RD5o15vrZjpnDTfPFwntrAzNOPXmW58mh5C4E9c8D/NVMyoRjU2nah09cjeLJ eqQDCGHDq/sUz75e7305awTn5HAHyfaB8QHHho2iKCnOLcNU0+zEtDswNm3hQPAEu6CNbQySFtsu zDRZBw4lwglWZHA+TW5YebDO5Qhxzri/l/qFVo09Gh5kABdp7ItIEv7YGhChsaqSM7bE58anPZG7 fZtREowm+vq3P50OLH+bVxLThk2Zo0wMSprPljv2HucbuUFzAKhsCd6PjTm8VOWedy8o/gMsW83r z5JhxNIEjGWgGsy8Hu3b6LFcKItr8hgljoWI5tzI8P/XM5LZr8aU0HT6KYZ6WYEQIIiEm0DSl6b2 2sdkD4yAHB87yX8hxO7EzaBZRSpKmwpKMMh2TibrCpnkLxxPdNewggSyln/ibyMjh3bBfsbAEGB5 z8a6CraGRybYJb50Ll63kLyQGdFCmtt+a0aXqEcqt6P6FMZ+KLdQV87LpP954ixGVq/CY3d740xl /eVJBJb5men+15/s827BDUTzrV7nsjrexSf0LIBeulT3VCYKIln3Kqe++RciXsOk7FBbaB5Qc3Sa C5Ats6DuK2Kh4qAolqE5t0i8K8XaE09WLGjVcTlu8hUgYnBGwYgZBAaNyDIVU9Y4rGMA/ZfN+4PY 1qag3N72l02vpgMYXVhLQuvogV9HbVUF65B9n+8ZdzW9oVh37t/5gfk1QL6W9KE6xGD8Za+rc31N +W7i7JG8OhjEryRB/UOtezCSgJi5Ggl0+BUyoDSap8HBgcQsLETyJQt0r/5hKpd99rkjjM7Fbzk5 LRm8caLdw/gfBj4qItr+N56U0ITjgtvniqsyAUuPoD3FC8W7sqjgAyiY4jPVubrds4TvjISuPW5f rLixk9XF/ZyWx3STmkqxmFCfp6LQF+iqRdLzaDkwd8On88acPe8zKzeYRVmQWqSePnJUjYctB+iv Fyk0vWVjq40i4f80b2n3cAZjE/irgdENY1/sOuTbJt7f8Xc3jAUvf/BH4TTQRTpsJxOquBB1Mfss 10u26+Y5rENCCBNZ1y7Eq9/TrFFixra2ZKrslvBD5rShDJnv2iENWGgIXbHTq6NzON/PxXSIAiA1 fVMs9Xzrqp+tMWJlCY2dqNPLoA9Lr12StkhOVMaN83+GEwSaYsk3l1sq8AaOK0DcOKbc60pf/Cgm TAFOq5CSHPPI5vVwA6E5oU0kroRt9+STz4twOnLKV8xQsJL8g1Hmt0B6HQL4S0e+Hdi7LXSqr5X/ Ytap/s6Q9uDKL9KvFAWIxa9jTwiBAO5MRx9c5N5UIYa2L19wDunw8ONYcpZxBv92L57vG/lAAboW JKo0r+vQu7WwCk9CLXZtO5cr0poMxy3HpjTPiatMV1ktoGSM3lJECXcbmByH1uFHwJW/xaQm5qVw nM1jQNp0LothtxDzHxmp4K4BwNo1CJmMSKayYTp4JF5XTXWJ3vPP4a+/lwMka6SmaF9NhTgAtSlB aFRsU4dqGN/QYTivwP6vHCnN/9BhXtCo6vk86EGZbjJgyX69gL9ZlGiUqFzd6uNj00+wq4YzmbuE MVryFDzAvI2NeecLp8ozyq/z3wgndrs30MpU/WQI/yZ6GMt5aozEcAgoQF/pJt3WFiAO0DHsm3Rr JpP+5ClbqPTatHfmMsFSOYuwhOGRKq96nwNiVo0vaA7aS87jpNWgBtMyegEQftZHsp0eu3/YQWOr 4eYnwM2+IGnjYXv6OAWc/YLD/HN2ee30a+emWkQhF7/7fbzbT9GuIj//Wd4xGYw7+R462T6tOvwV TbjKYvj8KCHS3Hi6xJwws7+oTbKi3BBnzb2wAtysmX3DesJv6j5p/FK4iRMX1PfybUP3bcQgQOVW C9e6/3RsWLVpu3t1kA7WxbFkrK4gSYkK27sQO9qX0UleiTm+jcg4wTOn6xYPV7uoie3KvapOv1Iy 8PxD3Id7DmEAGYtWlWaVOjqRTnDSeyig5kFXGGxCUFaZ0EITsduv1go29oFXTkTZ/YoC10lH8r8I AM5m2N2rjOND4c5NgNI2QwQU82pqkrcDsHNuwUv2Rq4eNi8Ueoc7kndtRGLdvmx6aIvjGWelnaF/ gXcpFA8Kasx/rq5oTwhV5B+4nPzILYyknRNfavtGYbbzB9l85oFQk3vYh8ePD1sUL+Vd04jPQUBU M9nGsTjdACYvAfpUxATej6UO0kub7mkYMOlW+/fxXWRvVImI+zSxTRW/MIDK0jJ0Viehhz1mtOuc 2tgy2avxEXaDKR8IKmIW70AvzuUh7iVGAvjaz5WelZiR/NMxkylEbjDqnIJIKyVGwYvTmvoDT49u wHlkN74WIx4sSPqVAldQS/cVoe0cU8UbxtVPgLIjOxdIkeyOaiThd4KJ1ijfxoEssaauvMOcmUvp /f+hOnhDty79qZys8yup1H2eVmt6A/uZc3tXL0mlQk+An8AiR4/xYg8nZ9Owhy2nVJv0c7J8jNMV 82QYF4LWONI2aDN4lZybYEwSdbsevo8Ft5P9/Zvq4zdpt4fIXWQ28yc7KhfvcoBmLiNFm22UiXYL Q4RcRqXiQdUnojGz8mHzE5oOiePERRehvGoAdxw26CuwKJ0FTvnoEjNJO3cLIOYFwUx+UEL8vSYk pkn8ucAp39KNH5CDeAxcQzNx48AK8Rq6vDJJipANEWgnJ7xGzlr/fxdKBsF/UR2a3WlhWNqZlSvX kJ0kpqK2BeWEZ+JhnhaSz127dXCuRrRbZUYQdV+LWVgcrFAI3X4nu1077PK2ztUcV8K8E35q1L94 k11shVz5mAu7BGnrkmJlqJBHrGnhl6m9g1kPgjfzQfqJnJ6Ie0ztC0FjphzB67WXZiAQQ91epCBm OtwwSffe8vS6+p2j3foM/y/Hq0Fo2jxuqP5YafWFGlN68VaLUNzqa1wvmxZJtF6LJn5+8urcEOL7 Mou/l3G4ehMICho0D3A8cuMPbKvfBoexQS6YlJdAARtGehuEhDsapR7mZENP11G1wOA4UE6puOhz EESVv7l3h5qDiqf9jglxWP5ewOeqjAwGWc/mcCTI8zK9SnpH5tRBmLDpo0DsDFVmbdSxvomsAJ2R 04aw0geKHEoyhjockQ4rVmHWLt4Hxo9bEHwE2y5RJ8HNjfD3R1DnNLk3zUt/qjlJufSD7H4O4kff CnCCoCqpgXTSN5dGa6aYj55PZ+G/tm3VAd2hV+BVQglUdfHA8Ac7g0u9DdpRh0Bnl3SUUHqJXlx5 lqWvTs1PQ4rkRGhXDP72+lHzhAUsr+YQJ8xyoFuWq1IYUMtOrFCmsQfpwgrBoZK+IEwDU6TFOpZG jjQa4ewB3lHvi5l99hP73JkDQihci5wUBq62MdhHsfslheZZiCzvMGVG4HZLx3Rh2Co6LE8fOZxk 89hy0baTohdh6R++C7/eKDoDZOKmRg5seSGUQOaNIwyHHtipq/wVHRQUKSdQs7hsdhZtsBNZU0EX HPun+O3r/dhea6hVJoGygdMaL3PVPLR6YJkOkZ4ciewF3t/0IyOMcc0+iy9AZC17eOPVF69zIIjO 8E/sv2CHIq/CBl1E651zL+S+ncVkG/9jE6gbCwUqL1ZgSLC7qYblhtwOgfwE/bD9mlWS46RhyRkW wpfWt4LmuBHX8aOev/Rym85Fs5yJxQoVrePibyfgC3cbnJ8XrxLNxMIQXPN+4pJbSyRgbKex3Kz+ 1XVCGQiI+AwqE8Czx1VDS+qpOvAH95ivN1/67JHyldhrfEfIf3udrmA1s8v3tk/m9UtCg2SmzBmU 8eBOCLWP44gf1EU+23/IDEJhXtLppTvRyPh8tVSWxmOj/sFNI0lx/R0drwtrzuk27ZY67Qiu4SyH zIsAbklnGlfITFFhzDiqw6TGaHj9enIRAIN5wV4xu8l3BeczwnLZtHczaTPCYUfnqPfprthjl9Yf Sg5dNMp/Vr1IJHozKXwnbkyCSI/SqKDcBMAOTJI2ImjFHG9/vo9tKXWJJQnMgKqVkwVteFDQBybi 2zhgoAxq/vCEJ3cn4NAiPhUv/Gw0jvgD8v3TG0hvZTxdGoiTCemX6/aqvhnEA1IZPlSwN1jiAyGV U9jc2Yjfh03f6VC61kc42SJIoVbSxAqan7iOP9PHYA041btyI6ZnwM8zqVi8oukyXUBbgmpZKjEX 7cqpxQ3sconv+CpF4LTlCvE4Ij1b60adPig94uEvML1aJ1HSgEnN7CRs+iKALQDFj5lHFM4dvcAy TH44RthIKJD8VaMBovpLGkB/LssZeSONQ1trkmY/2/VxEMD8y0S8szXrO4Ml2T+xXY1zDuvj+l1s iLc143NhkrZmqI8hrLpq5AXt2n8RVKMCvL7PLKsKwKfGZo9PweOOZ9qgxyIxid6yAwZjKi/+GT1h HyslcH8jkKxfVvt42Lep5Uak6nob5Xq4Y+s2PKLuBpwK+QlxxyV/ZABTyjdV33SlAqFSlhn7BKvs gX39H3hay8+cwiEQJqsFPHfJF8Um+Tr4CCEKwhigPcfIw6mOgxyu0PqsTzGZ1/APyobTBQBdVflI lBYQKFq4/T5Jt5NNrebgDmvgTd8OuvoaJnzhlosRZBLZ7MOAvj1qUXJWunfWAJh9eubG2pYOdGiR oWkicUkt9Kx5St5Wn4+OSz2bXGhbfflkVSEy7ESZo8lp8W8uzcwvg43FygRIO7ejjEJtSvRXNHPD pyCxVGZrZOuJ5q7edE+ftmfDRYpoasu7RlwWDmCoXbllnGNYyH4j/vUHHxw6+k2Wb0MMK0KEkbiV zrEXwHzwc2us9tugH/VR92MKD3Z2rHTkb2+HQuMUFeloEcfN8hxJ1xTDDyi/64r/wJ4T+gcnUpzO CenQ0irHtX8Je7D+U4gqiy1Y+OJQ2lu91E637Zlp4BrG3M7ZeHeDWAkbs1cjH2mys7HInuuwy0Lg UmEsQeHt+kqHcV9kZEGiW749sON0Qx/330wbzOO4C1UL8wQTHSWi9MvJFXgmeyIYflfi6ifar1WD GmQcUyI1ywMnpVZkXuBiHAwl9+v694SOYZuOq82TLLofZlbQcoqUqhZbWFYhiIgVu1yAslBw1E9M Nc/tTKC82+kLauLBFyorjiVJaiPhJfzaVWnAZHyBQJTQAy9jRf9VHWcj0KYW7BBwUZ+dYN7g/qnI DSPOUIQdJkwHV5aFrqai9TvoIvjujEnf9Ivrk6OjkbCzv5JYdlDVydaAsgFRPIPpt5vjquYayEi7 x9zaSaXIjd/261aYlAoApx2fvi78yMD04bRQidznYc2S6xSQX8EcLxq6ypka62piP7DCp7MnqTE5 XAuZ0sqU5ByTr2ogwUL/n/ryD9EdgKpce1tWMl42Pe3fq1pxqQtVWxtgmHmYgvQrT3XAFTNafGod NmKmUmn+kSQYnShGpGedPehdQAH2HOFCaFqjuC2m9tuaQwtO5G7o3rRNYRHXE5e7d9Me+dvV2rBh VIT6C9f/5sYEXyrf2pGOQTItqWPmxP2KTdQ0x3BgjTdq0RUY9B2l9DLrqFORDsf6MPuJmUgoVXn0 9BP5SztJWqouIdG2rHc3vrhmi4UJPUKc7w1isfjbBYo/DccvZwv6qwtw/mvdZAnDc7yR2hGSnb1G RMgdwLI30+gz/jUyXL4PPrSM2gckCFuxKcTQaWQ6/RMhzIVA85hXfLKMKXEELupQLJBpjiC4lodj /yf+J8wMucGRIA1irxaQBDm1O7Y9lnVubf8B64OuPpOWdXhzv/fhLYJ4mY0AuYY5X2rcpxE8oUOx LQ4esVkZZOkEHLobDO/9rrDJkTm4b00MiH9WEUJfjx/YAN3DPz6+/6uNfsLshMaRf/M88e78Ugaq LKkUyiipEI3umFMLuTWRmAj5mj0tegFTY4BAnSgA486Jiwqd8XWaS3IJEnApxMp7cZD8f3B6PYLF 8e4cAoTJxW8L5QAfFgnwarb5rh3v5WtpGE9TrrNgTy1RUqxXwxASSrkPhQSsh9g61qZEHFxFdRad PnJoLvhMp8KPk8/3StSmBJhshbcaVCMzNh/dVw0CpK014diXfBxRLZD3xSRETDU6fvAeYAiMJ/zt PrrJyDMvxTFMZiLIJDijjzdpKqDJnvjO5etpfGUTf4Uhfd++vDq7wX1i1drUqU2wdzYuUhewJnVt Ip9kfLCLfzbECkpGGTGtnBZxEwGDU5tymV8qcJTD+fXF1pPtKRa4pxEVneWKkLBZ5FSQZyPDSSE+ +5nc05iCM3pL9Y6bImPaCKGmbiJrpz2lUUFCxA6WyRjq4tUyMukSiuJxPHCjkNBwCKUfB+RtnM9Z B/C2eEBJ+zfwRuXsNCGVudO+5MXTvkrYoYP1LSDW19rFP0w7loZ1qsBtpuCR7DytklAbMs82Fxx5 Sd/fVLfuhu571rO1hGMqAgDB/j/klBSWgvP6lXgqXBtRoAFFvtUm/v8mEDUylmInvIrFaxn8Rlup STaFypK+AJrRMoRKcFipDO+OsjWHsXRX9Q88FB5NwkDpOd+6vGU/YvljLW+quvVN70S4yGPQROlC SmSjDPjl4O/Sdba92FOOAgNJILmfj259HBIrrkKDW6KRS6Oi12aaShaHCWnf9Y1K6qfy5CpUZVe8 092VPmQLPyN+v7PZnkh96oj+WHrr87G6+sb1orHPHVNADkLoiAfFOz8f/27yAiyHQH45tVWOMC0U EePS8PTZYzH90RDVrJY6rKt0YC/RWbHbu/4Dnb1FhmOGcFcB3mZyGeu8JVMiMep8NbMghl8pv/aS 7AmvzoqZP92wPi9pXbgAXdsqYMmepnDeiHSTGWz6xNlxLji+u2VxgB0nI0ngigwGKo0+ELkvV34v xndIvN0cM4/Jr9LCErAX319EhLabdE6wKGmwH9MmLOpJJMKB4E2vPRmSUjPNMQczFM56O1u+X5SE x9a3j0q+jY5dgryU+nBGG6I3/QGNtPhT+QtQFnLRSJbTL5gHzeLm+/YpTssUor28W33QwEA1vG6W PGdBIPUdr4tOKz+Ok7EABBwTra6RS8zweX1qqp31DG1j8ybr7chZ3/kZAZU4flWAKg1gwa+SeYdT /JSNrhUdrfXLHsO5Lwc7mxpWZhekcyI+b5EZ8oaY68aJGqdVWtu5/TUxAUXAI94fovcDqWlri0uC vgw1m+mnNNO0FnTfBI1KoLpbBumk73WA8x3efVrxRXUyIey9mGnKxLQL1IkF3c4NGR6ZmZUc6TAe TdUqeg1LRqz3ZTDibeHhquMgFLyRviJZznKcM1UQoQljLUsTuIUAC0CadBZOKwdIQMj39+LWKU6V IUnKRHAAIUVpRomfnMOFh9053GTKFRZQCKr3FEs2N5ZtrFCIY11mb/ynChOCBjjZTWC9aCUVCHSL 1D15BiLvI9Tgq/SalYUp4H1j3EbbvejNTIHooXOS6Z4UJOyC2PHqolA64F3OpKPMJ6rI2UdoXKAb CiHCdOdBWwf0pfUzDBh3e6WE94OgP74Z/buvLQi7TglWAoAvdK4NR+RlNup388lk5fjbatJ4Jwjt ArjgDQvLATvxmtnE5mtTXt8y5HCe/vfYaBnO0JvDpCeX6i0UvmUkNQf6EHREdXyl/RY2WezWLILx Pkxz5rpzdDnz+WitXIESr9gsY6KyMk29ep69REYP9BaoxAeAdwdHCsofyUq+d8Ie/qk2rMyDYbrd aew5q6pifEp2sDKU7iK7r/kWQOyZPqC/MhL/Mr0Vl4sou6qwAkKUNofi+AkF01Enir2hUlP/ft6j rZ5rIaPR6BTUsvZsNszl0FGz+1JzEJlrsZN5CbiNGf4kLEu9nqsUwpt0NGBr8pSqNDBUwCE5mIn4 LpfWT248Db78Y/Elqhg31mDjaTgt+UpkWuJEalzz9JmJIQGbdqtmjJKjcrE0/fYoXxnsDnh3wkx/ mBZ1cw1cA9Izma/g2Fm2JkutMHM+nQfRy8WQ9x0sxyeTWDYZtSB5+HgMuD1/glExEjxzvXG0humj UGapFHkld6zlJDBHAYyrbdXEFu/rlr5/RdV95sVTHQUGJ59Z5/tCoO57HI3kitRFCW/E/TxF3ZJQ so7BAKaf9SW++iAwRDHxFLJnwyzqyJTkbjmYkfUGgmHo/yLuJwr87/V08XF8eBH1GilxiqD98947 nillsHJHJzNBH9TBf6WnA/62hNkUzsmm92p5A8qMNhf11Hw4qKZpHj1lrfyWigk0iF3P0Of25X9i 3Non41wrcOoNIRTuIiBsA9CPTg5ccqrTvWXAc9w922xTyCxb8tyeFVcGMeBH9gBhU7ID5aoUzOEV o5/d8ol8w4KbJWamyP9X++e2U3R7BPhuVkkPOL73CxxpI2CzgNEL/Yhqumw0S8D5kpfeKEDpc8oa AEEAkXkTsK7SmxBR9cnXcctagBzGhW9v2EIVU1BlI0r1bp7xTpT8NxS8qq+NdBNJojBD62sixhUM p74XXx725s99Ah0kL57szuXJmH3IMXww+i22+o1bNkBJC33L1Y/RZyZZaCFj3Obp6fxU/RJcfBtX Vpsmj9GKQSXgYUAawE3byX+m3GtWrIyyZgXZKRFrsvHhGxw0rCokzQcMXq2As3+lZSzaARp8SUcH Vl2oX9ZpgZ2eyeg4eVm8tTSwJ8lStAZkSZbkfIOZw9hBa/idgf6nEPFPvkMrvRiP2rkchbyjeQMw l/Lkk9vxjqjpc0576ezhiu+fRzRoucQtf3Wohr9+ASVpks931jE4RdCfLNPwsA2C/sD/xDQLQHWp 99UNxOaJsAe+KGRBIPnK2bmFZoEPoZG1NkRR/EXfFcoPrYVNsjkbg0hOj9ngxAWEE+ASJSBC50T7 C2p99Ed2sSBdv+xWDygtyRpRWJm9KCdqSlkU+OyiMbuCc6Xw+VMLpcQCMmEVffBARaYPBs729vm7 /5oFNWjzwFsWBqczaYxjqkW+Yb+jGqqFpdITwHC3o0CgMctwtlqjdelRRFvtZq1QZVmtLZ823Wj1 Pa9gJXeu2HW3uKo7XHJoNjbxh69/KDf9rU+pyVPrhjM1gvI80clH+Fdoc/kwyFBijNwe/Eloa8zj z/7FTizdmCVmQMYWZw5gGibSt++ob4526YhcIN8kIiEGF+3w7EWKTF7WaM9l3DMkstbXq0k9DUrt adAnde4Glv3bJ/U9t/nIjxVRKQ9uLh1OjjANONc5KfUdYKlxGj79gA/9mb2gbha7WCMBh0Egv0sy ycYzoqmOPTnQnXf00YIR1McCaIGLcNZ0zbq8955X57lnibGA/5kA+syXRq75KpVVgxfOzTZ+F9xj ig09Lc9sROoWWJMnso1srVaP2vCeMQrK9v8+5HstjwXnTgN50a+dFok1yl2ApeTdIIQNpl8M1VB7 csI5jujD4zL07CeklQ33SFI9Dis+Hj3jA+zuf1Sk3/m7y8an1uEQwtwfmMArKoKUpiihimqo0rGt u4EzgRk/o+seXm3UYV/QvcozeSIv6tX74XbXCa84Jx+PC/oJR+mqFXoLZk/w9VATqRmAt9TR58Ki Nq/bH+KqKuS72v9KlIEIzexXEbC03TWtBoTMkQVxLb+venR+7oFuBdb8TnyrNWjcno7vHI11yYsM 6Xp/hwiKcWCs8htmXDRRR6uzmC6RsvPFaZv31m3KxEw6Om+LaKB+AlnAMsJXj8GaKm7wLvcPIR7J A0J/ZkK79O3EgJd5sDiCBFjIRtgTpiI1KVQdU0pTk4rWj+Ql4C0x7b8H0x0WMy0i9J/NsX3fbjLM v9EOG8oVZLbBfs9pXC1WgT8+amXXamJs+qrXQWyhUk38Au23fvhsZFznmelo3HF3MS6KHuP90JVi bXtOI2Bv5t7y0Fz8YQVDKxLby9+HxdqrnioUzW5idAFfmWE1JUXYNmcv4WXPJSFfdK0Ky56xkQbM bAVsVjSdMcggFG39PE+aZICsJmuq8kGJOEu/6JLLsr216VF83O4Qm2EJgY+VzRpImtruWHO8gIUu ljHBRolnumrrvKRKzwWEVt9U1idzUrqG+XikrILOMLzSbCKfXx50NrxiLBj0BgHffF86m3DaBL0G FJr8cwfcWwriZTR9C4W/UakofwxZfTwEgkNTrt272ZwS8igupzbYaGQD0barwvM0qByqf6KzthEv ZkMn123tk44OHErMAztKhdGPqIupteP/LhD04KJZtKMl+OETlgaLe+53mrcyvhOVYC7C+0aW6PH1 vu1nAjSSn+ksMQvl/KFz//2XjakZUxCJpXKYWbz0V6AWC7h7+5+fiZzzNcRx1I+wSUquXWsTM307 YSOEAa6q0VP9qZqhSIBcrtX1hcBvkHkhxjq+55hXFMASN3BSJkkQ+TPQBdvan2CHKqOKeUy5TvZr weetxJqxP0RYKMi9JIDMRd6l2+JmY5xfXrZ7rW22T2pSr7mE4Vvgq3VcSwK/ORF1MoxQfYjpPmXZ XH27f2UtZCSDdHuPs2+RHK/yZNmWwSH+BF0yOOP+zUnHwNFG5siprgrDcVYRg8f/9SZXSu+R/W2w RIiHN4VqyXvQhRhFU9MSd2GnPCJBU5gQzdQPNGHTowrLe738ZItN9N+0lpxHBoGQ9kVqoectvkbb vWqn+He5myoZPSYHIkkS/YW7nxA7M5yZDiL+GhPc3rKwi4APTtN9Z9OeBU7vg735djF3/UKotttv KqQ3ZT+MlPCVOOAcwx/LxUoKg4EqVt51G4bAO9lWoCGw4oYzISRJV7BCsr1cLZLv9A14JkcGyx13 3wWnk3nEqaddgNEJdBZRWEy7cCMR5I5We8ZVqX6lQvD2pD65HaSTmsDhL57/qCaUqQQFiaIX5Fwb s+CHNR+FJebF52T7Jh4MDtx7DxXKXFCzFEfdI72I6WBGHiuj+M3919NhPHb2kcuhDAlUf43ldd7T 0spX42T6/BuWxBp7UiDxGinv+G8ddWQ+MbqZDA9AaPJQTUyUutjZf0eNKYCvadyzTwtt55yYk0Ys o9CBxnPUSc2JWJK+uRTzCze36c+4vtSczcW60X3Rq9IYKM1PwOefx8lbTrjr932Xhne9HZRwxngV lb9S48/ETU7woQAI3E9D8SkFUVkfLYAYCb3FUpZp/Nc+HqaFDAM4PWUXDHfF397AsQb6K0TFp3uH ge+aAL+5naa3Gj/in1MKD44PxndmCPWLga8+DQ3llraN2aLRrTCi9x0iCk5ds19pxC94FQvEEGOE dpGaPuFyszzx5hhZWr4etqPVMSMuWepDNAWODg1dQpU2b3qEZ9zH2t80MENWWd3XRFYuGCEUrwpp 28IgJAEYqGYGM+X/tT9D+gXI9aLqGqYBIYIVO/qE+5ATqiJwplqR+Y0yCPJpEn81o1E80dLfluOf H+J6VJIFTvx3d1YWWtwmzATuA5ap+sRu5h32lsPRR2cCbbdeXusxVc7MQ/0tm+WVXkExi3hZte/U H6/njD+jnBUDp8lwzPfKPV0BW6w+0jT0rjDnVsspc9SECrz9W3QhBNF9Xnx+Mh2B/ZqPvz8ci8cQ BICmEDudczMhR2HV+bp5yo9LKNBVfPYImHotSMlNVfrKyszBaJmlNK8Jynv5PkC6p/8EXMHkjAzn xgiyZCGN6baeTKysjsx4yaynSAjTnHQA7H9pojQmlLz5N4RALIELMQL8QX79HmRxx7ewDsZqOKqk FWBf30tkuzZRfPer0bPi8u+UDyUyNsWDxwT5vJ61aQEFriqLHfXze5IRbjM6ixvWMY4HnkiRy5ee HDZRRizuggDr+EejdZqxTcqA5BWxACnFJaYhqYVzsdeC9U0AopC35+xDDROerzPQIbL3hQ7rKwSa iAhtWsy0gM3ZgZ4631PUFiVxbRTb5CtAwD66PIRhFojGPwaiu5ntZUYmkdYu5LNtWbWPArAFLmm3 NQtVHvuInEAZkjXWCx5SZDN6tmUgVtZC1umOHTXc3DB8eYLELlEN7mKxsK2iV9MbZ/muz2cbshKd XXTunlEY4eHqV/fFy7sxH7otvkEu/7kqYach7gDD+qaadM2yyiLsAoU+EGFchimq3valY58siMYm 8C5noWamIRKzb2eMpdl3UhEHffjwJIB0PDRk7Ub6IKRlUU57RwES6I06ivM4VlPgY9Bop8OYV1k9 nzcpDHdLwZgOXzvPTkMMlJ7hdLGcyBjVAT8QEAB5JyOFuXCeOtH/aeFGx0Eh8RCW3os0vB69O/fA dDNRi17ENnponuJKH2P1Z1tLi+dKVj4bgjhxy1jcHI+b/acK5mIo6ryBGFwgFQpLi+ksiTk2LdhM vNQdU0NhsAW/AUslxP7QtlirJMnpXWaRcPr6DSMJq8XyrS/ffHZeDNQNXz+/e4Er/90qcgTidwnU +vXIEU+AacoGmNZGYG5kgfpdnubb/0wXAMDSDY9CUCAqzC2AW/8MTp+rBCEPBrq0Qh4a3FXzXdeK yxaQWY2BcRRPf39C9gRNhQOO4Q+64NnVKsZH1RpozF1Vz0fKbvFFoZHXz1x26HNqk8xywQXQbPm7 3vUyywsoeGJNW4a5EjwfdCberGE/Xzij7uvzQBB47OlpsL+5O+HJ5agPKb1zFpol4wux68JGje/v sbVFdsjqINmTWGRmXeHoyomSk0RVcf+2BbDt0+lln45qWusmeK4tjJYLdWs3/Guo6Uk5VVu7FdpS Z0xjc39tfNyg7QUkXy1MwizwyuQ3OL5COYi2N6y4PJTI6l7boN2iXAKO/K2vTJgZPgeGUO0K0QGB XZGIOsTBbI2glXr/g/bDeFqZcxnuP5JYgdjsSRH5IfFWMJHjclvFccc1tR1H5SRLUD6eym2S1KYM KVT9zBLqqOZJPZYqJmG53WkxYNrdRk4WaloL4X2rq7f6hcI0EJ5odbkYKvxUs7jZ3YE+M2gHCN3b /RqhCPGxowMGiY0WEvv0RgWcMHyzu7w4VRhXClYYd6y28i/S9L1Ryg+2uq1BkHZGtxe/hAM61+ci 3WI5t5/UUEczQoacwyCjkBShctYAdueOY+Bdz54eQMN4lYQ08bxNzSyGSiDtv7KnJ/ZTJAdgsRkr Tu8apH5agu2fmOkJeZc3Uh8zGQiEKkCRxokSmxzMQ0Fr9o6FGP/Sizf/RqdBXBAbCrPbzwPh6tNP YD4VhH0nDouisouYL6Fz62ybwYZTlafPKOsZiWmmgGKww2TTivv5YoHk4hXazqhpYmac2tRo53ri mVZQF2puYL+k1DjPy8TPEILwF9zooOr4ewPd2KR3p+gBC0aljhPE1by4uxivmsS+yXPQyF+VJoXK Cj1NDlO63LtoShiY59BpxJGDjeR/Q/Pvb6qXoQj0/UHjb5LCsZf8s3fiT6PFvfD2D4cErYd1Eo6R cvv6kgo7Hmfwno+K7OR8iLS0tGF6RosPSFjAzRga74NjjrXVuM5DgrqRtDQbjBHA26wsRs4VrrKZ EPGyQTCbjQN0w6FRMkComO/8m2mkUoDjsClBzAy30SQPCGG+HJvJNzVOBaiNmMsvkTBff3xPe79L 57W2ANipXxWL7PKJc08ZYd/grwTOhZI/dCeew9717V+8Ht3N1gIVl/VyEPX8drlIN7P3l/sfEAza NUHgSYUj2CjM3wbGj83A8/3xHTk37N915aj2xmz6PYjlwMAViWJNRZyp7YHQKl5QQd4nhXNvbf5F G90clr4oPZmp2iny+3AS7fyr/TrdXq8BtdPiypKioW5CTRn0HJjtHqsGKzu9wfq3jm35fcKGW53z GNistlqbu6Ow4WYoV/fnMGS17NFM9Y5ppT0OwGxTW6o9zS/xBx9irfPMR+qbzZCpzyxj5VelJ7EL gx5PwOo+ez8cPllO8t9Ff5J8/to0KjqIAB8jx50BJptTjadBIIJp5T9N8MSlnlLg38K3iKOZiXxR eEqm0QHfhiEhAnGGUgrONX51PdefP8+iQ2x8njrn24/NaZx/ym6sR2hAVvUhivmpq+nqVVxmAfIa j39a1V6JuABZJC7A7YA5U4gsy6cAeCoVlmvRDRHLNoiOzlbHEOfeNHscJ8G2nvV6VBRNH6AHQP8u MughhEzFJu8jhWTA6DmnMFSMncKSEt0E7It23zdSSr6UV/n6xMK1K3obp1QgmpoLeh1vAI1NM3UL 7gP3p7E1J6If5FzjskrYzD9FKsmgtYsLErh5yjB4IPb3DcKlk9S1gi5bqctlfJ6YBRoVR4y+/XC/ OQwGrWMC6/dRe/H0bSqRUSZO/EjWlu4Vzdd8u9PunfQzjIT6qzpc3+e3FEZPFWITO5CNmHoC1kxr EqO6BVTPT/6uzBISY8hDc+r/gv/+9WOlozwA++mjwmDLjL5Px5fDwD2qGqx2SL5witlVKUlBI02y zIMdVg3l7Ma3Ut8bQ9n1/PK01YFYfmUxFY8DKxrEfaRpAos9Jlju2chWVz1Rzt+VxKlBEpigQp5C MtyiPGg24WuuCXSF9Fo5tyCT1boOpn+Nc/WL+gItd2XvvLvslbNf7gk0Sh7fo82+XQEVvcbJZ560 1Tfd7RZmmcD8kNrewOLN/odSchaZW7sSJBp7avZuj4gLjtIwZHDS6WygCTWWtbnP2xeYmIC1GOWU uUKzuwvDOszrAdedbC5rbotwZVm8XrqR6d50oFfIL2WvFMpmIpHbFVprOK1sWeCdzwV6NCgef5VQ ldg6ZdIYolKZ3Nl1Q9Ocn2Rn5ns904xJ+nKfAs+kbhKbyblwvFgT1OBKojUXA2Br5rZy/WkqqWu/ XTUHERBjbL0FBbTouUqPmW58fG8wiwRcCr+mopXMF/4BphYevMbms/+otydtxydGtxRySvWsho7N n2bcVBHLmuPrLzeiYx0caI4ll5+QeoOzTMjXL+upbSQhl8AnSpTJyMteWibgRyQk8OYHWnOj4yHw q67CBAx13s/LDjea1vLymODFqqZJZUa6dOREYBoHwGwby/rR3TnIwClEAkPXSUGkoFVqhP1C/zSb BdYXyNXvgu4+vVKPx1/N5QiIXy/wKlu3M2uFL77+1pxGbsUCDupHtUNR2vTXrgCvYQekMXcMHqTv mLqEUBrSRZ/geMTIjPywPcZhbTEAhcTlBjBgD3A3AVa+Xl/NNWR+pwGNK9QZgFpQLEEildUE2r/c hX5PaAbLisgqaAjvGXs2mrXRvCXkF05Mu7WlLGq6KBSolrjWFp0C9x3LbabgmqdD/vX8HsZ4BM4D KGGMXRoDlnLErpdINZXvoUQhCYRwnOcFdONRhxMT9yggLY1z0ozOHsc9XwfKZne6qAeYCUi4A+G0 67N3ALaWfDvWtQqMUudk+uHWbmumBLkiOxyO6+7xQxFwMjpImUvxHLUPQh5TCAk4bTOtx235iCto 5okxFVZG7kEsmRgETQGzEN29PbpR36+JWh8DIEUx0m+zt0SZMO6eKhkwETZoCQKW2oy7RZCNgK3F UyY6DKg7SPJ7IhYDw+lTR0THzUoQgg0j5ur631ZOojIg16NA0opV2luWdNm7C7jLJE1i6MF1KCVn yjfOdYP50b1ROe2Zf3e1bE+H0X8P3U3x72O89tPNPYZrLeEO40kp3xjKASAqRyNDuqsy8PeJ6tU4 zYB06zH5/Kf2NU87+3Pdmlc5hrIZcTfGgxZDBWSc7jgOwCZFMhou2hk04YWOhdWKX1KYBhFdF0MO b/eCI6lJxqMQCUNJfW5Nzsl+e4X9u6KW9d6rgexAGwsq9+mF5SndXABuxsl840M2oDjKu0xo57qu XUhoRGCzGim/djDtg4H9EuTolxwUl6F5cMdxxyv464y0ODAdKsoX7i78/qvk6kyLUsaaTi1mP6MJ srqCn3yDhOXOhOYaX8NNEYSb83eKamwyeQeu8g2ppRjg37xxrJhGFfOrFPDkHbddQ+66eAC36GLb /dblzt3iMsI2XXZT9ZRGn976JClcNnFGPTK69T8D2twd7qkO2mopSfRT03l6iJE9nWryU0OULXj4 snn3xjbFrh1pVLQcfTz8C9jLaVauyE3qeJnBABbvSZTfgUbnZ/09XdH3VUKorv/jsVduxvlWDAAF fTTxysdXiOmC4xN2ZnGyBpfVd++X1Dtrj9oTeyS2+6GoJZ+9qgQ07yOK2iSl+fCbPGbxHKUImpyP 0zeiJp2FMLtbDKVKcMH/bjhOWGdDatHpApkZWflqUVVh3E+IbaEjxUeLm+0BwvFPDMvINPt2FQRZ 6r8sNGS0gAX7JtYEcFQZ+0NPgee28fLowa8LqcJQsstxVrk6BFrn4MuiChFvaSNGqd3vy7sm9xhX GVmunsU7dA87cpWOVxseCU2tsj0/eBNXDdkG0C4LcvCer4Mn59UwgQ2SqVTdVypXkznEgQreJKLH CjW9RcvgFXKCO5UeMOWPP9oMN8rroLpXM4kTVKMMJJmD0Yq/qn2V3D7iILvkOO06bsHKsh78rizq IgPv6iDRxhQeCoinDnUWzAiRJtYleHvoj33+/HCnA0ZohApHmG7WK6JT6/g7u4AtCtGDiQ8vXJXX tjXxSLjidkqY8NAd5uZeaROmr6cMbdQuxVoAqCBFUMeqDjyrBb1ObqZ9QN6U0BRn65nGmuEg3kjw 6yWNr+SuxgVKYPsaszlns1q9X8qkiOcrS+ixoAKdu/wHVnm0E6a6W6fTg25+AJUlmbVrFNeCjhtC +ZlL9U+MwZVgQkSuRtN2xE6Gg+u1d6Vmcp62MBGIzdNhgCixQrNiZ8S88iXeX8rVPcp8HMF7mzWb 0u6OCjnpjyKavGgbBNJ0XC0OlANEz2OJY9VJnAMFNbubJklylbUH2MCdzinegWLlGSV4Dey4FRQT A+55POmOVmmHpt9W5kR4WLu2L+C3U16Nq5pAyltQhsiia16JXiSMR8ExH/hccMyys//cPcQyMC5a jqF8bOHCFbhEKM1fJkGKAUl70Fi0LsP54qVwKzVPTLsci3hLo/t9bixFlpqmfF5APFSuShIa8tdI Z3hFkSv+/ZAE/kP0YNzC5YtHscuAAxTSsU9iJ6hgpp7XA/inw0hOOclkhxMmrGuSxLKP7vSAq9Dw 31xhgA7OIAHK/ZUHTx9mK6/cpNZ9bYUgm8UrlWUbQSKJfFTOs+/datvCKKlt+Yzrhzp/OUzwQerz FanNkwvXO2iaDTaKbv7apSgYKKek0sqf98r2xtQCFQUtbPIRQLeXyU2MI2MfOCFTNeqAexM67Zgw Q+2j/iw7AQtbRw+gDmMnC/ymGPKGsT42rHStgBHXAh7unOIcOpHu+z+lVRkMU3WHvg215GgAW90l W1qPAz6lxXpuICChhNrfE8V2FY50ki2CrLpe2QDocVSwfBRt3o7BecrkU2cS/HQKP+4O6oFDw1jJ k0i+ush8vuvTA9pxXkoRRatvz0MuFRL7iqi2UarqikmnzOv6TKRG4MONfOnXroT3nojv0FQHolC3 QUPQAit6TOBzDlv4Xld5ZLkRzoWvN7oM8wCKYorChYfvn6mfz8RPvDcWHCF2meFkn39piBJrmZ22 zPd8Zvo90uPN9YuqHkKN6Y8U0HvyLnxOtNh5rP52uFVHNzd1FZuIw5BbUwHpkQQcrhOOLSyVUu8w mwxOFlucI2fUgV6AIr1jk7P+8hdiXHkuO1yI+gPhjJXW+6LPEpwxyFLnizUW5wvE0NI3pC+LVRwB g5m5LtovLqQOB7V7vewY7KfhEzcdRWncuQ2jKML+7zOnzEIac1EObcFWhC0DTX/T9pXyl/kMiGqw f3gADf4++xSKNUg2neCN7CizweAjmQii+Yh5a2tvVUBgzQVGlpdPg4nfK4ZAPgU9Whe4KKBz82P+ ymXwbG0m1m4U8Hy5RoZ3cqth0sFxSk+zVkIk/8DtqB92Ke76nq3deNzlUEC6GmuUKLnVur66uf2g OGL2ZmSfc8voRkiSA7r51dImHecA2uzqianzfLUWHf08T3kzt0IrKwczYAfRzNKlQX8M1HIL8/j2 Pi5gY7eVdmEpOQx67yNJuiip+OwHIpMN81FPkdAayGpJbj07zqOvQ9K5o0Qh1++e6dj0GdmTblCI X00WTpblgpxooyps/0fepCf4kXgtKS38p0t7fn7IOU5OA+kU5o3k14Fpr01gLR2V11dJqccPIfHR ooU2PsKFGWEaXlkRTJY14UzchzUdfnJ/0gO/m3o0AM3rV08leBI+MVsaIe3N/li9UJcFIQ8Cz1KA Q3Z7B1IISOYoO9edhLud4FJ2djpt4rge3FlhWaYMnu0YUdp6yN6ishWDJEOrPyxgktWZPPkizYiM zuEbPM57xvrhb3B/8lOxxnHcAXfPHa1NZK9lDyGPNFZkAxkKL1rgEExHLpsXDWHvGhrRVW70s2bn PNSLcLfEnrvOJMU7Snk8uvYujKHFFq2pLy6RHk/YRm76RCjW38nZKoP+NEgfx23PlEYNG6tketyo ijTz/bcWFpu2N3wrwIKcHsjvoPeUNN65YRd2TDm6TzPhFOoby4epSicceS1SVuP786TPeqQeLolM 1muT0QGk0zuc5tjXOPaZkLEUko/UmnDVsf8reVB2PJ1rrDUG6OG3qnjMfI3a4ppcN1w0OG32cESm 3KKuVvLFWi/eWA85Gd9y9uAAHgwguiVlog7hnhdNT3fvoKZGO85UmwhnwAOxaTZkDd2FgZxbezhJ FR2fYqiCyJfPsDjPAhp++sdjg1tsInmH6IujCnylVQnjCh2JbMSqxVn+bIUtpf0viBhacPHgWjqp kre+wbNgVbo7NqYLkiUrjqvdaIuIHzr73TizFc/dlH8YwRp+CaWKlLW598jm2+AmK92c1f/VsCDm yu47P7XPVL2Jg+vQFyxEgmP2DfhDlQHuGZlkJVXCy25f3Z1cexOwzbClXTzqzfYYO1axsqU4k8Ed SKZG1kIz9RHUVcl5R+LPPnjD5eEH2AGW6rkBDfdomFvIrVXwyCVTA5xGOJl9yeYEITGON6x8Gchx B3Sx7xRq9f1bnhajkDX5qMVDVezm5Q8AbQhxDdaUZkktBNz1xYs+FU3X0z8JCh8iiPfLKXs6idgW J8u87jPhFB/iMfOPCj9bkHoc/KemdR2vHTwqN/9dVasNFuG5VlBWhOQQ966gXlFEHmyULUYOqRdQ 6L4f6ogTY9ItP1o3l2f5cBXIq5uvNbYJ3ujpUUDIog2wP7BoH7O7WKAkxgLXN5dQq9H2o7zyjuBo ICzz+fFnQLYGYIPaUsjkcSQDqOGoowlIbkgShd1xadtinXzE28EWSjivVrBI5H6risaE6YvUK67f cksbpwwAMwLHJov2btYtnp5wCW90oqFPythoDn5k1xeKyyEX5XWpIPgPFNFXegDzYuxp8YwaglUc 3Hn+KK4WRc2p+dZtbJIzZHaDyZ3LvB3iD9jC4mVDOfnlJGL2WJHNeyfVJG9NfN62Z5y4DD60lGvc NLs3OUmOFpVLkSv9+6VcVdNJ7FXJHPWCBAhMsMaV41Uyu8y4Kp2/a3VunMB/NySn4yQpE86GtCxW tU8E0b7Sr0iIz2S8PqF9tpydtZkh7iUt3Q2tRSFVm6WhcPiS/0PRzkXGlxPWDVMv+2FM5a+NVSbY rcJs5O6qTWYGa/P2HSFFhcwxv3ARfAUZZQQQ9mTRecItfKxWotOS7SGxqpRSfpOoXEBF455ZSxwU pCbw95ZWO6PQCpYZ1iyRwqCwNPUrFsINx80YJVZVqmXTXoRnq9i0zS7wc5HtUW3/WD84lSNOga1r iVmJj6j7Xx3f3+Ul5xxMGPRvvpLPYdPIhIOMFmlNWR3V09pUnaiLpEOY9FhSiVCuexaRCsuujcbR o0GC/SAVRPRWjc9ZXBpmX7xjXN9woDf1N2qJafvNrZxroj4pMKHPSDOdE61xHCAFMqgTlWyZxTMJ u7BArwFGdIqc7ywhVUW6H/zck2WnISy7lVoaBoZG5BmJKotWwJwpPYJ5x2D/RBzqTRhjEszN7wPq qcs/KxvGmiaPjM7HW/XrH3GNWrssFqSH7Q/z99CHkeHCjwWRMiu8NGDNotvZEYNO6EVid1tBz8cL U/3m3imzbe9zH6jw8VsCzTFzTyBqQ6EZoGo6o1K083VzNcgVRpQboio0Ne4cymERVQ56nFAHV6Zm UhN87HR8f42Udauw5Zz49Q1/rF6f2rgwdpMcQYzrq32OEk8irWdfUz3RDoXqF5HnZ7zs4B3Om5Mu HaLh1rE/UClsYe7FlfiAlew9YoVfLY7+wdiK9nkWGJygvBcMOQlTjgTT9Tuf36rOBkQKP7ToILrX 9q8CqaXgVlgQrHaebH9cxh2tsA9/sNwq21+oHNr+H7UwVxD2oWPaqSYz+ERK2wNtcnJGrQG3u/+t Xdh11p/OXAsXoAblmu1IZtvWU4kVHz/puGQBCKiM9nkCR5ATUcS+YZC/90sS9oRopr43Amo3L8w6 saZDh5KNduZJahtNxTxCKcYMaiufNUNWpJDY3ZUf/WRowO3Fd863lluKqVjMgm+1GNFg7pQLvNnI WpnTq/UKdWJiSSt3MUU717jLHF6yPDuJZbA4yaor3+ZLn1d07I2V52rykcZ2hh285eHwOy4lxBPW p2syVzrMKoqtU5eRf/4TXIQw4XjN/GHz5DT4Ttfi0yDxGKtEERcvSqBRw39xgtR7mpAhNok6zBQS 8y3Yzaomn6xS1AaZoRiduii0YPR9/B0XqXbAB7fWJhMfKt2LPCew4xs8P9Yf5Hl/G02oo4oX558+ JqXpftKNtw8GkfFJQGhWEd+w+kVJ+bbEoJ71xRIeTVCLToU+M2lQFTBzJ0Fy7MBcXlf8YG5O4XS9 xe04kEyAMtxWvrnB+IfJmcRhIAVOEfllgvz+CaMMFdDvtXOCJxLPXmT3bBp6PoLOPkvVtzlcSzfE vx5uh7PqW9Gq0ESZN9V6r5kHyvtdskMU32WCpm2X575h5diCd+OJ6/lWh5utmhCeo6sDhY6YLsvu hwE+4FDFh/YlmWBImq+f6aNbc8Wk+fkX2oIGpvG2t+AQj1jnEFX0QtJl6Pt9VSapJko/dSemAMm/ aoGtPs5DFf/3o+41dTrf/wOxbXNwduRbAlk3wB4dThooXz/siDxrkZP1f7yYxM1SpblR1WjURwe4 IuFwIqbGEe+AgkFaMtTdqoCLjt8Rb7i4ghl+8k3mYrSbpadu8uxF1WsngNknRMOzlicYE1RhCO+a gbjCQweQhhb94GFI4l5YwxtCNL7ECGWKZFh2rHKH3BRvEI9U4KTx9rgKexicz2LiiE58SgnceddY nunS7n2whQWEjrsdiDFZ7NZII0buZ31Nx+/topiVySIKlH1jzdUxxYUVr6o/Ldkqvn9iVSt0xCCR OOYiQPRM1ScCNHjeKm1z6N+zBXuNy0idh/gkv3xIbt9EYgUpZ7foy/nP4zPsUhqG0hBjjEx5fqPo sPocdLBr4uYvNL+Wtah1jPIACqoNeqktbNbrh9/oTY30CPYR2cIItYZwST7s/A3sRVS0DgteMCHt PxiS4PZKRfszceGQ1sCWaz15qb/FX6LVDVg9rrhDaDVC9+6sPNcOhYl+s3u3WWTOrHHHzd59JdJ7 BeJO9xTQWwqOLBw6fUHISifsmGCKr2gGfHODMMKNlwvZMEkAY/aVAGTH0CRG4YiEVF4F/wDSmzNH hbnfs4Glk6mWUcze2c72FyxzW2nT/euKCO00Ix/ZJuzPF9TCmDco6MUftob4reU45fv5Dmt7BSxS 85PbDsJ05AYvXo1gV5Lp6x0onWIpuGMRfefK7jPRFxt+jQe6buR/Po/WrXaBXn4aSAwG/Bj6XY7K oBGYlxS7sup94bLKyoz4HkEhMluditKZ2dkn9AqdAE+Io2FxVG2B9jGgwxNV68ia4Vmjsbyn4uBn LI4FX18nMV6Cz46iHwL9qxmOfYSE5+v9iliUX5g6kxOiciqmRHHtebb8fNkcYRLt5ED4ec6F2C22 ouFyr09GGF9eJfossQGLcUmSwCMeXG//oub2CvZWCYLKIyBUGE3zxlHe+xvH6AkFEtjhGoiBTsS0 YerZhKCNyOpg3Ie1ubrYI3RD/ZQ/kx/TF0dSfMhOqUu6oxl5JhXPow3B/DdKa7gNOEVaY8mqJTFm ldcCazRdZx5s7ZokRM8jHn0Mz4+0qfRx5w3Kh+rgZ3+nRfDuCdBYq6GsanvkhDTUDdrOPf4rZFye /i7iMKN5/Os4pAQX7/GhE2JFB8uJPHbBLrPgKsKrkhJTcSBmLYPNKKRCn5l90DoaXmpPe6xduHIJ AGnwrGGdl7+yN/+errKkt6b2un31RNrkjcot35kzCMvSYg4Enz68lY2Cdk3aiQPKPD/eHfJgUS6C dqfWb6gA0f2gt/Zy2OyK86dEq9YhMEcw/wmogBph7hBlDvRxSyK8Vy1RWO6wYDQ9sWlRBMi0Whk4 lwNIFCeOm+wRlqKq0WJEASzrcMWKO8pTCa7E45gaTvn/Bl9qzGjq0wD6TVJaNya5hANViRRPz/x0 wAfDQ8qbymldYW5SKgHvK7JGf4JzIPDEV348b4It3s5YCOHKcDkfLJVWg7YKC4PuhZofJaoy5lES EcrOfteMPJJ3qPLI2A4NZaDlFre0fmi5u2ZfnPufOOUKMpJ2RVLtifae5XDXo3jvuT2wspEgGtsB muudKZnouMv9BtkuwySlr4neQxaHJXVyTV6/lP6sY96xKbT3LQKbLEDMIAFt4pJXm0HbyW3i2VPD lISKDZF4Pb3fyLNhmZLqjNVEYoPQBMiTYGP3mrF8ousNDGUNF93WQk8jTMBk3Jzp1jgUdrhcu2AS /JkcdQO4s3xgkfzOntnLCXAVBencgNwOVth7P9jnKeem+CFi1M8WJAyFlmrdtnwDvFEoKmg6if3i jyB1xtEx099rcECGQroANh4pDXTMJNiri5t2DwEtEy9VATo4+dKy05cQfP/qfBskSu/2vPAVZ+6M 6xlp0nUeAG0RQu9GSjMPkMhk7x1qHAL1o5L5XdUw84kVd8Xa05t4yfNDAisx2ZtUQJH2bHe4hT/h R/y3RKTHWKuuelprWHlOz6c9tAzj6U0t5JUiaz/0zsYmeKFIJ7WR8lj7tV+vFEBGmIXAXbKy0si4 eBfUtVKrrRpCiFczNu/2gW/WdOoBqbhe2cHtb1D/n6H52DsO+PHX/hiMQDsO74hJ8oqTUTlWG1u/ psB3JKxQwhXbA4IoTmby5bpz2rWR/OfJIbDv5CnN4zZ4fZNUHJSs9yJAP7ZoGVISxp/po7jJs91D zjF08/1RAkWcEommi6gdmMN/8doV3h+u1UCWbu0K2GnmEfCDGbzg1/5PQkOVp+vN13Fk4LTgqi4X ya4U05JFb13l6Hok6ZLpB7rSkmfTVgVE6toWStyQbbpmLi42xt8nQXDHZ0z4GwtxnRbmQU/fIlAG QJkKI2MO4uNC/dARXa88L1QVExYuyjRogLOxUODWaWuMlRn1XCzxBV/V2cj+IcdC8MXncVRjlu9D E74wsFF/H/4hRVaObVuw9FYeMSFvL5bpw+7CwwTr/I0kOhxZhARd0YDTw5vP8a/EnmSrDFDDgZ9Z QwryaiwAXHkE/4+xsWi7/mmZueM2X9nGcTEL0/MilVVo5JdrYbLjsIXerszNXs3t7s2UAlRD47UR vQJSlp+X+1DvawuW3/CSqsNUqrZvO+UmgebiL9pCt1SIisHiDcNTzEL7Avc4sDOV6/h+zwmNWcyM BlsIyw1RKp80sUX8P7jpxhozleycr+ccfah+T+V8tUAA9lQfJlACzJ9tjPMJOk4BlNjLcG+1/7uY jxNaNlqTAoP6AVhhIgVg/34L3Psx9DX+VKyQ6PR7QT7XWeOENF8LP/ySrMaHJin7satHCze6K3+5 su4fvpalGAlRqSiBQqKNqeztol6Uq6kWCp8hpoLzbChuKYbBMA7VqqA7WlfsQsOpmUZXvhH/jly7 FKiorE9fFN5B5DiGoctPc64d1vs0SdTL9cWQviaGYQrWojpbh80VjNe1W6VPZkz9e5ULnRfbJyYm H1DvJ8ydnXXdjrI2HakDq4n5NYnPEhoBIpu4bgy5vQsJk8Dqe9FFWnZ24mJtvhUn5roGiMKZ5j6L f7i61R3i4/ZUIaxyuyft7FStkG3FfkBdrOoK2RtPgoPVYgnjMzYRTbmQidcyToynKJqX0YYenIA1 1k6B0XgutHNUzxK5br3ztDaxvKX+pco0iVXCqoEvihFdvkMaoS+/8fiS/pKMY3iCV/DEwIQb43Pn 2KHmZW9yZcg99JLg2ZvSA2H036eDk47aBeQ4T0l96hCnkb7WLp0iIfSw/hVEq+AfwmN9wkOaZjAh gUj512P63t7237rPMwp65V7jaYQsVl3eBi3f3Y/R123+YggvJ7I9O7Xb5rJBw/hDqojTxLguU9Gt o5GK3fKEO6Ib7QSvpncYJbQ43lDH7rJN4slacMWSDK2uQESp6y2UX26QV9oNtLXU6d8DNYA8/c1/ k/eqjm7SabJ5j31lnAPyZ+JT17IeyD+u+wTJgLMI33ldpMQfB3GIHxQtpgsnfHNtQNNKbTpZRbtS roHDZxcPgkxEjogYb70bfQkIx6+06y+02Zr4GN79/b/2nDXZvq58llFluC07at6t529wfDjVzdAB AwHLPJ4GA2GxcCAB2Tsf0BZc+pBMGFK2AW3JhXaulvs/5LFhhzrgTnfQ+wgP86VAq+eg6bRmFLVo xG7WaQJUG3Iv0vjQmAuweTGuTY5p1YpvCd4l0hxnSLgj7/PqtZm3AqPfAZio4tkmenNa1w2/5LTM 8mY/x2k4R7xdSqHST7ny9gQEwtsbHY6jwJQK+FjUu30Js6TXQvYsRRUn3FW7Rft9I5MUcv5SUAR4 e7wwraLzSrpCAVZh9CCFZrotoAOo4RyNT+4C/Mmkm+enY4EQ6mXy7Njv/pBPN294EfBZdtQnPBNE CETVWpL+8XzSjXAodP68DN+Sjcyfclmd7n7qO6KOTtx+6NTM49oh4VLQc1YKBoYR3OkclNYlep4d YUbXidQ+iMePXuLIa40JQ6K/+EjZWxfLzO6majk4lira0xUQPQj+ucK4aty2S1kTS5NZPpy+HohR e9qnXaxeblYDOGNBh1YOS/EJwgF2SxkYPwc33EZSyLBjMM94eyUr1d588dxAmfrj7GaTRPIywwdX cHDjj95Nr3JDvrhhZVJ/NSpDSrnYwj5KQj42JQzr2fC6WC3JThlUL57cbF5j+qORuV7SjRTlDHww SAlGhnHx8vJR8vKhw00m7zLfQbJGnJqkO7zG2ihNaxld+1poQpNarP1TOi5lJcIG8Q0K6Vkr2vIf GWTiY1c5z5Pd6AMG1Q4gwhV9p11GZHr920AaxRO0t0d0O3icHZFU1VDCRoJ3NCwOnCUYaJiMJVaG htSJ1S/dPHWLf1WODOuh15PK9vRSgIwXMknramJX76AFqiYxRBaYwuG04BK0SXQx7FEbNkP2GCrx 5aWw8QdLxfKClz+LOFSzw6VxviPUWdHubPatOdWnv59XAc8fZQbqDSgIKNmR1uUUl6nNZHQRMEAq MZEUNN5iqqgdcBkKgOpoSsF7mXRcvaLF88daptEnDfXMcyoetqh3IOyOL+2NatNkyfTrp2MCKwlr lC1WL6z9kPr2NpjFVH3U5Nv+oGuWH5/aLK3/mFeFpP8TibcORctEAIx7hKdW4LeU9KmeOtEljnou SsXrq+CJoY4lKQndkIgYB0JKg+lTGcZWguvQng4rPzN2nqXNlq5eozKyT6h1r+1ZQfA+FOnU3sSn SmhfbHV6qym6m1+oHQTt0WaIsMU8gB7bZLnngf00fDzs/S0NQaTXdKAQLfouSbCNCcd8ViAU0jQl jGLoKHGRGNNhawYdk9egaIY33kUS3bW0zvFMFj08864ph0QQCgZtoEDeBsWtjEA5jqeoZeZhQ/Gc 1YMJfxtiOAJHohBriMmwXaTY637k0eY3xIZO0vl4iLIqFOQqEArzkc+wb0MM4IR1KkT4kixfPY1z G0TehrY5CPQK4tONYU4yzKnHboqnkiavsmD1objzaEBIPDWxmKbvZgIe40jgqrArFFPuDfABnKMM dboko7sx8utVBK2QD8zaCVWEjCdLb0X34hP4dhudNLykGmKI5n4OhK7S3zmHmg+tOTdh3u3pstdb 5shdx5ogov0dUcwPZkv2cFfhENiGJ6W7fTimo5aQ38PekRdNuKky9wPIJJZ8dbUuEU5Rnws8iXX9 jJmpasWHibCTMuL5uvHHTTlJb8mTYWc2G8vm/YB2rQfe1AlfEQic8jFm/sri/VPfG+svcc0xOwAy FP4emvnvfFm7sr02E0vkm12HsKaR6xQhjR7OTpiFsy6LP+h/pQi+ujmjLehQJ6UNiWMzSkHuI3oZ vSlfEoTk4pMbAe/S1tUc3IGUTffpy2cFdlwKf7XpWlogV8a8B1cfbLXShra8Ifv2lTfEy65j99yG cXNp3+9Fcm7nr5HO1/+qN0s92u2V5WvFyu+f9obSrakKTM3BI+mOKj/LfxG0ED7Iz+rlIqdB//0m Ght3VaiqIzz/0RVPSg2Cok1Kik1LJlzUXeHeE3Dyk/uBzLGgG6mPxlLfkGGVD6fIj6+HoRgyUn5T KS2DvEyFLX+RIoklsZf3gRvdoCYjan03SAjdXiQ4VhoNoSi6CCf0qR1q98DUOcwTFwtbl1loMbch CjnqgBe4ObliOsCM8ZZw7t1Oqo0ExLeEhgU1/Y9hiDo1zHPI3+DSqVSWr6nl6gGECPiWPQHBcWSz u2iMBP80oi/Ujf1aKQhNcRKaTkYtaQBWQsgJMwzRjJ+xI+7SZ0fQYLpVrNW5lV73Xi/mEzivANCz Yru2QiV1U50Nhk7uqK6CBeW/uRT5PNz6AqDlFykU2nNLAvInltMV4wtEDr1RnvxTS6mxVT/iQbCe kR01T2cJc7iOMFrpM+bWh9p2W0wC02vxgwKRy5mSOirgvzLKT5X8zNF7XlMiJddV5yZ6zHdWKszk rh4qRo141DLJChE1tf95N8/TOxo1Rj3ORXKg8HKLLdjIh6+arY34PeuLpWcOD0cWUqPdLV27ZyRb V2/7TwUkB6XRmcaaAcEfPjCi79h11X6/wUXpabsq11FkQ4IBD/IUVBvouB8rAquFzh8FJ+b0zQQs MrnweLOuULEqwRk15qjBvruNGF0I/NnR3t4aLYZVJSygesgfpjW6yjJ8ivGu+HPJKzISTV7WEsIb 7luK5+S/U07dGQiVX4OCb29712LxQZyBnp8RtNuwfi4+71IRDKh8u8XK0jFRTVVnaxhb/JQhPSS9 m1vBE7ddfMWMa972AoAB6HlVsDzr31AICBtvp9fE2XF+TP58u0seCUAKGrOTUfGHl9kcvTwMkw9c ZMTCymKYmU0uuyaCELmBDMxCn6GwHf0u/xhjdgWZwPlvCbObJ05Isy6ajkhzvn+ZArfYHuU/+suT rveqJ9B+P6q/+iLJu68EECk2oO/GkGCXfFS97d+mtQhHmYsq5MclOBXnY7QYBRozOklNT0a12adF fqORTiYfMr91i9PYjF6DeFBchmNYTgpHdpqFzRgoVT2uj5Ke4zYq1hPClVVWfBkghkVzBdM5iz6d 352KHee3TCPn8ixT2J/bMeHxFEI1us0gelmz4ZQkKtL7jVk1HAS2AyOautH/mcPZr1vS90o3P7VU xGdHkQS0cN9QcIZm/m9wlBUGV1oZos6YGfx2cs7tNB1H+CZeR5Fh+iXiJlUzG0Shl5qynuv2Ybbz 0mkaWUbZXCF2tHd4KDkqnWpinrHoqVPKJlCoOydMzbdYrO6h8l+6ldodxK+plaLsz5uFtzSLiITt +PoxWYqfW8UFjv4MKirE36ofcn6dHLxNkOTTcdAQw/6mBTlv10uuMZC4n0vyYpAUc00I8qnV5gpz DsKUQv0aNHbHjG0E65RlQKfMiZeMLJjQj0b0+m0YxN83Qd2ZfcdQZn61xS1od84yHtHxDa61mor8 DP8mU3xxxX9A2KD3yMpMn6k0mO/usjsWAFlH7plDWa3fV4/2BL1xFjCpTdRl53RJr90cz3i7ddDT fcWZSrt3nG8OXE1dfE0WmAVw25+Vi+37iKP+OHei1BX7ti9AzCAAFWyeHZaukwQAkgvyJw6yj15G RfzcD5SJ/11hL40FPeh529np+99SOeYh3wDFoXqTaKCHKZYFLb2VlJPW/Zg1TwTV/YAqyY8h+HRA ulu+hGFwZk8O+em6iCdRLnFWEKLcnh5H3EAfK/051B1lKm/xfNmDd9DFbW2bCuMuLQQi1/t8LKm5 qXBcK7A9IaKBbtd1iUz0RzKD0Qon8+eu9X5DfgVZE645wng275fLZauCJjRjBNd0VA9mh1AbiUHu pFLX0XPkz0psWojrgxoZGexTMAqSbw6lpNETG9wm4eyOwsdDZvHgs1lv+xjyGIeKm3Dmhpmd4ohH zejax5wl+XrvtnLmrKsnEnzg7WFcm8T7CJ7tc4D6cvo9agVm4JDmQ7xE/3BZoaQr4HI4tjPt6baO 3aKvJUnf50LbuPMZtRRF0VrA0gQ2uq1HbsZVKVHSzuPZEUrPTcfZhHOcHIeTpi2+WhU6PQSW+PW6 KX7oUTV8dXxgvIhnDpAOdz5n+nQbSwQ7lfmE8aYmXun0atCmHhkrF5dt68ma2Jdek01MVmVMmO1H RYeT8e235XI3VOCD3a68Rhujco/NW5E4GlpYxPscw0jVZOBs5414ob9S59rYwVyknm1gljr0nwWT 4RA967S/MOw8MdjdQ9a7E6vjEnCvC484IQmwifkWSnGwIFw8iQ/buFamuP0Vm5R2z2avQJJWiX9Q XFdikEsbyg/DadXoOdIggIOYFHLzaB6Bp3UkIGZDUIjugOAPzEJINrzA+SgttguXFFOmV6mX+iZI DOxwSBL3HKFelVRNo4GPzFDUpzksMn0QUAkS/dUReh2B9jcsSnjQd44NakDzkwqPlFfOc1jIZR7E h6QCtD0MZQGafikh7KzAJpr1sds441ZFcxQ+bYdh+PUQ92lvEm2Kf5QAKJzBriN+w4JOPJ7nCtHY 2U2AvuP7Gh7m1hRw3f+xWx8sjMyUzZVKEJxBOy2BLHqFxOb7bH5YvtAapQInOrWkgUp7SDb02hQN NAlYVZOoTCQGSUY70V/Rtj2MWbqLAP7IVu2AmonFW2+0QaOvOWTvJjPu7XHiSir0CN2FhPOzWwut ShwDVizbfjH+RBrndtrqZnf9RQwdPBH5PWxYqhaaoCvbSJEopn//2fY45f/r4CJgy3sDoddTSOoZ woQvb4t7TkSJisxVjJgrEgx5IolkbUJsaALHUKPLYhHAT3bFuNolHt654t/SEIq1fR5XufjKyyuO J0kVQvRJp1ly4/x6U/8z3V4/rISRF2ROO0IS3LiGsULJvM9RoylVpxihiBzkiUgh7b0rP3LB1Ye4 86Whst30T24gsrInX9eu+saHsCAWLWTS2uIK7eVYgoFkrWExrn9xl7CRKteBOCMUJRvfsFWgKKvI XeTaHm3oKtrejlfoqS2NE7pJrbg3xf8iBor7qjak6goFO1sMJ10lihD5UvWRK7DMnIM/WHPdFg1U QSjT6ORrlWgWpNFjTD2BahASpY2pV81Fqgr0mgbAGod6U9ax1L3N0cLYxsZluuYcD47Hur7KqJSS h4MovjOT+Z5NHLDK60/oIRlB5AseIL/5ixqfHw9CdrKjyrZIfZ85pxdXlx9ibYe76/tBJiLo9yGK Y7QxgrrZhCLqZ+t2btAob+5mdR6XYBjtfDPi50lsXG2bf7QdOdQkCB1W8+WiCWTdbIdN2TMM8bxl MtzxXhAsDP/1Mp7jOaDDLrMn1z5xXknef+B23lITi/+lGgoNuCIjEt3wR8Q5s13LN/Z57j3GQQQW SsZcUtaswMxxCLl7zXG+XZ6aRE470VxQo7V5oF+kerxYG36jDLT+2sGv+l6e+pKT4ZrcAmeMv08U n2xgz/MNO9RFqobZgiOzsfL3cINnG7TsnbAJqesRvFWRdU90KcoO5grmPzuRrzD5NuUYJ7fqkD9/ QudzfUqW16FT4U2ORxiKK3P9x117L+rvcmKgd/M7SbjoX673z4Y2eVxbj/0dep6ebdpmPSrJfZbv 0YLtV6hr9D0WWbEYRlCSY98F2oKXER70LN5nvc0bWE4jqVP6cS3xOSlDqDKexTctm2wnxKbwNI5g uCLzMuKzfszsaudhCesSZQfBA6VE3I+NMPlT9qLYovUbGFExYD2VGEVq3sxeQ49ksBaTd1CNU5z4 zOS47mK0Du7pn7BjGcfFNzmyDfVPZYKw1V/EBiVEDs7b1aS0fYfOi/83ZqP27hIzoEVsZXeQ/c9F 3pCwYFyHLph8oj8nNuAZWIH2deQNl18DcV4Pu//VJE0VFJU8M21IIpYIMTNqhbTltAAt3BnwVlBX OZHh3wpna5g71PWJBQm+eFGDoKm9UNzt3Q3M7E5nyx1ES29vXJILf2y5WyfusAq2QvepzhiSAMsA zfhes7j+NMRbXUVsIeMpBNM3VJn7a97RKLMP2pTUwhdUvMtxS6hiT8JbS7yMjmh5G7qcoCxj1m34 W0xactKqfYAJfOZkBpFT1meb2VVYzEiBx6vN6P4GIu0SsWl/zjTl/cVWfyZDW1uPDCy9L6Ek50yI ObOAa03Mj7I/Qu89VJsGb2FzeIE6XOpkpiEb7jkIYO1EgvPNBfXMXU9RURSqo+6Dj9wizJjQ4sGG 63iaLbDUjNYLqGghmFVk++Hz3WFXCsjb4jEagx8+0iACPthjSWBQ0SjbDJImVKWnKljAcxKVQbNa ertEjEDXUiW0ahSpHBHYcIOIy2tNWbf8bokECMR1UgbRTdqjuwx8sbH11yRiLVT1MHIPT6QDPvGu CpqpZ5nwIbXF3dalJurumwRJp7VPve1r4YaDrnoCBW7PLx7afLdAxhniqpqbgjsHDvPadGKzwGKs fYPn2cJXngWXJM0Pxc2pX5mKZlBZANxoTB6nEAH3iqfNFBtJgNEK/gbVa46YNiKhjZ9m+ac9CYEK /IvgYuPy4c4uwTCrcxjz3eQwhzGJ4KULiXa/XI0EQnfB7sh90ItkGgbd2oeYrx3X0OY2gUTYmfR8 PL7C2u5qL7tPTn2SURrc+T8+kwFx3st4K7tt54UQB9f0rJ0GmYupUA+BWTqtZ842fjUkOVrxqyYH o/8yev6YVSvTSgcnbrldJdgs5VkRJkApGf7w0D8+DpOOYDHcjkbsbZOCbUF/aWc1OMDlWjc8YWvr EvlY8k6M5O/zPmqROPlQQhC/WzmEwWt72FLpaEM6R69mW7VWXxdtD4/D+/EeWSxzRXnr9fOHBqH9 ck4seGLhMBrIwpbDoD5OQ3dFG9UE4U8qpORn/tGqBZ6Y+5mmJ8Lagu4PXasuk5MV5E28+3owDvCK RE/vjMYTPXA/JcIPsL4x/Wvdb0A1ioZg0hqAfOFZr2CkPcSaTNc6bPJds5OvzIwrS3PbVZXLk4R3 wPUz9pXlFfwxkBKyXAAPBizOzfD15HV65wW2deO0+Ht7lmTNhC1XdaYVbmo/PeowXWAbKh04/nE2 yRuwURfRC68860QDuEWA7yaC06UlsZdFLOVPY+HUMLqI3ZO/g8Y6XXncj4L6sybstYKFjfq8OPt0 Mr/IrtUlCf28wq8YkR1pV3IE4r4032cHsgxfv+LU5qNzZGhca3AZI4J/QGa2rLEsgatNqb+J84pv npO142usxbqcR5W5fUq0h+GXSlh17VI4Dg2PIwJub9NioVMYJHz51sKA5N+OAvztEN/X1Oe8uCY+ 1+dKfrZGUZsFWmOeK30PID+IzL1pKqp1WCT0IokB/u82bbRsnD9QojjK2ufdRNnyjj+508IO32uX 2izE3z/CwcjyCsnLLxZixkLKTnwym28zOyQRqL+jEWv+jxHQ72BsmsO88mzP1p9RhbinZfRkUD40 G8C1ZSqIQ4L0auRPt16kugDgWCtahOokc5C/g6DXYf1ewBy2n1pkik94upZL9jqn5FfUzRSsWrEM iVfpkqsLhjByb/8DpFKsg46GCQuFjdXf/hxcDwHJ8I6uHzvEEw4iWvQ9lyh1IazPoHf0N84TeQ5G oi9AywpWNf8YTF9UIe1UuAtANpbv8JQgIOtRDPBbITtC2h40xTYfsIMNVebbNGRSn1xex2ywvbpx t330sEAeQAnQqGvRBP6lr3pQXutnxUrSLgZ6Q/OIwlY4uYXAky1zZc1HwjlkpMRBfT5HCPGjYwIG B3pN85dGJlrsZqY32176e8DVxlaAULB90J7r9jWLgTELagzw1udjsO8Zx1l1t7i4wW5XTd3LxhL/ xgYSJZjmlpFbVqtdG1Q5fqZGaXxZ8Wwj99tOeU4r8n+nTNUtJR4Vcy/kuWkHPFcoVy4NCaTD6wD6 psRFW37i0o85+WNt1rDH1LTWpqU62JBbWE+E3nlHWSHqiuVbTK0eaOr/8Q9hIHgNqyFyA0JDbyZd 1bFHVUNYNdS3B63bi2rUpJ/c+sL2eLj15YJRCasL+3Yia4RVyTaV4YaLcpkezzej/n4Y+lKcQOTd Lw41TIW76aXvKDIBNyyYSxNCg/mkc4RR4ClLNc+UWHM+mbO1xhFYsEXUpKXi4CvjAG2GWZfNkSIj I09Csc+Jjd4jH95uPwTNCoGQ8GT5bXyhKUl71ZYD6llAxshRa+otZJuXtQ0sIolm/ELZEstBb8mi Rm1cNUtlvD/E/jISmYVksGkyzyjMLq0EHqbkr4tdtU583thBMHKYuW33SutO93nZtdo0DiDZPeqF iXQHnycI9FLfZfzYfwHH7y1PP4WMe+3T8xFTTjPfvOQPZUiYXNX3PIreecG1TYdFU7hYRDkapNel 0tyG0mK3146ztDRu5Y7VE7OPj5XDuVxmyHczeqGM2SyTTmhg0fOl35B1J7itXwTMQcNauLGX66re QfGRwJ/2E6TtOLkKJkqV63I/VoRJzERLUtbUdYfwJjvLvd0rlhmNzQjVgxfpwfF1+D7wVjpBvQRz fvGgvQfeKLzFt1rz70VT3BkPrG96uYDc+NoTE0hsPt3Ms3fRtlf0xtRYou6jIE0kgU/ssq8yr76r U+vMUCJeRsbbmneyvmLKNoKRG7/D01E5XBv2Qt4TJO7j6TpiFGh41Xyg7ssu2MXedEDmOexdNJP+ U+f5ArQ15keD4+bKlCgpnSesmMsW+snMURoQtYoKz2xtYVbOCA5XTml9qwVXr+ONQPIhVcirokXe BREScez93ClO9WFpZSXeir9c8mrcYGoawRgFc7whDwrYwsWAny5fYFwxGEkE7VYJw9BPlSkQYGQ4 jFuasoghVEaBpv8ICECS+0WQy7EZymWW4aMBwzgzkMzfzhwUi2PHvxX+w+U13YUV29gnZMYG7bED DUBvvzBegkmgnu501SufrvWTqCGSb31uJkTrknBF8zf7XC8Zvq0S8CoJPfY3YwvD9piNk7dFQOHi gmRjOKDxvQGCjbeya6aHS1eki05TdBXWL1Rs1Al3+UNlDvDhR4evCm3Eqk51rfNQ0XWAz3QpT61s XuLUTsVXmlrFnWxWVrwWKlhOaKTgJxHUZN1S1xrPGbJJMTDTBVDPyvzmf6n+Y06AwFX7NO4QIETX 3h/04pNpuCxQ9xBbWRbFU+6jqNDeiu4H13vhnL67LEEtG5Ua04+3Yy6gp0K8FB86GFbS19H/o4IJ 9AwF42B1qMOLbO1A/Rj2ouaPhj1iq5oA0P2WT7hzbvN7QszQwfHGPhxPzgZFH7/1LeAlnxGl95rK bVk5f5sJ4Z0xSJ4oRlgRpVsKWoeiJROgbDVWrGZIOHzT30eIpaHbjFsAFBwe3M+0sQ1s77tJFUn6 gajypx7e7GYvhIUxHw4CszQsSuNUTtflvzxDCeFIWM4xHzQqYv1XelNrl69upSATVm8NFZRULj+c f22FKWFM5CIoCuo1O60/zHYtO5CqgSdZsLEsqwFqEVhzLiMdfnAxGr+SvZ00EK3Q7gLLwLLaC52e R2YmdYZsib5vJR/FFX3dyDpDywmrOrdI+JBIuQ7IX1PPP3WFSO6VvQ080CzLcmQc/OwFWtnDgWXU PwCCw1spDlhTSiEm/zzldQn26AeFDgIZfydb92dw5oxB8Vev0IIg2F2YCAAxz0zya+ugNBt7UYLk UmXsfZy+7jgnLOXq5IahcoH5D/CqQxyVg1r/VMrO1pBh+PG9RTf4dJfJQAcT2CrIfI/nx8WKh6B3 8BLClRxbQzD4VzEYPqG2TKfMJXtxJE5D5QIJvVDX0mb/ORCWGBLypAbGggrudgpFZ+MlfkpZM1wF EhCZXKMDOz54uTXBWhT4nK3gadFYTj4JUFtzc9qJXiN/0D5wWZh8RsQm9KGu4777vHZjjPSq/una RE3x/UcYnZE9nBEeXBQSh0FLx1SfevedxVBrCSkHKDkb29brCMNcrTzTnUaSSrl/pINu/WAVCrff MSSdSCsvsJSM8ntTP5kTI6Y3ZPF70QinCTOQcdpGdM7rvi15oxZvWvJI8x6RMRYfjcapDkyc0FR2 ZH8MQJXJ/t84rvXr/++XGzWinXs3f28AUBD0jYlB56un4BhYTvR2eEtOoNuud0IhFwNrVGMXIJaK mFQQycHoaibBY6OjrrKUNMvNlS+hfnO+aOCtzzwAw9BYzZ26ZIpFEBZ0oLdSYpyEJMNy4JgTL+Vh Z20QVDf21RmTVMxS3vqwgAHk1BEs3gy0Eq+uM/OAiO9uwYy+lDEXEIePxmO0UtdewuLj8c9xmXww Ee4q2txQ41ptj1ElBa25l+48fzg6WwH4sx83HH0VsYV4HONx41bzNHCfHhzLiw6tsVqd9ejPgkIG kekojguELsbE3VPg3yUccPa10rBd6VNgLJ7ZbNwbeU9ft3BbxnsrI/3bDOLJ16KxGXDOx21vDOPL /8L7OtwEvH6OevR0ZrQgeL0pgngCnnOtcpkwtXPRuGLhigYWVvLn2cZAbEE3stCh1C2NSaqAPR4/ fXc5yGmjdFp7jS27Pdu2O+2RTdXhWuZU8dOBo/5MzkJ6bxyuO+7wOVJQLyUezKsxkycB5SmnvcMl LscBHW18bt7VY/uI70nGw/W1YF1tuPJEQ7mPpYLvX+L9WsmPTWVeHd2+IuGO5EHbIcBWge+JMxgl bP19uBIZulJnssH7UiBEkYt9IVf6M0XeYzdorrJVnT6uctmKhh3UegJf4NfHuldpfiyz8voPL5FH 2fyXL5lTIQf9prxQRfCnv8qM+3jR1ocTyfxbekpoVRy7RAFjcTUxvZG1JXp83H6SJ8IIi9sq8O2a v2WzZ4UDpV4npCx/T9+t64XSf7Mi+dTIm5c1skiYQfY5nucF7JY9Zz7tGaCDrfnj3Qy9EN+e4PRo 3Ud9LrWhOAWjLDRKvF15st0ZJW0CN1pMpPN55mb/jORdSQitx2+V1O6A3zqljWucFLC1NtO0Z3Ut EwPba0kfUK233XEtZcOV/2FvLti+JIjbOPzWLkT62Bn4RFZ+BLv3lU6+K7XMD20pcoJG8W7m0iU7 XsytM5xEnj7h/kBRCMQlKo9JtbOeUZHqkUsVGnVxmMgRSw4uo/PU0emeGaxKGIbQko+m19kxS/JX ECwkRx69SkbMK0Jcu8WeCJQXX40o6j2ZamWq3AeQeINxsxz8UfeO3bNvkhOQTvMbVLLbmeU1cnmP T23GlvIsE9Uw2IfjE6OZ+XNs+T3ZWF8sgYG+rw67EZvxGn4MWgK+ZfDc7Mq7dwz5uBopvGNLmk/E YrgHTOS72LvUQWXa9WoM2hfKBMz9dPax3SEzxkrtABiViv3BMxPGPRzClddGM8x8ke0p7OltuWZn j4SARVJTOSDJcF+58swicpt4U6YJ5asI/oaMipYP6F7IvsHCggJlCLE5R7mQISiBFWPCiRUqB3Sg 0ue3gSuyaCIEXt7BdRtPsW/L7YvtRHoU0AWfhM0BGtAbNG3mWRJmqyJgUjByxaP4J1efhqztDSmn lrXRZrzlQq12A2zXTM0mjIXfyA5TecqYr5cLuHLMJIc3GaQDVheP4sUobDZNarC0DSmaeNqJnYI1 zCBeDvcjz1PR2BwkIcwBUFPaVANuXvMd1R4036vBh1eoWchfUQCtwIojbCJDX3WLIHF0pp5nC9A+ 3r/RaDvraQesU43JR7SFWn9atZJfB475IC/mDkvLUAt8I27gplIAVsCmYCNimKMk0v/2ix2kkuWN 1KVYt5ub/E7j58/KeLZSjCbmtvWo5cfYgN7/6PZKYSOgqKUxvTplpgJga1Q8p0JHYUvO00kVVMQb 0DpgYU/IQbxcCBVwWZbkHuznp/Y0OikGDJ8/Y4yM0yBBaYd4OnMUVngHXYDK8fHl81kBagOkHyV6 QU5RvgxWDsuixp8QXbNFJsvIvRbQqqzSviIR3AkvQZQwiCUZcj3dQGG3ObnRvXrcIasN8uj8B6H3 W0fwPjB9X5HoMWRa6/SdxpELxbgj7/FVtXRQ96lGwuJO0YPi5BlglisWiN2+UDWTG+fkdutNu9aw VP0GZkBpZgnps+eMKqsHGMucSi8HeFQTsMrbYFqMKRfzDk1Idfnkmtd0N7ZgSHGiC8h3ugYZM23y pnB3jh2DyaoZ5BiQkNBGXuNhWA5kpFkbnZoTTIXsbVdddNsVr1+YUddadyf/eQiHCa4ahfOGPbAX l65Cz3l4HF75rNmw40OrnO8L/Z+8mvur4/Prd7YzvygXsH+7jlYA3tHnZo5cpSCc7pGtOocGpOVL IuRxMq8FIbkPBvynUc/QbiGuipSgYP6opzgWM0v7RCDE0y/TmzErTIyTCI6myVmAFUcyDqUyttLI ScvgHJ6LjNEwoIwdldhPVEQabu7fMmczg2bB3V5pzMqgaZtf50zxxsNqq3RBl/l98aArL3PpCdYl 8hbxVCJjEWsTEfM/+zLnSrUTg/0yipuHDh4voh9k8lzNClKNMfQXvysLSExnLI29H+UiLUDmdWMz r3sw/plPpmd67qiIJE4lWN92WyiSzruKYo5F0G1YT4PkSXfLQqXpKALuYJESMT5Co/SZHd5N62iW L4p5nxNifGYH4Xo8o8OuY9iDDOaXfrH6RYNy62WFVAXXUjePZLq2WFCk7AXSvFtZ5f01Y3N8r9vK /z/oi1NJkvPiGR1fbeQWfgG6SSSjahtHoWue8KnGUpb0XFPeKVUWT7YCnd+I5W0gc1z8E8IBYFpp cIYxRUImSdKmqDkVLk7DZZIRndU/hgdymk240WUqGxOvOwZZ+ciFwDzUdvUSmr4vCMiAFWYnToYh zzTq6HFg1oTJBlF6ZDUjce+xdinZSyCt+cYkDeURtvTj8KpuY3Pqv03cQBUZU7/VQYvRoco4GUZg swrMTOThxPH+yQ7l5NiWXbByeacv7oow6HSDGkiERsl8o3Eb3DNZy/KVU8/POiIWAn78woCjz+Ln z6qJOr6KXBbtQNYtIlIxaD/0GzsUoOdleuyj1YUj1YXlP/ykp6VryHNwII83+WpTysA//bDOq1WG uOWMbmU1V3gPLwtXDakRh0nPJ3u2bJXl3iz5m9fH5mILQa0yoF19ro7CQ7RXhbaUv9NS3aChD4za xeXMydzppq2riFQLPoW5mvEwHkQOs9b6CweIj9ElN/RrcvY1ZnaAOSVMZOSaybpSGnvhX3wEUjNA HiuCFSgB3Jk14XmuvPBRE/jdDcrvFX1acVK/MrnXFj0H+CiTT/f9Hr74jKZEdt01/+s2MCH5VPoQ jMOa7WEZVw8BkghZEPt7gjlDY0FFih2NN8X1JfGT41sufJRxRRFyRBKyoyBkJHPjkM7XmI9Ly5jL uMpYzw/vGCcnM68uIPu+/GnEHXXWOapNf/wLWKfj2liXEtD1Ur6OQKlH33vqb7QICr3gtRVBpf86 Hf9+f4p7cEnGFWCnarJZOjUYKEJ7jQYLFHNRyrhb0L/7MmDgdDZEgn4Yd9I5A76Pz98j6Hod9xyv L4YYzN75NMyQN4J3HTwGqXkHIUB45Gvj5AHrcFvMahv1q6+3kcExFLwZFWmHwTkSgee/14x2RRPl 1W/g3jUWW4p/aCMn1jNo9j9dYU3HeiGcHIWQsIOFJTeW42Vh1JGzkkUed4w1u4fchKKvmyS/Ujf1 G4zvw6uqhAq8MuHVKNofnW6vkGOAn3o+UEAQSbyUuXcCLG0xLzr8b5uVo/L++0doqLChxFDIrIqh 1H6Dl9PLgY6aKzWLxxsJKN7Jeme9ROMFK4y2klMlWi9PhfUpjKhZhVIXhj5u9rXH6yoBzhHNT0x9 efOFN+CSltsQERJ/uy2P1NjM6bz2RmnSNPAl5tJ5Tf+Tfb8wQXnubbjFTbuWVs+r/+8SNbZNkcSX bDHWWC7WnwVj1zn173BEPhw+xmtgSCsmPoAniwExbkm3jSJmVcRW0GGicgX3pdfGyfuggoMF+7At CzKqcvpLEWEqL00DpfPRqI+FPuG6hN3rtntWmKBwPj/DH91QHs8ylMMlb8rCONH+Ov+anp5EgdGJ nDfxp5hGUXtZsyCzD44aZWHZPgSXtSGDGc+AWD6q0nkYBD8CxSbMZf5vuAci4PPfm0M1SHv8fL00 mMTXqyUlzl2lxRC8X2hRK8GFQX0INhPd8empTk8oWkNsaYnnMmnniQVIks/2qdQDh4TkAdeagxH1 AgS5Ty+ZOXp7sdjyH1r+v1c6F+ZusOBoIiciqPZaJUAiSYwMSiwevLT19WGfOSwDJtKkgLbtkB0O Oba1y81L6efRGFzeSLf4UIPFobv8vD6ZqsrH+JXS/WVyYx+wJrIeOdNgeYQUK+dJG0m5stXiLwrL riCPuB9E+b7AY5UAloPrevp8c+dEvDSqrVUdoaN6ouqTuAWgmixbIXz9pTJfJYMSA+po6Me3NgLw hM0hk5IHasR5kzZ254H6D1AaIiU8v3PCZjRpnipbNME3gfNyQYttDGByquPpB+0KrhV2OGK48s3Q EeAWsV+dSoRCLQGLMuLZaNS7v/s09yMIscSxxmwBhdRZ4+y7pkVRMeV/KzNEqi6/iDwqIOFWltex x7bfjoa7Yl8ICbxbVDazMKpQ0BEvink8atsoO26uhQr7Tr2lrtTiiPDBjHY/1dWau/fATWkA/JWj B0DZw3PPOlOHLEdOMBdrb7cwcpSwSj5E6vW35GPcCGw1oqdbZVgKw2HjLxpqk++TJrXUy6KOIP9S kyG0NKmDUpzh9DsjVj9kXNhZIG5PAMdl1qaoUkKfRqMca+8JWB5dlNBlhn6c/G/3ixMf7NoJ7/CH IXryBEIA/bBGUeeLmt9gvRg+QTGVLHq6phdnPbrU+HSvUFTcY+Mz1MXnBscHs48QlK7GoJHPmgdF H2bkVjW1bVsdpOYxZ5nEhLxzdTKbXiUo7OT0slCAiYHFpQOKNiKOV8hY1OzJVrvDbu6jMVO3CL1D H9iK36QKi8sEKPDgB+1DJF31SQNsJd2kpfgo5fuEXPz80vwjI5GNCI2Ve7at3QVP9RRWp203z3ir oXDbR8EV6jaQsT/SUnpA3elpyfArxjLWoOV6KPE2N+wAzvt+ccYFJ7s4VLMcxv7KOT/XHBYhEo91 coeI0wpBErOaLEKGtU4OoJVozRTp3cFqzUI9FMmRwmV9rgTHcaklorHUqtDe96zFxmzR49OyAMPl lWuOCnIc4dGshiCKVr1lWJ5PtkpKFGOmrN0FFNt334NKoN6/9YvKgR3AxTti1w39vlBhasSP+uYu uGRNT2H5GkyReFzIOnfCRr6+jEbJWT48JXq+RYoHPDhHFQfyvFtDdOZPcRLLKwpKDpLscXmOdmXl QJJvoHhmEu89VmayCasn77RWuCCw60vEYZ8ZBHuqHSlvGv6dnbxo6Jn2btbMheeVWwocoz9b+t46 rI3NxxrN28/86/mdhXiIpfYKpePKxsV3ZXORwxWyE33w5ZacmuHVllz7BNwyeuLAleM42HjJX6Do Ru1MjHWBuLq2Pn/unGZcA14nm9Dr44UsYUjYG26JsLwA8WwabzNiav66y3aEq7ZAZzXF5MD6wIDo jhaQ2g09VXRbLzWizV/fM03fri10FyeYwl2dbzHnGkMZCIooAlggmKCZ7/LBDvOnxSU5hp3pyOjP 00gnojza7dkUG6DB10RZLPV8nl3LJcoHyXBP0mjOuDHASkCdugUijumkHSeNNcgqPFVPZBExFIMd jyuu5wNeSQ7e3baO0O/HDXXiS5GjadL/OGM+oBaw4PqM6Sg8b/rk9X4tndTn7HcyDI9JK7+FWZ+c N2uK1q0OvKPO6inTc4LWgTvnmOBk3B3fe4KXWVQNJmEx5GNy7sFZ+gxKWYE5bzAtQikoUfaUSzaJ LyZ0Jp1IXrV6Ty48/3XwYje3Qx61SrCwzRzc6GNqM5bYuWDmoQ0NmQxdj7DQjV1QCcyN7F9RA0PA K1fef8sDn+E9hBepJJJXedX8tRSEeqF8wTh2UJM4ABITchcZu7SiwKFdhlWUuiwj16f8IEqNLmZ/ nOvvA9QSNHmsl2YPoW7vCWAB/EAtLxSrvkS24q8gF7mLPSpHhbVc2BCo3VVr/4QOYClgnQ+GLYdH tumC59YUq/vfHaAFwRBm1Qo1mtABOWcuxmQV+6sTj+S8g+LXhq+H+WTT1/eeeHH+CD6Q0kxKN5fJ Tvpjp5BFrlvbHAbURGI5YZDD1ypadTokZ68qXey3ciP1edEfe96lPYcoCh2Hksmk1oz2Jjy4SOW5 Z2DdCLkOvpPBb+McfOwIe0p8J1fA5QlybNcyv/jsuPB7iJP+KNtS1uQEV3zygtmydcGn/J1u/jsH jKNgaUlT/DohP1qKrdmtwERqflUOBqpQStiK+C8qF9jCjl/WXtIV5uycLiX3gLdzBFSucSSERHCh 6Yka+CWWHtF6tXWbtP+6NanJr+Bu3iDXEkSVQpzOc54RSpGt+deUu87BsebnMOiPV3f4AX0zDGrI I4mw/MNM2QvXZB7ppyFh90RjfPpRyQ8hSlRfE2V/YO7HBm+maxLkOn/NXjpoG3AWLRgppH34Vtdu Fn+xeaRNLjEJwQoiaVrKf4VEhMQLcfkJohGH9ZjpDdoEqjJz0t/ag+2qAb+7KBziOB+JD6P1+yk4 JJy7VBg8SgHnJUnUN0GnELGFGon6mbTGc8wFPn8ouaYPzr3Sjs91/+z5NqTxHiqFX6/uyLuMMmX7 /uBOzBUatr9XK+21/dT1Mp93fZO9jCevS0gKWnA5ZLza0U93xGTM/9O11gn9X00DjftrxAWEbV6D V+/99ND1mML11+/tPy7CHl0hMjyfaZuyRees/XgiSSg6QJ90O7mulwav0busg1ISvGp7vLGwabjM 0b7BiK+kgKB2xiYww28wjMM5P0PjR7v90b7OaTVDaHRcDcytw+lNvB5eZLmqkeKjb66L8jpnLE88 21QEEr31jrituKCcMGtBzq84O4sNmBlJbO78hnUkOXQy9c06M8BUeI/93BQO8ncew6xv1BcO84fD Bv/dPslyoZnrnirZKg3vV0oVkO9XNCD33vrbK1bU9EdhvlnQwF2w30aZxiUQAFbu/44DdUjkKaBc WFQONGpts4k9dUOmqHsSHfgpSm+2DkCrdOJ/R51Jg37APJrGE5j7sumuiDoKx1YVi7999p4/mik6 qbJK7oabzd3aLuTmrKqzgHhoYMhUrvBzU0A7uYwTkWDYIXkFmgJhOCuNHm6RGnxfoy2oKxs1+9gg /WGpD7yjAT/lARvzwKKhQT5SqimIOgjtQnwEw0lAbmsqMPTfuwWRuTGHynMD1JF6/arQpGpbn/Hu L9GlEjM8ENiFlV83lmmjKpiA42/ZE2XmnAllcKQK/7HklORg93RwqwD1NbxT1FopICXYIHMCrDFd Sqi5VO7OJia49ZItdKmu/fvmrTfRxdLASqqK6UtIK7BeJdfWySGzq++3ZAA8qhMT/xSn5S+H8e+G luLNHqF+5SeCr7PV3ZyQuEZe9Y+yp654kK6yuqffth7veYjoChfLNf3QfTFTkKBgB3kkK+wLQXq6 JLJxUFq63wm8Yh91ILFCvtW0m14e8e7HX85sz+9bMM04ACrT4GaCXPJyaIXKdiN76EbkilhaQJEv nHiKrisNneL4OjVvNmdr8VWN8C9ca4ZPexLOWVRwKDuxtI60/UPuRs6UC0Q/dF+sKFjeYcuWZBYw oklAiqCtSAXTg6t9Ix7CY84WJTkjcB3IOWPxKAUrRmKrfXWHx/abEHFHoFJUBUyzoeEtZt45mIXq L+p3uI/I2fMDNE/TyrbQNKjR9+QlHIU63q5l5HfSCdfAwwKF3VTuAp3UPNHfiXaYPTinrAgPUtU/ iDgC0kc2Z1MUQq+6JDSYWcWDeHIjsIGhccuy/NmQzekX5cOKU5ltANom5k9YfjEHGZ/6zcdPbxiY FcBJ/1fh7WEWxHPAUUbQcbZ3IX2w4c6fknuQWXrEplRQ4tMasKfec8GnTNlufRiNoAr9fIb0qVXs Dq2RGTYylEDrFgSj/iSHKfcRj4JmRj8sqtNrM2R0Dyb6Hdjz3iz9mhRoArodOfDV5GNBfTmKN2Hb 8A+0j2tJmyPAQt6SRB9+8ymH68PSwjzuGJYRHnXGg5GyBcLepvfU1yPCJvnGYfYDvh4yjimBrJS6 hSTbWLYp1LCL1dQD7AWK4nlyyR4rfNclT85XCCUTf63JRZTtr/D/eRAXFuVaWrlU0SnJ+jPWmACB n0GwNSGqpyzwE32my2rDSOmLwtUiSKcCs85BLJZ9k7Ljtwqg367WMDjO4moajshrVM6pvZM5fLbY 3Dda+mXWtirwapEyyK5OeQ8T647pgLVVTLWlrINXWJu5O4TSuXxD4JaQRHWcEZbHoozbLTJHM4lJ +pJPfcUBXMQyehvavy2bovY4gwzvXbG1yu6U1JB8yDRfIt06Ci2gZP6mA5g9AmzhhMiksE5r+WuP JN2xS/XqKkdO0kagxgDKvzZrZOLb5bflJ5Q8jJcSsGMFK6DsZLLWgX8QDdbDuF1z3V7pXvwAa0dw ZvNn4eZow/H/bpCNVM4rT/kmfos/9MO76VnwYa7hR8JB6iTo/TEvJ3xuW65J6+eP/cB5c7pUyfNX dMVZOL50JQy2GcoWe+4ml9Z9ctPGMug2xu1+ntMIFqM4f/GmmTI1sjoCvWnSBKYcThaBG+0c0h1X /ugeBPUjy1rmJDwgL0C1AmMchSYqBYGjiJeQgANPWRhBAOMP+IJXqvymR5tUHi9mrc7j/bSRc3Tt mGDnqI6PhAvQjtNVe6njHj+MYxJke6RpblLeScl0vP5DZi0t0b0SwhmIpKnlMoHai7hOra3n2tWk Bin9bTSIyMC5psKvxK3t+9paafpgtyH5bNOuRqBVlfFZd5N++3APR1hgkPv1bqBizW4SCW7Ai2fm uftcHTUZjKFmSUwi9CJMkeUZaBOIllP2+ChyvmK7hAESEfq6IllRePCFEplCPt1kVd6tYPZ3y4je 3Y8W3QGJqwA4u/v9ZpQVps85J7O24S9cT4TguknqW5LoC0bdfzlPF5j3K8TBp+2ZT6m86CVh9Ph/ ynYSs60GeOequaNHna5YLGo5KMoaXBiyBQmPK+2Dc209zVvp7YzDwnZYRQY2+akkx2peLOfd/3y1 n2ymy75icidL1hFq6AsyFDKw1WgwLrDrIpIu6PHiogBaN4gX9vXf+VxvY/VEe2fd90gh5S4+AErF Caghb0cr4oyIb59jn4dfdrCGB1jOsi4w9TscA1pqRb+hJUDJmyzOk3T/x/FpR9fJamnrJPi4were dR76XxR5RN/RRQkoT7Y1XW510zlWh6NCDi4OASUrImaK3iDiWlRhX2A5jBY7YlutHNhWRc4+k2NH mSO6UNrMicACyTqJjsGZ2wTH3nq4GuljdOFuvwkEHbPAf5Cfu2OtMX9vmUuoI1KNAtvv2481BGkM scwzOZIkhi9SOIvRVyJ9ew3UI9VoMpmI+OFOrQdZPxFbS4JoRI5eTVXEcv/P3qBMYewhOv5AlJ1C bfP5MMZ/2RIqh3RTf8RUO2tnSkimyC7RUbjGBe8e2S73iRpYw9LBe1piJSB/pB+OKOYpCsWkDuT9 luY5LGb7qBHufsfDB8u6kbEv6OsL3g52W65+FutnJZuCeWNQZyoULWZBUeu/7XBuX713uN/0TDH8 6fc+1dy3CrveQN4yAC2N9Gc6sYvd00qkRul8rMuQ57Aw+aAtBQllfQ9Gzn7BRSGYfd55UrUL8XTE R/dQnRJRAwspvW98eCfocMoL+lmR2vDGikZYdmV+P4SKFcUsjKYNe2lgP/PZHPccOm1KQ8OPqIfI 0Iyn7M2c67mmMIblfSWqvCHGsrV0+0oI5c9AombS+tFIsSpyipTneieD2S5YCP+7eVS45KdC5suF ufbBAtioxZwY7wGadQEkjqzkXvxsTli5brCpTo9xNhr16kyiXylRAURELl0pTQLSyWLHddzgSy9K AtLNnmuRPv+ycqCNyzkOTVBskKjdt2HDK5ouZ6dYJ4IHPI5mYsmY9DGanKcLlPiQITBnb9dFPMRO EBp3CwliF0fOyGBMQ/0GJMvTHVIM1QDRgq2r4ijJgvQFhsny7qjMDLPgMTu4ONvEZUa40z4Wx5fa zsW+4IT5FA2RMRTTX+AUPAdv5h/+U3iikE67GIeEBncggzTW+kIihX9HiEXyhOPJgX2TBTx5ZrYs UQo3ogPJI+dCM/1/Isd4QP3tOibJjPIbrzCVY2xHizKvm+4SgmSbTSB636j9QHVpke8t2N/OQ/LP kKl23BIVTOGqOqFhVk4qHVlqg/6ptOqwYGiFvBNSPvuHdTiEsW2XRa/FYgNhsWexPM65Ryd6X8VX 9FAE/Ga52qk6wFvSwkfybSkSMUdoiQxWwzg/A5Cln35hhLdWCnNW0n2eE9CGec9x4YFpJC6T4fbL 0C8Hbc1ZAJg5zIhdMfY2+F4PmbcsFUNIJl7Ob1KxHFsR6yv0oaKoGyjxss1pIjoXMPELHwCgz7KS 9OBRQx3e0byqmq4GFTwGmKjkY/dCmcUYKQNp+rRCh01LQ5Bmjb1fVoI5mOzJf1eIzysv6mMLEJ2T UIWKJlDKneaw9XFvaJOVa6nOPgA0jMBoDUW25X53LdFSnOr8Km/DXzhHKnXYwqiN3Qe1ljLAbeSW IIk1cWmV1n6dglKJhPpxWreYeVx1csKSg75Q1Zmf/PEYWNYUyl8d4/E3rgm/lq1OhktXBu5liQ9g 9muu1EtGzwKI4zSz6sGIXnUo70O01Pf18to1oOYfFCDxcdL/NrEp6Vk55WUszclQQF2KHEA97wZf mWBCqdL9Ay94yWrrtAAgGOH3mZDoJlnguwzp6EZ6AY14J4lyKn+YnN93HuUv/avA+lRv9KMReRmm oCOVQ3DRfWPQq6lcW74qytNbhvF7Xx65fmxtRJE5WmPjeI1t3QgP+DLX7LAHxtGlpTlyIvT8aR/6 i+OGIJi4G6CNgJsmNmD18pUgvtyoryAp+fnpbgIrfDE7PZF9sy5n7E5GZCZqUJYIB8ZHJSZwu9ws Hz4nJ36GBf8CfJzgBqEIHTBlYl7s4dFsBUl/c8l00zEZNaKrIQigK7iDvC5KjkhDHUb8dEn36Dzo 4GLl/SQMqB2Th6KM6N5GS5uLhPnwTNMo/3e4GMbyRqTF6a3xijwMZcPIXcMKAbdnRLY55Se4FhoB IN3wRaYkfdTfo1eT76ZM3zee5/0eMZJ0Qf+1Pf8LoHraTrL9JlkuBxQDOfh4ziZuiq0v5KEEeVvs o8gj7ByaLAxXUNK9/ZrVlWylNrC29VyCVxfsk7peUBBJA3/JvjUz0rfm7IFJk/Qfy4goqfiroBwW 4+qp5+3Jpgs+R41cHarMjTmtISFChLl1f5RpCay9x2kScbGMNTKv+a/BS3bJmUfQBkIPguH2XqJr STfiDKuH7QyLTSOKofil8OCbPhHMbzOH+3XpvLuo6WBolZOmPczhDKslSzrGPNKg239WTasCzZfn UFHdnmpGhpQdt4pcDhUTI+QGyrNNDKaWTDz55gMFs5FFIQsZrVdl3/qHvSI4IDYyqblahAI1XFu+ MsbN/GQZLYRL76L6MuiY5a3xT8YdFhRnssG3ULRC2v+dV9BRq3komMlYiEJLnS76Pfz4U+117NUg mu1BK8n8g+bjQtvOm8oeKpPs5KoOMoHaeNFESmAkfgAPpL2babI4hTaaLMbfPaAfV25K4FG6S9l/ uDiLA6IgBg6Ef1X/eW1LPX6Ws9n0b7sU5dyYjDPFcBqNYxq6iDD0FYylBiYPol1hm28MnrX5ArYZ /oMV8Bsxgwse0sArsbZXDxBlFlNOgKy1hkiR2QEYJ5342cFbIxZCr0YaPA5T9Zk8wl9FA6wLhjWj emLZJAkL6IMBm+1dP94ok96UBk2yd9/KMetH38DSP/1jmTyQ1+BkKSP3jYVHuXBui2yfS42TQKqB nGAS9h7x4Czpht8697jgjZ6XjDDB6UCihQGCF6cfh0ZCaJHcpS2cMzT/PIsubBVMWyx9cxoCXeKO xaDiGyyPisa919GFjv0uKBBiinlw32dLRG3iSq2uR8rxqks4cUjYIj7izvPRGPqwtecEnl3Mko1x 3H7hCe3WKLZHG3orCnKaxzeZnZCihem7Y06E4Czord1Yam5dLbEZuI2qUvyIvKDiitqvjb8AWJBS 5McFbcCFzblK5ivcELb/QrcJ3c+dLKQXMKn0a97+tu+N7TSzDOCEcQfTtNBHav0tY2zjIAUl8bBl cYuF1B7RBe8I0+zMNTTHiXrvAXWJ/WFX29zY0P4qANWrGx3fENO5azrnNQm+r6C5tnuszr5LZ5x0 D76HcJ9s+95xXl7fBgX/eliERfuERFX2M1JxTdxGXK/7nWT/xup0FiNYS7N/eSBmQqETTV96BnJ6 SX4A/GKDLRBci0hFLdhKR5By+xcYny8O8xpGz2gLFqwjmVTBv2E8NVuYK4NSoqOdFbxZHbfzS6yV dWwq3BtcH+0CQ+ENMlYqgnuNqVxLCII3lopXQFQITIM8kQQTB7Q1YvpXzJN7fRKutxu4E6A85Ar5 bl8P3t0ocwHlJiXGDc1JMdPZciM9hOz5r6Zi2EMNa+L0mi2laWDT5jxuZwsliSJLEBIQ47yjb8AQ Gynptdia865WLcmQU+zEGS4rAr2w/KpkFLopGQyW3t5M32OxAv8eXPnol3AhpTN5jUFAPt4DsOfC tEZUBGUOBOiMDCPOlKYU4NCNQ0iQYnMJBwpxk2Ssn+YjKhiiTHvpo26ya54O4aqtjtyaXh7PzCWY yiuDc8DSefGg7E4MblUaYdyrasyZmNM9c6i86JQf6I04obahMXKwqIbw4yLqxgEVKtq7HOr1ug/c HdDWf4GZ1jzc2K8/c+whcScFiHDOFq0xmMsqEUOp07mRgDkDieIdWGPYjoE+L7lIbYMwZtm669P7 nqxIAqXDc1Tv/OVhebM0lnVsz7Yqon63qJkhZvvgSvcHr+M+tG6dSbRheBRx0nwc6nu5H5tpqRUG 5TlfeYyCThkHEXBfDRPcIZzsiwGxww9guWaPsuvS/e/D5cxOVcZoiHzwWdk2OPQZrVxFyyHRxq4T gT+wR/Ie39hNiY6d3VYUNLeCp3T2ize4hyaT+RdU1MGh2evILMLKcq/wzbaoW3sRbxHI2bVUmUST Cqm9+MO1lViWyGayrU8b2SjdQ9f3Er4J/rQqjxs1h+8GKl92Rr93s37iU8KxW9Fo+aupHY2sotH3 lySInOC48a4ba50LCKkqZBJldO0nosav6m19lwNJRF5febAwyyElNLEYEMFFb/y/pX4Z/af/K422 ca5v5qgu2zctykeuxtUjTgz9Yc5+TjR0j/DCCPL1y3B5VDC6xrvg1QLNPO7hr0OVMgsDw8Di43sz mRNLrRMZLeeIHva6bUD780EMBBL5cJG7gq77PoconRffNh77mCGir936jppfDe2HCNUa2NsVnyd6 CXoh2hqVuTenzegEDHQ+3z4T6fhvct3qqKOmh9ws8/iFnFFCz1LW8ZhgrIkj/J4QGOE2JsqUeL/G YXClePnYpZdOohw7pV9dlukYwuBs6kwPprS1/Fz6dLpj4JhbxuhGvNIP5dZMrvE/Z4l5W7zIO4vB mg8kDgoH6P6xs116Ci6nQhNuL7ES70q45qT6vfgZw+pXSi/pdwkvv+sprfNbBjCWGod78zbhvng/ 7Ffne4ci6Ye1QYw+oREoGhkGUuF5DPPuz5Ztib+WI+lqRalPXIuv7veO+YEYGbPK6cPHzCQi3JUc VnJs3lKUEfp4JxfFP/fjaqTHhyzji+C02DEHl6kfy3CNhwQ9FeWqQHwKtsBo3wDnTufOlYGapvdA KuzGVwaIdCeF598KArVabI/3iA6hYjl3fun6RPQ2pMZ9cFLU3zZhHAZey7OibFs69YHWxKZ0G+aI NcbKIKzi/1PKoNrje7Ohim89RoNrDrID06xjvPx6zSTnZYHPREyFAbODdKLdgeZ43NxmAYsko+ne f1oB7pCgcHtfYmKygyTT2CFLlX8R5aNZiQpVhZskr2QAS7oqvSLgItLx+uYuBjrGQnlQ0xz1jxAB OHGBz5fNQI8+xho7xex2FEuSn5BvLsroSz9BVZo031jx//yG3vw4bFhow3zxgBfqHA1ZlcrZWV2Q tcA5MmXwQ9o8JLpBTBSqapjVQO1vp+FeWBe668SuhTX6k5hSVit4M6pCvOjwcHw2ZBayXBhzysDC sGtZwfGcrZO/snABRC6M6I8F2c5rd5nh82CLjRw0R8/Bmd8DHovn5AMYuWvnTd4jfQOCUbSiH6G1 jFICsCAtmQLiMHnO3JHRhhvdQWEaZytETAUIKTmhYYr2gUMOBfDC/sau14GlRYdlR/zJlEXNztXI UxgXbXgs0K/HXFrDESeVYxrOSJBOfUcemoKWubWN/YPoNNo3E4je9EAfVb1jp5QbJC0q1RqBRbI6 edCXgsi9q429q25jw3MQ6X7S72jQW+WX+JEyxsFTyzbExBUMxZrZMWttNvEKO6rExPMQFGMy0oH/ Mk4hVV3yqYcET466K5REX8QzLrDTps0+Nd580qszXnpnLMEX+a7XukzNjbFpeJ6gINWVgIU2eCzk 7CDfifaZ6Sw+/W/HrWRvy8wtpFmQAxsL+mXWcv+pPPWYkitYizKhNHKmYZo9HJ+S36QfO/D3GtoR KCsAvxDX+UQu0rQn3WFUjj2+3FzlGr/KXrJ1UxW8bznlqnCuAhyKnJ46dlgytFpkh3RKUsu/pm6T FE7TwHV6GFhyKGL7n3sc0M8gOb7Xuuc+mdq81g1bkzF1u88VGu7GhJ6rEihRx5XBR8TYgdQTdv7w u/AhRsDtF1A4MJtaQL01NeSeqBlNXF2KY+/I9VKSfbeOi25g++2jozsG3W8KjKPM56wRT+XjvW6s 73xqV7TwlGsRB8s1SyuF7JWhKLry3fJivHZEGmqy3oA2yABJV5akqzCKjaigfevZWnpQlA281nCM kJK7Dsw536enfwWPrZMgM0vxtJwIrckT4UQyyGStobV4JPRNrC+Dch9lk75aVuCnZH0V37mBxXT9 rTmLKpbLD8vXv5pXVz9OoBuEr3TBaH6MYNPeJCFgyxXoN+/CTuCvhVWN/mPONQAsMg8TNm7UBwdR ildJ8O2Y25l1vsKNOHZfqghSz7Y3OWP6WKTor4MprDzHwu8lvTq+StvsHXLq4pHfNe++p++Rlpgd JyQ3Jb2zcYb2uB8jsfLIdtf5PATbI8qvdP1USyeZs29F1xaALZF9OPZD6a9X+EKzNJvK6gh1aDRp 6/ZnK8RKLVOD/3Xy5BGjfDtE2KhiifjBXGGA9vVwLsQk58ctjAcfAkM5G0gtsZIoRdMYWWxXtpBc TOQ7qg8YNOCebnRkrwkYW7Q6p4pPMMQdiERnwG7cvHLVxCzFrvuYHLw3DLIcHpLQCEqBljeSWHA3 OA8eTC90Oatqx4biHJRvNUtPbL5S3QLfYFsUcZ1MAw9gAyEDqjP26SlJZb1SAptEIna6AxkGY61i UbgHrjmb1/XlNqiTPpIl46aEpCFUcw5H1R+soeMxgcp2EfdFdVag97Zi1grr+JYWNK7X7nlpi969 vTp2jDaCwk4a3QUBhagi10Fs62OJCuCLOMRbr+Y1vD1WKuY7guUBt8otdMwfTOoj2DiT7TbP0NGF 0E7SX6w1lkXqD4CNZ7++1yqxs/l6mmERi20I1nrFptxrNjZxKxqoY5tzgHpuX/zj/N1uEXRgmNSl S7G/NfyNKUrIhi5O2gODO0nX+m9RTrWWoICXWVf3EJAM9T8SJEm6B9zh5xy6y+ZRvangTAXBWIet gkeyad5YIqsMHDEFIDm50px5QDCZIGDM0KPdKnTA8E0paN4h0g1QaE+v0bCDqD/z1UhIXiL+63UB 6RSH+9/g7ZjI1UDVY0tTJS1T1BXPFggRuq/daFnTZoY2K5zg5jJt2OPEeSW+PDQ25IN/v5YZIQ5i 7oMWBWrrzqDpXmL/MxWcQuhinwmD7Y74MevZP4eTqUiPyP4Wjg6sOUlXG8+3JyQ2CyrDuBFOlcO7 GJu377fqp3YBV/SHLNE1ZiHHcIl9YUpmdxfL6NjGRQBpr7jB80+PA7tirLGOHdmO9kUe8kIXe9jl +lUktvzdo5sqKnzLlIG+8d8t9JPxCY9DbroCGlt0PJqrRY2cjhjrmh+sgwYpktt/Y9hxaWeeCgwi Eqf4K7dNdelDZV0KyV5OPk+KXLw8xG3A23m6cavs2lI8zN4g7ZtDvvVlBr5bry9g/UjpzQthuQqZ 3RhY/siJ0LRAnDmTMWt2B/Y5TQZMPSJWynBdp0g+uQm9rnmgxNOevRNYZQn65c0vNb5kpjO6wERE gfRMPbe6z6OZj7r323pbtw2gmXYSa3NoG9htg9XsGndkQVVen9xZZaNcz9B/4QjIYRYnJMS2jTCd GfuGxTk+BTuvYOgZ4MV99mVoaFHD1BVDXwFWm9wA+B40+JRJB+56yHXC5zl3E1aQsnh19/PEW3Ry GALYB6m8NOWgQlLwKPhVPqAXs1U59oB7njItD4cOUd/sbexV2OVVlyW98TKRGEP+oxW7DKE4BL1o WN931R2L+s5kkDgWlbbWTN1LUpMCcp9fVmTyyF5b43ySyCUxLLQGmaZRv09dDailDTkRMvU65C4k 1J9gZWCZnWWPlYtdF/Lu9J3mxlnTkESk5Pfb6GP9OQGsypZmA6KjsJMbF6D+FLPsXRMSXMbxQY8Y 11R0Xntp1Zow+t6VGEYazsGnLb4WwUbKW5KzF5sYV7gRafDR1oJRi9xs044fTbADjHCbwt4DV47Y L4wzZB1GUOjE7l4CMRceuqXoPgOXUWLK8jKncHrgg2q3KNqII7c340DWjwEI5SHAflqXKr5EISRz lKlywD8v+tl+ATuUDKuRx5xXBK64J3keGl3TDdFdwpctq6wbHSv0NeQRDOWro6zJtt1TEXuwwpkG 5dzqya0cG5toEZiekP0RlalrvdAeVNBmS18jasEHBCoB8lsPNTE5NQxsSiHhdHQLWv04ik7WcdI6 RCwvP05kNcUSwmdujHa0qmwU+PdI03vJfxBVjfMwiIM3lGieW2tqNCmbBUW2KtpnC3NUVN2PWDxD 0U7ju05bsALTO1IAkXAhCL4d9vL8bWsAjFtzGvhcZ5Er8QrRtXkswKeXmdn55WsnmITOrBxf8R5q uIr4ezKHUhi98ICv/9MFylt8US84abMPp6pbPAAYuh579WpGm8CNzDDLH0v5JwZzNlsJ+ba6kn8c z4jYdAHAHsRJNmOhwmwT0YHvdBOBpe/ufuYz7vFSNrY1RHH3Mu3PzAbeiNDTS1FR2x5q1BexG00S O4sngboDSAXH085zk2cyGXxKHEUo/bYRRi39gYnPOMOm2Ub3bftheFyXYCelF19FOANZFGlY4xjh kkPnKKB5ul+IKt22g48+bfPL0/TXumOLv+2W6FLXG9welbntxr6jzD/T/fZM58JtDuKyJpMLjr0u O7b24L+Cat75HIFYUBPUxQbhVfVeGmUbI7QiR6hfj0KzOAzYKEY2rJpq6/09t/SJy6J7YerT91a5 wgDYPSgIP1sqg9ufaAesWbAD4alhkP4XDeRbDKbwszwzaeHUDt8b6IX2HzUoEBMRaZn7nsiBJbIv eWrpv8Rij+cv6pynMFJEYlFL5eavkJIUy0uEkrh/MlZTqxWbYpaTLzyaBA/EdoV7C9xA9NVGLwxR 0jKVxDnHJuYN7UWRkN3eaxrq8HZ/PsZ3bNyrRJ+HFDV27sugoc/xdSZs5CDRqVNW8I2zrjkcddfG lfTcMZgOCfrm37oB7QoBbsIdpZzaTdVtTq+udWUj7TMHIN0O3sEyI3VdOeOTA2t0xJz2Ar0Bvjv2 IY+5K4zdtMkaffLCpwkZhqFF+pCYIn42H6hTMN8JpCjuxVwIKqeppaXNYQdsCyO+e6V4ej4v+9Kq qLLHOaRxJJP434wG/8b+7RbRgB+wpQy3wfHrpRd9U3yr6KugRO5qX8mnjDlga7oNHkQDKKE0ZMdM 8Kvt11oNUYTsLYqV4j8v3UZkqYUYoqv0Yjkvl86NSUVP80mFBanqqQwsmcFLDEzRBniqxAUsl3DF IIQO6m9YNs2q6BeucCIXdnAj0fxsfS7Z/Sjq5LtFpxoBTF/Qf2FtaZ/rxrus8Yo+2yI66lxt59jd jLandBP7UadVBF0vweN403/TSszMvmrE1m6CC/+7W+agRk+4iZ45QKM/Y4MtsCsu6yAcLXkMRu/0 4swn+3KosLFAVlExmjILhX9dXPA/IALisiDTnszkBfjswa9ykyBMyNtPPm7NvF9pkJHww/RNTgZ7 FwOqC/b6s2mSqQxO5w2Fu/AP3rtIXSLtcx7SM3lRQN0AZboO2Ioxs8dXaLQRvJp7KSg1BZVwuL8B dyL2lghky63yqCYda0hG8jAQnuPMMTWyJmICtkUt4M6pPBVgFkQ4ISdpmI3lpNUdXZO0xPRI51pB 2emXHLVDPTJNGZ1trZ/GUZKiBRvqPJjO5HwD5t5aq3Ql+XJHZWMHZQuNEXw77Ko2tpokfjXLKso9 0ABOGAmdngojy3VdGsPMewVM4vC8I+Mprx8T+o5N9tpSnA7TVH8AUZ4ZPJEf4a72uqSGHfq3AlCM kjHV2t8tCx9RKlLb/iAMbwu3NNdlBhzmHqoDWpbYXGYAU8yYPWXYPg28T+fFZtHXyWnfZhNtIU3r lZJuffPHfAAPT/MRJQj/z7TIL3kLpS4xvsOY4W5PmrUtf5W+qi1ORBFNQt/9am6TeJDsseildOgN bdN+eO+V/NU4wcsVMsSAWelfe/0Es6g/L3wLStke+uSWnCF50QgfW9ENni0r2bWjBKWU86q6nlNd bgGftgiVtfWLuu0kTsVA6FMXS4GP6zfQeL2j2rw7cKjhu8iz6lMTMP8AzUFxEpMU5+NHv5QNHDLF Zj4Fd8K5oBUVTpo0orKYcF+DCvbENO7QKoaWYDhpiUOEgvhbmWHLUkz6+hHQELbM+3IqrGemb3az hTQE1kM+TrAWfsYXT+vDzlFePdJSJ0kXMdimOMlLHaMpyGxOcR7uEnLl4cJ0JTbJadxrFrpcJTCa eK/n1CLzeROedj54ENNzPeB9YS4DwGs4DXY4fz2/KmaIOMD9WOta3zzhCl7x1Yn0FG5WFGyEpTzi yuaP7VQ4cy0L8s3vn/I/gXSrs8uvgQgjC3zP0xHwxV4A+4HjmBE+qDmDjarEGwwI1rBwebcGYGs7 OjdXjobL4so8osZlhzUsHS2R1RtkrGiE9agJ8KeJbo0cGnDpCzAAaBFa06t+1BrldjYr5mkGsGoY GilGr0N1MqFfw+D9yjqf+luXRrDQI+DzoOBOdVGuPuOa1c3vkczkJJpAsnz7Ix91Hx10w2JMA64B Ap6KP4DJT238eq+g8vwXUlmSIQPU4h5EkN63TwMTGMtMNUDJRWYAJM6CLthdLXbsG5XD7qGptK0w K85U9blhP7nl6JVibovt2Bcb/JBrwpxqabCvaCKt6vH3Ybe/9/87P4SU4DMGmN2yWf0XwFcuyqpQ XUUvIV9PZwUorZzrQR85wGnBvB7QFQ5ZOC0SaB6UCzqvwmWzDParoQ12fQ6y90mx4f1eBeCHzj5U 0iafvwA+FvSKvgKHhvI/esNINsV5lqM5xTlgEDeUQllfgUsD6ZOfUEeDZv1L24DQiVaPeTvObqHv i8fZgWh1cPi1KSL9xtI9RJ7PwyBJV1WLbh2Hw2PoNNVrv52RdPeTjHsP2thlFvjLzJJ/JGk1iuNp mxrJ40XlcjefVngibYMHt5f/n1HuZP61RFbTD8AqOqYY7J6FcuJKoHmn0aP29x7y0B+TnKvYBCPI NHg4n3vJb071ls5a1QPwj/cYyxm81N7qevZQvrSAEqW6Wt42GMzM5gPc2QehR/CsnNCIbjJksyMo aCDVSNVNz3bV0iDr3kDBzUaII/hYIH48BSE4RsGGzgavsCZvfFgeU8Swikc07eEXrS3a9xiwINSx Ep8hVNa23SLU6V2NIsVlX2L9byYlkEZeFVnxVBG5AnVQ1CFiK5WIP5z81cadXNec51m76zGP/QOp To21yl/pAhIf8CWR5du4bfBEUdM1SoSN2+adtwLrjCJAULhwRqldYQujfgd8a59Pf6h8cxQw8Axn P9wiEipJlfQ6XWsCQyO1vMxPzrSs1yh3cqRGNn8JGzQprNT+dj86WFoWEJWndK2DAFRLqgRMt/bG oFnRh/tXXPPrmf6aF8uEtTaJhO9UZxRCwsLRLtWWZCvKD3D5+MpGZcbvACeEqo5PYty44ekKh6xO 3mzv7L319Xja5PBgHzbIyS679HpEFaPEC2krOIn0J3pgoYNxg9rLh8c2GGl0dYxyp5nt0ut7Ke+d Cm3AkdegBnl2R0GuM9xcZvOiHcNMGXNTSvv3hck1suqP5kjJ0f6c4dVkeLXzw6A5yUdVzXS+WoKq Jiiu1YQRKnWmBQhu+kfNRhDStO+/2mFyMYCu92z9qa8lSjSKVaq1H65eMS0ZADlj3zi1DU8VvhOB b06X67DLNn5BEt/V/x8J5UMoblPwwY/d5PYVFAyoXfAxo7NmY4A9QNxOVcEGhbQxWqgRgh8b9ARJ WSsBzHFeRfzJoNPoS7U8sXmHl+A3MZFVKr3FZwwMK8DV6mEI3Oq8IOcUtcpRFdIcfmeVVTbBCeMB Gp0BZBxSCbFF5So7xDvcLjpWF5pV/KyY87LC4qZ6ztWMRMmhb7s2kPySh3Pxfbtp24HVkpLDTjNN cNChY+c/oOEu6n2QAL0nX75gDL2RAz6N40wcamjSvRg2RTl0D5UfD/MER2YFEm+eVQ6ROGVbv1oP 0CBVeHN+IV3uxiCrKX2/OgvIfnsoZ12jC+LZ9si+ApHOHyvmmzMgTG1NXBz30q0kkLl2J9sX7Sfc 9jssn0iQBLQwaVR4kfDMFZMew6gERsaKO/Zo5hMFFy/KQbh5tsitexSDsPVg9S3evyjt0N4plY1f thhBdZitMShFNCNgpbVecmW1H6nfStuqKtEomNRzgIDEvrm7AslCS/SmLUHBrEErZe3LDBGu9aYr mogOmLFK24KLNUch85aUFtTBAMkgMUGrjUyaP66DRgvN8WV4yt9EhmxEXvr93OiDx3PN4UUl9RoY lwNhQLUENfDEhGahz68uNq2Q8Fk9QLHK3R+VWzrV04iKV+rLSh5V3vzoHL++DSpQ98krsCVh3TTb j37UXBc4v4SZ5QXiTXhWnPBecknhq7ilgyM2uCecrMyjP3ui6AGfEWaJxerNNj6MfRVKgFbf62va sdPxx+VUo9fxUmidG4bF5y+w3JNAL11viTyjZTtjR5EyhnfUP4leISQbLBZOmGQ+epY0XZnfqFII VjEltRMYA3P6LgTGqxB4gRIk7o/xNm1UPOLuSgqYMYLsC5YzUnB7aNLPSfopIiQDYcMRMwRlyFlH 1gHO3C6Mr1g3faU9j9g8Rnoz3EQ/URUcy59SzG1UIIRPoUFNCgZPMLhwpxnaZsBIirPVM9fs5KSo JUzIW59kXcM5NWvMKdmb3HK8/of1n8NSKhgd4qfQoES4b7OiIYoH2fu39aCDOb5hZLxn1fCD9M0D I+4m5Oa94cpiKkzU8bX3+j3Zs4kZUmXVlLYWw5oxIu9ffOVXso/1pNnFOvA4c9sbADEIRkYUURzO FyeMPToZGUy7Jz1hClJzG9Yt4I2cMDKDeNc3ZQiyJ2gV764HwZnY4DfOBKKa2GBTQeT39oY88Esi nhR3ebbSCYvsu8/lwUTokW0sR4KN5W6AVHQGoQA2SV5fx1jns1c+W9q8HHVBQSrdvyydm+2QQvXb D6je4aWOXVRlDvZ887cuONCzdRzeu7HP8f9NlbAcXd3eHTwntndaAcJhIgiiwPF3tKHdrYUVtEJv dF4+4Rgsnz2uJdZCgNcEiwLPBxG61FKkts9dflpVJPSspgYJfVPPbAkzhS5YAlQ+x4cky7s9ZLz8 11YE3b7Ynx6AQdSyhX/305vZeszc24Pa+D0OgXad4z1kWoFdWdFWLxWht4QMaotwjHNrZzAkzli7 0S4+49GbGrjP8K58kxbb8+19dd9xzVsSYJj6uF9XF1UJPgdZft2QWWr+l3xwDh57LKtMlHvXet1S bD9W2qjxcb1dcaL0aDFLCmun7CkqInXAHH3UOf/LS5xRv6hDxxUjrQeFmGvVVvVXonywinJ/EDI0 BLz2+SEKpIpsm8wBE3DO5ZwdC3SsB0QwXI4CuA1JboGDGDf4R7p3s2zIPWtwNj5FWXbKgnGRXZVE hTWE3q+C/HrsABC9ZW73oB6PCdZ5kwrOVuuH02/laWhNVKOrvsz0XePhoHA5r0xXBUAX9pBAdBLv HclDBNeHgnQ5DeAcc2lYEpbu1BEN/XpPJ3dc9DyOUHMaxx+HRTDikBv7Ngrohwdt3Bs204ukxuQ9 uutmJNPp5oj1pImxSAVW1wrcYuSC5g7mMuYJ9PwSLgbqvgDUmQXob7KxsrHNpT6WPO/+p+2nvWUN Taw95X+SRvNHiWq0NtwUu6TFT/nxkOLX7wFoOTe4BBvXB9ITlP+J7u/CuFuEo+nQuc/HUOFRwwKg yEDi4g+i0IKkQ3nb4NcOpiwOKfEPVyi/g4lgCK9M9LJA23mQU712/U7jRIWE1+wNFViyeRo58592 hxnhYEhlFjuc5jMyUBa14GB7pZ5I3cZZ8uEEnrlt24T3nLCGydHzqSvQXtrBahEXRZqH/sqZgyEz Esyf4epmqNwfPb5exEWSrEEpg8tUUoKTa9H9S1zjBc+105I61YnVIHtzo1ERq07/cKHr5EtwZaa3 qIjDhP8nsZKTuBPcV9LBd+DoAW7VL0BdJ60pQl/xY0E7Q+Sctsdw8DXQUz1NJ4N4dYZSJnrbOk+Q 6Tz/chjyg0slqmC8GIEroOwLwUTe9SSudK4P2AmwhvunltgR052O+EUJicAciYopXJfbwrrzoA0R uvfxM3U/AnBEz6kwJz52LuFbAgDH+8Xzi0kcuAENUtTxfXsQF4T58Ubh+bq8mbOZV+8HJrXJU3kh ROkwYVqIj75uP81spFO5vN4lCwXGbMpILcYPlwRHB/sCyFwa/JstC+tkuB9Lr8efLcJWeyZuKhcy P/m0czlu6Bf0RMafzcFGdE0zBcsB+80hd8g13xmkX6YExwQukI/haMSOB3mOfPRaPium7W/D7uE6 5I9lN6K3QaBtD03A6bU9vOJaHy17PjfMQkwxhFR4ZDg/mirCq8aCr6niwMK6T/vIh/uFadlwUZQh hyan94OALPNTVlWJOdam+2d+Gmp4gDcvTQvopZEdbjI3Bofl6bhzFETRAFZGxOXt3TEOjfbiZBFr YpVEjOthUtcOi0SOvKtTiL31LnCRQoi3/feWzWCQUuVYBh9UOfDrSD3pB6Dz210gqOG0R5P6mZkM ijFetZesTs6aBwlm5USknbseCxcJKQqGXG6OKFl/yJNHPyZ1m2N6Dm/+huwj2fM6zQx2ITVFf2EW erIB9NJG3RCgs2+6fg704sGOMIPdjW52eCA8l0tRLTNaQ6RWYh0kVdGK9KwguiVYmcg+j5cxikKR VoFwcgpSkU73sjImaVmwuHo7eWcGyqirT0wcGUjan5xs28JdEDnxAbcpr0CWOC22FigoEC4PJBY5 dBb7mOrH4tN4OtnrWwSRBgviVSRa1qRl/UY5RbtrIRszJiDf/hZ1NW5ai/7CKKq3v2MyShr/8aiK 9qCVliRGQeLlwwRHDNQ7frCa+fcuA3AteV3g2pcpMK7PbgcY1un0UWBwsMK3TxMfJCJ7sFoc3KHL xKpQhK1MVX8kKHj/hin4D2m4poNq6Pmyia180anZaUotY4hcH96UJ+xt4Eu0LVt10v5K8KEIYqbE hDFFEmgfg2etJWp6oK/TRnf5TQpwPnHSpYPGx7GXpGBLU9J2X/64bnOOo/YxZSZIi70PpRoGzt6E gybz+yzX98R19jOSziNnn39ioCCzDwukLJOBf5s4HzAqazgKzkpZxpdb40AmBigl/gQf8Y9xlOSp Ti4oPX5COxQJ8WPIQFvY+yDvkBy8QPun6Vsk3dYenjV0rtR9OQ9EhUlfHVQEgVt6mVcjNbYEWRgn sh+XYwSt9jG2xNkzndhWqnj4eQVWkbuxrSk2zmhRcNICI7u8lE2u0ake7V6lVvh0luLrXXsYb8Wq scL1fV4YvMyWoZVjXQxwql8kxBcs8eZYYFRbFvDr9K4eYOmrctoliqiGWKLRDFmTpnmGOlzeG+PC fFRHgRSPumfPZYdFmVc8haGCdadxyEzT33sUhoLNcyMq5AuYOhLLIKdxwXOamZcpL+1umwNIC09u 7IEDmXdjZSCTlGEN+wA8iTDdXeg6rArdPqCYMjQ4E9pGNAdWvV2S3SH3TbZn7Z0Lbc+RY5LTNTaQ OiewZWNKOpqNNL4j6BNuvjFE0n5k0MaYe3d/lX4Ss3umKTZJfXEaZdWu/fsEiadvJMjW8zDd1ASs 1OfZ23LjCw2xu5cR5MJ7/JAhG3jwKvZr9ndO4kZHCjsM9Fr7lcHbay9FgyqehqJfztHsMcuFIscS 2S8OhAyLdB/KDo7jyfxndD2tFJxnx7QERF3S8YvI/tri5386MjZTpgHxgR4lFVlP1MnN6xCKPG3Q V8WAk19hXW3AVg0RayEddp7l3HK1pnbqMKwwjjUETBT/Z71i9ru+kbS9O+vmwm4jECNTllg+gwU7 VBzbcDyrDLDIj+TrYb/Qidd4HsnsrlQEjED9kPn0/6sfEO72d060fjh1dPogAU3hHe3zAXu4b6sz tA41k5d8JRZz65ozApWV3PhNQTd/DZlwiKfCdRWNaEg++OPm66Qntlz+1GvGmjB0gQRmkEfoGbv6 vJUWiZ/wYbgerLkESTKcFVt8/70bTdiDtpEW4T54tfTgcA8NPsnklMqY4D77JvnZMutZyIWSq5Rf 68W6iYSxUn0qmnZW3eWD8rxOvYtm1hVCEMCvt1kaj/3WcFD1/yqHvnUQY8mxzcKxvc2zcNW8N/1r uIq4OVq07Und3vB6ThN3eIGppu7RYoQWLeCr77MIdczZyTxWD6rv6WaTHLyHBWaImh9EugZaCmgX Hwr03VkYDeM8H7pxS2ge4ZWHE86B4RD4HBuX6ZzKLn3vyl2R0kKONK0MqerC0QyeOyjfSEEvPbIy 2zL5eHxTHj7hv7N2jKDJ0HqNQzZ0TZdI3xgaLiqannna8YoSSaFqyH2tzKPuSMsEjkJP3BLuYm6b rAnOjTvTEShfzMZBElxRS1Ix9G8t25W/25my5cKYwaFtL4e2w81YEYX9BQ0t9RZtxhKhm60bJc4f dyMcGw+HOCx3LCzaAbWHoUjuxm0yHzf6A88KRPZVmvFYoXhE/xBCDgXWz5bKy01hVplA+jrgMDtH dlQzLCEFYBD/9opI+reMXwKTlsFAd9LGoK3DfHT1eOq9t2V6K00O4dTxWQqOX4o5XAIoTS3jGvoD exXcQNUlyAv9fs6Gn0+6xpe2uAT6c9h5FmY6258+C6duYnO/ZeWQOMEOuIIKyA1IYys9cMaufwR2 mWWrnFjtdbgE8DXANQTgRWQi3xe6JEkxWAHmUcqc/zsVG+/38VZArV2TiytKeUT9VohIDxRQEVfQ qJIHYr8z1s8EcknxjvXlC1NJ/3Q3qiO+KpVqmRRIWmdayGd3MY8dUohgS8EfQkpQNDRE8d5DXoOg 4sBcyPhAndDyhFRydvU1GJqwDKghBmnDf3zkekhu+hRNrjqqQadqvlA+QGwcAR5KFcs4KzXDDWub Eyj6FU3pHl8R916uu/ALAeE1+K9jKj74EUVNESPvR16T2qXJL6PyFcGG71uY2eQW7TPXPq3jTfhB hC3EquQ4ho+/OH3geOTBPHE7dsxxGbf/3jRrep9iooxJUaOu7GXfk6Gwlclak8JTn57jr4kVh8d0 sfWLjJA+DxkQ0nCEF4zzPg6d3BVl6CjTPpvsQu2hrdHBfQUypnjuFDkTU0nwEd9bG/Gz31BJkdG8 3an+z/VhsCMnIPV1tGDXbdgbzXseAAHY3Ni9vHrCSmPF7VY9y56p/TLsiHJrydb52rkiQEtUCMyM k560+C3pw9UawIfas9V8s+aC+J/n1awfZeHd83PoU86WNh3Q106L+DzLTpZQSp+SkVIIRnvUVwAi hDc4OMcVF7FBZPdEQkxP3Wm+5VnPt3IkqSFG90MbfO4vkvp7LiEuETS0QjSgs7MjTUjanzvOqVid M45cJkRrIi80vnZgHG9D75g1wYRsYtwVLzalKPA8XK8gogCFdr+2Gf5On21BzgC0vnkOdJ59DiKE aSBVOqpyOkNcplx9V9K/1K1MS/mNSq4MFXDmoQih1UNCroKBvWsK5YrZ6dZ4P8uXc3x53pFOmjm2 cHXxudE+rLbQCZgUy/xvkn4/zN6PKDkFISlYsGJGwl5E9HuSdXHwGjh+G4n38Sm7tqdWm5nQrXP0 t3Tz7Bs6sa9l+Bmf5H6AY1IxmE+va8sHCNlXc2Zdj3/VdsZHxQshHPH+QaGfZNU6NT3azPLImuvx AlSNgzvjArrNPeaPc3EP7lFr9Hd/HHjqBiutdYsPCF63PweKWuPeMqCGoROcrDJ3Pe1bWmpDhSnW V6zZXkW0bUvo47iVONlaoR2BPpoxytN8blDnDBe/BJAGICsgynAKm5ukPJPOZL+R2AEdv7ggH1jZ eQ5DLYhotmTfHbqvLcZ42TtHpr/N/aUecpElLOyPtRENyczOVtHHIbjVeAeDeCGyUzKf/fcV9bOZ AxCoIQiP2R+oIIWY31cs6haMy2c+uhEPmgfrnJpGlsP/6pLt8/oGYjkMR42L2GXd3RQaxZIP7MeH nFk8RKg2xZFhyvhQuCWZi6Ob4UUfrE3OIOM7OtsK7ziv2tpZ0p2BELmpg7kyrqeM2M0AsnY1W+/k ho+lm0IcynQYuKcmPwyWc5hyIIU5vNFKR7ObMkhU/RsYOvIstv/OqZbyTzaLkJVNQzZDVjxeXY4G 15h2eVHFwDWyoHmDfxxUJ0ypHZRKKNRBG5l6FiLX6B7gTVo0wZFsN13Def4UByyGP3R72TUFb7sG 8N4YQHFRb9QT326xyrddFOkMRuE1A5ZFsTXkisiY3lUiIiPt8rYc2RPk5LMGMMIlEYotq7ib1+zW SDLyRI0G4HseW5+YMAi4ltFRbG8+q2+kxoKTqf13eatd6baFRdLwLUuHDMjftmh0OyuiWArzA7JR +hvMbyuQ2pOX+Ten6OYIqZfqG7xcnxBADBRRZNxEOIvmAdI+NKll8aymDcug6mvFa2OY3+dXFFC4 uxcp2AQQBllzVbnoR0bKTg8+RdWL/8bYRuraS9b92k97HKfzutYOtGBwnGTJCnXk6qT8a9sw4kKK J7BX+xZ2JIOAICrM4czLJRt8kmc9Gcqx/RkRT/MXAFAuHH+6mi5Q1ZBRGzqARg/cNHm7O8Mooid0 0AjcH22JHDDKP5ibIhlV2aR3P3D65z0ME/Ym5CPX++yNGzz8dxRmnyBBggXh03LgG55cll7inZh0 ZfPvg8O6qk/j42YUIu0wrHwHhwcnhso+uT5rPwTj4F9gKbcMXzQOTPBuHTr/joq4tqtMPOr+0aJZ rT8VO2b+63PxgcC+ibB8yo/cEvo1UIsa0jRp6DVQAbOMjx7l4+mFQX+sxkPDOFDVIWq4sJxxHoeO j7/k5yqSSwCP6L2o2aF2Qu0f4OwuP/nMMxiMi6nL/gpds09mGE3i0epPZAciEecmUx4J7dGUaOY9 mbHin7O+PRMNO5HMzTnWxD8E3fjvP0kAAhFH92iTMrwMZEFCx8Zo+nllTAl82ee26mAgSB9Qod+F DDSoPWu1/phTWhsKox6p/H09d+Ja/yof49VDTOq4vNlOUxvDJb0jzcEZ9kitUQiUy7CferFbL3lq 5u6S7N71EcIrgG8Iqql0PhqHTkBwOC1udUgJKoChdiQUsTv2QDhgN2JeHOIspYV/B9OEZrT9qNKF yI0jCleD+EGswwfnB+4CbbLAPryCqFdxPoPeXFXTzZSgW+WnPvtx0eChvj89Z4OgqOuvVU9KfceF ahRo9Cv1j04R9XqbO4J8QQPxw/hhABF2n5bCa/pxP4gt2SwGV0i+QJFEiAhe9XGfWOWFQKj6YUhI yJSWBZQ+x34M1cIqRRxJlMdocA3sYHmpqaZlv32L1HeYse37rmI/jFn/KyQnHKnilYBBzT0grl9r QZMMKo7ChnuOKNiu0f4NeG9nIIK6ztGYR4V6lCYJBOF0+XMMpcdnRBvORJkamT4t1JHuNY34tA5I u9I6ECQ1ywQ6cRP2g5KsHadiGw8pOHDHXh31oVgF2hK1sddDFZwx0z4d7yw3O0LEH0dS6zOIF7ka l7StIotJZVCIxyiR39bKd+MTqwigEhKe8NCX/KSd9nBhxzdOJW7z/EEkxgNXAHEJjDPnWM6nVXrm DjqB4DYiKx6L4CRPkz1/NsSOfgvXCt1ho6rsx/eB7CVPGQQBt1p+fy1oqzI9tAnsymFhnEgs3Ozz 31gfEoqswRUDIykr8K8RT5n4XWayhqJJk2RjMXyUXY6OnEdbd6X6Yxuj1M5ahTnCX3NuquzhzBqk tRJS84qKKqdygVWKy2rsqtOZfGqf9jkMGb8sjNPsr25YbROJfcSSQ8vCT5WIYmKIPy2Wvbs7SyXH ZKb2TiYHLBHJGbf1iZytwjyazGB0da8nUfCZZABVN5St9GO3UWWnCle7ZVk6kP6Sa/7MBVaGKbjr BqAhmSr7jKvAYS+fGhFXYNmy6gp+KwuMQNMeRC9h3nYqYJxRP4oFvMPey/lZyY+FoRidrfEx2w4V 7njyjXBi9zIXTlsaLyPamgkxZBIxENk7tz5ry1WW3zczpWipDdlQ0HcCI8m2Fca6+TV9sTZ+Hjpm yrOpjOZqUmpdlSrN52HloC3ZP7iaa5Ka29Jzij2bnOKwfgVteMPZyDmDuIhM+4lYtMnMn6jFC8wQ Vau8UNXecZCrcQ+l5uR51M1GfAvWM7NE2rXU9lf+7T3CM2gECa8ztmYx0DGCJHuoLspXTIv+gW0p uKDf5dFyGxEf6EfNSeggrj5iRBKGR/KVawzuYdtijAhWlQCNrwLPS2Ajhhn0onjq0aLz8ZUxqud3 lPMQaX00IbBap7WCnPbmhWtv0x0TSd1cw9Ojy6IKM6BE1NvU4osjEtqxDMuf3OQ2Nr+ZY3+6XJ1M Z478jvJFqVukZlMu+eS9nWBRwDcsqTqQONi8cie6N5+6x6OYlFxrhYm70dlTTZUWcnu/uFb8D9wq 2s6AFvX8/U1BeWSwFRMzXC11V8b1RIUp/Cu2BtgXhyo6qpUI0bFB6xORGBYP92k1KXjfmwxVgyNB u5vHZR71XKRQM+rYu1cYMBYp+rlnNSwHXAwSBIUipGbql00O3vjz/DBGQKCvheUB1M31dAcyN0Jn kaNnMnFJgNZMFOw5l3o9705h2Whs9ddArm0tDtdrybKWZnwWV/JclxciMB6b6+LqO8/oqSp98lZd PwpLeiWCT0nCUJ4mdwFs7U1TYmAPv2Ddz0A96fsBUa+++ra043hD6QOrm+bgWl6AzLU/rsxpbryE OEXG9tIXLP3S3Be750UKWEBjC0loHa9oUj8ucVYF30qZ5tHQSheFTOq5a2BwXXUeX1fZxJhtcB9i I6/Mjo1wwLSqjtowJB1SvvRFb+5GHThuy6pyanf3A1a0MU02pivOQhUzMmE8JO5a1eqyAU097U6c dZwTIErn95MmsYuqRROUr1EmxTxYyvtMHWxQN4C9EkRPPlJbQIZaOEJc9QvoWw9bVj0JVcNLkCLl eLnQ7jBxUXk94oomZNg7gEiAYvqPIaX2zRngrHJa3bHH/xcEfMyZY8TRWE9Qu9BSf+0vFUIfBQMf dkk5ZnqI+RIbz/Lscy4wwWd3yBmg77fX+9KdoeG8UFTTn2Ue5M7u1lil6QadnykyKWJTLqR7qE5y nbxnzku9wzmu3ajqP/KsP4ro8xJG0I+6+tbyeuNyi5HK6XPRg+1A7yGiUxHVScEvROY23U1zyONM Nbec/GHn1GZ/fVl+v+cXFfGmlU4WVXnPKA+QNHz6n9F53uOJkuZenVmGXtVghZlvDUj7yrdiN2rg gjTOKXI6TVtjh8EIilXPt5n+ze4M79lnYkXICZ8uQIy5mKDfGMPI91wGuALozJXfqofiH3oYb4g+ eXfUa/x7heU1b4PXmVwm24+o7NfyYZGBMSOc6Q+AcXmsJevVd7Dgdf4zopxgfWLOv58h5dEGZqwB 4ltkOw98Wo6m74+3nbsBJ+RDB6O8QSjyR/pb2uYux94IFra+sp6+pExF6hS6uHDdcqFyuqtZo4ur /kGmy3AiIwIh7VTrj+S3xB+Fr4A0abEhIToD6Av7UFP4Lx4hfF8LJPd7Vyn1Dy02YfXtGUFEyvRe JFb2pkvLVZ53TWKZgPWiqITovRC/Q01+bbCH1oKo53oxte0pkWxaBNEVMlqL9KMXdZ3Bi2vjU6pr OZuYr2n3UV4rtU8jljxQVJC0O3wWaVszh3tJ5CPzQJNCgp7JXyvt8Li8UEry0RAC45YGWUrhSWB8 MQaxJ6ynPH0QnZCgGqNUy6YvDK20xD2TeQb0YzVYTQEEhwmsOwFkydkJom7RbQnXYckiY5GsX+HF j4inGWQUCBCv6IBKd0PsjgKZyQif/w0dq+krQ7NcI+l/ejgvYHAgaFDf9PuLiH6vZ+Z3MM/7CN0u OAu65pO9Lb3dnmVjdLDwxYI0ehfwZHeTOdOOQ5vAcdJpMwTzdq5PRrpYokoezLe+zUiD8ZlF8+Y9 ZAkCK1ky1C8+eEx+8A4lZacYLf+P3s96L9H8L9m68dQig5H3fXhikqOdBtMC3wQqqCeFDli6Vx9Z rs6WWH7Up/0fqzQKDY2eJPTifZE0+wlSAN//9x6jS0NLW9w7y3b1DIz3nB23768i0mYKHI6i+mC9 muql7uq9TXxbnQdB+Zfb2cYNmH8qsYwkC3W8z7W89uImQqDBgq5fPTwlyoxWKu/1OoCbxFGKKlRa KI4J+mrf0FKW0fhMFdOnZhHCmrqNUlOU1dTjjFzgWuW5ISgUrfenc1nk+ix5agFGWlMyN3R7rUYM bUI818PcZ7TYnV2nJJgD3d4gbaMguaSGDP/GDd64AP2l3TrAUNamewTw6s/uAcwOcR4ICINsQaEg hwaSj2KGN2t75UsbdLZgtxhO3HSXoX+wNQauHvySTXyJbGGxkkg3sqWbkzgJICIzTCP/5hxpog+5 S4LqR0YUGa+PeP2m0MRuFD5Jv13rS0jZR0MV9nof7q+LQTZmhlRMFMkHnVMYP7m6idd08jd8y+24 mU5hTH8SPlz1pGY2axli+ifS0C6YKcNsYDs2PnNEGSrmni8pHH8x7XpjroQHzCeSZS4mPLS7m8k8 NNgHhuBST4HwUPAz0Q/JL8SHu05FhA1hvjPw/hLfxeAGbKkTxdUE0uQQ4ZBOz1m4fznOEUosGbyl /7dMxtZxM+eRsqYu91QvVtRoVgXJljeOsCs9Q2EEZ1X0h/vx7CTsPUSK2FnoKlndeya1qsJl4DY/ u/63z69fH5BUd5tpgiAhV20BXLJnMngkgkVOx1zmipnojn6lFfdiW8rAQgAInebZ2ncQyoPDmpGf xoSMbxHREe581Q2BY7ma1dQa1qHXi+KFMb2z7ZQwlMBjoezeCNfnZejeZnp8FtMio8s8eaGdJW9R 2qbs/M48YO1Kh0OcNwuulJLFZdJcpT6g8xlEjKBDf0QZc7znDh8/bdD9KS+BxgyNL5crS4btzZIi mKDZeZVzgszeMTZAdvlPNGpLCFEM4mhzav740DGNMtpy7B+3SMNE3zrg9/zI89AEOQGcM9ZA024X FooyFEUqX+qsyBCxUElCL2pwKumSGO0S0lGmFVqysiJ7wyXEfPfAOq7VaJoq6Ee7sIDesS7yW2F8 AdKujOx2mMP2S2indqEubxomIDdz/vAz+cVE/ht1fohq/iVUF9ygXGyT+fyUyiyLvVRAK4hUUkrT jpoNmf5kMzFqo6vtgw8yf8fBkHfuksFQwYI6b6+aPDymc2lvw/G6+MREMoagcWG8odUsTRCtvL+D KfWr2Ms7b6pBLhu3aJhxBXFkeVmnCs2EoYiIOUe2GOxK6VJ9c8tEDEOcb+KS/oHWwKkRFgl7OEpj F0LEgnPKiqJv2DARlGWYxg1vjtqniCmG0DXLCqxgjdo41WThpYO+7oKwqP5ND1hR7iZiQJ9nnZ/E B1j6r9SIADieShoI8ot0KK8Datn1qcSp7fl0bemQBsz0D9R/0eG84VU7f8oZoJDHav8sK/f5AIfc irEpSI5hj6cSaTG/uOYCnCtBcVozi+q+aHb1HLu4MXB3cbNeLEjV/5NiJH1AhSJtKmTTuz5gMVT8 DK+nNR03iN80u06UFdeYbZpRaYSdm1qpCivvVp7rcKVKMqGHKGsSYMwv9Fy24cVdwMHLPFFX5avD ZaLbGIWrqpba9pHMhmxAnusDnjk6e4k1IST/5bvSjVKy+Tp+d50TA8Z/aKkodRN2ecKTle8z72ms cKOuGfuyiWtIPBhB3Cvg5Wg/NHS4T9FmDkuVRWjXtOZ58VUYWQgwrSIOj3U5IMglNL8g81CYlsbJ Be/V3NgojvMiLq75/vHn1sN8A4K0MU0mYHcPDtt5kDP+yhe2gbwVJfyZi4j3fFm9uXIlP8adGct8 tMHHJgf34+MSlNbTfGTSvIiEFJTwWf2KDhMLIPVqD05w6Iz4cNDQv4yRP0ClA+EGDIdzG8WpsBoA aVUmnY2CO6XugOKwbXnAWEGyv8e7eE6ezYQpqb09KdonT+Qm0XJfZwnoc2aqLLj2KeKPLI3qp+R/ OTKrizxq1akZsNR8ak4ezD4DTqN2VEYB0l+jM1f6gmGaGMBDtO8WasGTra6EMpaZ54rx6lrj2nc2 HV0MFO6x3OE95ojD0Y6wlT3kZ9v86kf/wrn8IB0MN0p0B1JAZixRaDDMHBdQq0mf27DJEVMwUEAa wxkYXbiH4hJngJug2lnQ4t8o2AawkBQVGakpBGpNDclyVid9EdTDVcuZQVL30VAJAH92nl3QjgfX OFKUrx/no5fBfwAee7BDIy1PzqibhrPq71oAhSLnKmgVOF6J8q7SQgeWKkKNNL7/RupSrQ0FFExT MXC7LnjEW6ccQRBVoR8YKK8Cx1T5Pg94oFCQg0XigNlLxa2DXut4v05fRdi9fpkSnmSZ5VbENUAk cZZPcbCyMqGZcrduTQN2DQDYNGZEB+LO6LVqFw7ZbIlX78Up+QlKs2p9Cu2HadbmQtYNjXkdrbbS QmBfE1eYX+CNMSGgWtHMYfjM4x61xoOreD1JypRSXYc6lckw2jbMM2+cUMny5h728aXY2kBkuBXG X7aDMnN5DPECWhpNKAgIyH7FDJ+LIgsTT/bw4OSEE8qPvXiv+/eLMJD/k1U4b/1zeRZLm1JkywZ0 djA0Vm/l/GLxerTEMe0GrwxhRDdVP1Bgyc8Iaofwt5gNTz6XZ2bV5DU6+4ktOCYAYl4lz1NXsvMP CcduGrMLOdKO4Hua4TDGZ0pXk7NSNkeSQPBkCbqn7oMw6D6BI9D9NNJPIdl4jgcF1VrRQWAWIk63 bFDlbPPFk6nN2+84C/ZEBmwHuVE6yQWHNDwIdnOB+zsHZO+gNv+gMPo5jMosdyyJ7x4mc8Dxrxkg Yn5j783gG2kdbanve+8jXBq9jsbHj2ENwqgypEYSgZigD9G6/v+SR0mhPaxWLmaM6aEgExC/8T6V OIJLb4ol7PEAHdohqyGb7OFrNzNnduqK2c8WchLeeeDw3qWGH9LjkfwS5lo8szYYzOG2zu8Yj4OV 7CxcCx0oLB+kNg96A+QKMw+fldZhJeg6q10wyzNUOQ7LbOI/SuyjNTA5IxmRv25tFzyw7xPFQcJq OKxH4KjFMJ8IOkds9rEOML7HHwGTAHYp6nvziWZ9P14nZs4GAXJCRAlGOvuiFB2xPt3SGhj+3p5/ O4YfKe+38lnCp0lSDlddqj0h1tZslO7y+EHTVETsfHXeBAF/Icg85qGY+tJqW538U72CKpgrPbIy cN0arRsSH0IjhLeFfQ27mo1TqUCh6HkHitSm6DDR7G6oYziaNqol5c1NOvFnnzwt59obW5z3MZ+C aC0IaDueE7S2Co9Brjv+2TSA+oLwkHMKMZcrobIMmi3XljjsGB7i0kMfT7ikaitS51sO0S4Tvo7F 0Whr3/coU8J8vWPNsHl2QnZsQaS58qb1zdpki50aaPl4z06mby8e4ZTuJml7scD6NS64/iaFxDmU 50gI5LR7kQOcOpGZqUOYnFLBYnExA4Dj78NtTgRYtPJQ/14R8k440lSmFft1Vc+B2J4iKAq+R7bx +HH6Ll1GHFUgOfJxeu7TLMiR/UtukV10sHTC0kVKnquVKmKypetsoa5WVPUTNifnuMV56mdPVLfP ZEZbO0z5Y2v9eKl7O2/XeSp3tB7ZOb2V5w0vQLNzlpmaMSZy6p0QeE4visMcDi0TJPaYe3oibtMU YO7Yf2UGNGgGY9jKSK4h1YcU52txcn4Grf/Hop3ye8wISHe9JR+axtai18yVJwj6bZqJdShpK9BG Z5iHNpcFfn4CxR6vKbAyORXV5K7xKmPPIS9wi4XluFoGaKsCcRnocQ7jo1I5wf2J917hn0AsYgOt ynuVS4v/YOG6v54XC1MFGoC2naGX3D1aslH8PAI6x8rEyb1JK5VqIVBKn3SHZO5A11TPiPjQ9rtf mH3UtJGX+N/Qx32CfyED3O40EGhWOyO+AnBBwWPrTGZWNmZdIAjWI3AK568uZUVD2zLsrFOWF0Qk eSDplrcAi7aHmU1TKb5ccpHQfgY4NmSDjVNY4vOQ2chgGuaKTYmVuhxQGbrzHPOtJpmFIFqKvsYD RIUxdqQjiifxehLX4nbCo6Zge392iANA0Ruc4jL0ipLLpKpcy5Iq6ZfHPvtwGzgBtskpghbE44wZ llKZRy5Uc/R1KchI6JfbNJE7hS7u00SDaZ0WcQwowQaczn3MxMN8YMr+15p1Qp7anSpuliD6+QV7 sKtVl/IvKdJDGbMHw169dT6rRDvqwVJz4g8LLbL3hIK8WixmRm1Xt0bNeLIi5YYa+vaKmQLyj4cS lmxMc5E4YxCNnQysYObZdKUntW08XblJGVa9e77ORXwNWRyvzpdNvovjMHlIFP7j4JDN/8aRUOe8 3Y93G628pwFGB6ZRmK/mzkIQbIZX/X7FJb3jcoTkaWz4Km0K7KjNiqYwYQG5sykVF/eEcJyC41+W bwtgvoxsMrOzx3Ay/jnH6hTVe/NBDlqjSiO4QOLcklfB5Y8Gwgu4ud36r9vQZp+QvriLpBoUsW7O Zo3gGwLhaQJzzrphXVj4FHbIwV6i6PnwE+WABqQC4har05P9HM/KyOoJhsXDjo0AwsrEVjP89Qs9 tz9j8vGyH0T2nWbCJaZyuGWhf5EDeUmK0qgbjrUHswaebbRFtASw1bMusHj+0x5aNROhr2Eoeu1f GBwM1/tuKqBVwlbMXOD9nIOqHR7pNb6r+AkYJhTiVo/72LLrmmG8r9FEzBqoSGXYdRaU+wa0HLGa j8/8LExjtdC9rM8MgIh3QBN+LWyNzPNzwjbB0BoP0b+1M2z2ea7R33rRKvWrWEhuxPSY/3Ko5gti L+lD+DcTLM40gRQkNkG1P0/RjQ7Fx1YbA7UG1OIZLKg6R+nTLoX1NvpuNm+cppwy0vrJo/UMwkjb REtI11rjG3NQKeaPMzpNeFeYCOhQJwy+uHvtrR8scEwySZ9uB8zPmg7rV88FIF8U+s2GLwOJOlDN poztW0wkZcxBoVVfhc40+xopCVA+35dhKW92WO4PwY80ypDX9U/8TOOsNcL9A5eVYfKXf6lBb98B CegFfOhVJggQA8WsMPBwlsnXtCH8HUSMrRIkHsNnIT79ZNsYmyh67ded2rnIBgIIoDM2uaosBNHT MT5tumDoNsa0HMB84MJrf4XKv+mbtEHd5KlR5E1hftRKiQWZBX7T7wdEBodBiZT1aPAB3krKTr5c Vw5ueM2bNg+ymYHRo5JCjybmCGHpyaqEKfgos46RNga2jZ6sEA5dZuvwy1lXUBqggy1GNZCHmteg uW9HJQYr3o8qB3r3ue/RdCHG5JrajHDmpA2fZn+Hrrp96oIZDHNrH2cPA8TefaBasB+LrYTzyFu1 8OBb4cnBpAYbd3JCTS/2sIAn2zJY/C6djXX4d0pG00nftHDCXfRtiBxe8UpLONM4DYg/67jAvsHI uzER6SZXgbO2v8O7wCS6CP7ycvLOfQS5+Hqtp1vuxCB4MUEe8qvg3hKt1Jsl5gT4dscOAgggkD3L C7RX1QiHyXcJiRy9I/60Pepc0CMc+yxkfFU0rzBiBu/ru3Ga2ShBT93GNl0CPimhW9iFP+cNbDc3 BqtzXNEkMlOfaR42bo1c/oh+t49tHmf4Zh6q9kaacx9q8HHEkDe+VlNpAbdezhS2utX6Gx989ca7 xU6V6NzeKTwlWVru3lvMNvzuokZD26aDlJ9NA8zC1+RhY4FgHjYxLIWbLqJJOMMPBRqGh3ajxAr/ RevyF1EXb/GYYQDdvoO0nFpdlAEgXO4zRCptnZqF07ugTW7u9QTMYvyDWOC+3WFt60xASBcio6eh 8+B9IfWQ5Nsp3qyfrtz9KJyjuLfwz0/Xeg13WLM8LecHsS30M9s3u8MrAhyLgWrIQs4t3vcZXfEA L6wLSKIlCBbprOfcyEyda61Rs1Rfq8a0us2rMke2Y/3PiCMPbSF7AoKFCtPhpu/5oFq1TKAm8Esh JJbTKJ20pBdNGfZ5R1HBosfxj9hUi5WgC2xEVSRlOAQvL36YU5VfEpLoTeMe2SQpxq5uzdvwizlK gzpgyOdw9lEJd+fMrV83dBNfJB4qii72WWVX5W1qZ+63Dp6Q/9j+/z6REJ3qcNLLiWnQO9utuyum E6xydLbtvJLWF8y59R2NBqHReBPKHSpTRgfz1yM3Qs+sQVYmcQPXKSrB9n2whhBkFPPwqJFFd5Yf SVzKP6k8hvUFs+54juoeka3H1k99mRJii4uMHDXXk3N1UdRTvzL0eISvunuo21npszrhf0rpaceC mEx+OtSrmTFmpDCqdtb9hAXuNJHjDsIS9eXCC/NacNtyEHNukjtIancLtuf4YPnBtNSYMKQXkgnF qMeUOixQGBtpk2VP/Yw0LXNPuumbdhai+VhpA27xA2OYcQARI5fXrfSB+fDpP8lZ7ELEA2OTkq0j h1aEItFzQJqjMkrp593pIMIr8s4ZHbTyfimIy8/Vhe2oCeGug0r8/EqMBllop7BkwCaPWPSBIKAP 25ZJMRLMOgKVre4GP5OP79tClYERyaMd8Si/Hmo9fhG+G/W9g0nOEDNd7/JJ/qGaH8ly0xGFqerN KWId36mUh3mUv3iJBM0wuC+cbjH05jOBMK8RoIkxzPW/m1req2j9BXS/gSuW8d5D2tZH4+gMiZtJ SoTRFMDuZHvOIIM5ATtgyyrFTrXOHfN19i00MzE6MP50UuxY+P2VLaOGItPdeMw11gjU/4kXnb9q cNtMuMZ+DboSflZiZTZkb04zoTY9ET7enaHojGatfrL0Ju7Hv5GDVjtfp/i6LH0zHAzuZOAq49Wa 6hBvIIgh3jAVbtM8Rh+fJN4WM1YqKvwW2IWLVpmHN9zFQdVTmAjkVhdD066tm8yKVV3mddECAwyC 1/yBiGudQQZXj4ncxCy2V4ylnWKWBTHFFtCnFIDXHnAR/gmiDnC9LNGh7o2PNXZkqEn5Mue+xJF/ 7oLrA/+BlUpqSDi1QzZNhoP27ipJETIRL+XlV5mXeBgw9aAWnaSZHlF+CByqpKRw5IVoYmquS13r IY1+lMW4bz6VxJbMFVdUAKYNPTc2Jq0F7OGEntsttfAOGHYaJE1LuMeAaqp/uxsDb2FN6FOjGyDB VzKTF6XHHb+hkc6jGnH59vY2gX401U1rY0SMwSLt1LuFipyPyeVrZysRVDLI3oF0V0q4zv/vTCiy ek5WCJNvgfiLZuC4bf1dWRUAsF64KA17lbayoGoE6yTM1Jew5ayvuZeLAR67ZHyjj0itGQxGTKT2 Aj935XCfGK7cSDnxQ1kgD1SJTyPcxEkHm1NWuV0OSAOORwbXFhVWAjClxVCb0nabfFUb+qBelgcU Dm94TyTbTwt5/I8jVT2wtxpoVQle/Js5lAVH3OyQ7s1ekC6wzZcpURkuPLBT4IXkU4u7m/3q3smw Gsh7zKVW6nc8FVvtG22RdXrGgGGYiHO/+xb4FzKO4cc4LRi1CSjrvFZhZqaszNdsOfudPyZF0Dga mVyK5H3sLiVVXhkOwVF3G+vOgqy1Xu0NceY8Fbuyb18Avrf5RZFBFdYVphRXVEbdg/1bZF8J72Xl njGF5i4HiYV1xgyVY/NnkO/Vjk3ux9soHR80c2bY4TaVnRQ50tO0ikiQArGqkpjqulHlvDCPiZXJ 4KWWwgV2OgVB5zRy+vdN6rREdGweTY2SJt6WBXCjQdNV1H0SSckD628zbTCE6v1Gjzfo1fAPKZIU iESqGVVhbLHuGbY5XpWZNo3icCCs+RqQEc5GDevKdPVJWRFwoukC3lW0+jxrjB5IQ+UquEGGnFBL TW4cQVCUS+jUcG8EIFJ5hH+S34J1/4WqGeaV9DA3ElyNpwLCH0uU1QWZ2Eno4WA6sksKkqWxBA8s mvGcRuoOgQInF2h+P7taOcZ+fVA9NSC7vdunV3O+6g+JPVG3XmIop/iOlaLYbViKZ4MUl2i3bEeb rD2N4zMDCHpm7pASMbDVFzkGaAEIqxVfjGTOGLwPWwW08Vhrz9pnF4Yk+WXK8Y6RL2pgPbAY0hoM wyiYPbWIqIS//VC0aFV+oObszwVAWGvWj24tHVfH3/HZ8EYTkh7WE/CdXiT3VgrjADUTtPX7y/hp 4caDML2qglq6r3oOTxRa3xTDNDSEYEzzM9LFs7t8PkCsB0zjoFq7qF0oC/xMm6uUZfDrICGh5AqG UQdDqSVUXh38piNVv0GuT58iNweJufE1wRocXcTWEfCsC3krGa5X1ndeMahZM32oNnPH/64oztqQ CKnWzpEKKUlgbmQ9qINXCc93gnILKWv8jyGcS4X+kRzBqQlHkdA7w/du6Th0u/7SYHAQTQFUOL4Z xM1RhE/9RBZ3oe8ynWaBBvyVe4Nyg282nz9H7IgerJjzN85gPQapWBTB4YpwF89V335jNWcWnlyE vQe87u0dwX/GpqQa7bQMverqXoVY8jl729EgkG9YRdLmnXJFkLe3hT83AqdKM3vME392HvD+3yHd eKxGuBDmmtUw1dMILfyFsYU9pR5Rw0d+jEqF5M5PPHBid/BPDi8mQ57c7XTPk38qVyDzu9kwjNV8 chnCl4RTvR8N5oYditTn7ZWg1DrcXQcZs//esAQQHWccChHxP6SOho8LpO6S2KKtcl3QGHWb+ECu WqOvjZkjbuCOQKnKZfeE7ndJg/McmwQfW2R2lNX5DsOBHbOhDW8M9/49Iu0eb0XRTAz4XOcRXfvl WpapPuszoek1ABv06+WiqaVWXGlWvynA14eMWGYFXzrFYtIv35mOTO+kwpQBHb8i9MGaLRPwrJ2e 3fSCdYIh3EjZRz3pplsTvx5+RUOwUfKk13hdBxe7GlnxAvg7+cimG2zqywghfOm6FIWtbZqTpaHa ryezidupy8hBstyuvOEhwsLo1G0hC5xoqU38jWAPweiMPCZp49DZWqbCk20x7ytajVUZ2VbX/mdS /Zo0o1H8WaghRG6i/S35qnc0FZ9YTZi4M2tqyMQGvIWzEGrs/n50WEALMoUQL6Q3q4Kq8eWICPcZ uI0kuxXV2Sprn7c7r4im14CIyuPxak80CJXLLbTlrQClpuNwQ6g86ZSp7MM33UbS4EhNlenrQSeL JqpV6C9BGtPyBWbKqpU4sEwN9VBbw1TTPmt/xjIR/Gr4x8t5KoBGjv5FzMWi+CTJ4OVR101JkTsm wDU4eDjtIIiUblTwUq7X7pqmbMRF8yTQONslFFUpsrbl5IoDPorxI6shWAWqANh+r4BdnKpVAgPJ isP8O61s0vkg/j4olVdMf1N9RWpxc1q2Pcdw0fyWhqzWAljH5nuB4cUpYG1iv5uXhaiweeI1cAAI f3nE8JjpDUjKasY+RVwCVvRT3CWsGc34JT2t3BLSL5Rzi/sw4wyOCH+37Y3bXFbkqMoC+5ZAQLLZ FK/ZusLdpwaQTZYDBtwekYzw8aCZ/dYyH84LAD4adlyTu+ILF1GxzagJ+TlEUkDwoSkMohY84Fch ps3RK0pGn3tPfDKGd/Eo93Zjhselnv37JpxPt5fZeKwDcW6BvBmHCFgvi96lek2f8vtD9t9st+oH m4bAOPIqN9KMVcy1RSr8PZ9wC4NScfg3xInaSpYHAXHIeILYPfOz07rfZcyXFOh3vOrVaoKnYgH4 YUg4OkMA74ozWztICco5YTjjotL1imVbFTMPMRyX+dMcDBDefovUce/Aa/6DVqVMHYufLOyHe1HN QALJpZh1ZCLTJRmJ+g6tIeSd1KRGTtXfhH2Cp0PHmT+d/vgvq325SgZ2WIiOF6CjXwBs4ErpYE2g N3MzN2faDwq+BGlXlsrJ6B4MIH60i4TMnHLP+2geqzBsNDhn1JTz2/ZRDatMW/QWPgudNfXKmPXn qgnbyjwqyXceLZr3fPMDyJbVS5RTZPK4UkNzREx49pEfGknvPbr48cKkPjPxdAbwSIC0LKVxXhrD 4M8cfGUUtJA+nsOYSO0iHxC489J73M0WrBMljN+wfBJHCbQazYEFz18mOAVN9F4sHosTG4AUoyPb pMj9alv4jG6G9ouFq4P57qad6g5YiDjkt4IXUbZE/ESyPt1ojnrPE/ifaTJLIXHK4UI8iz75ATuK QLmtI50fuAp+2TmxEbiPeZYRB+M/oYym3Z6CEy7I/wWVwcq0ANa5FdV5qYBrEvEB0czu1HFfGBq8 ROKL7/K66i3qIzhkIT6fkkmGTntCgyjm0k2LXiAD9U/0+kq6R5NZpdHWjrqUEkgVGKVOdPUOGnwW qVQsyuqWL7yylc2INAX4JnhrWvgcnsy8wkcy72P+z4Q25Q5tUMRvmth7zCnM9uyUoHbddVfAxYJL uWumEdX8cIiwKyxHwkxA2nVLYu11AeZFBj9VvlIHZ5igvRwq1MXNUV86X7lu+iPZmoPIDb2fly3A OyJNhhogofkJ1wkQdgNZVhStqsJ8goNTgE3tbx2xFP154YAmnng5I6mN2M3pvvYNCpFcl6+oY3/i CPVaZhZHqoTJ2dEEt6M9hMaw/Ix8xo59CaQk4ako8FachR0kBlyS9cgusZy6Te3S8cArWVEkOM0j q1++dwCRz4IJqcH86HCSezwRdxS1XS9nVmtnbiiyoUIRMYoFLR2kVmin8kBIjlwCywH/FO9f9XPF rotKrdM3Zu0nISwOnqaM/zfyI15iJ3qhlkHcDhR+IAC4Zqj9YH85CV6IlG8IgLXPEYb3764EqPyD JShVEST4W+yejoUgWY4alsmcLIPiO3eRrXnBp7c/RAjugdZMWTjT5Rk6bQ6/ihNxSqDHAcBwUUbj P63XXJsHVPRsv/lyXMAYA/aYTYFYOTecV8aZaAEpLJVbJD9lYCUs7mm5MBZHa3UMu36lQU2OSeIi PS3Z9YzJyAp38RU0M+zwt3EkzyqGUzkS3jsuyLDfQsfMoBzzoJgC3ZvYnaA/J4ZgvvHfwkeSL/qa E+OMpuucm0/H5pBP4+Fw7FIMgjqr+CF+6rCGbpZixRSthqkqM9mUTSGG2IUXRPv0RmPhl93TyCbp lqZAD0Ow5ze64e1dChTvT4RuOnPE3b6PpeN7WdTLopnYFuys5HN2dlfI7rCWwbuP+FGMOwGro9oF izbYXbk+iB6JMqLMi6DX50OyciNNmhntap9wyCBOBhDOzd9lwfecbvaOOQuFXgpE9xtCBOCxIT9s 3vZKOL0EyQ6X1GxEhSwbi3J4JWnnaPQrR29Ug+Ffg4rJfIw6sSU0bMrm8alWO8j8ehqW1Lw8EEjO KU4xgJQ1E0yAFH0QmU1WneDkngig+HO2EralsFowkiR9DyWwewbXRaN3UyPKdCY6b3Tf+wnTXyYE 6wsa1qQLLpqBxjLyatO5+8rg7zpByUJRWfuy4DVhMrSJR3jf27oRXts1lxSbXICmZzoTfxqatNcg BTGCHwRr85XJ+8WRKgToDWzxL6fRhIeW9HXLNw80NLu15QBdfznILzzMzWf6ZPl5LNiYD4eJW57b yeENMB8S/6OQ6FbjGKtt7BOlkNJbTDX5/swUvUIJkXmftZzN/+Rew9YQkc6/uWGwEmw42GPee+mj xMEKkuazCDe7ePTwclrmAQfnN+FaBVF+G/HvqRsb0WV74EBdHUFK4PsH7hBk9/Y0Q6ao5iq+4woy eBGfITHJca3kJhVGQtR5yVnvyvhvJ5n/770vhHuwDf9QIGAwQdLOzIyYDTF2okWR1dzJAEEYIXml Qg2gPL9GmmHV8AfNACSluDXxA5poJDD0Bi05tTEUXteamS4QNFEE4oIJAQG1XU9rhL8oDh7gGyUy LBYxfk9pQFaOu5MtDu0PhAzG60fRptXKCthsZGs2FnhiqFhrSuptpsHuDQ8eEieXsJwo2DHiFoGM UvYrj7SxXhMtmL6TUWrhPMbxRD4A5IYKsNgx+7ctwDw9Ti3PShIbu/rtjhLBgIgveg+2RIBOWaIe euSA1UryLBKRWjvwRDFTzwAzgIVfRfBzL8TkfEY39Q4YYkTteMjmtMTXtnPRy3aFmUcP2YyiQP12 KdYpOXwh+LRy60eZSlNieStloNwD2ae7ae+E7nxrMIc8Y9GntxzDTs5MDs4GiavfzgK2XhypPgYC tDgV3+qF+8Akl+o2rRSS9J/wyOoIl6tiDZgoQtRaIGE9rvrFklZ5hEkWx4FpwCjQlxzSTGlkBFfd KFcYm5n+KF6WiQO59vpOmXqA82/A6pgo7NzDsi/Cwp0I/I02lAu0GTMetl+rIJmaCkzZtbFM61Zj u4avIpLZud30vwOhkJrqxCnbWVDCkkiedoUlR6rGTyuPQFhyvGbX8mER+8HLdtq5bUwF4J8k6gOU khztGQb6xVXoyACLYuHv2cp+UfEczd8t3GuezalpLGcxH2G+H9yqlv4Cgrz0OYBPS+VGh09RrpJm 9OupRvGY/9nPN/VlNQt2w2iR3yD5jvU2lWlOsxkzy9+xZHkCLfIt6tWLyz1PwW0hGVdi8TfB944i 3yKCwtfOUpnJshy03iWmCus/PYRw+xB7lwPA1I3yVeM8aTIUE7gYHHCRsFHdkOTf46f+P8Ja78AS ffI3VCuROhk0kIxAMcHgee4GBB1F6ld7NRqgrrTUoj4GCcbQYQOfxNIvr6sb1IL21LndDy71ytg6 pWTiBncrkP4jTeERwp0/sCI8t8fP05qgbttIDb/ZR3gl0GNPrslZvOIxtTHAH+pvlYEmv2LJ9GKM 0sfydnGyc0xAIZZuca/SJ9x1S64eyEcRpp/ZQEGaZXJdUb+5CptkVSfL2pA8hKHURh+QOoHtqxgm VFwqwvVcCKfIKUXxaPQjRJ9ShiVgr+zYrTc10L41UPEMOzRg17g1HaATptyQ2IO/hzJ15rDsE68d dJ4enDpIlcMZoG5V+ACcn0d+SbJ15OClhpQ+xQXEwRa+Y4wHpBWvmx0MEF9kGz8Og2+8EvzNn4sR bCoYs41xGa8pgWak6oJ4JAfv/YeUfUw8AcyfnOFX1tdJUoZz0vnMMxBWpr8xRtD+YUbUY63dkSeq Ne/rV5oG8JNkPRA59UhGFUdf8MTIbWzyoRr5DcrUMb91qe2AHZFzxmyQsQgEGdeWM2m3f9mWlAi1 kzp1i4ygUxsAxls0S459FAtlhW0xlXBCmQ+nzjB74z7gxIpCxui3ONCmYcyPwELgBj7iZbZ1harW BtWdGlC52MxQsWTMxM1aAx6HXWthmkbJ1bii6rV0Eo0LFR+9wEgFzjvdRABbNqmuExewmjljvwvi JlSZYuh17vCu9WFXc7PPGoL5GOXPYSHZpe9LPdhrnGQrzOt9yq0ya+Fa6KEaGp6swdfB1BvOSeNG yTFUfdltaXNBgNOK4Htzy6mAxs0diTd5sKaOJRLfO9X+/n7XTlB4ALvR9rWsWh+V6h+LlQ4VgMrM M9qRlBqdnkHAeHcK+QEHWayu0k20LF/AFS562AcjuFWa4i9hD6W0pFSgtrwF2dTYsopmdU9I70Q4 gm14s/CP1DAlRwnlgfb1nn/s6u66nZ/ge4vjr3QZt2tZo5RATb9ijb6hPjbXKwn3IrT5IKNT7EGD yVHmhRdKuE5G59IyhU4ZQwwB5oSEBahaQDwJgn0gM1wm/7lG3IW333+yMuShQasiIqV8glleExh0 z7nudHdFMkimhQDhioFpjXuww0bxwknwEGlHJxwThxDT3H7Ce0f5tKKMxJGon6NpxQE4EkQX38rA RZgthFgqZi8G5IA4tK4YKv2eXlYgFvPyCAVCXh90vIlUMeKMekXnnXEn5IskN3vNFGW+rQ6DtQfq ebV5th01hWS7+UNv6hs0JRVbSHEd4uKVVa5kXJ8+936FOP0KoFAN9RBcLbnfIEcevZMWUzjCILmN fEcqHW9JlOY/LldtsR0727RfQ9EXsmJVkq5WXkJYK71fSXNcE00Ehr6yWh3iPXrgvqooVpnZzgJu Uvuwxk/dIY/eR4VjnVV/zt/FJqiAkrDDPObU0+JXxRoXD0txlEXO9mecRFbKdg+zQX5WD3BO4cor dnFLCpzlQnZNk/Ei281VlZSwWRHNFRgzsEJImNJOLCb3yWLENnpi33ZAUoZHDzJe4ZhshJr22fkf jRU+sTjhdSPSAwXl0VrsvitH6IPIBpXf3mCBSrtF0wwUM0qcqDdfcvkRr1W49PE+W1BSC+ccbn1P TCGZ3WPpA+UC+n5nZTWL+quxP7lVFMPulRMH5ui9TAv6NpizCliIrAvWJdsXMI9wBop5Sxge4aB5 lFpieKONJU4TUQe7VLoadhfx+xRByrNlRK/sBkp4M/InNTp9ThtxvU8hzE3dFd+f0K6CFe/Sv8PE uZ3/v6vqLwPgEUjVFfZQ/XInLFCxev9fPYz/44N9QtZZw28scp2N/ujHadOLnlr6sfcGYjWd7FI1 R5cF0+n7lZbwgGZdGG7J+xJRtEYPQZ8jh2xKqEsZemAFfli6MBUZ5VqBNmwdmFWI+JDNbcGE1oIu QhhE2Rsm84hVUKj+XVSQgwBek22nCjT69JGLuCabRG7cB8wVkmyYD2DL6GMQ9j0Y3knDjHbzS6E1 YkzTxbPB5ZgN1twI1aIXjle5EP9ompJAULX7Zh88GOb86fDg7FebzAgvVPNCAiwCCvwWK2O20npC F2mbZjzmKMMQ93d0chUyQKnQsB6iDMcZhEWoEkk7D3+XzzDjIe6EnAiwVARQB/o5lHEJpRHRCfUb KSIo0397WIsk7R+zCgPcWBwSmHr1R4kwEkXi5Y104xvX4aq3wekUQZMMRMZbfX1mP207KEFM0t89 gPr87RNiu3ENcAkD4VCYEmQh4HfnMRhmjQoAx+dELLJ5KdhOfu//SWqWggmUk7H1wnsqL36xJcYm gyXLM7qgDb+q3IQl3T8MwtuphuuxPYHu0HYRBfTHsZoCW5Q8ap5Nd8W78aLMiEpWwaIiLNIR2xkU 9sOUxHqdf63OF/9+/yQrXaRf8Q45thyscJF8bsXn+7tfrivvZFV2nyHUu1aNcj9qYofCiAkCg4bX oyStlE5TPCeH6NDkcJ49ZkgpCl+xC3um7YGNCBZIA/m663iaF/Vx0RWyFbaBa3BE5o/xaEgfPUCR Mf5ctjyBlHbhEynlYUUmahZCnNZUsrlbomgBOMgLMbcDKq3Xl9h38nS0e+2RionfMpcRUglzJchW eLFX/3NKpgzk0CxhmH9Svp5S1r82bq3wjp787LeQ6wTeKXg2HIitgp7T+tS594PcDTcDdOeYMxG6 NNtWRmTl2kKm9GNTB/WAFcXeQ28xkgB4UnYqGHOXauPikKG8PIFUnXNVI4RNG5I7adWDF3CUNkvm 4RtQiVFu8kLQkkTOMUSRT279wLrWOSKXQ0eKTL81bGnW14ya7aNGLX7lcjKqS4c+mMuV7SkXbF01 aytQXGFuuOAzbPg2FHOHx/PRV+CTlEk54cXdSipp/kI62f7GKX7+XGNi2vlXnH1NYbWcAf9ZQYeB 8iBOa75DmlDSzRRuQIivTFHdgWDf16+E7BmGlaL/hgvhTzo/BkmvJjaBLuQffI8cIyFKWrvs5wl2 90u0p58AfTfUZHCgeRbQijHSxdKkf/+61pn/+j1QxuAGfiqlwXv48muvTxy2Bu7IO9HpyuvyRuA/ W4zGA2z1/PeVXEMiyaolv0Z7cnouOFnvGmH1/rezzFclvSTI1Wseagk3FZAbse/z4HP7P0slBV7y W6u2cMCE8VO1a/W19KtYrbagrhE7V1dtj9Gldy0Ff9jcUgRMnZPc5wX2cbir6Cdqu5uWCbWtCtdY hclLnHwvNcK06gSQat9b5EylqiX9M2R/Bw8quuRPT56l2836IoR16UkVdY+feMT78cffvo3pVO4B bZY/w2PzXzhnEyMTYnySLjclTzjf8QMq6aOFFwFLDMCGro0RlpE2lVx8OKkH9XlmYEW4+VqXcXq7 PTs1tCfwOe96S9u0vCBR8sTnzbyxE9VrmnVJ+HcIJDaWddsFfZFP2AqyFDxTYTXpcut8/bUSSmXB Mm4kuEwSRmDfEq+/ugyFf/Zs0H4c6jZR6sNWQP8bnL8YX7splmTchyFalPUVluw4gLwtWJ8UYFUn uidZLvpaBRrzct9/C/GxTyHm4F78CqGeyRfiqvMSf92o/wazOxmQCwzxWest7JMoBoN/ZV0/N+M3 dFNU2k9dpmziXle4E61LDtkEnMUF4yOVia6ebEq3ILtvO5VfjDzKOoM6KLveDl5Cc+MRAuYmofWA OzuIKXlYPo1E4/hKMJsvO6cGEbdPCsYIUof8u2MiCcqFcMk70HtAYhHX2Vo8eIZ3wpIakVGScKSg HeR/8tAcwt3Xf5D5g6BMqOFpSb6NWkPuEflbfDZJFs5t6TZd1YiaWSvvoflsqnkoIcM3fUkCUPh7 QrMP7t/TmeyKQs9xF5XjujzbXVQwi63GqHHlZrHmxbEHgo4hWNoVMYEes80sANN3khrvaDXGlAHp 702z1gVZznLgF3BRil90jeWCjOpMzriE4dxrQ7hBPj8tLTlxlnQxZqYF6vhwv/rVSlaoEewvz0Mj KRR1pyw29QCAzF7L0Rnk8P3yGulJ/KvgDyRmPsGn7FI4IL+olzJ4nHqkhZNg2py+BviGYKqIz2lP Op7gTyDDsjctPMX644M4Q4MZwhbcDNqWLo+ISVTk/v8rfKMLe0+FWo9kWaPxxrMp6xdKTPNMqaXt JHIF95YCjxYg7D3wHzNUCrHi+bLrVy4xIQ2EeyPK6E0u6zOlyaPlVX57iGqFzQp4IxqgExL/11O9 m1YLOqsqWWO3rChcQPkcacF2fLUK23BoX7UFBmxd/xQkePPngkW4yxW+mOZtxcTAJ51oDb61z36I 7MtqXrDDWshQRQDu2KIDkdJlWScmbJgGEfDPQTpqZkIkdSvTbxBhC8t/b0k9GasvZyKHVGpA3Oey Kq4nGswtaquojCdiRa1C2USKVf11moaG+49jbtIZfXnst3GWnYvUt1lkKU5AooworxLs1F3+KD/T y1SoFgcD0EjACzuRNc0jzdaUqj3n8/dmnQJkYszfZ52Nhop8Xsz/zessifEAC/XdEia3Dm9U9NpC MAAdnrdiTs+5eCDOVwRxBusr+JGr8K7a1r6d2mivt2wgxdXHDUFfMQVtAQqQcqlWoc3dc8dz0k64 L/ZHXNtbFT5O6NWjaeR34vVMjjhYDiMQBTveC2ID6AP3nl5a5ev6UzOqswekmx7pjSSIw//TyzWj x9vtLwI22JKUUfAakTL8s1g9k3olbnFGuhx3MoGsoRwqBj+PJi9Nkv1+jIc9jTd+N3CXDwtdjCQ2 qRArDTSfUTH52eG+DBQl2YFkcRHth2yTNnW69NK/mlKN9WXvmeV/gMECM8He2e6JUjxlQlQfS93V NNoFRnhWMC2TCZQ0onjmPQfMjFZ1PNTTPLu7ERiIomcNDdV/vrAcAbFHhpQp3gpojoHzbi0aTm77 kD0b5J/XUDsFJlZodX18xPg7eaHrKZmsP/pep1llhHlddsebeEhdSRbBpsJbBbZapDsyleou1b+B lqEP26GJoHzdQlFNabByGz+l5oOs/xT91/q8Btcxs4g0M8AGtSB8o7hQATLo7WZ+5vZjoEn8GoEQ gJ1zLMOx/CsPf2KTpPy5FPIhuUm/fjS2mvN6Wd8a9zepx16lM3rm+gceWJrVKgCj26fRIGIBi5Zm JEaIr7+JmouPZf1hBEBVadYO/7CSohHovAoM+ihVWSPOxCPk9jiDOAH0xUuAN7azTNtSXmK1evNG cDbuZhMi5JA8x9bpHYAhrC7BRabHjnOuKPxpcl6HLlRQ4BW8m9EJ4rEo9JNQxzdTUjh56AnaV6Lz DaqlLcsopzlqbqfaHTX1fLsjPmUnhMqhkHVnvy5IxCCBUqo4gyjocFaaOVxAUozXBxPcbns2D3yT uMYdq9CbOK2ZfNXlkKPy/M4fqCYxyFLBj5xypH4m973iNdwR84x9uYwTArnr4UEnRZOmSndmkWnU 6O81NaP71HoCJfWa0rlLouI0Wb2sDkYWGt4BTe4wFbO0T2uSsh+1zA55wH4z54t3jowJtkEci/gi gNAfmY6TU4TKXyF9BqmdfsHC2gEJNms8/512kMMkfuKtVuB62gvuONMGRLVbqkSvkMjeM6qgFX3Q mIDaWs84j9g8GzZFtM/E2jDejUv+YIIArsdPAn9kOyL10pIYyijlL5CzW+EiYK9GOImoJqAznto+ TUqNOB9IyviYptJGyXpmGfd8K7QWQu4SaBdnnOFa7CF3W3NQC+DEJClYIEa1y1Q/jpIlnkwPk82U AfqCXCzIJGuC7gpJJDT7VE7yL+Z8nL/lpkByrPT5z+KqvNumTEApBgWg+++v+gbouXIW9xEJTvFH avotKtxEJ7/3z8ZquPdHCl8dQn6jAhlRG+/nllhonR4WNebv/JcYvaW1bZDPqBXZNMeQ4avfoKIk ehADHGwd/9jJ4O7iB/To5A60pLk1ixUGkKKYLxooGBDJ3/jthkskFlBiT3VEXkFlYvKndTlpv4NF /6ta1ImZSmsEsRMWYIIuTdlcyQxChxkS4nSB+uMoOcagKtyT2OGvUwtTLFIPvSHEjT6F/DRgZlJV kptaJzHYpm8+cT7yXDZEIlyxQMfCikQz6CSFUYCpOfpuNzrDYMlYpsz6SWvPqIeOx7n98eVytidk QzTzpmBv0O1kSZLB4f6Rixcqqpi0VX5xlXBX9c0+shC/AJ/bc9wogvFPjoGM0Xz6KUa3rTXTKbMJ rlxEapA0Xg3nTmdPbDNUMTaqRoUysc/HBiFg9lC7XVo4bUdM4LKgvz4Sgxc2ieUba7CHOKwL245/ EzQplDfp0F6MElbjg+NwnqKIYjtJ6a45XzB5pz2BAOvOLIbiEFOZVy2s8/t1miWV2UQrvHIOECEh 07uV49QTGYpntA6TtCHe0MyOXbWF69eQjPPAUoKjUiCPWv1YFkU6HEU2S4qqYEGsoJ5mGA8yswot JJubY12Xw+70TA+W2+0XCXjeho8ISA+wXFaoOS/njie9MCtk++1Xov/iPAufu+Yl3DRjF45yORbM VOOF+UuhcvNetSzDKJvkoGvJSVP4fldONHAxdxM9AMubFrJVHfqTz4n7ULvTABG8rdPiSAYEyTsO FILH23UT8rzQgcwXxyDFJR2tKGLs+2ZOWsy+5X1+yAlkJ8UkeZ0KNkOZOLjuYxfoPxKc1j6U47/7 1DSaDtyBtoaO53Q6VbBUUfoynAbx1BhoUafX8VSwcl6y8IkaE1bhhH9Ehec6vzJPIjoqmH/Ur1WO BCBhrL8yQKjdfTrwNDsUyX4xrLdnjtZKuBeCjgzHNzOVoWk0g79ZMrYBn3i3qzTm+M2ooF2O8SDF 1SzdjVxH6J+MdfkEzUFc104Gg2hkHvtsggswdLrH5W8qcEXnSy0HTD8horCVDqszf696rmhaODAY dy1TDOJeSW/8nQqH4bmdfWjw1yOVbDXE0fhmGt3ypSm4CXjc8vW05jvCtwglb0dp2Pj20AmQcEKp n3TajSQfecpZHvNQhuw9hQ4/2e41wrVXTo0x52Oi20OXGdG477Q10Hb8trGVi+XIDp7CFzA4KYX8 z2IIrjnJFi/XTNae0DNCQmIuiDlgGky8vyRfKLguK9NLlER/rPPV6wR2BLdXnMkDOR3kLHRcPe51 7+a7Z2m6LmgK4U+eyUKPqHcE99mP27XJfkgkjUSdGfoWdA8wRMzlEQ+h6Q5QM3SEBQgjmhpS23CZ RV5cFaCZbA7+D/AvrK+A1+Rr2sKXbh3+Rd1dQG+exnTLqc52yb4JzTcCFVc3HfjAfGsSynynS7r5 XKG6CbHJ02SdjwtySo8P9MGbAojI6izYrtE8C/jkLq1dAHXJvFsAa++DLyXMwFHyztE7jhwxCAKS hO8UDK12cqqvblh4FJQ9TjmFtgDUUQ0VSjhJF8pDvkGgui1Mz3FzKhBKAONAVNsaNuwHSCoG091i 53kNbJuXJEMpCEqBlzV7hsaKUhfcCc4AS9Sew9wHuKIzbpzz+YTYFE/sXcxrXFypG5zTuE2+Up2D CTdpPSdjGHjYwf9DivlVbQOlgW68jQsCOm6PceO/MMmNH9G5UGRknuwwQkhiojmwdlX6NI0n8m42 D6M09zeguV0O7V7Ze+XCYMvKL3jQAZ4QDdemSCD9UDmHftyWiL7u+TSlkI5oznURZq9C5K6+guNv 7qO3b/N9GHEd98WT+AldmqVVCCDaCXh3HM4gPJYpRpeQ02sdvGB8Ltmr6Ul3/tO0XrSZJWvyM1kD PcW4EjPY14jp2bcgBdV0cWJyJw4R+4YYiNHzb7uDJHMIG7sjR5CbwNL62GJAIdfCBsvpXZVpXL6U BOseTf8FUudquVvgBFCm/SuWPiRVhHNHC/Zv2RdgCW8lkisl6nORr+Klfw+M8bgiad1Sp/Ejv/ZC bel+cjfzUQMrgXz6Uou9SJWpFZj82eoWYEzVjIFgJziUznobFDitQrigokYCRI1fxZmMS3VSbVFN bLTAnHWJYRGM+UTBjWxyPOQlbHRigydL8i0IJ0oH5c7/k5mxAR/y7ac/hsv3pbR/A7jJWRwmBi8W JzEPAigy+hdbeoXy4l5VUAnPQPAezTGjHmANlgaPaOeTvz1ndYe4XtWxIWgglpxengn67XTzmQpU nlZErGIHPANqyuhKNxFRJmDXYV6R9k8/nMe5pE/j/d5yfQnAGNAgvFbtOVZlAEaLP60ptp9E3qiO BEl/vLqYlxwUHNBFMRfLks1Mj+j0nZ/rY9Oo95BJwB5ZsXcwn3mxBkqB3QbTpTmROU1cL7R9hzDe tK0Vo8jPWQO2fU/vZ5nL1fXhE9Boye1LHLpgaHO6/XhkZVfG/VzfZ6YXSMsnjvD9GJve6ZKh8CYE g1M/+iBsWpZ2h9hpvkrJCfU4VHfOv8R1zcfE5hbHiw8RnakyrcRiF33pHJWCXfmxqNiDn7gqZQdn bbjFv9qlS2DGUTMm6+hjO/dLNkAVOCBufrg4HTwFD+S6lUoFiJH2byL9tcCAhCwOYD8gUEsPJNT2 hr8VE6eWbqBr4TjK3ACaB5qKzFmPkEkFM1yaAzhCckVnJEQ5fyGKbNM/DXF+qo8X40UofW9bTVvV qq+3aCA+Z6DSabVzQMyYqIG/EfqHD/ewbUIP98Qm538G5UC84MVjNHQF8Y1uynN4Y3o/+Pq8ZT61 cWmcI4aQ39SNTitOk4Yvu52/g5dFev48/RWT15VOS8hMf1JSVENfSmOQYIZ4Kf66u85NSkxkU+Au 5ycWfWy2B/u6MqUsl7y2eOw+t1jB/5S85vQyfctuVc/oUtr5Sq6+1asQP0BehhYoNiV6hDpJdgIc FEJARbzQAgysNtNmH0TqGtk7T09jThgenhdyjakiLXShl5LCO++8vIT/NCwuEIbICZJCEMIL9eth QPK17ZtZ/zrv7IUfrIaP1k1cRjmZPGsefzmBocDZDyxoJ/PUuS7Wk8264S+XSwhaI9Fw/W6PYXCg 89YNzLFDjWWcL280r3BbWRzMtHY3rziIJcb4CLN9osBTG/f24AyCV+Nhzjhx1PlGh8A3PVH0ba9y 5/JLnsOBmqySw6xJ0a4O8lf6CBQr/6V7s0bVSxVj6TqMrvz0KRJEs0K8xOHuli0bD2U3+LYpot6h OcviikKP3F43M9xteBajJeH/y2uEIMyPAF4qZhCu64A2+jPDRGRNqCzVeK86O5cGoKxWG6r0swMG Q6Y4w8YqFXzVYj5eRiSTA3vrQ95w1HZ1CjiWuN+qn8WxK3oGKad+tVfsi2eq73PDfvJdfBcyarD6 7cH09zIWW6LrTUqhv0d5aZZbstIls1mslS5I5F+8ta+zusmn7EF8EYICQwS0lBFryryAt+FrsCOY 0Cwwc/CgApa63MAG+prfHoBpoAb/zh65Tfy20C+mbNsitLHBlkAyD7EqepxuYIH6lffTrSpgfu2F +v36OrZayskp/6394sylalaTRokDYEpO1yqmeUqXzue/KnWaq90V93EqQ+6XwX/esUUzI2R3ZIqr n/M0QlZc3cADpEehdK78w3+iunWSYyRHc8FubB4hgZWlc0JV4Dt7htCPeM6rN2D4wC/+T4d0wZSb OJaxG/7UlvzZIN8Jd4kNJ4KDm2+wKqU755cd/mRo65MYcukwluV4DCAFhKGJ/50a8EVa26oZDL8B j0c3BgOM6++BXTfgqtuX0imXRKjb7/GJI4KETAf9JlqZ4R8b8+S+OCEMPgbSdYy/+fEwQQM5b9pK pE0f/QTfWCn8k/NxcfqC/C3M50+oc3ZUS2oc/gRlgwXy/146yufA+jz9oqizCyVoVeJ5suCWbUxZ Lehy1BGFsmL+FnMXeSx9rZZK4+z0T3B03bG9fNoJS8Ddpls85VSF8yk1UaI4z5j5rW8ZVnkabora fHnKvnbEWDrt3nnb8kAyNLHV2MhGCUL5Rs+aCJQuTJRsbsm/URSo7scrZaZJM0bDnJvnRLJSSBwi NrpsjRn2vvMZurz4whX9ovheDVXX+n3hZmPj4lKqCafH6JC2udeQsn7hsK3puJlBuRVtRKgD7UJO myk7o8dNa+d253BKZu1yW3tnk8nGrKvqUDV6PVRpTPd5c1Sw+sGeWRQya0ZUlZ/pODk8OAYZU292 8tzcfHIdIT0yOJC6U+S1QjPYSajS3sNUJKOVDI2dyLn2Rlvl3L9zAncIbzOQfmQsN2ATd5NC3ke/ U08zgG+junsbAU3+XvyRFNkTxWmVPEqDIKDs+oeQE0oECKwiLtyFrA5unE1lIMo0Zog/GSrytYl+ wuhf2VOMmPDmPcPA8k9P4op+755T4jeze1DbbEaxLcM2r9WEEdzvHFoDN7uL+TiplcmBbkjjvzSH X8rbOrbgqpfr9+mVQPe2CI8JXFfjaBTQBXZ3pm1JHvdeAlnHZNMVPuy4oCxkMuZachYAYUWFZZ3V Tvc2TjuM4Ncm4AKpmB+Z/YnrfSdJr4JfrFJFEmdSGcBKudy6wZQpmm5iBEtVNMnwbe0Efaym5M3/ D0FDB/HzHQyvDqPC22BHuuUqsDXawIax2Wk8UM+fMiHonOrqIzIsKswJF7KgLaluwZ3jU+kGRG3k u0q2dr3MOL2a94OqQHi820d4HcY6RYtSt9IfMkJwq62v0TF9jXLx5/kJunsDEstXeWyV+eKSmf6i 2wQ1i9PEW6qGPsobN94qI37PI1szk1Szm0AkorEgnfHDDMsBEsJszSyM/XNkjGAO++6p0Xb6zVlg aU1bgtQRqggpRVcRDhmzTA5QXZJr52ZVdYGbexwK0NosN32KKJGjf0Zxc92EiYM9/9E2N9ZMZCP5 mJQ4zpmUO3cyouyUIQkp/olLuceWEV0GafZxQwn74JyEupkriMkb2d3AHEdITKnRscrSmAPOkfBI u6AKuu0XmmPlE+pfvH/0Fo4L+9vVH76kcSJOv3SvEPk/Hz0aW8iAyYgLzJ+GPsbhMMmlCXST0Uop O1pLKdp36jHO7E9jg/TNphZ38kySkMOgJ6TjNWUZDrqqW1SyQbKtg+Pe8ly5ve5gdpIPMBpnmO5u dMCafjf30z24gnCzNFzGmExPvAQUHngl/EU3bQVNKoOdneSFOmBCdTaEx1PLlIUx10SYcyD5kDFt MWfSJcoApMCdQAFHw5O05ixUrGL0kf6GP1ZX9eKnrh66oJ664O0HyOdRzBw4eUXovxb+PD8z1ZjP 2RnyFwidOKmAX88/rzBvZydv3iNHfvzTOZdeRFks/rXcYdE9C+aDqVi0LaNhkRuLju5P9NzkL4BY sq8DJdyxdJz9A6rvlSooEE2T2OltxWv+1Xcc/zepzlQeEGQWBW7Lm5EMuMTAyfAyIVxk35BwgLJw vl+edxPBWrLuiMnvpej49dzrA04STNJm7vHGAtt2fvBLube/wNgOhZDuP0e802VRmcg3V7BLF5MX RGPLy2UVuLEliaAsck04FPCdE81MvxWBhXDi7CAOeU6+otV/1OYuELKQDGe8q6EmWBXI4sS6D+96 8eGZQsRkpKnP/UG25zZVxzNWpVLi+jUbvMkSDJF2xNKbiD1TlSOH1x1RDcddtZKWqI1ua2pplmaZ ibG+0Q5vQZryCa2YRv4ZHoJt1oJ9BkDq78w/gq7w5YlijPUp4DKETXGUqbsy+BRQ7z16/8QumvuV 80DpsPUz1zeDXC7HJwSeuERSu4fvDL9L+sNrLyYE3oI8hxWeP2DCfwBWjx+jBc7hjmt65eC0cPGR mpEtGX4Fi8L6w/wSzjhROmQ3jtwCjo0+qVME1eXTVXpVie/zcpbEMPGnrkZ71G1uAx8J2ZMcxXK1 PRqyBAoRW5yTcXBHaXFxd8Ko+XC3ggRJiGU33YlHWW0mjRDa0rDfVpXsokeNNn61EYp9GgYNjmni 0IHYMgs59NK8HAO8WJuY6LTJpZHGmv6L7aXuETsYE0pA7AF+adbEjjrF7XNMo24yxKyatlC0PX2e MRG4rU52z6Fb5Wz1PCf77NARW1oW4gSNdUVGUquKEUCJ5l3LgB3QpVXCtwKJLWEPizJpQ87S6SMB XaZZDygm2fKk7jIOygCKNg+jJGUWFM1pHot5FnzKYtifULELnBXa0RE6EAh/OOu+I0ud9Wvk5e8P ppkJ9c5KaErF8USwyPseUOx3z6WvWzDLdldL+P4WyU5DeTP9VWXuaN2GPOkYXl1Mo3BOSy4vjTMJ VAB+IBsmyByVxGOeNIdvAmW6aEoJF+jD2yJkDVmJT3Vq4U3CxWkqBa4iQdYYwW9XStL/919LG2u4 ieih3Yq/YO/NreR205K/SV0yh3T+/goXVI4UJjUKtlUvCDbOnfSQQolBcKfbUQvqfbk99PGURqHm 8RV+VpJH9D/Mu/iJRbl5cElX0G9w2/ovjYYUqctThQMPX7lX3/kiUke3GDScMekm6/rTyk4wENrY xjen1atYCx8zj/yG5dNTdg8t1ZZaTa6eW8EO6ziqoJDUI69PGf1nkvll5N/5rqujZLjloEwntUad rvuLUJpkjfLeXYPVxMsM3OV/bFHMSncwtuRVUlW60bVdRZfrSaX32scVGJe6byle2xm1avbs3SCC zs6XqxNBy8eMI6NMkhuGS6Y9kVZU1/5HQ0cwtONRRzEfjZsoBJyWytlZ2JWq0JjXzMJSNaeIF+kZ 4UgVb5cVWgpHaEVzZ/lTfsYKkVxQuRHTaDsfjXSUJ0Wb7VQxrzh9BCa31sv3C/wqMws4YEcD4Lpq sXz4wXtVco2PkAbnSnkapRk3hlYVfsSeGbZ9M8+N4H+yreOD8GJps7Q4WBzgsHkNIOU6DGYjG4F8 INxAo96ntAnxBZAKYbz8GEpx6qKgbtBstjACzdyTGw61Mjm8+GHn0Nng50K4PfpjfiMmg4ZawoLR 708Vv3Em94CXWTnFqdcNHnomIs80eBt8nyDYkjj6KXF1fFFst2lO5MIqFoSJjCN755b7gGGwjGPZ R63GJMM87A06tARs32Zor/lT/d0E/iWBPEmqhQa/2bdFWt+ZbUiYNLDDfrD8DJjtyBnLAgSf8RGX awFl0Ei9AuUdkTTaC4BfstfWqUwYtcfEPN01T+d1hmjNtJkiP0DYRWD5YvrwqnfFUgPGV3WatR1U N3wGbPf5Uul5vwNxo2GgB+738jLhdim6kP+TRfFArDcSjhUQ3IIpKdO2BxiHGubxaWyfnvz5LbWM rca4GL+W4LHdCRgwbkZB65Xlb1nNBO7QF1cHjoVOSf6Nif5tKF2Y+2XTv7OQYrgYwqATGFROypUq ihfAb16ggFkIEbnqo11u1is1emZgNgvZICBdFddVRXq97ISVaoQPYMCZpu29Xq7XGN9DyWduOCY1 Xlnp7tpPAuTeNytjpYtiiGztOl5XNzb+/LDQrUEH1g6KIBqvq9J3QuJ9uALyQz7il+yEYrm9kkij DdDwXOIVb8qmjDGcW+X/3d9kwIUJa12Ptarh8YweZGE3pTTSz4sJ2OupV+ZlxqWzopNQrjaVxOyv mXb9eLlSRC39aUL1WReWQh+JqdOxFyE7YQZlFzaGSNfqa5luC4WzRd4YaG1isxMkeoXgjBIN9YqO ZdTrOMWqZBwMXeHyqLUAWpN3Oop6syVj5kk3XepeIOxqQds/jMx20p8paWJho9QpBEKJBtTHNLQJ dhEydvGiT/uMRsRz1X8y8M0/SA6zcbkqy5em/hNZ80lnJP7WaER8JRRkahfSFjT96b3NbR5SWAvp dttKFtUgs/SP83TxqeReqKFZfLOSSxLAKkjWgkNw1VXl4anXl6xfg4+PSp/OmKzgLrNhZ30IAtwD 6zEKdmoMjtVWug3s9wuZo3wvfJX3JWeYKU/iKfyg2Rb6A7Z19cW2X8niryBpaRbhAj3FCvbu4gMY 69ajlqDbcQWF+Qws4OurkkzWMg4SQVuTdWbwpVoDYh2PP727NLwyJzcauEz2kLclK0RN6Fz+jIlp LwMOMgQ6jjAPiWg52PioCG77GNlnyp6eeyNRFt+akPILO0YRtxw9IL67N3FDh1Eam/FoZnsP6jXx 8G9hxDcEgzhSN70ZkuAwJAStfr2c4T+NDYp7BxsoKqz++2oGSmr+ds50tSKeKsJsWyFkkxvB3udk Alx1c7IA7E+29BtHfFu4bNukitQam9/aFK77d433MVKBKuz7OqN7qZ4/1SWfFDfrrbHumUpm/ILX jY54OweXU3VL85sRgRQefoR/H6dliHWEQO7iykofhKv2+FSItmxVVMWLvEDjl/MW/ZB15fVpVGcI FRr1SxDW+d53eECW+rTTM1lpKqkXyeA2hO5+zoqbXV3iZOCy6WXOfneJIeiiYnmDtN/l8zouOFAC x6g1PSsDOVPIGgzIvSe3kdFPXYAYloAUXXkVY2B+KqlsIbcam663TUrEvW9UT6RPqVl8K1IOitW0 9msUiL4J01Qp2tIZRY3mC/O+FvMh5dk979bCBiwjpWYIV7KuT/4vqC/dU2uCZC5bvwboUDVqGHO3 zHAK00massUtj5vTzCNzFAcC4ab38P1sSrkd/YTQth1aQQu6JPks8pmPXKTXWRYMCiPEWy3Txrba 1d7TnFRJlRM8Dub6izE904hTOXVN1hlX3rIW9Qrpu7CwJAFgDwuiWcpp+ZyFzEgXL6euiQKD7y60 DAUyd9bJhYyniEP8ZsOjncul6jcBObJXqz91RDA24mycsGU5qy5ejSjmETwgBq0573HJ5fpRXlxs hPG6BGJWNNnmuJfUmqut20UTQ/glPWVtl0jN+buM9x6CaTaDn2cZL+3tBSXW+rEHDopBxOfaTIf+ a93a9MTaas9TOsXX9be2zMpO0Mdoml4NoK3ra2FjUI6LmlkUyzcwy4rWxPDZrUK0EToo4fkhQv9T B9GZZIZYKjSdWhr+UQKAf20DYhelx/WTvoEtFNTnhx40FnH0N5r0ZiCjPcLeW9IQW3ISPrffoZ7k aTA9HMUIOJdVsk5KDnzODV5TeqhUW4p79fVzUw9p1ZxSRTyo6PWpShYPjq8KvfrKCi5fx3Ex0Nnu kdH8uqO2jl1/u9QrFQXEivLZUbj23IRuWe57CgsInMJVZpTbXrP5JEDsYJvMwcsOE7CKyYo/wWL+ mNY2hs/Z0OpfVRcLApJzlDFDdiTTKIPAnn63qFiCpiyh5a9A6e+MzuEtxSKB32BfBbz5CHr1gYQy CQupaxGWr/IxRU/fGcnWGfr3ebq0DqXooFQoCid6VcAc5evDPk17w+K/vEG9b1atiIwk33AMi1Bz pKapy6/N9GPWTqZaUZOZTzMbOmCzpgC1IkLOva19GRjSNYenrJIainUcTWR4mxE8LEbegRVoHIyE dGOiLsXjoJdnsHArcTnqVOOtume5anH3OEZqYeamwgpyPQCLULNmATgSGiu9OS884dRaWZ55Aacc WmiTJlA7tusoN07w9HO7rw+rONIkoJqMav9jojpiC9tQ697UK9VPdrb7Wz7awjJDdrZahue84EK4 2foJbOHgfLg6+oRwxv4fD0zFFnwfgO4edcd0ptVhBt1tT6UtZRMNrh2ifKB5FAURP07dY6OHEt0m xXNI+oKC0066bbA33O6CN33Tv0if7dq3KgugdXIoOAcWaJWQmu2yeq5fRjDhd/35jbH0qAV/0DV8 +RDTvEOixcryvNFsb+UWHl5WfXL0UdYp7ylSF8kZjCUhfY0pPx+6DUn28R+Pqee97JKEPpEsNeEV rQKQLkfHsLzX8xNPFNa/chxecCHff5YxBKyO01pBtCN9yqXsmbEa0SYAW4o1QsgFzopC+GE2+BDR bYOTPuq28wYONn8Wt3QZlO8GeRj/0wcrzz9mz1map+zRE8IEDPT0ZT3V58CkGR2y2002V/EaQ2ZG h7b3LUAYqZ1CBXmknAmwt3e18d+PQndHdW7ar4AqK6n0PzOxJloLVmFfuGEgfEzzZ4LRdFOdBDCb kTPPXnCC7UpLyQhSKzwwINasEG0ieS1G9EescJe9wIt5MbNonOmdFjkV3ESetZI52EgGyowkCEr2 PlueTO+k3SGdrYjKqIRULdj7OemRSpg/0sW/7RAytffpusPATe/60qlLbBz9RA8IaIsvKk5R7j6k EgVifHEHAmlDpQLfVMH/aWvn5Yf7YlWy+rWn19WRsXA/rXsT3Egqd9fmIbfaDTBhb+VdC0jSbh/a P7ODxD1YzDVfp3eTg+f6f6yzuT9kJDySgsfW/nNs7zfVPePtaEK9bSGbUsWdV0Zj6XnqWnOG0TeU ijv1ytrq1r1o9x6ed2Re3e5w01XUrYIsl3EwCk/i/QbfrsQgWH+QdWmf5luDOfXfKXrYbeaF4Jj0 wWUMaEjvKncO3F0kX8/zpKbXlDkXZvzm23VHS+rzY6i8N7m9tXpyt1Se/FEbKexQ5UlAYCu03+8X 4dobmXgRfruj5SN9E/ppoJk+emW1nDZQdDUlqxqwSvhQg+fMVgw16P1qj6WH4o5t5kG7gnFlansg sDUhtoKfbyUK+Z9ZM7QkoJHXRXCmq7L+D6n+aBfXj3VtxOhE6IQzhv6vRf+P/7Fhg2U+QHX95Ik5 U9xNAQcKtyWJy7PZ6TuxYdhcEsTtWprw9twjtG2vo3QH+jaVzhFktuWgiifsN4WIUxJGybvU4R3A VN2nKtp0zyLEjW+lP/qI6NZoU36sYpQjYJUjYsRqwdY0sC/oajy2XWrwcUol68geOu3Gwi/prO/6 o7K401NrQhBCUT+xViVYPcvHZe/OGNVfom0qZzbxwRO2dWyMoXO9FGy34chd+Jri7HKOfbPlotmA UoRlBCfA26xwmXgweSgqfI1WxhMH7COvv3zvsLFjKfHELjjKMxEdu17WDTZZ25Za4OSSXMqjpjZn JIhsoLJ/QsaGFq8HPp8+X3v00SiItbL2KYS42X7vuvWiBiwWC0QcMcZ7v2A7Ywc7W0xlzZUilMA0 wh3PIocGvREpPivck7M6tWFnZgN2QTb2rzvI8QRq+KSEt282WFu03cFhpAy7BPAm3iDyMzPxx3qe /29IRkByWtjNmXE8N6Fz6zdUYYRHPR6u9quMaXoarlMyIC4oYTqeT5Q/L/nf63ROsifOOCvCz1Ew VfMMbyrk2G7Z1V+QyG70OXmU1vQqRAa/co0hqZGHARt60y9VdNSm4b8R058m0yXRcq/ZYsQfPtRr pSAlCR6E6Tvp1RxFGXBsggaxx5ng4RqYi6CAmiWI9CW7kbw9DfZjSOl21Lb9DkXDYQZ48jBcPx2T FEoQAXINgd6CE4iJ1q8+yOePW+XuGTzV14hM38KvBkJUzSIGg+o+y3eXyoLULcY3M+s8GIOhiZSq xeUSpk7zuWk7paVSQA6goYpQxhpyU1Zu5axRmoWd+tEsMNq1bsUhS+5GcYOatUV8jR+vsLuMyBeU ztzapglqnXUkWBaeyi701IjCwnVzJN4pYmgv/zhvy7ANUr0WQIJo7IKSlVfGGSATKufSBSjTwDIC dGz3UOI0opkuFX/7B9wtmMsvDSYyNb4mNJt7BBDhORYIgExBukNrC0nnBI5B+RkCZu1TnmTzWv3a KTsQ0yPJkaN3FJyP0JUi0cU5i32hNj/gGh7AwybbAGNV3PpMIgKpiiJFvvewy3U66SA5dYoCWzee YL23vTTAISYW7VJmCXzNiClnuSfNxAn6QqJJYB9HyMnlM8hAOYn0mOjIdcL1y6DXDVJyg8hIqgAQ 64G/4udzMfCbWrgtnhg+buI7aQTrWhzU3LMdRo53zO3vQnK5K8OQYtth1eJfokOHoyFLWKwnEVhK dLJ4WuQdH6vzQkioP7luNc+k91DltogkC3gG7k0mepmYdETUJrxNTW0Wq3OSkV8pdjSe4u1mROYD sLKrfLto6vS53/yWElKOi9KEerw6zjSFY9Qi9JMAys9yKTaXfAglBHExijJpxJn+mHzw8PE0znMG Gz51yMx7H9HIMPJXyRMvKCVZdXbYx+VzBRSx3pqJT85CRC3dg71jHR9UHl+/0P+G8IKAhsw+4GR+ KmAdygz1rI8oHGW59gMH+LcDEglgwpgLGoPMJqvlgtXha4swXwG0Q93jmxlBkyN5LKm8TveATM6L NKVyTZdip/QzTs4WWd8eNhXuh4QvoVP+9udFmTxVSYm9LPy4vb84iefUK46emXPx9fHgUcL8AxNs iWI5tYJi6mb1rCbkgf0Vx94EFARc+mf2cDsKcXdN8l4wlNo7jwIbHIfJ/oBArx0E3BKQqf6tG9zR FOaN9NysKQe5na7AW+rC0BmO1Y8YExgjbKuVn0F4gY1cLjFBqAea7LDZLu1lFZlP8vIvubdaBRDV GG1RvNZVsrLK4KRtUBMVQW8YBm2/FlyahER3hd7MYYpyJxOafmde1oUyxUh4c7WnxY/kRzC9gH0w CzScYSiuhayF+7c4pLrk2puDmoQFlGsqVu+kxRNgh1juOqDvdlrn4og8Tj72C0bDUkgMIxUvbpbp tZPwhe1PaCiQdpqinTExFm7FEkRTu0Ymd68N0urGgDnEQZ0sLoyAAsSkWSOpXB4xtfSQSk169aAe he9kDRfu7pxtp07F/nU1PN5xzAThsZYmhdhLBScTQ2EZ2v2orIdlt/FmUeVBpP/7lV4vLLIJdPKF DWMEvqN7dwhT5L+r07UcXzYYP5lpSnDg0YzcnmjHFAG20GuHJjXcPpA+aO4jij0yjIREtCMT9dUi 0rD7A1PTSQk8rpsTdsP3QwOePmh94PjBoEG0OTseTq6iLqRw4eXY/aiNJiLuDngR9iVHY8Bf43AJ 0z5zzHy+BCk/oABV5KWkO832yukyYLn3D8ap66bw6w+D2WRECflLYfZV1yfKEVYQaK6V0cGRQl6S c0byfhYN8c0AdMNgVM5rjpAoN18KDB5xsnkt6DpvKvfk5sNZlujl+Ik1kHsEC0a6ESdw57pVPJYA qIAkfz61fqM1QNQJUQas1N28nb0sASIp624jDvrbxLcT54lHVoLInyo/Y8zUDpg8oRkPZQ28OntW j+ICB3etynbA3oUA0zcgwyq6lF9vH2s/I0G6+zXvCkuT/XKwMLkttiKanlow7zHougJkzSSQ7YYn nxHqHvSwXQ5CBI87sk7aDgbhd5c7rMPeaeqF7xAswPlsk/ziIrU2+Aafz9n3v1BNysWwH/L/xhgA vEY2NIlA9VLOEVhZOdEcbXQ1vDbsgaoMEEsaR8lhTvSJHXA4Nc+suGvcfr76qvkI5K12OfGiKxPM 4yXy8IkcgjqlD8OvPYeOu3DD8TbzWrDB/GM5AwKttimzOep0Z4WxF0R09zDkm3R4HunIRzbyu0jy oW+6NwX0dl5ioNeUtV5Jug9y3vgJ+v1bZQViKUS9tasrcGDAQnJloTZnrpkTNrxgZjSmGtOxPc7r KY6Zuv+9YqUAs3MxfzZB93IUauDfhI8CBTXmPyCEsz8d8M+1qNX4RjsuUpsMMGbxGZJvjiXhh7Kq 95ZdWaVS1obDcyn2hLpXUS8zfpq73/cp3JVHVtMhUuTntveUZNyGC+bUR9mXoSLV05B7Kwu7phcy tMceJtxuchcD1M9LzRYpLRsptZkick3DZj+XE2/tw+T4JV7YK+dbem87ddW3innQcbBx8r/nudfc eAn31FSOHZZawb2LHzgX6Y/QwSLanJrL9K8VPfDKMxCxJ+XGhHlCXcxtw9ibGTbYfbcJhudI1sTF 0Qjy+wwWZ6EbeNUlg/swAuK6ydlt5LhAmjEkSaG0PR+5WwGlA0wJ5kbi3LseFfXFu3onVEMyWuTl GatCG1M7ihGm6jh/yRjGflYzY56RYNJaVdOcm2Pl6AwLPAlAKzYBhqFl12LokJBHRvPD1WIzH2B3 herHeanwQ74YuKjseaLpXF3EY5IXaTChe1L1a/zzg4FshtZbmgQaNlzSEegvqhi1ZBVKNm2IEDl8 ughohBqryvvrfKJJ3Wg1JMRHfkhxQL3SZC7hyKnim5FcmgwyT+rAkEwlPy2T6wUcGWy/GnH6/W4X CaxoYouWsM/R0gB4B45hXh2svsWx4Rz1Pb7vdNGfMJp1jdwUa3/wQUVJL5XVeVPN1gnPRzSGAIq4 eXC9GtLv+y0BbfKleQoPinYtkHWqR0k/ERV0YqQSqAtbiGzHcIIbUA94ykFIkPLaHMeNfkA4Piwf KQ0Q1pC9XPrJKTAijpChHkg5DuSwAYL46TI2NSKbjZmpZKmGvl9r+YgE+i8kyGQl6P/+4z+hcWqv Y+CzYxdgeq6HHWHhtqWvyHHsDF70hbXzTUU9W1khLrXDRNptdm5rUx5a4QG91XMe9eS03PJ9cxGJ O6B7CiS9SZITfzCIRF1kfbHaLDkoDCUAhSRTibmMjpZJWM22njF1ZSlXEotLHhxrLV/++KcIl9gd OghgVkucyxUXQYLSR5emFXljSjm65i3AwGexptxXPeDP62AfsHvlLUzHPExMd/Y218FZtA2qoQew gZJeFzsTB9gbKxyCJz4n7Cnl+xtwLjUgVY6hm+QpB8o11ss2owaHq+CqHeNSURysNi5jYDAG8sBz T6I9srsrJwEiBo363TYSLu0OdEydps5HmdixJEIwJ4lCf+aKBeujK/ERgjJiCpOGwXiCO9H3sUq9 Cd1rYl6y5BhNAZowA0RmNmq4ultZXTvfFWNTXqGmywIUfF7asNL6yJ84MO8uQftTfa4iImZC2Cd7 BE4cPw2J6qFn7AeH7fQkTOIg5FS0FyX5NdJffHjzhPz7ZPgp6PRTwj29eNisFddMuCr0cl7VeO92 lLb89vS2jw5/W7ztbvqx7YD9Vfz92MA8wF86/C59NE77mycYS9NtxcKZFU5BNI7k+Vz7WA1vu4Hh pwe5t+CUAfw6/SJm3Oo79eHOiBsVWEaqknNwTaOxFGQPKxV/t0ohGS7y8rCpsm1Fe3lQVeeiZl0k V5N+8yRFzG5P93OvesZV7SySQWMkUulHHR7E0wkMTuw/RKsoJZr5oRvBfTYNIIODKN0ECNBFyZDL odT9vw9flSgTrT/WrRNQIwt6PrsJpy68lcjjrfgjHfTIkPmr0Fps14WQ9vdCK5M+powSx7oqV03x +Zg/5GQIHMMagAkD0mUufrvb3RelWcjMlDik/ZQBW+hGfWNTbca1xXlaQ6wd/VzGo8ohmspx0856 UnZ0HL1n0Iwp7CLkp4vwZrnYYemawvNEfWx1+clLiDD+xkp/TV+cWljFIDhQIFbK44MH5fNkYXgj ig6zRC4E/yfTGm9ujwkgi+aqRw624w9+3WkOSneC3cLK+L74A0Nh9caWacLlrSgJFYnsslFZl5gW xx8xmvnLtlBbqi2proG+xuxVx/ywJmXF2+btmwvI3YbW0HwaqhDFm6Zp2Ulmy3VYcHde6MwqKnOZ YpVzAK793AIVsPb45xeGN3ifKWnLogA6MY2fyKj18QTcp55S5FAyKxArQj+mDV6LYjRVDPQsOvqK FYjrog58+dibJtI69oKfw8Fx7Ic/PoPiCCYQtzyRmsggkfia8KBkqPhelNWYYgrgNZyXPuq9TlNZ rVIzpuxzRET/DOD5sCi+XNRA1+c/MCZW0yKQsVDpLQwin7FiZWCytUMFF3+H5mthRgFCcLdBbcM8 fFf0jmnYFUm7l5oOVQOZvU6fdBgtzzZPbO1s/9pssoEpn2AuPnTxLIIliMY7HdZI269DZxS9zNkM Z3c1FCHLXvv44iTxSGBh+E27bo1KY8SJCrgQEr1wxhO7L/ytOkZPjy9qe0i1onrQBrfll4WA0yD+ rIue0Ucc1JSpCAhet+bsmWZI7NaRk/L1LY/Hp/9rB7y3KAs8ou0Kd09InV69uAm5CoF9N9BiGFbT vIRV7L9IrVFXKjQ5Qg8d2N21XKXrTVvZXl0ILwQOLcpBAAuiONEl4tFlHbvE5IOp5AOVQO/O1qZJ HaifiABNimkenTOnrUcj69zBiLSN5cFKrdlnOaV9AiFpw/yb2J6tmfstrkFYCnA6RiMs/3umrcMh 5GYKy7OK0ByWbmtE/roAnTtOqZzam8b68s3hZjt/piuISW/ypAAkJXiOa4Xhiw7VUmlkhJ0+/8hO xTDvaFiKzs3vxoGMS8Q1MESnpvMU4a+4rbNgBrPretleqC/i/QlS8KixbObhukePu38AybJ5EYTi OSkM8c/ARto9ZyoPFieiu9l4mNVh/OsRh4/XtqOTbq1HMhpT+0Dg9wVQ7WB8XZIgThjrp0zsKp8f usrZXLygEFCb+PzdZMfFME2TRRuBmntlvFxqfqs43NIDqh2BKdOVHv71GD+9GyTof+E5EJJmaaWC QGRn0II2tkJWf3bQ7KOJaTBM/VRtpxjLveAc7qtEWI4GjvBGYsQQuweISNzpjM6qSNJT5/mvJqvf Eg/d+diX9naO6sfV9V1czb1daAcEHtjEHweGgI4C5pWYeWJx0ZAd4WkiWgVuYpEUtUt+cY9vrJxo xHuFy8gGaPoj6l5oSQ74kr2KIXeRTIx2GRNcfZqhDaNTOSXNYEEcssxL0qQYqFhaRUDED4D7uG8X SCFqJUcmyrNr0M4k6pezm3vNYqqWpS5nWxQIYogXcwbHdw3gJcMudq75IT/M5NQtCmmHz+gdS6dP OvtJjUTwosLtstC7MVCh2hUT3mNV4gWuJwzYaLm7KeiXGZpht3mWtrohozdPKZw0bQ0i+krawSEl wquM1rn+n97YKd/odcfHgOcarfexcwhSbWqMR1DAbFkG9arML+tK71xhw0DnDXnb6nHH7CccjzJA eZUFrGmZBIo5+XjHppHmKutkRQlcouVHRRLu4gyjIo5+Nd2b+XmJOcLFujdzkLUvKEqSaFffRx71 GcIk9DdAuPo149z5gyKWesOW5IIp4ZVTQy7MNDDvaan6sKIDro+WpFV4uKicz3seTpn0h9vvmJED +DtM9tfxqVi1uSZhfyv1AkSz8+Z+uvQpK/yXUxguhoKYwuu/di3lKGFFPxmB+g8qguHL/BxyYJQD wVcD0yCd1NCrH0j2APivGZSDYKB7HpIKhhnMG1pg01dkAIFGGRvIYFrYwsNpGyx2HwL7KgL2nwvS Z7RrcSkvIooKXOJSuC2/E54E19axbXmcJg3gdnvy2Y/aIpLJx2JAud2F0t2e4+Sv29qHZuWSSmfz 5t3pE7g3QLbpPLA3Vazl0PIJVAKhKHfywG+r6PyJ3r6oxldpBD7IfSoHDcRExFitcPaIhmJuAYWL ycqddy+/7JSYsVGkRwC1PmXUBQoE7YAA3PWk8cHrn44VBJE0/RUqLFW+kIUHmwO6exOasWyxz3lp yNWgqm5ab76xVvFQ2gZeVFLAdtcrqQTZhDnj74/EBzG9KV0P4QWtQYQq+HuzcgIseHlVnsO8baNU j8w7iQY3HRAl59uA/rzPOsSZJmY7BAAaY9fxrqOSQbPkLJBLImFsC5wAy8TWdChd3h2mJWC/S8MK Wcl+ybtq20eRQOpKlsloyaUY2a/shsx5/xrudE9aMN3IdOUud0UI7GGIsI0gkU+FnLSRT3D1ZFYB eeZ/MIK8XBFpyjVdD5sPhWXEIsz/TJiJ3djwrQB/0zSiPh9PrW5dyYb4nr468D3DVALDcixYHnNl TRnfVjGcUUVrC7+0QOHC06T0vvQ5rXvBmEWMTk28EugyBeUYfWa+5Q/D7u5XSkkdIep5vYNaKfL7 fEX8GtOp10UArbPp/PSMZ4GPrZM0Rd1592ADSAcONWkJdYL2V0Dk0S79N8LlrZ1beom1DhJec6Gr pGcaokz0eaFKL1VCigRaHVvYs887cvvohZ2N/OVj29zqgApd2b7KgtMFzdoFMSJdGD1L1zxCnn3E MNsVYDTgnMRXf8/t7qd47Zx8EhwISwj4dKMEsj48D/nMKIDmentC0QXLN18t5M1Ke51F3J5VF1o1 bqBDfzeqZEjQTsxIjcTM8titktsAD47RvDwGxILVDFLOWGrHFLaAS/t7et0jYC2vjgWGO2Lk+YZq AxMJBtoYPm8ujz87O7pFiBlEEFtrE+TPa+5YjVNZ7PFEQ9JdBkMmllh8oOrsF6Tcn34ufrMFPD8J 8QceS6G9Cg5xqapF0pvZHdZ8jczut4TV62WteaBLJ4SRwyF8QiKXJSqF45SLvejtBWDLwe1fgw6i gq52N8uVJ/sbv9YkYiK1tKYPSn5+eFMAGjJV3k/13LxEyB2wvYqW0dMlR9jHBKUF5fnDM88+9viP 1fIpo8Q1yE52HSJwVg4gkO0Iqe8cXw96pHVb1Cwss8Z/oll5pX+zXuHgcT7kVMNVOnR7DmCgXovM 60o9bKChjF82gJh3uDFzQtpLl6MqR/tnwAAvCbVzNVerWWu4Aztlcbq4Js8ef5UfvHMzPhXaFGzB V5JVwWzzvrHudSfLjGXBlTASRmxPSLNTpujQRyvaSDBZ3B9LouKxlZ9CpxBOafvF8O2+mDAp6DQb 2ffh/AITYSN9AoXm9yo2Yz99qWmDdXqAUPhKfqXdM6YTGPea3O5+6HdXVXtHQl3AUhG80kSjJEuI v03Jgp3eXwUyoso1Y6UEYJbJAPReBA+zqYO/tqpUcE7H/a8jI601t66D+Sz1h6H1aU6AcBVXOJJ8 Ir8YTzEFXNkuBMQ1FGzd1OLw3UXOIibNBOKMFhUE2toy2FzXy8MmyYMalEGiK/78sj8saXtaxXst YrdytIYJt3HCuETJD/MjOqEogZANr2jeAlFNgi+BlNvBTuDb+jTdfG8SH8CHsIsU/ZalrNQLBBNe edr0oRgvkzloQfiHcVxRg8BhDP3kpus8YOf05S8Ap32EiNxG+sq+jCmb5Lsy1zVmWN8NQYogAYmE MDs1AM4D9Ue+U9yDE3EBIi7wgTSXqAdRCkKkxvV9H0GAY91U4burMzj+NVplF1nPbVaaiRx17XdE 6sOXa9UjpWqJPx+jbt/melZblTKGUTuq8wYo8r7H0Om28lOwtHd8O6ra7oym3QLh0+F6NMSQ3Zt/ 3fUGthrhLB+44XkEsesWC6afWLEGzXcOmqNaYS0EebVEhj3jVo0AncRKn7N04RsIyz/n1NatcxhV QAMhMceSUzvi49f6jmzyYTZdMqcHBys/kGLbmb+AAaJ0fm2y+zhzey6wzoFy2qXQak2fT3qbSQFF P4VoYMvIuMsuU24KbB+zS+ywuvVMQACu9F6ocsqas0O2m3IK24Ii0jC25IWuYQYIqiLa/ByHSzQN NqQIigXwhPR5FmQ13T6miykARyMGB6xFOgEFfqq9R8xk7jzYbTuZdhaA25qJn2rGaGts44EX12tJ iy5lBZgAh9qLtrR8NVb7FNve/c5POznqbQpa+BgxmGnlJl+hvJ8GQMwbZHSsm3PXUBhZWR7DASP4 45uj5uuqYMnKELsHNlnDBCXeo1UhxxyJ3eyoyjNW/ma/OEs1Fukf21H7Emnd+2p2nAZI6hXcy/3y 7IfT4qs0gBiZTIGmpyf0Acj9NJxKK8jNjGj+VJYjauDCi1tJ6KtYLnk+pQEjZ4asiX68iXFhaevC EjKXdYOAoWqhbHc8asRVUiWEezSFE5CcRhP/hf35lzMe6kQ24uODGlksJSkWP2G/xGpmFOxpbPG8 uCpCG0VoOyvRgeYaWGZjFeVJJl/5D+R14r9Qan9VcxRhOZAUyAUXmWjza30PDE+jvhdAQjiS3v/B zgGcsbxFiVlQoLYcWjp3t4G/eQzzud8sG4aa0/D2rXW9mHdVT+5akmxv2s5AwkFiV1hZNI8h/AiF Y4+FcPwzmb49Q8WWu4/7eXZvRSQMXJff5zxDE0F73O7MECNHE60AIl+dP3Pe0A7IY9DZTdOvSX33 RO4ZzdfVBTYQ51j+JWSPmxkJ05KPhb7RN8DceDzjHjX1ryGWy1NG9qR6TMQ7FHp1hrVdn0QBj3m6 FwxWF81J4DlZ9geEryNdIUi+gS+bpuqvSidM8/cx/3oY7N1YJtc35nv7F0Eis6z4q79l7cimbM0Z Ytpe3tHVZSNRYjzROwLaVFtoWeLqK35DoNlmU3ThH+mCiIXfsckRuJBf1OpTLp2lwAu6tWiRzUI1 u1QiA1b2YUhir0R+icf//uCt8pvR1wazoGmqJWiRhZutPiXWgKhDrrROJwBoE74EF0JjPQUu39CR HfLgCWoMiGwtrz9ybRtbCXpQGkCrHjM5FWb3rapAz7wZ3nTIGjQmSmY8dPvoZ6ecMR0Ra6OpR5lI gVSukoaMkEhDAaIEn6G6G2TMVdmt9vkdhnxwkIbY3G1DeBqueN1b/zwbX2ctJFult+Xn2UYOfrex a6rPJ1w3WsBYEvBbsUCcPjlaM3dDD5/Z/PZDm+d314CmYDPYGZslm6HyOu9MEkWTSRK22M1Khm27 dkX67vKbBcZkg5GeOw11VzrBGlircpc2R9LrH9ZGBCuzdYwUCVUtNRYgBOUJ3FPN69BJWbEqu8E4 V0OzixsPSc9Pro8WNfkvI2tIJ03TAtsuDx5IBB3SvppwpzhtT9vcH9PnJ+udU0B6yjRaQc9xHpau SobxmUEzSRkjQJRAzaIz7JnBgKsfkgBVSzcHNrhtezpfWeFDdSEDRxMK5n3ndgdd5reJg0L6yQ59 CQHFDrGT8TGKVOBxq1BZmPjIUBJmeEjjSCA/FaJrbiIhnGxRYAoeeaprxsoiO4423bhKWEaUnIci WmjXYvNn+PS9PnOuQYq8I9ljTur3DGRNddTNlKCQcD81AsbinX6TJNfbFmcDoZl1ZD1nQ85cBqxE /MnHrtGDKjN7IUbdlKsv1J67ESVT0DH90pmQ2mBMiW8/aR4l7Q3Kq7YrBNayANyvSADR6AcU5WI8 yshBquZipQ/w2brU8/9ySxkzklCvHaI59njklcBC8kofkcvka+/irhxVtPaW5N9Q2lvWgiG8s4wu A47JK50qSg5uUXwFxqsN6W3knR/WmTBnm9ZuHJcr0IEnu6xrjeqVpjuxREMR5bngmDEjMuBMrCvh MdlqAWo22wQHnEh3k+vsBJAl4u0Y9W85RRk53KFwR/aKysF72Xdt0alBgOog9s4C7ptj4W4+fVH+ BPxQ+KFT3ebhA8AfIZY1ewl+SCzDw+d2axVkQrZSQK9k4Ym/ij29weLInFlxQbBUrz69qmIFlz5i 7gyam/5ufeKqLeTjlihVyizGFnwEnDXoOYwXBfIxwtYeW56SQqjISGTJqizyqXawVEMSrqHjquN5 fikLh7PtSoMpDcewyUgl4xgUlOFLD2/peOMqq+KCfPYv9XU2C3z1C0t/Jr/ZYS+RzU9y0y6KgXo4 u/Z4QEmidijJvSbUPqICUxOZ4vZu4vU/bEJCaiwMEb/bEmbYtSXeAI1o11sz1yNgI5gdzJTwaZyl ZzjvAKs5lKq6H1fakJO414Dqcp+T0Ngzul0nuSkfi6TGngdMJes7RsIXY9ZoeBZ4QpqejZot7s+k +DZvQCHxPFGYin00tA8D3poUxS7VmPtKa0D+O/beqbA1cO6ylyJbqsFdwjwpEdN8DuEPlAfz57v0 rAGWiWFUTh36oZJDDLui0OaT0TkyoLtBrw9Li9O89pNCg9ZWyqSwFPlYPvB6XR42csAW1WVDPuoV Mzd8ZJ6zVdfH5skqDs+7Br/ZCXAHiL5EIcJwHl12a5/zUC58uhV/pMy5JbHnEHHydj/f+73RsrtI ZfyLCb8yX/zxzuAbs4HvYijQYbtBuWRFUYmAyCCKSl4hZ3mifqWmHI8+pfF22indCWJ9iNl0TQbJ o15iuQrkj4Qfv8ZqxHSilrwHgwK2Zk1RJfZ4PBa0iUYCj92haRva1fAO4HqKRonXNGdRvBc0kQV8 EcjGoraFmXN+2vRuHj5dm8+REzYGAfdbJzTXnNJF2xd1ikf7Ou3oj9jcBVZQEaJuIXcZDEUaSbUr rERpTt0s4eeQ8L/eDF/KF5Y9UO8NxVctuZRK6mL9WvxLz8pc74rgX/ghYAlX87uEJD8i+rgvOAPy Lu7Dx8kkqPcg4du8G5toV13AsZbi3xDspxo+eWwDhzPrN549e7UKK3gv1zaTjnJ01eHfvE7ip2SZ 99p19WA0fIhvw1rVxSKGcbA4G3WMzIbrNCzv6SqwMMdetbtMhFzPlhYSatbhUMSX8dybH/q4Vvis DvoMh6mPxffekn8glz56K4cpjYr26XCS17rdzyQWYYnlK65HtGUws3r8TRgUizw7uGqbH8/LG5io MYfxKnVAKFnMCf5kR6QbUQdj0jbP/bWyxcmo9F3ajrSja9l3sJz8wMQZba2tWgnkp5MqkHG31DyI z1tWJvcYmgw9BfPyrBzCGL6e2i4wUluAbSGluMtb+kvebU+XTYMhcJZKwicMNF76nvtNt55QZ+5R SYN/HSz+eO3odvlw0vlcYbCAc32Ix8Vn4j0jPX9oQP8gD8pldv63cSFdZFn9fppaYNTfKsqwhpJI Y4n2XedXdWNjmwwd5+QGELYqhqEGzPvsK03cgTteU/Seyioh+3C+EES3upviUE1MrqYwa7FsPd9r W8jM2Ua8+IJnpMTCF5xEvyzO6/xVu+b7xtW+cfDyzISQ2auwyL7oAlekEQltjHd05QcyVpWzOdgk zH5cImGJmhjGJXwMFT2GsLzaMEWBmiCygTZE4C5PXlDMlQoxc2YkyuA+i/1kVaASBC7HJDIq/EHt 2csEcZfSBO3Sn+6YLZvvBwI9MrB73WoQ+ZInrHy3Wa9mK0nsy72ymjgIwvuDs0msVIqylSp73eFC OtkgmZSbyPGbYziTwwE3AzmqSax47F/1gCOKIzFvZ+WurNkSZXl5jMOuub2rMHDdHJI91kMF4H8k oIXRxoUvndL2X6La2BnBHwTOg3E6sXKxmmEQXR/rjhQd3uG5pJGRaZB8oKUYQOLvkr0LViim2WJn aOZL72EqqXJTtdJXbr2wegz9pvzenyT85H3u3EzybAq1WnhUjHngihWzI5oVxp2S9QNsS2Wruidv RkM9cbE3/iZorEUMTVP7FzJc0MAwp79gZcqicwLfJpaGX+5qh94ebRNa66mC45d8IORHHmS7woQW HW8SF/1fXIh68HfyilXBWi+F0cZnW3FTIqhgELWqNgoKeg9Zq48A3DhpPxhtKjjB+KtA4qKxtzd1 WV3uy5LuUzGr16W8PnRA5MUHyysRiqR8y381vHKnA2PKmmbggpJnWr882ADgqNwriS/fnMQmYaqc 9I1x6J1TlA3LkWlN9GSbUeA+1p+lNNA3w8n5OYzfjbkBHdb1vWDCK9iv0iXBoMVHnCNJ/t9KlCOA tzSALcpBbpTiTWQlAcDFNV13GBFiEmPf5Mqz4b7J1+apB389RptXyMTFNzF7k0QNZ4KTNXl4qW4G h6Yyc8nzFcSDgUEuC+7ESY4HRFzM45Gd6zqvO0j8G6D+rqTfNHgft/mJ3Ndu0RFkEBv9P9HV3GxJ e+XDLr9jU8y/JDxqQRd9C5DNr4sx16a6gguKfQwD0mTB4JB8t1r3lYnaBH00gcb8ZC/LLQnSvxHZ SVZA12h6uzFwf1EdlhPyh6vCdswwqiUTxmVl0G9m8aQseVwP38eQ/0YLZXjaztfEvr98CwnZvK6L wPtlhblVeK8EsMsKNRjIwqNDu0jYGAGy8Zbqwy2HgOipJnDsr4YeQ3o/Q93UmYc9Qg3Mblizdi+0 xVqjTHfIDGTG9XsSZvoI2EHuAioS343IUapUtKVHK02g2ahHGPQv/pFB3nWy7o8EpRKtQWyfaLXu 1eqOmITuRkwG9Rqao0nBYyEuQm9l+y+htXFqB8BeSvP5X5jiZeWIpg65bY6ad5AnuP8j0+N2C8kC hUT3+xD0K7+tfqiLfLpybKjZeYNWgCK637ezn3AduDfHhENIPixxx1DgUaisQocmhElsTUDFGN45 S88b4Ei9U2JtUaAcY4wNcYZI93WbqtCPFCxR8+oyDAsOLzj4lsXqNVWN0Fs3LvF7fwdMHpsSFYg9 137DAFbvs3fBILxRGt9PzgT7x2wHu6ox/xbD+JaT/dh+Vxv6W+IfD2YUbVqWOLmfhnXujHB0qlyc vfA01UvgUlXA7IwzcEaeCoBi4+VjOksGmQqp2jUfhOY2vEysMGrzMda9qtnvDUrFZYteuePrgHNe m7W0pBjp+KO6NOhN7oa2YxnBzjWdKz1dFWWEWXOxMB62olqJRF/17SQIJ0FyCFjsp1tLfT/XPyZ+ ieKIZAIHVJ5GvHgVRFoDkvLxVy98lZtJYtWzkDynet+SCp9q7FZ6c3pCL5P3RFamV5AyRpqTuLcB 4fTCs8nPIOtpuB5twTbBYgcWYQSHMqfD3Cvh5TfxhgWcERwhYS5s+pIadu05cR35qb3Cf47FOUhJ PEGDVCTEbS6nWIu+i3T9pbwwfC/PrIg8Gx6MkYqSkUDEqAr6IskCDyEwvk/lMa3yc2B0iU5YUaax 6/3S8AUrgUuc+LMY8mE72aZ1N3hn/MyzqJ+eVs0RoocxPZHWYzbZt6QfKkgwmx6lewWbhG409GQ4 3KGcJQJdyZu8neoNrFRiV39C1+Ema+2clZd0iEWhpmU2HERsDvAucDr0iskE+Pyd+z2zpVTuB6af m2S4Zh0nqeC+UNYLBU2jJZziokLDui1vcoFKhR+XSD9XF46usBYursD0yuME7xykrauZaloPdzOl P30izZ+ATwrasuUqDPPAN3Qnxc2RXzbhaPJSypL+qv9O3RmO+eF+NSQGxh35leMWtu/2vn/275ey KRt7jyS5Y4lXqN3mTxNRaLLnCS8Vo/5Kzwp56UeCCyDoAL6LO0Ke1Wzz4GQDjP89bDy9Tx3NmJRx wAX8cwFMg6VDcULNnE+AdTC2pbtIZimqoMwIQwzkzQBs9SYI6lzEwc/5lTqfqKdh9qZGqIH8ZT6Y gC2ety89Q10X1lIKjsfXDn8WO7JIx/rYYSqIjtp5mSsOS0Fa6z0JnZxyafX8lGzy8sL0cq4+vtWO OSkBy36OysKL7y/gOLTTc0kICyJlpLOZ8tAbz45cLkZlyAzqWJijABMLOYAH2euiF2Y2aFzR4IfP qBoNpj7MA1QoBf8rS7jk9dg+sQJw5ayU1LRuz5oY1fzGTFCEFLsvPo0+hTgrH+aP6e4nZyTi+4No ND37y4+9WR8as9RGgcKnnSM/pExqiRPgtfteEkMSL808VXA8/49xCp0Mwkgs0LF8SJnsQuIe3+dw vTb/x9/z26BENJUBbctJlRCLBfu8692FD0MX9J4w0GRmK8jFx6YrbZYXxZRiSEN4xOa4ABsXWj65 dkAVTG6XnVznvUViXb+7J65UmmQcxq4k9VQMLC/6FqFDQvubSybxhjDq36iUzrWAxRMNt8jaEJnq T5gfwXd27Klh57IzKiA9m6J1PjPxbJugsweKso2okfRub79dMkMeFWnTXbWDhjiq0ffAFlGrWZo3 eZtigTU/LNk5dykciSmBB+/QPReVESLvmD+XCtcIyOCpcR6+Cttjm07BdWlfqT8ODQb2dWHz3ce4 2p71D/fmAqPXNh18Ull7pciTifiCVTKV3+XsvIk8uQmdkj5hI1Nr3D9ITxjkQz8b7B24mfa4VK36 B5E5z430eJEWu4tfo9N2C5EVxdwyneqkJeGTHbbAd/2fotBIpTHDocGnK4mRyvwzYz3DzQF4M7Vw Ne5kjS+cyNDZ0nM/AnQfvkaiIz1j7Jqi4HIhGVzUJD38XLa6b37can1sSRrwifIg/1QBlEYJlUaA Jz6Xk+jmKmdrsvxV/9DY2zZsITiRUnKb/4SkXZkn6lk7MdojaTgAeLXODLkLW4b4dLLGg79JnUMy 2IukTXjWr/UPEyAqrzQS3x40aRJI8+69P3OVbEPc/0q+oZYpvAdI2nyE3E7fA1npRFzXvVqtPukM Ld49TF3RndrxYU12pQ3Fp8ugXXSFgT7V6BwzU9B0nPDzbqR0qJedbK9fXRbdORf7+m0KkI+INFwF n/hbFwS+VZifJjZr5gcZE09JdaDDNqRtE+4uEexc1FBnJD0bkZF8Ku2O+p/UmvA9dItXLpsv6Rwm 4jS7DwUmcuRI9xZA9XNMB1AdPwGwApDwd4ryZS5rx94omgyyvIoo/ncmcpa4yYhzkq2RfTRJ5ltA 9s7kh1Iz+D/AnkRQtrzDoPuAFDMSA9qtpjUHP7hFzEWePTovugwiaRXxyStMeg8s/jE9YtJ+rc+x poAIEdjLHksNIS/v4mtD2r4NYVgUJY00bz67p2E//O31vQgcXzp/cAsLfu1BNpd7p8LeHugZGCnE YDVuUm5sZ8cbb7suwOcpvLrVG8Q7yGElf8USs1YOJdA2gDpSCzi43yQQE/hnbEa7Ivq+iVssKJpe NbKwVLMF9k/++qJ8HuRYSg6t7DmINqLPe3pML8IcbcxgcZztgfkVmxA3KHRapsIdjxDDakIzwRNe bXtww8h7KDg1WZj/zVjW8xlrx2ovoxeCLIwboyc73zQ6B9gMyRdBZB8pnDR3O9S+WC3rcBDyduoX 8+7lYtARZDgEP93K4w+0YqYETuWnD+DHmqFGmyzw2vGuHCwimIFfD5xIC2nZlon18JIqlzoVjYXs nnrkjDySse5G6gBl+aAkGclxD7UJoOEUrLf2HeO1LjOJDBy600pVtOFe7nSReEACY3w7LNj1hnEP 8c/vW35sTokH6TPzwVVfWa/hE9nf5RKjzf0zFWaFtfKz6quAVuSnAxX6U84ZywAz94OzXVkq+MJq V2arAIirnYRGuchZ9ZCbk/OHYzjXtFkbObW9oOT705WScYBcfs/hxT0RbYXZZHmDWs/Mld56Fugq yC8w5vY1J2BgG2v5qiSrmuZ05ESAEngS3AQCfxtxmg/fm/8MrDApskdB4v+RVVkhBP47bTGJcdYV oivdLB6hWw5UcdDSLWabIyWgkH57eDKASFpfze44vyAqgqBLjur+Np/+WYk7XTTKTR2IepV7/ZFH ZUjRdcG+dzyLNBlw0VlUSoJ94b4lirL8xKcHCvzLxconOYb/eYc4TyTLRUXqJpiCTunwDvBTBRN4 FUAmxO2WtnHIu0FVpy3hmJG9OPMh919cL2nA6qswYIAHpNCx3iwByzq3hjPOPrNP6SuFqs1IRCP3 JHsN6IhOY41oUXSl+AfcEI1CuGoCsWXrppvhdqsMTdoAAeXsKuIHgGHGQNpee/+eDvcI/a4IIZ6P 7b/LR5QdEKfQpQUWNAM74VGu9SbMfOP7+C7M7FrRFQtI8QO07etvjR7tKgxZHklH/q4KDbTH5ahX HpiZSxImLiiolAsC3vOr1+Ck+uiRktUV1aaq3Gu3roH/YXg7plcymNtNbbSDeJiYjDNcvj6Vbw9E raInCJvTwqfCnaM9mzVLOOycQtJTa4LIunHp1RrzAd3R1sagDpbCPbP8WxZIMQH5UZbYkyYCnKgW Ks01hQPytTx+EHFUwjNJ+/uRJTe8NHnzfnRKY4jxBXbrJyM+Q0mN5ymATShIBQBFYWETtEG/zqS7 3OvGAL6JfalMns2DpFHh5/CcHRbXgLB3wluy5V+Tr9htn91jNTEp9wU9lZsDuXZXg6qNeOq728xi +ZhBQ3Yhc+YDvV5YOvWMsD9YNPz0VtsdAAwbqwOS+/X0d4MVRV0WgQ0zdTKuWSvJlKwELLUPF8xl uKRmTqRwc2H2J4XvX5W2dz9TfISorWxM1+gBh8buAV00z9Alq5IX6EuVH6iLjnYhQSh1c3vWRHnf bW1vEDPIYtlhCgN99LFCORyRk6Eezm8SbqkQyuF8EC0Xoyhq1KSuUKvAUMBH3jnG4zOdm6hg+O1w zsoEIERTx0vqZKhHPRf4OQUvRdEzMWNJEY3dUH+oqr+IubzQXIsS18Nk1fD+4nE7ppKrPtheMVFm E3AquxOo5x6cZAJlmwGexysnvnUCVhpxwmvLlJ7DUeSkxy8wqVFFc9P2rsSQOFE8QnIRrp1n4g4L pOgz7fgSdaE30Mdf31+6IYXKSsQInsNZUFScyPnD7b1/T8hCjxy86TQgQW249plxYGvNtZHQ57UN flUhyj4PwMem6L9VUoejHJAh2SmLeuQzxGlyNjJIsjHAKs3Sbtt2NKXDEXl7p3tKoMlKO1YOUnah EzSOoz0JqO6OAi7C3dDBzKDemeZWB4aSGYDuGdUsZKjgD6v9RBNCcxjVeJFdK6xKZ+1wHjHBtMm7 GOI6P5xFA7Jgn3rrVGczYnEbC40+MmIfzDFZaU5zKowI4h6CCN9dnMo8QwV/2OEGxUe+afdDx6az LafF8VJEvHyMOumVcnCaesT7pfv/fhULT4/D2gtj3YTIqiUZfGD0RS5OdIIGKRRDx40N55lR+yI2 MAoqpeqBl7VMJyT4mDJdUFf349SNvz8T1VUfJvy4czADjIN5X5AfW/GS+jjvts++sYasT5EBBn/p 1fG3f45nIT3AupYc8SXXPwWpmLl4FUB5ksZvbZptBZogJXyfjIUyuB7bzOhhGueSBi/4noTn1De7 TkuOkhuPbL57JWVHvFkS22xHbW2jhr6vxIAaEo8/8Nx6Mjboo6bXdeeAET8VgDKBqud53Kn/0+p5 3fXsu+qZRAAhwoFQboU+7Xb6HyxldOO64WCJb18ENxwWni09Cp5XU6LW2qkTe/2gPZBB1ESfHWjF JYyUr0ZeE6nqOgJRQ8Kh45MHW/cgpNOejw6dqjQuhqtCagsh/6DxhD4g8oq51BBXqxyZBAD2u/FM 4YE7idIH6/HyHMQtXO4L1/ZpXFTrAHgnjJtcIQCUnUzdwogefOXPXkzTRY5xVqNteY4Iy2FHBwO4 e8D1GcGTODqT+T58d2KrB/coC03AqC9qd3CWEJCZArER+J7T9qpf318jFnUWnxeXY5NkrwAm1quf Kr2fD6RHgSEPrOBzp+DKmdPNMvddBHRUO+8hL0yPEbjhLi4FPCgppOaGVUaUDhYkoLD2UT/YxXD4 E8qNqrzxEPhTn3ax6Jfc2OUNdPCH1NvmGiCsw/mpxwUN+87w4uPBJ4/aKqWFp2qJAsB6/w8gP49v tPPll89IS0pR7yzIMy81tXXiHHaQaWi+4Nuii5K4Ux+0z7+rg3a7YAhk37Eh025MCY/qbo8Gv6AY wUqpABTf5mpoX42/CPW4+haghDcRZwEYcq2u7PIw5Mg/GEHqBMUUgitgaHdiUkBgauMMdfrpctdD 3r6G+oK0ZW23O2FZyJm5GdJ7hPGQZMtBpKSaq7PnFkp+tEEUt3tAdwjNteptuFinuWIMb97y2QcT f1s1L3M4aAxtAnvjFQz5+cSg+IFEh+TnT8q/r9vscgaYytK5hRa7ffDB1np3ACbXXA1LTWx1EHKi +lE15H7jJ336xDNGaY92gk/NobdD/QXp5kyBpHiqymKy6so1jzuqKQVscJ2eumd8tnuF6sfUaSum XNgX/a3I7ZygtwA7mVkl41afMfr7oxc6LiOYlAcP4c6tDQBVGcSns9i5kAOlwKuzbTkpL+odDze/ NF1bqfwP8Zly6HZm2roIS0g/0UChmIuaOA5TS0zLsNOy7f42eKpkUZptwMX8v9X4i26hFpBsfiJa S6HYgQEyjoe3xiEO35e4sHxxKq7e3hnwfZAqLHpsxK78i6oSS2kgxYh9xgb11n1qc3Cf5p0ZptUy GySPXoQEkeSmXPW8Ol3jrx7INfhN/KOVvO970bxhKcrSK4Op08J0DlQXZ3IyEpZaOlxd+x1zxVhT IQGuUPsfLwx+cXDWoh1VQXDR/sgqRoWdVZwlGBjlir/OF84tEZplUH5uLWMzZnYQV/4yxgSEWfi+ NUt1X7VApznvW+pKHjjRZrIJ3kW6LntJSrp90DSbB2vXTouQI4yS/Gy6koIIFJ6wEJlk64JvBxDN 1MqYf/qi6oDVs+IXbJUM7hYrGkbNYtLF5TlgXX926P9lIQRbI4fUSg4aTF7dgjNhQyg5wyL2lXG0 QEgjvbybR7oZteU4y2iLGFRlPdJlP2y7WWEfUZSF0ri9bTXFsgt+/1D4NseWP3ZtEyxahfhiuGdo 3MALbyGLzFb6RBNBTFL6YJ93rzZuP6oXLrcAiun06o4QWbiQovvm6EgK7KDrXtDil3VQmHWQhZzO B3R2HVkxs7iydxvfNQRqhEbOv3Vx7c0Y8A+4BBoPslSDnUylqxfFGSlbRAXfgMHda8+2WRpyiIXl guTkiHGXEHKepjgixzjwe7+qi7xNnZ6NlJoakfverPjOxWaAJyCKSQXgBIhY2ToVHr89frp6BQyj Yc0RvCugwfjGWDcONYeNhStJMyzb4qahMNfMOOhAm7gT77R5kOVWCby2Mb2/NwDnbTcvlIaZP8Tr 3idIe5RRAEYUiOiks/bL+0gIzbGMbd9alVLFJkdLv2OjaHqRj3/Ea4RPIfeql1psYR4fuwQxNDDu fxlHCdrzg8qhVSF73yVoIsKoWBHE0ELY6boiZMuoXP+3h/24BG0pm1bJtHXRhuPVIcpYNSc25Amf 8hU5n1QfqWsx8iB0WGcmwjGwD3Xw4adnN/zATU4GR1OtjGQ0STQgwq0CvbPk+tD13HzJzPEbFt8C 3JhpUDOrC0Ejg76vmTpsgjA3IcJnmvhWk3q2cARfobNNHO49snaJ7Wb9lFH0g+TxJNGsYHGmrIT6 U4sGrFqnAZ5FdsMMSp07xpV208J+nnf1zr/l0KdcpaMbuc1Fv0KwFfIy7ov8t3FUv8xYN9bll4w5 BxG6kXtttG1RZQn8K6CThcXjooQf7Of94loN/H4EFJNeNwHsOggn9lplu3oAgdymc9N3lyMrxFYz DkoRJNRjTcDbn4gmFZ0uRmZuYRrF83nvgb0HFozDnh9XHj2GyHyR94pPFxMs8TNa4K8FzflAuqpQ DdMEJOlOQD9BRmnZxnR/i57HvOPH9TtfV1eI9J/dDFzztkbtJ/8FQqfCHZ7uO6TPwg+uc8mgf7dz sSApnotF9I9Nc69/5swdS3cHolCafWkGVRVZ3v7aaLgxaQwm9asTAbP9otVcEZjM/ZZGaQq0vNXX 3CiGDBQR0ZYCDS7Xiy6OzJjn4FUSieUP4hgssc8lGaAqn3uqA9duFatYYln4KUcJbF7ZjXmlanAu o8pRJxwOK8j0BtxDQCjMTjL5WF/cmgiCh8SK/5HDlz8KzSpMfmZj2LSwJKK4wobOeaBoFtuvt5Q0 J1iKi9NfxvMcO4CwaD0/ZRP6QaZepZj2tPeX9hrXjdmNxsMFjPY77SxEzsfmx9LDpjOyYlQVsvAB BHGBOgEGYhQ67RK7yOXhNe2B2OfI4k+8+hWF/R4oFpJi2NsG6PooBewI7YlRNnAxVG7MH2ALSqwP YqauyDWJ2qh3JJ2vPjeaNTWMn4zEuJ+Zycgwjj5uOmnr5Q6tzrz9bQhVdgNoWR3TeiJnpRm9f058 Gp8Nza9oRYNMRpFKSVi3ti11Yc8QWDeKhK7WRl6b1IhO/porJ/MfUzpQqRvHFcSaOB7EU3R5Es0c fz3mvstJOIc321/2wIQYRTvcIb7TlJQ8XVD4IcRqMT29ERJCh9ty384HBOpezvQwvxaSdUjsjJQ0 k3efydQGZVR5QzJtWkO4zdQz6dcC1sqQfi48U3r+XHCeCVZ9+1k2jlYLA/az2ThYkP3fcYNMB5t9 GivYvHOCcO8PdCRtJs6drL0/epaMuo4zaiVNW69y60OsSu21m0gF9bup25OE0eEkCl9IOKsoaRU5 gCS5w8aGj0kSDjzn2PihDdd9XCSJ7EQ2jew55vU0e+y0iC5L5Qfhx7ZE07KN+Y+MH9cb6Bgk7N3I +7mMjOsUOH68a+AKDokl+janvFGJ8q53fr3I8jTsoJ80Ql6yizLw3xqSYVizSw9P4i6KRaSAzoyg 4N1C3kLaa/TeCsdtIpKpqIHK+Kq/SdykKAUo+qlUDj85zx2KunZY9QhjFovukZ5kNTIzyRkUtWac sa3WqVgNG8wywLL7rEatn4eDVwGQ8AQUK++AK/3Hh+0sFAFclyc4tGXdLnwXYqhcA4bwq/1KBpvD Y6ZXrpq5XSfA7DiKHIciqpn7WU1RxZ4lkJTjL/JIahfDyfFcK0e0fu6O7s57kENPSwYIdRTXscVv 3qzbd5pw9oPilH22Tvkglg2rPyAD5aNdTc1IqZDwTK2GirUF9fn3dFo5iDapqGyqn1iYa5+vuOKc +St+rVfmZfyZor9FN7+HNya12/ePW9ysZeNaVPLz78uirXb9OaMzHJnX/sSKiVpCGF1GjiQZxHb+ K2ADbE0snNFBe9GujmXrPTO9ZT7QWJ9DhaXiYzeUJ6n0TODJwxvuAAL282wQujSCMq5UJ/8lsZNO XZBcuFyr5hKgYVvBwJTBJoqwOysT+UNkgXwHrPHxCw4UCM0ltFNOutqaFYQ2jtziHy5Sf/Ym3XAQ AdhAF9Wm4lzD2jxOhoOjUddLJqvKf59IRFmJPx1PJ2TEYFTWpkhEGnJbsRcGy+qw1f58JzUeU9uN u8mmrQNpkRnBbEz27wWiQY0sN/1W/bLBFvwa3WRN8eeHXJuAq62aLawK4uhgH5hyaIT/mjABUX19 MNsH/maxOS/pX+8P62jFjhmL9Q3qRhzcZcSoDHR0647Xj25cVyzin0a62Ywz9VzUk0QoYI+8fdTy hjRFa00g37IqC9zcRDjcTCNZtDxmfXWUbZ258p0ZjI6/YJY6EbAlFLhXPmKfDu8XUjIv7kYwQlBi w/2VvAgyZucJFi70W66EKdraFPpzHE9C18FzwXLvRgwzcno0D7H5HjzOUDAG9DeTPJnrNxqfQc9O INPg/GAHwuHcKmWSgRsxiKDCwG88mi3HxPrLbqlsGKXtJvnJ7/DuFamaZ1X3MkyCHK6YRQ3SA9dk cwrwRH0AlEXzT/DAUFAr1hdHKYd1QSOoW5f93qd4DI3c6sSXRratcAJAMqICxNfCEDUy41yEAWJv voI3iVzuygv6EPtUbhcYEpjDoFeLdDv78HNzI7bdmqN5tU6KEqcpojEzaBH0dhBNOCKMGVi0RZXJ MAR6WqzIWww2EapNcBhjtBazbj980FfRxCL4IvsZjkTwcnoGdQrSuiOzr4ez8GooiYJ/l0lH4AzE cUGXUW8mArr1dHoZHTPSs7X7LiLmOtRH7sBavx3cH0cwt8jQqFC1c61J4cr/dvWErzhdYDJskwu3 M1aXJmAAY5iMghXAHaUYxP+Oxw8aUrLmu59ta8H0NQvkXKL8y+uyYT87g8SOTcXEespdXeqlEyOp mwJw086olVGJeYNSw5sHvNaDh7UZngZdX51NBK6bEYO3FUbYiSQNwAxvju1S69YvXKLxkJedQuZD zbn0Q2DXwCSL8KBD+DuhPdwiOaJo7tXmzOrCe3nj4QGiYHTgGw+sm9X+uOf+//isD+AMYDFBlGy3 QUPYdVF9kryhxR/LuMezYYG5s6qaL0lTL1PhqXGKnw4Gi3G9dif4lyan/xLouVP8MqjwIu+X8JIY VBUXdOLpRHmvCHRXx6h29Y+v/wjLLTK8fBe81FabGgZTMqFQwZM36XlUFVryMz3XhzZmHh19YQ6d 4sNiP7thByfEyS4/omH9Njx8wXLaNVOTRWFeyQ/eNzNNRnD5LlCAufJVfMHG+0GOLRvCZVMvUQLt Q9IXc7uB2dmoaipWToFotpu29dc3KTnXFun0iaUMKgA54092tAv2Tw5SuosAo53G1o09oUNAD6Vl uAebuT73g8cT+rI8Ktdx8NuKsV5DF0Wp8nKeEOAFUiHLo7fYkuSgv4oudToqShxWZsX8QqHp7h9f N0VCoq2r+LbA//wJi/1RAKywoOFzy8JLKYe7FH2jvZyoJaibVlvLNLnE3O6eHRG3eetaA4pcZS+R hEuKJFR/nfuLBvoOQAqB8gdE5FdrVfCxgqjHWr9sQVsNHJ9vZ0zlpZb9k74I/51wVufD6vMyem23 Gh8ochW47g7HRPlbOy+j7/Yr8w27ZeGWYKrW1smwVhHlNwX17J5YaVH9L7XbqrLb3vcrOUbF8Fat /rprVmYLVjR36Tq6qQ74Frj8J0QWNe8Ptjg67m1TXTGzqhJjo3l8lCNLgri12UQFOuGvi1mChugh gNxcWsROzDt1vHEZqoAs0NOV4bWa1sx+eZq32UzpzV2pIObJBk90bd8qRa1PHWCiQR5/7/l32YYy qBvZnC6DGfDKKfKw2mNwPYo2zrm6cPvpwZwf3esC6zuz/xPSIK1PVnSJWVPkwNFF83k7eaLYMmtV bBNz7PKln2cQh4HLeufsqhq92HpBr2oGzSw2Bh0xKljhXMB1sJxwM5+/trc0qXknQK4tLjY90cQB shDXUQCc7C8rTm+N7qEf6Nj05ZXX6a2Wf/YUcSZC/5Uxz71Ps4wJPfdo/4qLJZMfAkeC8e7TWU1h i2hq1/ElCHFbkVnd/h5uHJNMNviumEIDBVNzBCKsGGhTIcH7DShut0aOz2jwLDQK0g9G6Om/kFBi XKRY3m/ME9Vfg0zooI3d0MD5qCu4En4zPsXkWWyD6GlzyMHvFyaC9mu0PRIdVkjzkoL198WlEN3H AiUk/9K7ZYVzVC0YfRMeItPfDg2WAXbM8GP2NZvDcAgZXSjcZHHLtfF1y8AXmO8zvNDIVbHc3+et 4+YIIW74iXwxlWXutLD8ttW4LWjF5HG9/3kHNQ9kj8E99uiwAczGNC2il/EZLcS0FX8+IuuV7711 NTTc8D6AT6xNksBtV07SJ2qSjbNwc4HNOJ1PCNBBee+l5eYAdtCbga3mHLT5ZbuLkhSC8Z71YhUB K56nPSLAXQs0J57XKCnuTjl/c7p0PuZOIWxTTKYr+oUwhK/WhWwqk6iwkYqk5+1v5aDVTFpdJDwJ Cboz3DP4hFsRm2tZnp7sUe19PKK2ZL/j8W4Aj8mQ9Xe3cW7ZG65StnlhP2i5DCkIpn2oiNylCUv7 z6uoCAA7+B2jwMjAjRwrfV1SYtNC46ZGi+fPvfGZB22smLUTe9L6Kax8D/RGVeXRjm9OWH2ftLJ3 AKXG7ggXv3PJJDbSwual3nYc5NcN6Eo2g+/+4lO9rMk1A3kx3TFSc3P4LpTmlaMdpdcWuf4+e4GN 1UPC6qtwaalk4nQk84PL1obSHRrYpUID2/4Tr7gF4L9JqraC406SN2zZz2sVDFdGb+hk7ipZJl1b HZDPhur3ZbijUscG7pCwjAENWXMNQ7fywz+ot+WGoOIhlyl+jDHGJlBnLGctrV5lOoEze7RMEwf+ PEZRoFyLRMAs+g7wreRbsZoLzUT62K2z9IDMYEhASjhZ5uQ6YF5dYiHiz8tbmaar83J9U3G2Vzbp FRO+46PmX7waMuX7/jlnq5yI1Xg+jfXht4d73WZNRoynXgRXMx8r3bahr+BnZxnf9hJye3NKqkMv GTkp/YItnzbkG86V87eU1Ya9kE5ljdsnKi918zng6Pmhm5IB+58uga90kvpbGa0rsrM3dQUFXDgP JOnpe+UxQxOi+ZIA4r40Gk2X5A3Nl0H3p3iGeyp6JkuqsAwcnJcyYUYeLiMS7RrU6wdLGOTZdjaG TRyF/BHMM1YFd5XlsWWk2i4KOUIiWDT9ZnL3fCMa0Mdkr26iyE8cJAF2cfpHJVZ4we3OHSE5pyzo nXcfSWjPpLPnuT22oMbYfvvlSK2Phx3bWOiKspOl5rVeEGOf/MbuiJcxXshjTLHYROzt2vXgTt0l W9zVWxkINOriz6VcPQTuygmDWiGIkrBdI2ckb+QVcV+kSr9SUx/10z7uiQUEN/e1hS0oMLaqX6mY pGA5TEDEQV6HqpVCwa1i1K4Lys7XsyQIZ2pPS4FkGfV8mrgvOyNjWWHQw5gxMw5xc9aAbdWCX54n rmf/JU7+rLnh0abeDlMAhWS4TXHlbDwQcQQVON28H2kCy/OlfvL82wMnfUBXEYXxHvlDVRqLRekt 3k0iaT/Oo//Ot3EKuqZdI1EPJ58uvV4/PJdcuXKaQ30uTym32hqlG5L8RYUqsZcmBALrYfHWYF7H JbzeOwtmlze+GmSuKa1Tz2Q484iUSWVO0veIuk6zdz8KwNZGKuikFTpRcSiI8UPoRo8+K6qZxS0l T2xnxxWnWp39Wtsd2dqLYmzr/GmTotG/aDLeqTvFpIZphq3sZNFgNhfENRHAw50EGTtUT8X1Lm+L zUQQBtrlov5jj0cDCDozwmUAVAZwbAqSl5ADWDtPWgA3jH+4Gpq/TJshWjTV+FSSOe50Dd+1of0V KDF1DCmoen/+Lc5BxiJqdwFV3iaIBHJ8Wy5JtbYtPbHaT/KftHkqEHf2SX8KxML0JnDDt7DBqw6y bzLED0/D7/xgG2SsUpw/+fM/Le20aAIoJXMOaFyxOXE/94qawqcpIVwx48027rolD+ksqiwGJbNA XFUg+rvQE9xTxvYrknU607KjP/Zmra7/OcUyJcOQ3T/eLRiVbVlaID2POKQhjxQ2Xv7iV+6Igq9e wu91ZAoEkBbDFqaH/Ssfeaup2COr3zED4U5RRdvTwHQBKtfImYjiYSUQZOwM340tb1+152wsRLRc NAK7SNcNQ3jHyjt3LMzPZichogNYkmxOfz38djyj6avFXM6d8LZa5EIpx3j217E0D0qHAQ+Tt5xE ORAssZmYSQ03xAXchKPX924hp5FVCn15CcvTga4ccIP8Do2IwpIOOlpLExHcMWy2AFXvf9S06Sbd nCO2P2MA16dzxck9B+dOUzNmVM6Vz3EDEDpDblPMwualG6mZgGimiD6nWhNTZeTypM8kkLQ44qms OKh2IyHXiM1wuB7HXLkZY7fPjugirgSYlCu55BFX0g9RZ/kdlihVtpyHar4JlUgHvqNh2MDoiCpZ 7grkEzIbFZ+z3lTykLjNA3SWqNYOAjF6sBIQGS42uTAmYTaPAspxHcPiye33pyoNoXIT2caooFlj YCFzZgYXMJhCJrQztoCGoMnRo30MWwnxq6aV7bp7fvNz6IspPwl7CVMkeQgVW6+pezxj5oHtGrML v6ifoLePsQrUYz6bhPa1NU0afBxsvkMk3iQN4hWT3XQBtCpg2DFEdHYbdB3JLzPRJB94OKBr8PMC IBxoieHcy/kb0qFfGgRHTNJxx7NPWNc7QU72oLclJOQY1e0+C3WV37MK9K7ZZeTfRKIdc+2eaLft 3kya2WHEbULbNHiB6ITLNw+XMQI23B5BNIMDdJyZkGvMXUsImeb38hDQKXeshbWEefb7IUI4LRYk aZ6AF+zk2LA3EOojoXw4baFTAzPTNfMstnM+VWJkUZVQO/NShxZ9OZGbqBTyk28Twhg8WWlAA3yE QVX9EcLQfNrHB7Z7EqxNAKePqNUlQIDvPj4iSrxlIGX4peoFoA7eKL1bKj7FbdOH68xhlaP756Gr FwOnhNEDfbKti502NVlz+eERXxF7a6r07qvRdhiiY5pyIbfRYhsjOwJiNcFy5R8fTb8spQzKwWUf 13GCkdf3O3dIP5rG3j8C0iabOulEjUsOOyDJhHAhgk8VoSpQqFuiNbYzyEJ5HciCedusgtX2OPbg dmVFKgSxoMLUEqGxPlDX+z9E86TJSRAVArrWUeYThuDquOUoIW+FWUI4oQbo2HMblBBGKJv2ZxlW u2fVob59wysxJKzQeQvHWxjogVEkWZ3IzX/KinyEJj2pvHKMskgRSvtxPkBIWyH76WdB0wibNHhl rjXHJLtTCSbPWPDOlipL+FzavoEmVYHQzonML1H36+1eTOcPNNyUF6h4LOWHBygC1Io4w8yNRhzn FVg1sFSjAeMsWwAwXPuG6nAmI9R40MN/hkZveEnT1vIuCIY6MbgXY5GXJhiW6FpbVkcvrcIur0hs lQIQaBgB4IcbryxH93U05FR5vyu5W6m2xStA/Bm6mT42NUP8yDjwWlHEybEVM11ODt5I4HSQSMLk JgoNYETSf57nTXJq5xNd51+f3asXgGXJfIrcSB7DuRKbpGjH9O5yDmHayEs3NVZqJ7Z2yYne4xSi niYrDWJ9zkCQcCruQNnu3AR1xgn4VDRdcMQZwY6pfopHS9/Od9V6sao1vSG8NDl0u4S7ufgBzUNV c9cLEnzx3bEl+5E/7B9YPn1Atp2Fkfue6NFAmtd+9P22TkPBRxWegwWGyP22l04M8+pWUeD2azd8 6iTH7vfRJQrhrEo7et4LvphiUJG9v7QjKFHFdcN1WvzOszXnWUWU7IYZ8nIrenVPbyGljV9RbKHc Tm/QFultzFFjpe+eujiw89ekDRG26nt35JpLVELQqtnXqktvDUAoHLes8+9WEBCtfI+lqBi6becN AHJZchG2IXp9LHqwzIJumZgSA6C4DCpPTwN36QuIOi7ofgUdG2bnur+WNH6EVG0D3aNu7lGC28K3 ydo6bRDvtUxI3gvSyLibPp/B1o/2mGjHe6cJMZ09T0iMT3m8MFYfWb9hScEZudbBwnOxcU9YH0XI PhLZIzPPjsXxOESTiEv5RvkuAQU8h6s0YXWbmWOn30MAnoVgbmpdOOKhZAUMdsU5cmy5cGEVZSDB jaMcXgnJKLabC4e4knN1I1T3xUmvuTtDYPMLrAJKKcNxb55SiBRuUiqB5LJZotqwJb7n9WgG5X80 TzRVGdnzcNjzRV9ItkLtTfMkLzg4TBgNLlOESylgqZ+RJRQcsTqD0PyJ4LoVEqAx5YMSdjrNdTnR HjbH23s7e2R3mrIopKCeV+GXERtk3DcA4lXlGgywjpkfol5T5kPblmAH9H2zDLUZ2IqAINoS46jV WNz/Iu4NynWVOXCjIjodh5t9Z9/sQLVoOsvJxJcgRHz1oBT0oPcYet0GA1HCOIoh2XIwr4qSZp4p MmSQ8i0dJqbBHDzGNWvkgSHix7kZwDK68M1Erne9yBLTTLKTDerjfKM15ylaTO5cPvpTf4QRGSfG vhU3x2/lEHIXHhvBLtXDg+yPnweogyAg/6KZmTZYZ1et6ReQ4xWLSg0TAB0npGun7bC1CSPRvCd2 1z524N7K3QCOfKJ4N/0RmgMzLoc9J2fZKYUWuTHbLWcLfWlRtDqcOxUHjOYoLnHZHr0iR6YLbBi9 +/+SF6m/C9di5iHq4E2Zj6mBY/ngfs0+oYP58kassAbIAxgV7cgVvyPX1t8cORWVlEaG9fREeBKx 7K8pFrkZHIxrKqiyKu4a+iTVmEZWRWi6glVzW0HEL3TEy5kLD5/k8BGZIE7mVPk932ZSZOHeL4Be DGRkXxwTqfDZyWZ9Gyh2rsp7fuEoVi433c194ZOxz3njoNpbn5oGwEr/NvBgLphb/Wsvn4SCeXID XvJzC10ckEdOmqPpmcpIiSSTGWPYD6LmDfZ8lHooUdx8REgzK4qFPi2OGekr9gRxBLRKCayEWA5M NGj5Vi65TPRxB4ikTZWx/7WE9g7cYcGzaKLUslN7SNVuxYWbyojxaOB8EL3lE6pSmfYVk1HKdGZv OWTs2wDu5QgUonn9Kq3VpPMq8tAQMvPYaWiyb39Zb86xGdgAh/gzun74wnmqeY7yYs0EvwYT0Kls XBN6YRccSku9u1ol+x/w+SJcsJQkr+eBG0QAtcpBpvlFFdjgRlqAwIF0jGDQyD44qkTK8Y3+zIvu oM+eBwjDhq6PaAV26XiKWIWJAKVZtLNZVmNRtH5vZ11cE/jpeNVZCkCAcdqfCSGArD+06MFPfusW x/nce0iFPQMfFSYEHVkRXWsF/UWNuT+b12yYW8+1XPAtEM4HS4JuIZM02j8eLaxEzJnnpHKJmUY/ G5tKOPw7Nh1uHT3sqnessH0aGU6wzGElk6vuDzxkh/AkK0BwTiblpZ3pnwGMjLNyu/vuSpTQAJ4B vN/tqU5lg66IO7Q02OjMtrJSdFlcz2h8ow1vVLi+JUvLGPA/p+G630XRoMDJUaneAmcOdRtk5Zqv PYOFdHLwF+cBTf8uiBmebLK1glWTBJN8Lr+TzQS44atq5Mm2qdwtj8wbjZ1iW0uXqS6jWBg2RNAu MLxKYxrUUKHaZzKPOiM5Ech9iMzYRtFKUXL5aNdc4aFmI+8EgErDuI8Y1qhVHOkO8ZmBYAoQrENN ZrhpJEOWW1K36Sc/uTFNv+2kL+3eD0NS97QSKKXN/MfBiTt1rH/VrcWqHhHwu5uQOwkiwlbVmn+y yFdRt4rTRtl7p67FKiRxybzqyz3F7oibvnwCdbBZ0Ny2GYURB+GA+kAx13ZjhhVqnIwPHjtjIROw sEqqQ9ki7zZ9/BKNaKw+UkwdsK6oyctt/FtQnEQTDGEd7Tug/txiNmmrJuVwjLey+mHzZozVTNbJ 818qTo7v8yowMJIPgXq3ZSjOKpCaYeZNQe7jM5habdtKIILmZmAAm59Q2fR2DRBTSRy6aW0rNuvK 9lnSFC4hCeH7Z0JQcMnLZKEPcVNDCxv84azke3xd89imuOPaJJZNf0Wgph9cgRv6UMzQiq+21bw6 EvZteUO8EXIIUe7AorLsHqHCISJjEbmRkPk3Rw/MWaccN+i+oIPuKr1q6gDukam2FheEewAaKz44 XhMKCy2i8ep39NPqlGb566QD2QHMi9qu4D2LGaRPssCvxLl3mRT80tdtGX6CicxtC1d7GuR3T3Ul ID7wqnCAUTvTqDIrW59HkDHzBWxo0zr7a/qelVLeh4jY5iB/HZV3shQmSvCxNPfd0LAEF0amtaTa CEmpC7i38FtqEJO8kVtifFq8mD5v1e2Q/b8Q7Cmrd4DdbEVF36ZMSl8uNhJvv+OtgIXMZgRjnprc D1EE6vPPo+kK04mMgvGWoaQb932+KLuVRgtq6ga2V8RoSGKfmmybiv3WQ19VVbnDxEmFMgagpjLn sHvBCDtXqEk0KM3pSYL6EyMVEfpMTnkzFWCRxsmG5SJ/YEtvAwVXEA8f/yordqpMqi7PWTKZpiwb 5LYzUFS6xVs70TPk4rPZtFE8AkkaUeK1tDlS5nOMggA0/wpOAu6mxD1FPl+Zl0kBz+w92eLOB8ju X4pUej3r0O8ASnPXlO47mSaJ+pSGpQyDFY9S5GoDJOz4YKIg5LdGSGvPouFCigCvCOkzQB9rfagI KofSry4nqpCcOKYPNl//sb+y24iav1THwo3lM/qZywOR808tD5Zr0HAEW6EgR+K2zrtRNXYV0PrI sfxAM+Ohi9QQ+uexdq11Y+4iNsozpwXsz4KfxnZNMVXuNXuYyMJXxzkX6beLFK/18RuoCE10e55E leqsXezsHoxrn5lTFvPoAySiDU4wexwsPUhjvv45fwQNhSG/hSe3kR/l2OFD1DOaFWFe06ItyC4G k6gSCFJ0x1HQR30ui10BYBvdQ4pDV5auJL+YSPVtlCwELC4rSpDomJu0SiRrlf+k5NtNSnZOP/eq oc0ON1FnGdQY48YZZHYuQKNw74IPI2QnqJUsENT1gc/7U71xXdEo4O9prBFuIn0kFzWPqqdHRoQJ Lz8w6zecCyxQLXovpawIOs5kBZo9WoccF9thCgH9c4DFCq6aALqqD6rEwJiKC3MPpzp9w1nBGFxo gRhFwHdlMbTlMuqjX8fYx0WL/GQ8oo+k6Z+SB7WNtobfX0+rQe8saROBC9WrHeKabJhin6ghmLv3 5am9E5J3BhQwP5OAd6KUmWplNnUG/EKDRwJE4y5Ep3Q7eBgqkRzNM1FgZAgoE2C0MRqWAI57P+sL fj1/+M5jqW4RivTKdrtb5V2EoAED1GIeyxzu7TzB79pHFr7AKHMKJ1ncj2V5BP4pqJKp4f+/Ia7x F5BM6bFq+PKCjt6LBT0ivrtoqAYfpAZsMFr/YtnFvcm95d6D/ATpdR+NWg+WAY7prQ8KMARy2N/6 qZu30c0LyaSWfbQWKBqZkZkdCrLbcjplIG1GYSd/icgChGJpy6PwnIZdKXm7PCpFF+G8PzUrdoD9 viNLpV65ydGuuWFEX3SL9CVgaCCJMRoYDqUCBYVkfYWfxV3T/pKgjz+uWmIZPx8bodMWNgKKzcjC f/pq9ZRE7/qDHbcprXcec+VR9FFczXDkcLCIIJ2ddxHfw6x88wMISjA40jufee9x88REnXJF8g1K ZF1edFuBrSRRzkdb2lZfJohEQ5sgMpHl//HbXtXpdtrLr47xb8+iVu5ud2tOivPbHSBtqYSUgucW E6sa1r/deouCqY7zo7zFTMCihL7KPlH7AV17zavksYlrAz5j3MMOMDNmiQ1hEpipVYd+JMD1BuRk 6GQRzZs+pYTBMX2b4Ih8ZxIbK8+2qiRuL0iQPg0OhDDOebQfWxbMgTHLuEXISFEr6LrGhH7h61Gm JYTTo0+ikYKJwaipFm+VNs7BBjSNTwJmk0sb9C+hefBQ5RoV5W1N6G1o9nYtQSp0I/ZXzD01Rq5/ wJCO+k5sjgVmd8po250cIY71BTZdbBp1btpclKg/ac5vl6C4CJQgaVb7UTIQpD1/8jHP1AU9BZQ0 jiWrDtpwtwXnAyN5mhQzpqN5qddpPA6chl6jjk18ibUJ0SZ5eA3Y2oqYVcfX7CZUM4C5hvKjwVgB xjolj6r3xMQhaxouAAxDNuulsQox2tGVewRdMZNc29s/Usx0mKMOthPIqDZJHCs3wt8yBo6fQYrA eRmNRU4yZRq4ZPMGMubvujAjt4QM6+RKQ+q94GW09hURvkBFSk9VaGfAXlBS4pthFuDbIr0fjQxj u6xV+wqHhea3L3Imf/MLiXjPIUmyO//TIKKUtLSngp1RISL71yvoToO8uzR51u1dCfGIYYc2wiU8 7kk/Npvz1FiSVWu5VlqZdEtMi5PI54tYbrDuTXJ84YKLEOhMjV+Se0HJ56Hh46C6Mj6+4y9wJpK4 4vo4v1gpszAcVpKJBZy69IaYmssK30twut54/KTiyPsFyu3jxQp45qEPRlGAB0pJ+MYcs/Qvd3Kz FwgtS3iOWpkO2jY/Kow6bMoLE/90tNuQP+YWS7fmOEVehiyqxIDUkSmYQTyuCUmbBBeJlv5JWv9V ahxyxCAsgeRgjuKoMI/kA//04JXKa4A5guyc4TVNvjvFzah0W0QG/FR+ugSvgYmVk7hGKReNGgeE xpnjoSJIuwmmxpcOxdvKp6Hwhdc5SZGAfHQN1xSdHT9NaCYr+awpyiepWqZ/l0unnDZQYPyYL5t+ 7EPeaWK28KqNC8JwldM+Tu2PxymzJChrDGKjCHuB1FNHNRUhBrYvlo5yBI1iXHkSrKZfO4rUu7zc Azg7ehF+cDwTSCQbKyMYd+Pqu0o4xv0i+1St33vGxH0qEbkhHWiGzkzCIpm86FnBzniwEQAJlnKk 44N68AIqCDmrEdkpcsI04HXIFZ0ZgTYwsnUUiGJVHWDjc6tgVu9PkJv88C3QGjAo+5xw8qvhmGFx OUYSDcLg1uQ2z6Shd0aN1h/p/rVGUJBzKIbNvbN+jliMEGSkD2tgRREwfO8NO0BF+hI5cmEAeK5Z YdaVY+Y5x+WTziXw8+1trAyHOueXq3IgJKwNLOCyMv1ujyThJ4L9h4cpc7ZDrU8xH6pHJSkCGZyY wM1+8cVkip2Jbl6Vf92hHVDuiXFd78bTfWji/tE9tHFw7AQVQ3UTVIn0GYkLQeidEuM0e+c/GT9X j6xFlHbCehRQHVHh8gAy1tMaVkJCqgFiv53wXS6twt+YEA+WfGnoUUO9DnC5oqspszA1D2VISdrH O9+ZVeiLcOiZxSwKEZsqEEfzGvYsgeUxiK/9Fot84TIAwJctDGh9UKY7jzKsZlyObZXDK0nznYm7 LIx8kAWrkpKlqIXYAG/AtoUuL1al0cu7JCIiwPbx0kv4GiOlSpovYWRHgvbRMdVjOQDkBbxIQK29 TZdFjiK1X1k74c0mWUA8wojWs3ZWFDSendGNHLvn3XQW16AH0uoKfeg78ee0k9/HQ54rVRykZ4aW e8BR3z9qZ/BEpB/jJuldRSdLJHL9u3TEc82vL4eyfAaQPfc5MiFXJjkd9+UHOsSwn0AjQyuVjrxl SD9g4GzFaNlTyaC6/xnjhkIZDc0y3/oO5fyoDGX6YGVUIC8fESzkkVwuRiS//QiBwtkGgFDdCild +KERD3xP10hP5jIpK69f9KileS5C3DnNsnq7mte5zhP1ig1ZfzIJVGqjkgbF5mA/tI0NSg+b0fFh 8O9U4sTzwYDNEqv4Caw8oGo1U1xrLn2lYUjvimFqbu+mgGdoI7YH+JiXa/Lt9msKJdd0e7HIsX+I vJpX7tUiULODUS8DxAKmTNOR1AvVVGyvyQgM+SRFBDmk/v5ovxkPXI+8buFd2KxuFQkAIR7jcNZ+ ErSwl2LFWnfPv+5Rr0sjRvqAJVWrLqAD6RiBnRqVjasn27xTLK+o3bMpDul1j2sLU6uncA0YU6/h pARXC8z7nmbvbA9ToCXPSrpYubmldfWrLIqWYdbM6CetYGZLyaSgDLDsCsv96BAzPROL1BQp5/og bXjnxsE2r07tCWMs7TDzHp/9I5xgcX7wnlFgDk9nGNu0qHJAtVcnfTA6xGTWVI9UakK+UKICcVE7 YRVKoSy4WzaRJiikzZzO0RaUrrb26qhtvqgoyMf8wjmt/vqyC53GFIyy7H2L1uuSoAVN6J+uZBWj Inx9rqqIQs6ENzU0SbJWqGNixy+uJl6zDd/YYvL+dpjSVecfOV8pp4oZfZ+Xzm5sB1ZUA6utgiIw 3jqlWfLhk3CaWswD/Xqg40Z8Qr449lrUgi+K8k4N+qaZ0S/DufTfgZjnE5QSIuYGw7L32JhRyb3G dRARKwE6a3mfdhAEC/CoJS+iD3qZit38X2eG4FtercRvRn6Heub1Z61bWCWTkjBGjOgGOi65W2oe otJtAXW1CSAXYrdQqFy+vdmNA9+fVaja79yaIejH2keMSAUDGsn1NCtillWRO+USdusBZ0XwVG7I vqF2W3lZk7j/+qUjTUbdbjlvNh63aGrl48j3BsuBQtrKs2V6v1SXmavODKwqRAYa9RWDxd0dI52f HUYvdHRZlIOvccH53l0qUINg/uwTG0SxHZ5rF43ZF96rvEX76KCH+6q3r44LsOsyWoukLV4UQDsy sHXjJ3sWJivwGmAFNeKaCzNnpQLBFGijKaJcfdUy8I806M3kPNcDIFiKFziAfzXZHwSYhhL90a8L YgE7dN/XOHuZsBL6NZVQmgHbz3W5FNBpEj5TeUNM1WwOSAA6L0HEiQqvTCQF4VWuSRfzQEg3eVJn NHraLtYyYwvu4IJpPsOBW2exwoK7M+lOF6wquWmImbE/r73ztnNR++jlcEaRghEarzP+20lqwwQc NiIo4MucBSuQkR5LpKz0x/OdhnOq30o+kB7nFXeE6vMldgXTm15AzuFU9HCUTifoIa+B9CkUi9tT cQWhQb7JnwSO7tlqws5T5DbgA+22rHD0iqoRDZWbvYi2KeQBUtghI7/iCG3sgmqilLKi08sorPRN WjhTvV92MYS7wzMtS8LCzj68ya5fhTgrsZgcKMjWyAuDoQeEoJMVv1K4PJ1TIaXJdGt+MVKfekPz atYaqIvDfIHAQOJNH4ry+CWLSM0ciiEZpMKKb8usuHJl06f/lmiQ0WANEyuN7l7PnnFCPjEbhjPN HJl8rwwb0ahm+FHV0dTaB5YFWdK9UpG/5nnvEVZJ3gM44+IzPbw22mvUuw7lMuya80qnVx0xbbYT wlH6scJbEbLDnABCmcfoMox1zIuM7n98ruA05NhwLAkzCYweOukxDblz5TpbsrG7V4IDXn2Z++yw I7/dX/mCxsS+y5FVDgeYrJi8a4kZ4p0soSN4Ff8bsyhQpq8lJtYlBOJuiY1wee8b1tfl53xgTJjL VC2izbo4Ke1NTnuGVEZYAGwaJ2GVHjKhj7Sj8qD1fdUvEDyOlj3dkk/63qBtBMzxH3ur9vKmIttJ 2HxM4M34p1itpjAMzlrnUSkdAsKwQ+0KmEFAmp/w0fVRf/a0EjNnTz0+5hSjKK6xB2LeGw8z5qos V5jbp0cTglbgKLbtyAK047pAKgoQnE9z15wcW0Kdv9yrxG3HWtAg+bfzmFT6pFEPPFhFf01ll5LV 0zXl1aW9XCDmOPmwzHgk0X9jvCwajSj9xK4teSqlXADbjYFk+TsuKa6/3VNyHXG5JRiP3TWUVK0L cFRAC4ptX67DTOEdiB4giu+2uougT/dpwtRn5C+a80MgmojachDswwJNlP7dxxQ9sFmT4siWVnp4 8rM/PVN2ponQ7yccxzg49hO6NccA/iivOqX7RyagCg7RKgmFCBKsGaKmiBnWctDi92dX+ZjIkKKi q3UF/ayPuYG/hk8JEyL0LnbGxgdG3ChsWqhU151gsXdszpmV1k3dxZKKA1aLW7LpCz5WNDV5ga+K bDDTxSYHsXMKNUNH8Ik2jNQgjLmHWRh9yCU4X0Oq2vUyoffcWnX9Sbw8ESQLgqi/VUSgL70v6mod EWoutJpfy2UozXlEPRPfKd1E2Ek8PAfCWbv2hOOkxoBECLMmF3X+HR2bcdTQknNz0vSY5LZCAtp+ tks/Sp4X2ZtHFs25IDGuyg808wt1yiZ1Kcux5riRSTULPvPZe73qWauy8DE60F7uH6ahHBsxV8+9 kaBwMZ0ubJxqitFr5naQgalt/bClgoFnMYV+YPTi2gr26K2PYnvSDASQM7CeFHSQTBaRekdb9row QzCk4GANdYhjqyQtILNLE5iwt9YgnEvFYcBE+1u0iGyrKn1QxekyVE9V1ywjk06xb/+be89VmHA0 1QarkPbBs/q2mcf+ZaHwWjeOSi3vzrnRvspcgi/h+0SeoXqfQnuhafr8PfXtMqq5Pgm6RDH8Inb/ CoNPZWVRlXGfHnkuLH6M2f33LtYzkvBoSN6JMHHQbfLiaphmEdkKkG98TNNzpg6hHPr6mU9SNXfy ClzbqGDycURXs5xyvfTp1miK+/wvVWB5FkW2fs/m8AlvGh3OipSHAO6xTy2fz67lN4yIL1vMnpHY WU5VwnCmh7z9bwv2aOoCuiq5GXYlbqG7LnLauAwQVd/6cVP3UryIzLV+HZ543SvH75AjmAkfVfGm D2eDcThtFhwQiweaLIC5ysF9yit9SDGP/E5gZFXik70B5FssR5ijM85jHQtaFyQ6JQqTnV3ZbwpC UEQw5/HqI0CwixZrmGKTFIAgPwXPVzgxX7ENycN/0NZbxyHcy/IFVDKH9/+o3nkOYGNNSyjiJgWv 5xM7skt4fyc+v4zGNWRbgN7ytNOoOA/9wVzE158XYDyle269jLH+GFAbCij3JdC7PfG/zYgBzRun XXP+zyGRSFSet8vvwkuVNY9CLshc1yaQ/vpioGp2/JptJkB+bm0JOD7XilNx2OR4NiYlvRHIUVJR 32j/unr9oDOKbtmilEj9hvtINVdu4obAXm8oA83e/EmRn68g1uccNaOMUo1NFLETtvXPfYllwrB0 tA454zQl9Ea+I2xfGNcgy6l8HAhrzeWxpnZ3sCdX6fISnqVrkcqzuxc5HsCNeWwqUy+TZzm+uPte s5vjsqJ7sOUbe6g3vUXr3b7lIphUkXJMmO6teQvce18GQwK4cqTD/nIU+8+M8mzACrFmpFIMcJU2 rCdYX30TSSGBOFrvbiWnj3rKvmv5QzD4Z6ISo35/CZdkJNt+XK3BkZ+y9Q9lboRfbFZGQ8HBTDLw NoA65i4jxdeZoXlKP/cs/Ve0kq4Gyg3kWkmju3VaTRGRrJnHUzKbKMOHmTWhEVcGVxaYhkIvUjgs 0pcWj/Nr5ifDO985/cClc6lx+T49o5lGAzf/1bJ7RYMDc+DliY15om4mf0vukocDOOjFtqkaiiVQ lLc/w8hKrPRf1vbbZRj79hgPkN6YznOYMhfolgtzr/NpOs3q+jcAkeBVaCH7kQzYlbRj5EkGeb2y FzR+ttqiMmwCwWsnFKEtSoZNokeEJ2p0tptYpThAMzvE7rnkPN6ii1eomsN5xDZK6maImIwNzldK 0URzJp5xhxExSzDJnzz0iAJMOIVnt3hDt4D1nCzYVI7HIpfHe6uIPu8ZCr4uc4NIg8U32jfcrTgQ Sq+wiKCfivsfnqgCXNbhOGHyEMlT3cTucTz2xOGiL2hfxCAqI2VXTR0rAYOV14y7tr/KqY5c0wZB zwbyGid4b+Ja5wdTLZRzIQrSuUqIPSNaCmp8Y6ez3p699kK6D3+fhfD4vOdpr/ArZAf3ZTkbfo/A feqMFYJndIB6UmpDpO9aOEJ8w+3f9WXCKGihjy42d0h9ARy8+FZlPDoj4SipwfEir5hczazHs++Z 0uJ2ow36/PmxRr4Fh7rX/FEr3uR1CScAp/R7aZGpLBO4yFKZOloLOd0TcbiUhQLafpSeN8oHU8Dk aW2HhpMiaEoWrnT0W5kG9VTIH2r1qgN+Z5Dyqsj4MUxKuIpjLAN0+aetgZZ2x03BsrBuq90x1t2v z4Vht5106qMfi/vFWZEAKkYlRmWl6ABHMtv388O5D682RnsxhGHuMDpK7nqLl+c79Hd8xPfH/gH8 EEIz3WqtpdO6l/QaLO81vVE2JwJe3yPASQBWjI3LxWjlK+fw4dhiXOMaqq+OvoFKkv2s2dgEosAL WFRaFPhfDRjKhBMEEpnfP2k2CB8Rs5TFrgrXN1/TkmJVAtBOaxZUZUHgenSBm0Zdrp/adcxMVTFw lmsZlfzWSiIF7WzydxvEawVhyqU5V7Xgclxn41nTScbD6BV6limWItDJPkB1WkvRqpicRkvBVbaf 9mRMze978wxyZA/8MEQbWVd46KYp2E4SCPXUwU9xDqcz7tLw3TbN1fja9GD/PW8r7/ba1PWLgPC8 v3oe4hy2Ze1nsVqCZJKVcMyHfS4LMPFjDmLcd034snRh0J+bSnYMR5vqbt5hWPeNT4+ioCElYSsW cBLSHmzkCN6hDjEH7ifLs0MXC5mKLhrHkQDLC+k7ASTJJlIxwinW3n374u8Q6rNDEMaiTdOkte2I h/5KzeFhXmaAHan0kAeCjTL3LTz41rusHdU7R9sk22mkqk4fk4mAnGdKGE5ZQZ8oZw6soNNgvNbW jLKCS8XvMxVCm4oH8dYsSh6uUU4XQPARIyyI2h1AxozY7plTRS0kKkpANppog7PY2uqHHlyWoFga EKIpJ71p4T716ukyWI0MC0+kBgGEwtCis77VKBGnNUmmPkYSCYYKC/v37dOUosxOq/9n+DPaxKAP jDNurKOTqxw7VTZmEVJ77pk9WQZgg7emH5zL6kNTUM+fBWXaFhm3DbZBRlRe4/hYS61Py3WxU/0F q81QX7pa27Byx9pLorOQoh9YC0QYbRNXCL+L5Frv+XdjTTnVoIXI/jQBTVTDk73rK1k2sft1yXwQ Rmzzwf3WzWcK8d3+JxE0+GpI/NaDlOXxuHm8ulUyFRlb7ATtWMuEYhLgA5OFRf14qXZH3J67pwPX f060Z2IiJ89U8E7lktUwxI8+lDD2OcjacVXbvhxTiMdmHt5UOkb1GhZJsfemp19YS2Gq/mhuyISN I+yJUQPMqxdkUHqTCkCwipFyaIM6P0zpmPAy7+NYQqAZnOE9kfd7ZSzU8Ed1M5RPPKYfQxRoKMai 9OtKI7Dxmh6MFAhWl07+YRnsqLySMpoP79/sg4nyar1zTiIP87kBZUhc/sPQOlbduSTlsQtuhJZI 54yuc4kIrU0CQA8bHQKl3rfDjtX4PCP1Pkor8Cqk6r65b2jrSYUK0YwLuF2MP/zdFbTavrgSbieU cCSS/8M+84guR4FU2SN+gHNEtAzBUJmEHcIW3L/YJ06Fozo7TROoSu/566uqY+l8b3VyQmBkltIn B+jLmXEUhfgMf93eLjRAphMR7hfhMvwZDdN9gxjPv6kh3XqLoM0HeOZZY+Mh90bnQpopFpTZnGmt jxxpOdIJPMkG+mQQh7ROeyl+TymCvARxxvoGyqgyTVtiR9yoYPl9HrsahvkXmaZz/tdeIbYdpsy2 ihicvG0VuI4+GWPZFYlCpH1MGASsPH4b2e1MdfO3vfNGCJpd6HfhHsQ886iemOYjSZK1g053uATF k4EM4b/RfsCFuYlzcO8LDjkt4vOL4q+yInPDp5TxMuarvnpQrxitN0pg7T4Rzgqw2te9jI936Zs/ 4zguUZxgRT7mkgq/dlgxNlOAV1wTiWJHHPT/0sYvm6Jr+Y+UQ34yixphPfsSEIdOL1oktnMvyDzV RG487GxpacOH+qTVRBIHppfTnSuLlPyuo2KnckFq4BaRIsgFwOzSeLYsemibu4O3t58mrzAFK8+k 2dMgG4FA/O+eRWkWjElfVaBz9iO5I7qoltrmLS7H/IxP89jCbIU64sV/Y78CpnVp5hnbyFvt3ONe 0/3Kpc29q82EBUC1dLNuRnQffLxXi2TWoZYPLK1rl+mF7Sq+qBmVK42Xl9jb4iXgWwOuOe6/KWcC mhrajjgIIAVKpxWhoqlk8+H3BCMCDQaWT23vTDUHhx+ulEHi/kgYfvwTC8BZV82eXlkDgFITqo7f 8R+2gbkWlgu//fEOxnd3tzEh6AIh+InKnFl0E3rt9kJpS0/FYC+rp5ZWeavbn2u3IwJWOA5gn5Sj tqHKCSaGzjnjE221/uQLr6dQEcTGfOcdLIKC2LCsXMkLE4v5wxu7FGgMe9lrBdqdNeAU/TR15fZJ D2nzuZBkD4hCooNyl8uaUhKs8/3lC/W38V0H2St9U9LiASyNxpqCnj+f/sZ1GdqX/xsoWR3sWpcS o4HLASUuBC40fEYIWW2V1l0JV9F83sQEjzd01EDz9pG4IGbF/+Nhk+Wtpqqqz/R5WJI0eEq0ok58 44U6lXSY4n8cGFhQDy/dl9P25YwJfAQzTbd6veCI/+JLzwcfriIIm/t5+R0EY2PqbBEiMvA9zagA OgJxMLpUVhpvz7NobAM/hMQoQuk6qKQQv2sMtM5rFp8PqnqlDnyb1/aYYvJK7y/J5DMYVecDlcXy LtkAt2mYlkb6IUUAm30ruoxypGR4Me5UUmjfHuLgSWtTF/oPBBOFmI15EnVHji9iI1uNf7qBiMhg 9wwROLC0C5d4zS2/WQKDeA8gelkc+7H3ssKVGZILNarq+eWxP9vAhzujkyJWYH6CI710WzWyRBIW HNw2xvq3YIG+V7+4bRLUAqUajDZl67puXmimoKeKzwsloW7+9Fr8/9ZgiEq9MuvWY7/tc0w1UjJG ent9OuUbqXbWdlTZDfXcyFgyDoKZed0fsO6532AyWtr/ygjUUJbAKh0tRya3GEl3m4vNalcmqIOs IT6+DeTutW4t5agIszfNcXlDDxNgVLcsScx659lQK8ZhRMacct+fsatwWn6t5O3yYND03VTSmSf7 FiI3U1jJYjVQ9MSWf1EVX0+cG3lFn6TfAmyo+SIZ7+jTcoE7IPM70a0a7FtdghikcA2eRnJi1oqj rR+++nFPBjEn+VQ1DdgilkWV3sl22v9kDdxMm8OJ8VoRB9d5aI57EamubKcuMO4i3fGRdQetleCP nFgOXPlL1rpwzXMGIE/yVqSEB8cZfhFsPj5nAmf8xptwV49/CaxcSHlV/Xmv7/GFHcSKeN3c8s/O Jd8okrffm6j7S1AHapNCC7LJ/+w6kcjyEF6WEsb3y1IkwkBtJWeoBhieAHTTFI/hpsVnz5tQGC4W J9QT6IZ63msD/dh7X0kAdY9uwFygqAjw19/b0JZHbBaKQUbl3GwJGjQUqDy6u+OYbfAzMjFUixly /i/3NBRx7vTSiDRgKAy6sXb+ix4kua45bSJmMvrMkjYW8fpMlOyla2UfaUfl7bD/RikWGujbBqbc qVBPkouHclfGSWayeWrFvragf++AxR0YXj/aEiGbAWqOrncd0kDJ3SqhmUDIRWWoHVCsvxrWpVQN 8VQhvyEx6lTj03LO2P0cP3myyEncR3tHzhmnUCcQv/I2GZZyLEA9Yl5TALcr8qi2aXxLeJCO2LpB wOLXOv//7sSBfQ7BlsX3yLpb4K8nXg1MjtKBZUEPMWxgH5Vf6LXjuvixeNAeQEI2yogOvCqUSeYS qw1ntX7iqHsMWqkIerDpK3u6MzG+oEWau7MQzDely+n1nuLWWUWb201BV8NaRB5tBqfytKCMZHPX 7fpKH914FkEVwAzZWGcjs2MquxqVnT3yLPUuz0n4TRPYgHpjFKH/AzR54YkLORt5fdeFcBJp1AZR 6J6lkw8UGNWXYqMU6246fmDJCRraBHdafhjgOc9fXg9G064qad9obwUmRI9XQvbCdaVjbynef/zH th9sJEVdZF0PYWcqMfywaYSZIlIy3xCpL/yGTC42GnLkW10nn3ZfP39jrudH6sLSfZTDenD2Q1Cg zARzsSOcaL5p9hsJTxqkz2ttJBUT6Am3jBH6D0FxfUI4S2GnQaJLm8paAD3joVzE53oY6j9gwx3T OSFmqFNo0weDbjf8lzyzvTkwsMt/Q1ExabAUWrfZoFhjTnYUyIFYFMO+3wfMTVXOAa4qy+tWaAkw a29cpPn8Qe022RCE8d/zEjPTlk524jIJ4/9RDWdnR5x9R+Ljb+flnjn5CAYlZUkd9qc/4BB7RUC2 8UfMufBqskcQafUrywkRujtyjDB2Qhuqe66j3KX946DkCyah+rcSDXbgXLPUv12W7NFzs67ZZOxg cVjMpO0+SBPXjnPbjULBvNhBLEOQBjFmwinpuSQwAo7uZ7St/RMKzsQWYculqFheKMB6PzC6JURZ 9UbmCdXGYQtJgbajAE3+v2vUif1bK/Vf+V7JgC5egpq9DAlDphOcj9x7kVxVHF7kX+r7Yel8Ay2w +ailu4plBKfvr9YQbBUL4nwLZCfIroFcgdR0AyvJQlUgSiyv0NM/u5FxMS8age90WWxV7jQbokeb ffeC1BqE+Zte9AZGXtaK7ZWcGcyn3vV0JRRMIW8mbCKzDJRIN4ii25i60GhkhAljNUZmGd0A6VH0 NtPK19KaLsNGcfp9Uoe7YPntPw0DMVNiIvcMMIR19z/X7rQGeNeiFJ65xNktadK3P/ijZS7pVtwS LT9Mo0GmsKLeTnCfum0WBBBZpyVlbfT2WD8fP/HufSvuX7SScBbefAss3LoxSgigB3Fp57aSrceO fPKZrcxQtQCPlvhDdLuf7xchvIaB+mRwPGmXsH5em9k/lCyBy9doydDvwzuV6033GTCI4xDaH1AP pUms8o59IFe/8HeGq+fY/pZ/3cms9i8NvfExewmjTR1XrMXOfSX4W3hcgDdubjAuxR5CJSMtSyFg OLveITaE7JUSrozPdyfd2QqDaSUNR+Ik+1HfDptgOaNXczTNwZleqIx4k/nqKxl0WyQqq4ezIAs+ orXwy7w8zORhJpFYqHVWEJoq07GeWrklgRKCP2WaB46R0q+HyR0kwcNcy1x42u8LUVOCEGgXyxlU NYrg2fwm0ayVSNB/PEnCXhyWgTG0hpv1SD1022jzhC0Qc6nDYwnrdeV6oCRT41OjjkZSRJ2Et5gT FFthr92q1CF0kkHq9FNm1pvvr+7IZIQAsdEchU22l5Q3f9BSuasJ6C26VFHezLPh1Tn76/n3vEW9 RPOExUYUErgvasv7u3bdGdl+xalx/VGbUi5bJYJ3z85HbIxq7OZF9/z6lkRnwS8eE2JN4/GVAstS YXuDhkJaOlpDa2nypnCPopsK7n2gW0Ijz4EuGHAzsMytKvyN4P/LPawDWuLl/jG4/uNsgBG3mT6r 01X1GP8UE9yS67pDxvUu5fFZYDSWwaJViNgokwhEruZvE2YKovmoYxP9Tc0qDxD6Y1Hvg5ver3ga jSYlCI8wKcXzwd6jR9b3l127q6IjnhofmX4wosF+iFLzhfp+5ERMFdOVXVZhhRHRi45OeEGbk/La +panpVysmBRlcSX30WQEs4jxDf3HN7zGLIpSdPipLIlOmWB6ymXeJNCJzSZeNI/D7wijtLhwHZOA KBHANUKqcqOtE6hNYqgeGBWJ+kTvc3JC6miJOH8QTEb2gA1yF19pIdg7l1erIEgRWn49uN/1vF7q 4/F+KiBe7iqUcILjH497QjqR05as5aFcAI2jPnXq+eIoiInerDqF28vsEzk+sjbZeo9cVX1f0blA xsvtsWk4lOIOag1Qh5X3wChLYM/fIt6aru4h6mXcjqan/qXlWp7/wA+Ut4MnKlSw3Ebvrby8lSAo 3NdNCCPfb+jQA0a+sK3uaPJCtDa1KCrOWUej6Y8P2n59L+Qx6DTuyKHSgSTrRzFtbtMg+efFBdtp MWM9aGzmLOJG1GbDQje9fXqrz7xFWreLpRic+uFKHqZSCyoq8F6wixZrLR2zYbQjY0MAc94Z0fc7 1wjfbNv3P5nqEnM+lWodSdV/I0wM8f786ABQ+S5tiZn9KG3Nsq76cbl7eo7VtR3x8S0YSWRzXXbZ bzaknkEovFNyihyK6NBVGL7UI9/h5EifzHBwNIdVNIiHh4zrcLK6+AnjXpEYtWAVAwaUltIvO33s 4b4BaEShyBdLpXAc/LeeMVpCa1uKnUGVOFcBvmNXtD0oF1rTMRjD41ModcKJzIa430NfDxenQOeW 2JBJ36ELSdLQbr5Dcx62I4rmJ7yUrUxAWe8HpkeZLxtfF1G43I8lh2ddLVOjLJuCoXaGrjwWlHQT nwoFOIelQ2/Rg7D87/x72iRS8fzqj2Nfk9883OFkTQSYZsu1F+NPgm2ZMeSbVGqa1ROT3byx3Zk/ 3NXlhFvgyYHew7nwcevNHddd5PG7ZOBa78DtVQ5B9kj4Wbvjo5AgK9mGTh7QSNSxNSjgPvsXvR2s qY/hFz+8mqtD91YACfggd/a7c+fo4eBIlAIkzn4mjE8xhjfeG8K72EUHy9nT1eNoyhFs+ioODqcP SOfmht6ZbmEcg5wknt+3XEgkn7BK1pen7YHkwrxyWmO+oRpnjF6y3EeM54ZcY1apYSYAhOXEsd6N kd0cUf5JWpMSLRqC2gBr43iExWFcDMe5eJMy8UU0PeIyoMVwe9x8dHXNBKE1Ao5sHTDdZsK9gucW 2J+WasnYNLENoC2fULl1WZA7g0R2PsxD4u+ULOp7zd+UPgypqXDMH6oToERUelNSnPw1mLVkxrpy BrxkR5UxHAuw4hgH/X0NjEXz/cb/kiG8hPyCyWk4KqXsfjY7h3qvMdbCv7ez+BXx+PgoadbGCmsf rp1VFLqRk1DHAA1gCsjDHEZ8q4VcW6oPMgvjTkrzKqqszxod5OXx+5hoMOeG6gDr2GiRFVltjXs/ Sx7rqKZpVXRRWiwQse7Tg1EfO3hwsBOfjxC9iULUrG3y7rm8bw4AZU65h5tJX0omwKka5yD7LRZm xH9TpF91LuE0dQ8te4cf7kyhPfYOwc9+cXJg7FvVH7jD/3PIvGieh3vMWmIQ4I46l03h1r5Lu8gk 1yjIUrf4QRPrTK1Pu4yhQwdtQVbks5LHGEGbwc8std3xbygMqytkUUtjuJQZfab/CBM/mpwQLKED aloDq5zZphV6POQbVAj4zrk6t35PvtEcX80/vWcjVDYIV6+9PcrcGgfD0CI/HqGpZnpQLRhIRANM ep8b/gw9+tgfXEoJQ8uy4Wr+0NwlTq5G2pVVg0+B0EAcPg+H4rJ+DWZEFiVjO5nx6YT8vwcA9rNY 4ZF+PDRf5Sm/kQOnNTeJxSLDNf3GxDSdd6DdaJhByXv7D9ZkvnGsa0Ikj6lrQTgZ24QP2bFA+ZXi xK1DH8OOBmo9QxeCnnVqynieIxbVVveoDZkuSwBZqmWwLmx0H5/kwzqj9lk2GsY1dLGIWp0OotzM iQ1al9BwFF2eAZTv2oT2C2weeGc1JSTh+kyReWjn/MzXHKlr0a3OOzRVSu038N6b1NjYdFZDPmio 9ElyQh0ybABksW5eIvzo69D0HivLW/1O6dwhUAlgbz3UaXJrhq4+NkTW/KR55yxigss4vzm3yGEX 4NoJL+VzXOcOtiKaWxNw1ZdIzPTiOmum63Vo+mTXBFsi0ic77Bo1bEsgQqnAtNLjg3kyLM8T33Ve feinN11HRy3TAjp80ciINksSPjyVdeOyvNxVtcTd87Up6X5Vh7bJXcrDz40N2ceCBud6eE74eAOH VigClUZYsJfX7kiVpv7fyEGyl65DNtIzbxblSCRjJy4AMSM2BgMNpm/jRZT+sg/ZgsUmJmzbqEI8 r49W9RqQMlAkug2Nb3oj5IB46mClPZRTgmaLkEKJoOcJ5o9XD5SInJbmn6m3xu1wzD0AuBSxolJA GIyKbK4iBQNNP6fQdrpqGJlLZ/YCXZkuD9cfnIyHvgrlOff/KuYbkX3KK4/KYS3XQB0EfH7LR2M5 HW9ELYtQIjQBaeWE3x7mNzMXFdte2T0MiAJQVJo/FAEqKy8bJhUMU3yCY4fOxZxZotLje8EzynUL 9hroP8P7ERv1Ml/gmxyC6clbky/i/3P+iO0F2iNnWdRVeQ3XzUuG4stprkO3JYjkf2lVNPbi3BOh lSwGcke1WJwXSBZauCSmd0PQx6slZBA9Siy46Oc4wqG3owDxxBWkK/5sfIKPryISA0VevXAJwn/n 9RwXHXwisE422S4HKjW7ysDhl0ATx+sUCnbNm0Gm2rcCULIA8xmlZiY51hc3u4BNsXVHPndewBkh SMiyAup42npmov+eC/p3E8UaeGmFJoFNejxcMgNRW/lLJLABEgjVKLvoBFt5Q/N8doM6/ljOHtn+ zkZofDHZKpwofPm2Hq17SQajrdyWGQoMOAwC4oDxp9wJ3xqTYPyn51IU6QHmMkVS11vVXFLq8lKW BjMXz5P0Rulsu58hx1+OWIp8edLftDRHPkRrzVwbh+U4kGw8yxUdqD1yidcImfkwhdoY1y7paoMe FDm4K9b2G9oDte6OZKnH8D/DESRu2qz4uPujrrw90N4b4+vihVEirrc+6ky8ycDp0Qty7b7nFUZV ZEJcpuLaK1ziRkGwE7H9AtV2m/CiHhrb6ejaRcZjSnhEXtT9BruVdqpOT72at7tYrNs5Lk6iHGu1 KRF34m2l0CLUdkrpZPKCmAcN1cvWiVSGGvvmPHoMO5FPQlGBosKt/1x0wQR9YEVQetrJNSqSNrXr n/NvDqiZYFmmnDrtmvY6ECQqAMwNdm+X1QOikGcFq/1VRxC3hm3apO3lThIW8Hlo8imFpeO5UjUI Ai6XcaJBziqQps9HJduvfOTzwVvJ2BU21/5/R4NeM9s9lSs/JoqBV3D1LA8chTSjMvbY7vqX8xLi 4z9pX8dbAWPJzI41xMm9Au96MG9+v/SPpKpT9hX4YxYwxh00v/t1JCuOms9yJ6/UWKFNsSybNLwP 3Gt81/FbrqDN0+nAcOw2SmPW+kXPxhHILbSG/cASHpwUWScEaoQkMxv91nrFRiXiQjDfHdyO44q8 6IFaoZJSDrwFjREFaVKAtBh2KO7EN9MvhCrFQB5FIS0jwPNOycGU88uQwYGrkxrXnHLcfMbsGq1f ItUOxl/oLw0znNvnTdfiZ9OwAZmrOaJm+YXTjoVnBnI7m7UBd2Wc31coXikQtTG25+H1Eiu0LmJt HBbZSlGJj08ko16I/0TGwcvBv9N2gxPzoopaLB5MzuGJYRheSySqlKDEE5R4ACWt7oeOHaLoj7cC N/zR8ajJq6E1B0JNAWKQ+nb706jwEfUOjONQaN3G/176PcPlnx/H47TGtDTqIIz8CW5iq3soOAzh 8/ez/aI12YOQe+egMrKED4BggnzrYsAdxO6+cjGTlaEaBMWWfP7QTKIzha+LmbgD5GZsebVgV+m7 20S0j7UsS76ZRO9ZsMItUh30NzRFJ7L2nCLb331T3vpxKKXX6YQH2Nz+OM1Nl6sM6KWkzhv0nLfg 3nGBxWpji4ACZht9rTgLHYtfrdZmSrO/Y+fFraj0nqLuvTInhASzI3CAA4xN/WJwfdnlWRORK092 6ZZsyOVFfHtKc9FBAzIXbhlP2OKqm8ri9i5xL4tW8AqrWquaXfaVQ1/vew2zUqMTYKfp5Kg9T7+P RLtA+b5+LFAbxL5Tnr9sIedYvdonU6lPlaP6WB5jrVCjCy1qKBxV6pRwGXaMLKB8JhZrDywjmYpc kI3u1wzjXfdnkuR+xopc1ShO2sgyrCoAtwwDPY8PASZc8avjYUg5XW+4OQDJrfGOBERgDk850Alq 94f3oILZ+1bmOTiibE+DrxYyJC9od/v052YP1h0MDI/llhF8jQ3mDBXkybdfmB3MQMoAiIArbowF lFCnI1ufq1VAG6hs8KBuR//K96Y5NKQKwakOmgiUH6fKGQViPRdDvHqpPVYzx8cIuaTvRrt9kEkc 4bpluxE4F/hIUvI5j+LFFGgWookGOqZIZ/Jr8ujz//BWFBlb+bT89PAOhjI/HF4QrNE25Y+kkkBU S5PsU9JmupBEnug+bVGRxCo571mzCS970DQV9LKz8dy+8MkUSGHo+Pi4iQPWG3NBrL72PUZuf2o2 pEi6Aumbr7gqX6gRoW6/s0GezNe7r1H90jLxbFx/qSN1qw1GtFLN259ZI8ZrMC/RGucXZEU4VSv6 P3mCKd/vIsM54dzUDUwNHWm/AZepGPFhry855cygZUqIYXx8TWooTAr7QPmS+Wqxw/9xnFjEcx83 1aglq6bXsmJi5OW+xt99BRNFyCFFmLRyf4JGcYFAIMcj2NZMxnscazi6dV7sEFVh98CTm6GlwvNK w0zI5fzJMCXCGH5k9HKoaQm6fNmXJbf9ezgljX/zIWPp5CN+hUi3OHxAkQIgo3m4xLaJJClZiQw8 Ab9jm6cXewsUynKp1OL3Zf8fNrq/kgUY1dd1AaWeYRLAsbcM1mhOEnuyQAK/H0Igdt0nXrM99qMz 2iWzg0oDUmIdJnaPCSYL9diupauz5bH3/1TylEtSIxmBFdzkMIiiQCtu0aIgt/VoGdXOOjpc/cYW 1wWeN0ijNOa5A8rhmtmNZPhJkP24aNCZMagGTOTdjAoWlIQrBzbfOSTQGKvVfoaybXYX1tfBB+gO NZ5oUoKdZZAeHpgTKhu5baIKoPor58Sh62hg0fyX37vO+Zt9Bfm7sR6eFbblU4W36kX0jfZuCNHM ycbMyV/V3+n3JVoMP/2ptYlDyfBsfWn9MNM4bDDgrGDEHrJrbcDdlfdxbDEv1o4T5b4HS+iL0KKy HNTRTSM21Bm2fSwFt/IhlDN7BB7y2nAdd/3SU2OhzxVFqs1lbyzeq2QBws+j02Kft54dQtRBUhnA KadGtUZYiel4jcennwZJ98QDsVwqWDaBBq7FQay0bLbYUIFb91uJ8LuMS+q351jMQXuLiXZCAhGs xxcV3ClpcBTT8UaU2im+dwffzoZx/BEndVZNWNrzbsy/K9UT/GpMK4bkBIPz7uVyTTcZ4NdW8FE2 y9NHTkbfBoPxzfrKvhC3JFuNwO+lkQP1oD2Y3hY/AQezSAmvICuHjaSDv4KBg5c5WHd0HFFle12T x3AxA4mqQWX3cjNxRFWvwHGXbWTyWTQIcqh7MvM3W6tT0thiGawCCEx3JSEkQylvVr2tpO6TRt5A QLNQhbP0VsWqF1aQD3pKW01p3zbYURa28LdL+zR5UVBpPAkrm4IAh+7eFaszGCkqPuSsMpkVSTDq 0uj0QSWclnmMz0giJ3yhAbxp+M1M2mSQlk7M1uu+BYlWFBB3c5IDPzhsHnAC4JAsme/rmcZRFpz3 TVVsA426/zjSjy8/BDcrpJ6uBrO6tcwWOObfvHjOSy57M1UGgicm7s3+fT5RSvx9hpEr5XUKbaD3 y/Mrs0moKWT5hBmlNTjUXRp24GH7zv/imlimHlKh1caXzXj7+yDxv90nIxax4/CbT9VZGPWXICbr eQ9e9Tq6eWt+SNb9OJVKXk4aGugwsXA0rZ15tqD3JKoaFToba/HpV/i3+b9DLxNTSoXnUfZB5N1L 67/LImZOFsxiUs92FE12QwKV4ONzuSMhvie7hGE8uLIuLk4S+ZM9EOLLTi0W9YqLdllRwoCTogcj ScbWk5NMsVXPWBCFsu58kGbsx27TN0jc5GSGI+wz2RQpPJFrkXp/PFfzvg3MoF6sB2nUcgjb2c4I 4UeJrve7Uc3HIHA6imBOZsE/OzoGWfegtxLQ3U/G93Vi26mohd5QeGsVnm+vdbOfK29CN1azIfv4 XvJyCCCvVxY9e1uXa7JLFoeR9kOrJtPL/hjiY7gpH0tNjD7HcvpynfofelHBzchbDUjRpxFLd7BM bskDb9BTRb1BFhjbeibM4kraO22x4jVFukKjfXEo/i6SisLsZRyb0m+xePJSMdL5Jkn5jlbePnhf WWvV4dtajviyWF2jJk49/lORLLeE8AFbIglTIWXxi9DOhG/tkJ++ywPPQcpepsWb4F+BCoIw6jmO 2ew/ze6qW4Qv+UgVVcO1aCAyxMKx+6PFGXtkrOijO4mf47id4L2nln+JVERwS7ILRSHbFp4Y0m+e rv2brEAo4HtJeub1gxLQEwWKrTHo/hNDiPrm16bL8gLmrAldDKOS1KwyQrRNBsOpFb/LoHBlA7ld 8bGGzl87SuGSJEX1dz+1x3MVdoRLnEM/JRZj2+SQ3YG9yFHkR/7h7Hl597xGsXnsChw5NAUnVEd/ UWVjSGlQc+oyToCmJnhxsoyYHa+iSfzZtk7GtHUI7oetptmjFnlRDfPRZe4puGyHGNGjIM7NcH9H guKVVmWmBc0MaRRTYaeyMMO+Z9uge1Yzf0pLmsYhBMLGkEYmNh1D/GgtDorOpPuf8jB4FNGhg+0S ViF3w7mFyjCSj8/O31IiXEM+YOF5PlPSUD7tGsE5rz5icfZYlRI+Acniy1CLQGKUDzIzHNY/X1Os l+9C61idD6tLzaoDCHrnkDnq4HUsxbOMG2Sfu6SBbCLK+MFpizjTPNJB+Q3IQ4eBo5qp2JPRLEPg 2uto1/0aFGfV01smPCqRRVvuGy5IJIakXU9hK9E3SOOGmJ5CYuiNm7xKxmdwoESsWetlm36l1ERr AABuFwS3272SolMO7DAb3kE4snmk5mUOEKZp6c0pwFmPBnVL3+EcRn+xypGsh+ziNPD7scXIm4d3 ujylMDfx5oscGpmzolhsauTu642of5md5hXh8nlHWoTwXRoMmPt7YB7hGufLuoHUkuFNagZEhLXd t6PV6NmunHbp4cyWj2s11X0HETR1BLmp0EJqPM9kl9XfPH5NgODSJzqpSqCyDO+ZCtAWXyDSOsIa 8Fhyf2MKOkoalkPyXBs7hrZsa8UNqWjfP9OH6mF36YVIkCHsVNFOCN/RFSL96fGjOuLz+vL53aBA CO4bmns6lIa/9OBTw5NeDQ530sdNZt5TCPVi7/jDr0XngecydVk+v0oVc0SPddKtUmH2EZtb250d aiEovGf4pkEvIClp9i8+oaZtLgPXxy6AmwS7VJ8LhYv1CPo2x+8ic7mHDcv12PPCBxRW1ZClYExI MTPWbiPCCSvMzzl7pZe66qU/rjS0F9iaBB/RptP3jPU19teR6+1FU+bYXQxoxyW5PKEzbbe6XNUI q+a2bXW8nx7McyXs8u87MRAZEQdANanIAUXOUMf1K9NaVRoitVZWociR6Eq5mUf1mgn0V3TsjhMa edZ1d0ogUxTuuawp2WfZm7M8MffAY0JoKLMHSSWNDGr1gn+7U368BVC5vb051qPjNbcOGjzmQkjT SzYa60/haFQTrbTugar3M5IioMzb6oFGS3+fJGTjaqVOIy552L5FiUjx10hKieQTDdwxyVQyXojn P/Ga880pgNeV327p4VXd1c5Z/xsuDbF4g+uE5VUavCcKDd1dnWbpR+QZ6Vbnc84i71v9vp1hdMO+ ATGEirdCx64XkHFE92k2YTaBvvgxV3RpjElHGhftNRNUTYpRWuuqPi0zBybqbrWPDIWatG62ik/q ERrtzjHsA7NbexcQsdABpspfXlPQn+DukgIyw7lT1tp3EZR4QXmJZOrYK//7aSK/qvfihCF7OFLJ SY+0eIwfj2AzoIZhPAyCv1T3aYkpVcJee5nDBWhML8GVz44BaYLcemp2USk7r4tODgxQo0Vyb55c R1qiIz24G7kBy6ATn21axSnhZtj6+wdABJLrIFXXeRShiNjHY6MB6uB/yyCE4yap6yKrjb1stza5 PxpP/toiVYB+6xsXFlItsn0JZvNEa8Sg7ZvSYzzluWU1tyQois4IwZiAUkM41e0ySLimcIxR+zxA TiGFsn9qZOZqZHAaGdjuvfEpvdPziXtGB+SxJY0+Rh1RIMVty4g3cmOHf/Bz1q63sZ03ES2kWDE3 ggDmflfGFvKn7xipuAIiJ8JE5dL3RV66H5rOxIYGHU/fXdTInNMbBPC7qqJfsdQqYuhiPtmm9HTo 2ifNHmhwAaVUFTEjj3qFV7jCENxme+BDYaOV2qRYifbDn0RVI2bgz0a/kW2eFz/HzAcbZRBAfsKh Vv8njVqlKwsx6zOA6rnb0bTKKbRwQdX6DYIWltikD0e9cbqXNw27Z2yLlai3Zit5tXtXi+ONhfaq S+FbRcL0IA3PHxw4icXt4UOoAtGoPyu2op6pFXsZlq61s3UAndUAAvrVjhi26wNR6WTeqnDbHVZ7 BMum9fOPOSfpDiE5ECzySeYE8YpAQlskcTMM963Oa2OFfYxpexiCUXNt5eu0ucX40QxIM9a3Yrbn IOx6YsKdt1FttrdNWMWNpiuOY0oai+o9EXDNo8lk+EK1ZnkIRj7kbyie7jxEchGEMHQ0Of6oDje/ C3eMmikLi4tuFrSNKls3XzywNjVH4KaXmIvgVlxTLaR7Y+KVLZN0zCexMExry6ZDauiP1uIFDgrg qGH3pLq4Zey4n0c2H8II9MyLzSKbY3JVHHIduFNptyeV2+2lMsFrfDZblDD2ec2QVwhWAkjkwLiW Jg0Zmc8mcvgiRzCaf2az89d8nB09J07UiBtZTW3s14Nr2uWszpHn31X9sLB8e+hLxY4JQWX8BVuC z/9PwjVLmiwIjxedxJKs3asygav4Mq4hPSLBHRyWbeuHTH3a2hLTjl0QW0b5EWbe+tMFoFDcq28H 3qYKBCIHjMbsSDWA2vMNQf/L+8j4JwpuvVbfcvsFFVvnojGHnLdsxg8Y5AedC/Bdz8SoBq7sl5uZ NoLC8tJPOYK6EMZwBobIXZKZOdAQexbEOIahGF+dOuVrIlgFqudXch6gdxY/xpiZp9MJXrjze4t0 yWthU8hA+pW+pkFrqR8d/YivSj3mxa3v5n07eVszZtjpYbrraapdpw/OYzvwuwRUhICnNZ0p2vIW E2LU/RkA4YvFCPsNKh/DLLK5tZ+DcifxBcSBM3f4MpfnFpMC3JXJfKiP2G2uR94zDaWO3lf7cV1o BUf5SZC7O9yiexyiOP5qUXRa1lQKheamYr0OSITiaR8QlIdclf06XRueA9kD53hkdW75bQG1j1wz S/QIqtoIC2OaJZmfZW4uyYiZG6DNA/NvMd5LwcHFH6Eq/unj4BGD3ZqdT7WZD0ePLrEjLc6adC74 aMREwqKI0iZHzxnu3jrsn8y4kMvh3SE/oJnZgKjkvUTs7pbMp7DHts7P+yw2mCRGQX9xDHkiLksY ZDqTN5+CsaAGUVLpGB9jpF31NxBOoCP5YU2DB8ARKx7x+A/pJNI9raNbM10k2AFgZ00ojHb9UJEh lT2gAfI18ufI0BZmWYCJev43QZaB9IEAb/UgxzqEuE8o12skhKMjaqEd9+6bx2/L1Uu19oDqQX5S 44LbXV+NBuO9x1F1YJZ/V9a+Py2a1EdZbVVOGADCnNERzxSFoiwjPu9rEkx/UhrqkKeuw44MRvhO JJgCe62p1gVHWpEhEbmC2/Sht+xdYYeFgPPqihjGQMAmAFgkbA5F8dxoACVArIPkY9FzXkJsvg1+ EtHWAJqIBwHqu58E/rPjxNxaXQXx4GaEOEzntkLhqUx+YVtblZgNp/PRSoM97yYnalwbdxybZLne H6IItK8GH5ObUhtsXUU1qxOjO18+S30LRDKHJsqmL7tlL/tcFgGIJ8HcJ8sEHO5XZwyg+1GbckFG V3yJo78EkCtq4kA+/VXw92hZwMVoeXryOq3VhsPUyAImVtJHQyJwjLKcBQDdWfMxOu62JRJoBzYF xR3PZGCviuGLIKLtZkNeYPsPcEf6KbI+uEJoTuRrMsCTBQx3dzTRBTb/Sy9pxUFl4RuEpJAMuHWo hNJ13fKItAGuKEI4LhBJQJapE9mogRCc8NPD7RXx9RIbQNORnlnIlqyPHm6Laj7sqAJ3J/ihMdCs IPgAGLLe+fT/7UwRYt1VbWMoUjD8QZj7x0FP9Fq0lyBw2IvGlnlfi23NRBQ5Mz9OK2cvFlVR9AaZ 2gELTh/m7xKuTvikRjzFUe4zHLElx4Kaze+yptzN/5Wmk7Zp7tjdcTrTI1j7SpBEf3Zpa5thaNo8 2GcY0IM//9JjekIGW38maulInD0kcDfqxbpW54KN7257xAY/wffzit/V1ZCV8HelPGac4/flaubj ai8UrXn8HHjxNLCHKhUNujVnZUPFrfudPib/pMQo3ZVTZLN3IZQoOEqyhTA14JNGHyr/f13QUELe XNALb22N6M9YD9KPnet8BqNzs43NThsJ/suG8ITQ3ySgCY2x6RJd651oJmPqK12astYQVVJrobBd ytkXSfxI3cbuoRaWQQyAu3Pt031VdesP6qd5N2SFYCouV9mK4hTE+HA10o+ccJ6aVv1YMxQTKR3j r8XxX/lrp8oZW3jJSseB/hdnrpTQM3zV9P0uWm5/H8uCPqzqYZg86Exv8jv3Mntyyw3CToI7sjbw WwZQpapDJnFpvaWwm/lOH6yEl0myAYeW2taaJgrZvpWbpB4lgVNEciXKdiFBLW76OyPuH5VRZlyv aAtjiR1JUb8wdLM/v3Bz3qfGgy3HfSoIF8h8OdCN5beCow0hB9UbFk6Zlg6kUc5gE5twsLVZ3aUG z68ocu1NbJmikP0cW2pglzgy/c90HZLG6TUL3TY0XjCdsse99xnxaaBmVo90CMZmB8I4HMOqiElV Zm4qNbdcFkwf6o3ERFJTNKbs119/Uqc34Ktkjn8ZNL3Jmx15EKIrU9iIiyUtQRrjmPKQZ3YmlktH xbGFv9iKyxLlzlzd7Le7wHuwDNZMYdgUZta0xYks4mwzj/P/AE3mo+69lLrEzdXE55Q8h/LZ3TMN enZvJan+ETpQR2ZDl4fWTWJQNqiGDJFcLktpVWNBi4Qo7anZxjJbvJll1MjD2hi1M/b7NHByQCor /B1EI4ODG5Z9bejpKuwC8shJNVBiPnCeV3M2qXfgc7dFPjaggsLq/aeW9HawcJEZdv2fX5tSRnzr QFDqnAemvd4I1nWl2OKKxcfJrjKnGZvkpL/uvsg2RG/d+AQI2RgV6IiBM1dv7nPFJx4P1icvdzvi 2ElEioZGjzc/KdfeDXnrMqMe4eWbMGbqN5YAgt2RwTIf0Z06x5Rg9F14AbNM5KYe6jg6ppeLo6oS 80uoIOGd4FZLyIYHoSqsrpv6JSXDUG6pVGQh4mBbb2VjzoY964PSbGVDZL8qN5H4PalKrRKBnCo9 m9YhDE6SSkwujiY3b5PvDB2I2k5076p6pztM/Ppilpy+lW+V3/6XNn37iTXD8ad2p6cR8uV8i8V+ UVe5Sgj8+floSzja4UXPjT/Mp3gWRxLCa0YBVBsTnX3FN2xojIAmJoY+7lcfdfj7te8YKQDDl9LF lH4+IdrnfHTIkaMyMa6CNagl7GM5xV+oSWkBdjuiDAVkpJ72HK+jFw6HvMWlSOcB1s0k3tc9o0db xFL0INP/Mrc/ywP8bzgfvezVMVK9imWIQkt1i7U1ymg3OOykpuzjPyRjjR9Z1cu1eWS5KIpbHTX9 MLUsJfBe7ky7cLnh8ROKfR/9Ds7FGAOZ02+jDw54H7XCma+hgdjYr1py3mWTwy6bPYJYc3rJPZbK efUZM4L4kJBQ5RsIExPhgz0eaWZdqskm53Q9CkqNq0kVZe0BKpz32lHrsmpjw9CnDQC5t03u+0/z 1eq4etdeU7+9qxIhGMCk2FxBHhsiQWwW+WXKUQIGeMWSqbyvki5ch+U1TUlIcQUqaGmjTQQn374F 7jx4v3+cSDBKgnkTlF2YAD2NYxQwnHVhSGQFYAwrl+qvYyCNRyeKwehJKGQ2+/4C6p7xUVuioeJf U86Gf5wIAC1Om+900R/WWnMZr1EDkcAZGGd1R4zYb/5rft6raSK5n5ae5mZU2KJd5aTG8SmKugaW ogesZqvMw7dezO3aXFkbnInevTjjbmLqol3AVRtZ0S0AeOq5wxXUsUKMBjeYjVghI6R1QDhRmLMu xtWa/jFn70u5maROIBRg1J/HhMbzW3Mu2D7JepW0cKsbZzbAK+w2pEqvdKR6P+ioQNJ5+RSa2GZe cj6AE/xzeAUbT1uFKICY7Y6+TYOkYxQ/zfi+EI0nNJqpd8c+DvcJAGSSNgswZp++zR8wbLD0ZY1v FW91YSDtEVn3Nwzy452v8clQJqE4gXPRf3t9XThZqpl6gDhZ9cO1FJ5MsebKwIp34Fjxe6wwDB6n mJ/MGZOzwCLKL5Ovs63NNSQamNXIBvVd48pz+GBKVtlHc/Cx17/H0YtidS/sB2cuI2B+EjV4u5Za 942SBVCshkHPgIUFoy+Thzf3owwmOkCzP7foceUEPbgXVn56J8Wfh1S2JmFL38hMVD5XHbTyEaDY 8Oj85t+nxVKWsRKzjcrD4LvD+7bzHUDMfudWmf1XMcu4WUjRJEqUw/zVk8sNmpssF5nMMDbsh3g6 K5M9kB4hA59N3aIcIJkA21zzHau/Ht8lzRxvBBQLivJY+WRLHnhwsN8UH5IzYxcAjt67H/ffOA1p Jp3ic87OdH+mi5VwKjTae5UeVmpYl8VnEVA4+cM0U9g22mA2DMIsurQLzkWRh2dv7VJ00uW6o7F/ f5Hjk2HaA4P96oY/vE+HwOSEAi1aSf5It+b09McXPmrk4c1RCvYqK8jPlNik+qrTizJN+AFtkyZz Yq0yfGHpaDBLKrAVomGE0O6DONMDaqAinGMjgwbNIMlyedAONw5fplNxlqrIWWuggfUTxNTUI4nl 0PIDLX5HDC/3PeMvFV2+ADtCoOzyLQX95UsG8qiMF2gsN8WKvF/PqIhknMaXfqetTEdH5/O4MASs Uf7GMlWq+JOtsdzMPiM83lADAiKCwOCZLq792A6SgZKYF4d4dmADbHO4yFnIsmmt37DsZ5q+SEA9 6QbEJBPXAJw1a+Wuf5DapqFuOu4YomVv2jpCSaIGNoA/ghJ5JF+SVY/DkyX5wiHmYPL9PbJp+m24 Iog/BWaLgnnmW33kXgcygwRVfI5QRI89OkVMYYlK+8sa6CNXEifOzg+bl/b7HVbVbsMCGIxlC0KN ntK4R05jWG4XDSi38PUn3wrt9XHklIfLTwUmbmbgeBbPwsUyGjFA6KqIGQqXMz5+GJW8JcsR71kT TlSw/0B7t5VXlNu5UtL/kNeOtkQW+HUgq5wbeKIL8Locsp23wKWKtdR1QUccchL1+DUGldVfRUHa dU5B7viuzwqQtgbKSGZQPLiP+pJXo4DvbPBzhXkqv/b6MNEfML/7/+oexIYf6Jxspi95R4Jw+iR6 g+kW5anBGDipxaFUeoI4PUhqNvBZU2isbRth+5sfvJA7o1Ti3RmvNW7psxhvdDprtUcwRATEAXSy VEkN5h+iWB/N4uCu3N1rG/c6DT5ZK+ZVmfQHadAS3u2M+lWsUtdWDKGXUCVbBeEF6Qvm8CiCzrMt Ui3O/KPoWCV75ld3qZ9F25Zrq9sm501mDvmeB3roZcvoY7kOW6FAr2v9AV1r3V3sHC5bicoPqQjb ZmKNwrgKRhUZAE1n4Yhg/oIauMkoIxb/RC1CeWJddTHi1mmCHSfMlpp1fr7pzzhp4ov0xY+xVgC7 O3rRsg+28yaettbdEqk143YxB3UIX+TNjEgUg7hn4gFkphfPp5/leoSdgmsssGvQV0QZJ4Tsg84w IFIRxy44AWy+hSSb3G2dJoYjXJoC/O8vhJlzrKmS+WdINwDWNfr85BLFBk31SSW9euv8MTFU26fj 1h01j2jGXMWMm5PzNjfWosY2wa5PzCDEI0gZbx096XanVdGBDswP5tE8VVndheGf/c2QXSDaCZoT qUPWHIawBQLYPcDlNzSGAJw68X5yDMmqFZtehKTiO8HSYhft3HGL0QmmjCw0cu5zZiq5fZHKE0Cj Nn+ljmtB+40IJ05z1sD5b3mWOmm4+L9YumAw9L2X+KmipxlfInNm5TNlS6/dFye9XHYWhynCxdHg 1U8IkP08fB7GI4nu9PgzZaDN9Yx7MvjSaLui3vffQjE74GWIoP7VUX9+nV0nQoKjsC+nE5F+wMLf sWwFN5PweNWxO88OSi6llYyFQZYs0Rz4zwN5B2TcGLYQpk5VA+Ydak2J/zrKVwfQ85c84pzYsdaC nBLNi4ZU9mDYe7LETYTfyTr3KlOqDef2NwFmChg1FcvRgw2oiUOQcJqO/2E6JGuUZzvR5950aK0o 8HHAuUAzK2gV1gs0pI7AVEAWwo/qU1hxskjoZ6cgmGVznwsNY1Q6KMJ8AyHKSJ8exDlcItTQ3ltA iWbMFSZXOZcmitC/4mVD9d4R027zWKSuuf1dpTHYzGiwG3W5lgQAax32bIkBOZCaYEc7tTUVKX9N N9LIJUkZw2Kn+x1c4eYkeervMSS4Ln0PeHEeeSV8ZMG23vqA4Sh/QmMmuz165/6flA7EH4eBkWAZ XUFEH/0ztpjgErm18CVmRK2WGPg0xby4TruD6URXhWYNSTTGlz3lYmIOB8MFUjRPXjUNgpmOUyKv 4wfheFR2EX8zHZjkdjFpcx0Q/PLCYS0AgZmLssZQxm7H8lm7Nudzpn+9rAGPoxw9Bm0N/BI6hoC9 13zQHNnUzW1BR+3M3+G+uoB3OotKm/cA2b4M/lQXFrf/YhTkcu7P87N00VCjKUH65PfSZ09748zI DRQqVnTjtRp1sbHL8OP+sbs3EhUgABnYw74J768sUjEO8yhYh5afDln2c2UooyGjiW6diGETneNf I9gZKf8OUm+YWPq4uZ4AnyY+LLOuCCCk2Au1sxEySqny7UmhSbOD89MQ8Wguc+Y2g1R0o6ltGuOa ir2Kr/YeUqJKmEKkquDXEX+jw16o6QUVLHuCzJPNJbYyE//LM8HYYmPPTjuVrzG/QrkeYj+hGXEl ImA6q2OidAihQFQMVFjXE2s2xBeq61GBvqSm6zr8IqmlTzNGJ13DQB+EzKd8uX7JNfjozmoBt/Z/ gluyLka2dczEqdQYPR3KvgaNmAPIPWPNeU7DdxPxo+dOImAmnMNARczeud8sj5y6Rfor/ptUG1Sp KWshhLXm8N93UUFGitY/i3wlrYVgyEpI5CoqGr36zWOIrgQOvT8rP2QtZkuFL3ZTwluaVEqrZ1xr zWtPiXaGLr3HmI84y6Lqaw781Ve3WAz8jMrNinL7bT6v/HIvhDCIgMxen2SqlHGeS4hdlW6iRfJi GOGr981OZgqzaz4xHD4bRraWaTnW1rO/re3RZYOhGafyHO/cpmZfTqU30Dw2VU84K+ilIcqUFIBJ o+lkXuRUe/iyR/Uh2mCDVNKmPLIkl7zxr21nCYqE5Ovnmc7lEITVh0hTu3tAXHohkYhSeyDy6E8e q3m7o43e9pzXuYAcFwgsnWsTpqkeC3IF3AnIep7LtHMeYJUyy1JgsG5YFmkMTKeY2YZ1jRhH+ywE ppkTf5VwQcxugEERgzDOlTB2JD9vM0ViMzEkOCZAEvgyJ2kCU6fWNkANMcFyEcMNzGATN4tJ8kBG RZDWdgUeZL86V+8IMzTXybRENQBwXuCzakwS7rQlI4CYZnnNuLR87wAoTusCg9U0/M47K6nCFLcy 1HkXMXt0Sq0GhdAKwUXrcxxEaWeYcuh+zRjiFvhbIEpULbLQSLEN0QXr+MIr+wYL05QLoB/dYUdq HOo9kzgTGu7t3OFA7N27n7XCa8nfbi9TomoSw0vxqcki4XMu7ZQ1A43CH7Da6KFWdKhn53nYIPoI 6hhCd5Qi98pVy6SnLvwZvIRN7UG8ZnbmSYQ3rdOABY2fdvQCcgRc19jwcVmmoZDkHnz7lrmpHpEw dA3+r/lw9hPl9YnbHV/57IxwDTj/gu3ZRo7l5r83s9rtvsWj3+SJZUP8mGDIp4Agk3ElOJp3fnNV pd6JFVmfA99fhwuRJNMIPYw8J/0nU9uxv/ACzvtJI2fBHTkbzi/ngmk9phBY/oGgbLOe7i8dosN3 LOATz4PwUvgKLOthg6Rphn0SxZgrei0AsnMKaZ/vQjo+wPQNO2AlGFdkPXaBylWox2C7sfG+Srwu iJXKkWbqiqo9CSYFW+SnY5SIyF+3WrAe6JpWR4nnd5jdpKFvv9RfckB61CRKpRpQL3RuclEh3erT xL/0JALc0bRg7mei831VjHXmuHQYuvg8tGuvGh5supgpCasloi18vk/eIGspGoPaobSMK2nPxlU2 +Otb0REr2+T4guJoOUolUs2wKOfn+DVSeCqv3c1lGYZMxxxVd/5pU1AlypxLzRjfKP4IBPHhGedy 4HMRmTwfSWa/dg7ZpqlEi/xc9dGbTX2g4czXSeRPmPvdLPnDBbHILCy1tfUvLIPVfQNzh3nX+SBr Od8WO3YdiiMYJ1F0KEWZlnx9G5HutvOT74hKi95irQPgCLm4RkbHDVXe9eeTdgR5/Mh7k7jyLt5P M4A7SIcVG5eqR2YDC9dY+gma9PB2oXzWhHeTOtuxzghAbVNoVBO7lLDzMZcoKgfmJWUOtughbQS5 a3zrm2jTt3bJ7PUjKcZtsWyVRQ/PVUih6MHxtTU1DgSl3IGqgxcVHBEDHuGtoJeavnQie7BnrHvb p6Yb5qVGw2hmAlQviW9P6YM4frjT8q3F1wPEZCwhX+3Dt2LR05Y5JGl50KnqUhblnnrNI3RJC5ud LXs4BBT/LRboRSpOEl8z0NoeoRMaJ+pi3L9kResHQV9IObZB5HqHIQQFx4Csg/454xzw+X4OYKwB e4X62DoLORVoivHTDOmISsOMiILQ5KI9tgNm6E/fLOv42X9BB3qGwCflulYxou6Rj9uXlmimOxYE PUWgF7G/ZmtViyYenFgCW/cw3BYtKHa1x/f0UvBz2KBRe0d77OYhaUqPkvXDxtnZwc29C8Jny7iX Ie5GXhgpUkbCvk5trFQf7rci07cTOWNXn5AJ8blwOxEZpodonDIXoawX4X9gNQtYwMGsT2Y+Uqev wImUiynHWcNVAvYTFNfliwcf5xp/X/m/OFd7YMnhU7A21QmmgUgIOarvcIq1XEQ8CJAD+BgH/v5w /1N8KyIUGqWq4glVoop6d3xnp8nk0tnA7UQnkPeM9WLWXTkhrO/LBURKJnLbS/MzooWQfIpbRc3J ZhVEfmDNWbRVLsbai75lwiVReOx2zGXOokVpf1zO9Ox4ECAPaUbLL60+NX25aF/TKDEhWRCeTJLT JC8xhHx17jGr1UZBB0ldWkCyGXLVz5g0wcQ5FH5dbfJVD8cB85PnB1vsAsJFk/gEWphEwMM+cT1Q nQhMuZwFrC9hSJCLX58tm9g2ADqq1Nsc2Qf5yiBFEOM7nFWXOWWuJtRd1RvRogGoKrX55vNit+qb KosxRdiNmcpr682FTkpFIKNYORwi3n4dNHajkC9mRs6L0xG3s6S/xszZ7l8AAYPH2lYAns9k0TMp mYf8o22B02RoecHYS+P6nMDIhTYc+qf7pEHYyvsHQ0iaqAAUHNpwktr3JVNy5jkqZ89b1dc+E5tK HMjNQvLEiA8nAS9WZZq7183aKkXp8kziZWTBuBULi+5JFawSyxBY5zQsm9sRSAW57A0PP4xpTkZh d0dYn1PccEQSZQsfQL6ucvFVP616+C300fDn1HpV3CPL6qvdMr/l7E7UGzJDyvbVfpTGIyET0vjl U1JJWh+323xv3pALJUMs/jeyFqVfc4Y7Fm/YFE0S91AJJRHW7ZgPkQe8VupXr/KsG7F1EpLjbf1G +HDg54TSMGnh4Iahn959yceI9fjXnMwqA7I5t9nrbN8iFwBHfUd45Q2y2YVSJ52ixemO8D8E/GLj iD0ybj0XaI2z3PnF0UrSgSB3mrCUyWuLUKv7iGCEQWY/SmQGpGbBxqfdxSYzdrVVVFs9r35+9xUJ XDz6ZAISdoxySAP6IySa3HQ6Yr3RCwsMIVntvXF6MqNJnjtok9jGrj5YOzM0a3jbEbrgPS+dXjwi Yfpq1a4tLDHa8GQm0FJVg2ENha/Boc/hGRylQdVt5xatPSMTwhSd4RfFDBgRdD1XHHqYCnjJb4B5 5cgYwxFxEYUX1N+AJa8lBRb7Zq/xdXVBxO5oSRloQ8uyMf0YLauDuUr70FU6d3TTJcfIY58bbMu9 /e5nTM/7lY4whhnaCtt+oztkYVwkSOKik7CowoOtythaXTMDlw/TaUqnf3+2sr67ImVLPvmBjwEb tmh5797R9ZS6viEZSsEMbUYtrmApES3WgExcPgwqhziF3eCmITNTAGWr990sg+6sZ4FvcGvohPb3 zKUlh0p90MBgoxuJVFabaCQVb0ntWRqrbSlkPU9kuyFJXCHmknJ+pJUApbqkuJePGX+ZEsMhbZoj NHjltwAar07kYGnjwJFH1tOiiEtEdXkYFpPSGo1q2KRMOgONzfuCdQmhhrx6ZCNE+7dcL7PuI6Yz 9uckA7cPJIqRJxfHIMIBMZmyrYXjaQHKLeYLv76R9m53m9o29+Py9iAeZIDUJ33ayrQgWN2FzBhp kKMCT6V7me3JXxMiXyfNfXKnz2yhbXiUYMyy7vv0BupQEU/dXSu32P5j8AZwpeaQqzxv3x/5wzdz nAQp5cuxSqvizQyjrgFNriv2VgZA26P7FglL2cWnV5zYw/jU28i70OcOSWSRUJb5L1FAP0hnN8WR HGfYDR4LjOMhlqbIqdTnX1T16R1TwrWPkX9yZtu8HsjMS32A/kBQ2RZZ95gDzAewWXUBvxzs+2fn DJr8EfTrTeeQBiRF4EJA5CvA/TrSDlI/wIEAWrmqFXAPRvHQORef6a3OSZwXFx3LjTb48ZeMHU5X PLPjQKAGai36EC1E+NBRyr3+Ilzo+wGtq6PBleG9wrFYcLPRzxqSmzDkJtMhtI3Nj7Hjr79qe4ql TrEOAkIIOkvO6LnB6n43uynlE2IHLrmBIwVv7NIqirk6ndiqa/cWNYs+uVfuFoj+GPWuTqsuHiD7 lCfXDMntfVWy7QQYzODy1Y4xTtYrISCuKskqC81m3j5juy3aXlGoVPmTmrJOBSGheR//rsdbLUay 69zHHLcrZfVHR22b5f1mNzFgOwmlM5wEwU0y337AuLATCdVc8HsDnkMPfq06FybMO+OVThFkyDSm zcNikbKhpSvjNHcz4RdZtWpd1dbnvpk6lycLm7/OJ1riYdyOHg82tN7qfxm4OP+RncgAkygf2kZB 3YmY2yNVzJXJO7VCTZOFFk/EoMAobNj0+5fjN3fKcIxtHofG9IB7ToFPWWt0SPz3+Z3KrTSrZ9Yl 5WR580FnjKqYjXBOw7IMSoI4Y0wd+2b3Isalue+vFt4ZYjBgawFb9WXxPszYp68EinBw4cqbNX33 pi22D0pnlfsLt8tA9KyDSDPfCqTf4/2YhA1Fw3S1bCxqPkYgF5FEvToieV1SKZI7tH/uJzijRXid I3d/BHfbKnsnQKu6nRTyk2wqjNuEVG1xfLAMACkj0xLmYxOn7aAvkEtlNDLqY2noAj0cACWoqAqP J65NbuVDLaPLAaKn8MUHIJ1IrVvGMAVXtFiTsUz5Plp2kJRi9wv+CLJnHYeSQ7F+40ruT3SUb+Lb QCjACRRPMqa3+kTw700pd3swiZ6kHwIq4xr1yzWDa7zhThChhtFZgfRW8XEqdjWjiNoJAtwWDwMK 3MoObP4EJGvqp7hQAYMmGAQfRCCrKODVzZAsFaW90Ox6wGlbjMP7V0xHxPbkd0n1GIcTSxzdzpYl WUa0M0mJanc/lss6MmuGmYUpdOJjEYSvp8MJZBtMHt2Kiy5xQIvnc5/o+NCwxxlZGY+hJO88WrYt MYATc2tE+5m95gMj9ej/8qKz6mPHH3aoGWbrKdiiZUFjCUPOp/zrUWYeusR8Q8ugfiLt2WlYmMbK XHD5x4QV7FAkRDQMpvCSglaierqa4Wqb3cf9bIf5l5WXijl1kT0Eps0Y5JAL+Ba03PyHhSzYdMGi DQC8MO7wzuLsH8mOCmmRRoxAt7QCNif6s+hIqlHvJllw12kt+2aQqBy5S3xgPTlr+NlwxnQmvhVx Pt258G4Pbl+Dfp9EqhyX30tYfCA8iqM6Z6xWF5r/PRTwmuNa9G+m/2//Qhp6eLDUSptYCCSwxkUF UxxqB17ZerVGcGLBgqEvTuZYBCGukuasKFtD4iso3qDCADWjzFggGcSThZIOU3rmqQOiEBSH8vea oK16bAqZAuS9C4lwpgzk0GAt4TAMS0Yx9KNyNSBPHlpV4u+LVd3Rdx53zOtp8ymHSe/ktonMHDid Yvnp+83Uq36r6XAtXVJWA1J8egyMSHzMgijJoIZdj4Fmfg06/lF68bKm7UtUS5Pf8jR7GZ1kGcFt aKhOampPUeR98FUKC5dLhFrK+EnkPpB/4Ue8OJDbDGjW0ig2ClzpJJGMbl1VYx8edZiTkVbQDOws kme8EwKYsbkJFWfeR/a6kE5QYElQxRNqCheSzVpA9ryIdBJvKXEi7A2g+VrWfzi3OPckKjNXJUmR MI2FeZAW9z2x2ICwxFyh8rS812wyEh0FW3j/IApA2fRsLIdteA3uwOFVMZ76HFVgpexlKl6I+bAz 7y91OZbsnZqlsIPPtohDAnb7Sa0O6Ri/4iK+SASz+IRWDzTVzLRa1ae51J4ZZrD6stgl8LrRHlOX oRBhzUWF5pJxxXLBGU7biZlhauGMlOrWLWeFygKfJ9bm9qIDw7YwxrRsc67p81PQ0yGI3dw1RD6j J9xTOLaaXdk7dAs461reWJ9in07lCcCzuRdmZU5FGc0kwbcG5tHuRDd/nll3KATpRa5wmHy3YmOP AbZODNxXLTtBIn9I2DCHss+yslzzV4ad8Bwr/l/xuBMIjEpT93Ew0zOqEvz6vvg3x1WdDIANCzUJ KHLyPMXeRfPUS1XZlHWozcoSsNskNlF4SUuJcNglfxGOU551zhbskUWox7DJcmtzz9eHdkZ+iKts d7U8jegqOEnwjJySHWLdCtTtn3eN6UytFEp+C/sieQEoKJBGNAL6r6GQKIkpwvANYa24+OVo3G4v W7IIrmYVxLpDr8iPmKsfLy33Vjdj2YT0jTppuubN1ffWuMSH1/U309G8uSOntHMxUs0ReI3vkgi6 qEgsJftyPI60int+W3czYKJm/Pnoj8mGDcUwGMKkk7l6/9nH10jfSs8U7ufNZP8YI+UBqO03DkEM FtutPc3D/33STcZBbGru3MnSD1QTSoyfqmMzSVs59UDZEqY/ltn4g2pTQ3dC9ZWAg+ZNrjUn9ujz mwkuo+HmYcFVBxXW6eP5F4d4t80cf4N40dWmq20txdU+jqoAFnAaiaV54VQf3mfgz0KYYGLtYYHm g2Gtsygm3U4qC8Y+NOMWNmRMI40GepXIRhu/4IGZ1Q/LhJCUwWZ+XVvJqePo74pOq4W3HtzlZBwT S1LZLzDW1fBXu4C6ny7JepBzns+6m+Sa4mFgw96nUGUG8FnhPrEUgO8VoaeIizs+Uzina6imCD9/ 2CDKHEF0AS24JdXwhLHejIy1Md2CfnSmVVyEt40pZ/IJ+2YxYYIc+LoWJ3boDLCZYqVlLsSxFs83 sMgj0N0MrsOBuCbR4kv+T7DaD7Va2yP7QYw+h5/BHmKEF7C2HQ+PDrS2mG+msp7O9+TDsA8MJNOG bxLHomliKLoECJHzd5wRUjZt7UGY6fQe6aIO/ZCjZrM1FJWsbzxV111lftiUl/ZnbSxYhnuEFTcQ v05pduN3CAhJSUA2/ASSXZUwmw7wfTb4lYkN3azVaNb13jUsR8S2ilyfP459LWUsnoO8sqFFq7zj REAJG53c5SSEGj09YLTjAjM9+1FXto3/mzq728dHdyb/cSBT4lvRKa7FAu3TOZvC+qw/bGaY+dm+ mt6GzHLUmk7gF2a+05MK/a3f6a9A2EyGBWOk1taYQB/u7FeZrMZINkqD0wqbWYPqlbpGIycmEPdh +M2nLP9TEbUH+IYOENKbP83i8gstVHQ4hhNkMUYNSudRIm62AliN4i4R9fzhOkj+xM+lt662J1ai KMLMNwQvsaQtdlhBDdB3vjSegoUBZtlZCWL1YiEvAPPdPzjaxdapwZV7aPhsn9+qpd0kj/NNpKDF d9RyTik+44Ifu1Sc/zGdCm5wTSQ1hpfGyp6jSqqzGRL3/K024kkExMGPzjd8uRFMcdmgxrOzK17x yTeLFfzUsNeIlaoR3WoleY0puBJM74OVr791s+kMAqg0fMQEOkgtXGnSyeLsBD4xkDprjBhjEmsS /8xs3DxUOCJ1Z9N0K8p+Y0/XlVBoSqI6NXMdJPzshYkBKtBroC0z9FEU+Hyz3QySTHw7vNlGVDYk mZWHMjEtGKoeuSdX9rT/FCgZGd7GwwWtJRUD18OvFQJJq8qsQxf+3VmNeYnmj2nJv0rNjXS88Jjj vhEHKe8zjLjEHIBShTTSP90kYXf2Lej9hGrnViL6ihOhxvEWdhXVWjk2QG4rJcYnWSurBDFxuGQD 7kg96D6Zm0v/s/hF7YtGKHgbYpNl7IIiGGkzV+E+TxFWJk6bb3y9WmEzZhg19uSDNR/GXFk6kxcf vGTTkpGJDN3kq9TKioWV2DnjUr+Zz3gGiB2gRTjfflWMz2m+skgHvMkTmm+TTxBrnU71VLxwuD6f b8gYWHk/jKIinR2s8kMiNz6fjU6jyq7TgzwUXoLWfSJYTzclhPkwmnaQJmEA8kJkx86R2BHIIBDQ /c6IbW/MCntGLKqiOOtDKj1rSDMo979DNJqrjjxH9ofNCoTLzB63aK+5D0olyBJikBZ80pwr//qT KVdPmvw8VxyB63gfa8p0Kd2fiw32V4IYZBfjUkNeVqE1OSOpix9bsq5dQmu6nfwfKxTBmCAzAKOZ /Dw9HBP21dkkm9M2m0sWTUSKH8q3v/A/loGSqeOdfsLX5O219uJYv7woBige1P6G9xLS0C5hARp5 lgDhFEBK4JrD2hNugq4JEtJLXYAfnhr60VdQxoIHhp4mESohYyPWhZ4XkT2pypZ4QGR2yWfPxOrX 7efIQ17vYVoko5TjBWOe2f7FeHOoptzfvhrteUGBmPJfkPl/BjxNgZcwVvy8Dctvs0sHlzq0JN1a penWrDMoeCHqlTQDHoPiwrIvu9YVikSfW7hwBOUQzh8+sR1ul8dFiCDS+QlzbFU2K9398l+Z/73Q ksTjHET960Le++FtIzJNJbqEUA9RrEXWbfP8pJBp8rjc+5KXOMvwX54Z/ZwfbRDbLpyNYzrITru1 5Lqx4DVRtLaHwRJl4m4ooLnXPt9+FzVPmavgHU6hKoUPo9XSanmZNV/6d6oz4Se6AxKE1qgU+Zqg jA+8TbyQ4ubZW/FI/56KfgtFwJWYWhlJJv1hBnZw0XDwZ8wIY7D04cH5xYudec231gomwOUZN4VS HKrBFHJzEi8c7DE2A9R2DDgoKT1f2K6ijM2wWbIW0OaooBA5u137XjFf7uCRl6VbT+c+yS5RDsWc C4hK2A+KK7luASwyLHQs7fR2+zmRTDDaOr/LVmLh9QkK+/Nt4MjA996ehqqfDiQp9fd9k8ToHU60 uozUC725fxsBOxMq44VkRLrdY7nJ0rjOYA8Hzy9GUcU4yd3FzxeEZ5ZGR+YWHTcXsJ+TIzR8wK1r N/FF0gEVvofvhTVFpeKcJ/sWphRYs3iZf2AemndRybS5TyChpC/DEhexwoEjOExKMolvcMlKkRs1 crx+8nR80XKI/19j/IH5p3zGKaaBGtCC4F97KoQ0XqS2YYFgtuMLWs0txpXLPbpZtjbl/jM/bymJ VWJqJxoetwB8zIx5/8H3REwpUHcMwL+Je0ACV6YwNgoIS1Q4aS0Dffor2FnbaROtXG+SaQAWVlKB HxLyKCkaWP0o//Cl1AeqGQ5R4ZIiKsu2uKbfsnZnDDZQpGE2B3x4iEZZEXAnukO3FXlWR6SpBdvn OFxORDXUO+p7CFPJGYsmUj9tDuofKctNlvGuMJUPQf7veVeOFWsbJO1aaHxLewBZ8dn6FKXnyI8U K3N0T2eUZOoh5HoCC5dSi9/hAJwiJwtQBCKG5rfoPxP5R1nHg/HOvr9SZfqhtYBzlHz7MTiWf7Xx ir2dzCmeMSRM6LK9c9fG82QpYlG5NiFxJ+f48EjiXQhPJNHRhA0FlMdMQUa4J8YfVlqeHvfKSlh7 zn1UFQs3m4FRDAmuhplc5A9ZE1SAf3I+NEpF2PsVBpGddVzmG3XYWkydwH9i9t4fqU7kZKWQGNn4 yVRQnNpjKKDwTY6AZi2wMCy+Z6mMjHlyvvPcbiHikqUtH2Nja+0Rgu+sIDrC/Dh9nYGg0T8AaRDv 6ohiG8cuPFoE45V1ZJGPeQD+jUHOYhs3+yppSPlqJdLMzVJ3WXVwUullRxEqB+wLB0iFzhWw4wYG Ojrr4iiWITfxg4ZkjKS67hSAdOF4SGBvEYFtMQ+pBfvcMW5Eo5QrY7P6xySqCgF3heu2rHLMDLzT RH8EcdGkG+3ASC57yBv8+hGbQOwId9bdBDzIXhME+QW5fdu1FDD1La8+bJJd8/NW5DXP23wqnZBq sm99omvuWa5pkuM8bgCgyNSWPQWiE38UQkTfKfkPoWNzjT0UheNWa+8b7bgDpZub6kyYQSC35ZRZ TiBpXReWf7EXj+Q1i3HDkXgcltav4FNegfXkIHGteXEoSYjXGBq/zI3zpwckUaTMYNcWBm/zvpLE Gny4W+Rob9CcUnF3JFrxtTKAh2WQCWXVTY/uABg9EBKcfv3qJ/HK3BjT/zHOUkRBjoTfxZMZB8vN 2iq1alemPeYDfHH6+js4Vs2bvLUdEP7vhFpPnLBffDEA6YibjglKKSGu2tKYMwNSlgLbfHKsf4eE SvDOIz/r4wG/bpeq57ozFXQAWkee33kWzNp5pwadLBgj1MBFxn5NBepiY8JzguQqS9Yy/FZzLJE4 gbIZ+2irN6DB8cxoae415m5aZ8ynW2whGI0xY6iuVRTAmZK7RxuG621hHF9hevASNeUzqLp8eQjM 858JpZjwlXgQ5bSb9FkObICNidl2pnuZrwc3K25Bvos6lNdIvOyTTbq4pq4Iq1kUvTmJ4Tor1yDy QVsfo9/xngbj9niLUm1fZsZMWKE6mnhcwhpNGNasQC4lnDNkctXUZ/6Bv6cIiXbcA5l1MLsIzhwI VjXqaxU2xOMChp2fzVvJUSmRWuQkLybk9uMIjITBXuIl3FO68mxFwwO5uL5J1ZKK1y2em7ZNCeEN 8NSsTAwiZpUB09NM97frPPIyKCHKrUNo+9eh+XLpDMNvJgu728++WnYeb6Vi+VhvCCPmNN7iaJYk 0Pemy4l7OAp/A70L5/SRRCKtn5A+l49EaYrgpMzqLSy1Esg/20kluFxZCrksegzKmiuRVXRtPgRB krhfQuMk6EqqjwjAoWDsAkFI8zgB7ZUEOO0c9pL5aifY6cyRB1Ky7i/XBl47Rgg0S4YsQ4+KffbK gncQ45xzjTnluNeD7npEV8z3RttLatYHoXLIJwTemSeQOBWxSyXKX4ESp9q2GDfo7ykmA6XcMg+a I55xUoeM+z1AJ50URtz28ZayakhXP8a9n72tCGqIeI04pTYeSx/MGRBLH966MhbtKVLH2QkgRNQ7 4gaebZeJxfanQKMop8vlns49YFpuTObhwdDYSEJsmZRKUTwCeYKQDd3XJm+7S0ZN2fgociGTq0OK x4hynUGTmGP3fX/HJQHhq7lZ3NFEaEb3C7tvFCbNuTP2hXQWLsxOUrbfUmmKSnQKItqV2jX4sBev C8Z4Njs1DcguVdr2x/U3KVmu7GDqXRzKvA47R+LFIMPB9YuT+Yg1AbMZgrSrPN1RIHFFRbI9NDgH mePHj3JUL2N5cXj9706nYsDrQ7YrgJJiWV3S758h6PqOOfNq76rMv1XjwGg1ohzBUUKaX14RtBpF z8ZUME09X4XDK36mu+m9GTYTm/0LWq5g5GC7gte+HXKQ/f2VB24x4PLkaU6UfBkFZJO69n0XzPs7 deEA3qZ0bia43t9qKgOpLhiE1j+nZCURVh4gxAvJDeQH5NcX1UR69JZrJ+DiCUKpVPgXKS2ZtgVh ZiaB2syvCy39Hs2SSGDRnRGrbWbilKjByfLeBmqgEY+N/mF9BDlww+FrsZqWYFfZEXnDO8F7ciie jSd9quTuzBIqvx+vbjq9DiyTX8Lb52CWWy9cGuq0bDRdca96y/TJkjheHbJyIvBROMrIl5Oa63lW CE3igYUxR60SMM+XxhQ1Ct7ej5fQWSHK2ShvQpMluOZRuv+gWb/3snrTUlUAanmAxUu157KCh3JM ONYfB8rNJIE0SLXa6dYBAUjyZODnuOVuHY/Wojcln+6VpqXpuBJYJEdbSnRR6erJt1MDupRvL2eY N7Q0FdxKSjTDnmMuAGUWK1zEyXaoXgTUfDvL2BbhdE569RZXuhYLhEQ+KtXsW48mEUGGOPuIDrF6 XFCl4sY9ST1Q4mjp/s0Qy2lGK3R8RMv2omUMF+1uhDGDGzH1Dd+yNt4CShDw6zwJBkbpcwrRDfn+ USbkyz9AqywhNNzFHJNioAq1jIDdCbf6xMNH1xVPtzvS7wREhuGcVUDsKjtBAOiMMzvpbxqQwKjx OJT7ot5vzOwYpOLBKtdO7Ll1Lif9eeUTw7vuGLeZ36bSLeKr7fjJmS72iqFtSLWayjUezM4xHnrE bZLx0NzlxhcJ2JY+6TPc/XmUBqNriHmlHPwnQV8QuxnJe1q+7fk3B2P9Co6DjUxPTrRTu5Sx1C4V A2kWvfl1k0+MbQ+GF+ban/40Ydp7A2MAlC/RAuQHitpIXhUJPbQA9CsaR6erdgt6DDGCnl9lVMaH IAeJKE68r5OUGFHujuFp22+JlEKdkGNGwg4SndFND8CcWyMvc5l8XkHC5ftgJGJvrxhH7I0V738m Wik5IEWGiGWulDnfb3+4WJu8oyIw7pwkLtctE3Dtb09uvUEM8EAGjwrLoXDnZIPkEuXzfufUIDBt Sh2b2POdAFEF/i6E/MIXEpWsJg4gUAVwYlZ1wPBMAFjZahfZGqv/G6XH6OunO1lBiDqLinHddgDW O5AuZvumYPwefuyHubdRTDdHWiTcsXKjiAziIs7Ruc7/1pIDPy154LRGXjAJ4NfhuCjivVqxrXOW Qq0blnr7mC02yYeOn9QnSaLMWYq1UFXdLUBviC6Mm0RKm0BuKwDM3QoJQWOGwyWo76t18Rqj/bU1 CF8lIcjLhVNAQQP6fuP7mbqecAODheJ6FFvLrlFb3qovV1jvFw5G2qDAw6Pbl1LPTh7GFg7+9jCG Stz7U7z27qxoZtpqQ3RcWalIBHF+WRQC0S4xcMs2tq/dXGuDdXozeU2Qfg+5V/R7w3OY4lVQFnDF VbeQ8XX+CplmmgM0jrXx12v9S8m+sdoqL0a3eWdCxQWDiYZW3jLquvqiANUjxK0N9B9A+PJw5RcP /o0nWRSSSnpT/0bYDuA/FoWlergQgL/BUPHkMtI3Bwj0g3fbc5Qf7uQaS1nMjBDji+m3Qu86qMK+ rOlScp4mJKIOCOme2xxFPq0a3xyxX9dIpFsP3PnczBEveuxlNMJbOsMriTZUOYCmd9Xsj30bnZ// k5qFYygcrk6l9Ui2N8HhfL1IVMXp4UnbOlC8Mtp1ks5ViguG1YljpD85MdoDvyOcc/8i/1d70AIb Hj1dZKDTpcnOWROvtIRirFzz56yWSUbioO45VZ+Ixd5XO0A+s/3BGJI/EX5PJdpG/A9UB6g3gUPp 7gPhhW8/T3j1Nac2cei+grhhU9wPsFQ8PbUs2ta+ZCQ+hebVNLittiXyZgBr0hWPVKWP6p/YXZKH /XKQB3i1hA1htk3M1/1SRxX+afrYI/6DC7NxowM4l2EcDPafqPbq41plmkDzoOIgYHs91jSPmNoU XuOxVRfZyNi0/xy938ZqkTAtEPDJEIgVooDzP2o0BoJ7QD8Fp8jUpVk66VNj+fJ+fkJ5x/bmpDYM VjS5jXj755svWUi57dvk6SHpnOZwnoePLajBNvtjt7H7Kit9xsH2KnCYfuqPgm+uBEGGXYJNqa84 iv4zMC89DH7pNGhG+KITCpCObRh3cMb400/nsN+j0YZ6hjeyejkK+ePGXTftAPJFEHVlmc54fuZl DN8EIj9dlb8GiIzqKnV7tpCRF93dxzSBUJu9ugaXqqdlE8/FfR1X+oNCZdgl+RzxpEd29TXjRAsp GgrP81gVXsqOJ+2Lo9cjgYek1FlfO/M1xfQpSHIndYXloFVnDVCXc1WFXLQNLW3XbnDeQG0dAaqO FdxrV2tyIpLjw3Rn1RODhzu7OMYo8kvd/gXBHiB5DMQ1EClAYN+FCmXzXRNwr0l0SnW1HvtVXMhG wK4Z7GD5qmedjAmzx5d/TIonjrZlznu5iQNcrGQYVKPU4Kfx00D5LrCIjinYPHMP4VoYzDMgRlw8 G5oeR52E8WIk/4tnJQf9/npqpFktFM/0chAtuxKlBj4tKQKds25bdClX8f5QctaeeAwf6pVuX9Sm Oo7Mf8/kxpkbiNo0yXCUmy5W1YcIVY4sYXVZHtwRgzzflFuyCxb4XHIoH3NWI60vCkgrXrlw9OAe iaFpXF42vl9Wj3XP68uBeqnxg9ce4Pdg4GEKt+h1sYlaAs80W9fAliiN8ATX7BBI2wtFssIlW2r7 5Q69f+Us9xY5US/elxEjIT8d2g2dceDsmWGE3UJ+ADa8jVFOLCxTcixmgflZfxLdTKj4KMcdoGSX AzhjKPG/Z/sP6K/lFFkDhAM2YRybam23g5rrwKCLn10mHHG+at/n4OySdEllwRU8WHMkjASPbhys us41zl5zCDgZuP4goaN6gd2Sukllm4VAtH/FBKOfvKqmnjB3Y1P5+Oi8Y9m46X6xhAexNRxnNxSG pRnTO1717zPGPxt2DxsbenxjuUX+kPt55QuHid5kqu+en7t0P9gYO50xGutnhF/05SoumoJGybZL KJDPufasXOH74KcxHz6VEENTiUc92ePjFksXIjFx5+LQyy0Vgg9w5Fbp1V9rSQ3QmjRBu5cGWFBg 7j04n5NOS5gygRvnFS6LADHsJmBE3U2PJV8WVfZNFUmZtEk58q406CrKMI9Heb3wzepP9oJazv2C RlPjsS0QaPGJDsH5o7PPUif5n4JwJ6HTxH0u5PmETW3XRK17j6XJCGHAvPlBWns7FestzFV5ZsN0 iThdUKEVnoDju4mHdhqUNoACto8JkOkUWr8Aogo305Eoh3hu2LN9BzyqBBTxiFfWfLt04Bx4ndc+ ZGNJSdNVFhbqOMp3qd/dgPRnKg82oX3m3Vhuxvbc0bITozaywRxQcUPkdrzTkyzEhPRv19cGl3xx 6ztTEt258Q8pw6uxZ5bzTeTUi/6sc20gvl7HEFHcJizo9hBc1T6V4Hq/MjVIWsXmhbQ5LSbWZOpj +JqX1Yyc669xVLFvq62Mts+mL5tRu8xVF8OvMRrn26TnY9Yje7Y1QiJ9IWerEzKlNC8cKCu9vQm/ PfGQeGVjGdxmAY1TsxNVgdENbR3si3HkF9Os9BmGGFWv7AuQNbdiwovwxmbxhVUfobfvO9L4dQdG MX+YaryoyhgmB2kA1GrQALmmgf951EiRGVeLV2zDH0ovFHsg+xrqcyGRdISDE+ndasm8UDM6AEz4 MVEJ8IYfMyV2mvjE2A1kGwVXy3hsPl1Z+yovsJr6hTGWQmdqroRfEHZjS7d1APk72qXCayO7vWcY 1t8WxUXHbS5gQbooESfV3N1PuExT4SeTNDqZjW1I5V50VGZTf6ZzuW0RYfVJRfMT6hFPdLJxHQQr u+pQDJp/84jganIx6fHUBBMOVwiPc3/vvnJsWTXbkEz3ohLcYVVeI9HeM7neDGGpef9u4ijyV1Qc 2iF3ZnwA+a8eY9Ekj7kgJybXe721V6XU9xrDbavvD2BD9nUWNUfa2ZO8wpSdth8zkdN9kdpZrPmu cmhOaDrtryastUiRFKSZ61Hik5yVgmN+qVJhLbseNIURZMqu2XbH3YA8vUGed1NhkxuHno99bAC4 47X9cwptsxOrwi/rpcYY+bKUGue+slSi6MaUUed8oSOqZxbzNPdP2660BCthchvXIdXnz4wqGncl 25wv2DgESwuzUuF3+qpBJK1wt1GuwA4YGSa/xqHrUR8OVjZ2JZPhRPK2Yq7wi5V4Ww7XADVZJOz/ yRHpmipRyfO2jLA+ciyEodHEfgQDAZu14LGSUoJdlonGLMtB3rEABsRN0WFvCxGdfT9MsELwxk1i 5VvA9xCiOFzx5g+sdclrtKzEKZTe5fQvAEOe5s8iT/T3U1fy4qewTMGvrr1eI0ZNizHtwYEDgUd0 bLDb2HoqZ7eYm29eZgDGcwgZZl0EsWU7Ig3qQEO8dOjM0bkKy981GmdkJf1h1GPn+iDMKn4rbocF YSEmDbr1iGpLtjtXYPFD6K0ATSLWkqjFmeMYH5xNWCk3UDc66htU2FlP6DQAlg1IqS6AfMiD9XGN UcRlewPN1my8xF6+xyQdkIINMp3UAgEW8SKh1MS4PMtJEOV1Du93WgIACEYOYURUYysAbl9haPaS lNzGS/JslfnE224z47n4Ib3FzPr9qZJHueXpCsHhmZQNz9ZqBisxdiM6u+I+uW+CBSW7Zf6tXZ5r ovYrXTMSNdpmm+xzOyDY/BgyhpjVDR0ULzb0v7t3ELuOIFIZzdGfuj9AQ0tqu2Pdz0D/CJqxRpRs MlXlwTiz2oMPlbMqLjqxTUZPdQLsAKU70PJF7JybzCDZKSV65xsJ6XXiZWI0DmoyKnyL7uDpxvqE rrOYsr6ycTBUnELCy7B/epa5s5H2ryHCXxpQltoLLWIuRv+Okk6JHEe+4iRinmf3zsNXQFmrL27H EAIQteALfu4tmp6QpN6pXlhWXtBiWXt0uPZnhdKMbhsj+xMaRIfhf13huvyr6y4zCjCr0fJn6jND Rm0cj4IZ9C35U2RJURiyL5qQ0ZM4T0hM14xF89McjovTXOxLvYU4p9uWBbU7oFvqP2FugwdRmvZo 7xdTRAGyDzBZsY7Tv2pFXFUMzTX3miO3Gv5fjvJpMWk6K09hfPHSH6/UP3X9DYSMSetjXolf2wpP 8mOYI4kmgeK9ONfEgDvwamLjeu04slCEbvYb3i/UNnGVZnh1IY95/rMIfnNmyZ2ZSSjI33StrXHN Nir5NkAXRrXecZQXq2jzUQv4IdmnrccV5Sg7LoQG6GBSDZlzgp8B1pJq3QnexVlKYEDgtqEANry/ v5ZnYkta7CbBqQKW8BtfhSORC0cmCXaKAc69FKK99wYgmz5oE3CcIQCqP4qCoV4/0OpCtCfYdQ07 QVJ3hGaAlPQyNRS8yIZVUdKtSDcd/A0OBxDwAEdU+ucSwGdQxq79k6bc9A4W9UvHetl7292gutkq TSRoETX4sxW2vX5Z93oKPv0rq/TTRoNMl/Y/fdrywBfHBMIC82Mh6MPnHQiqhRdQxWQ1jhpFrQ7+ IJNkTCIBLLCPYpby1PW4usA3oiP/nAljVVeSJ+MyxR2FkhhQjfMRysa9oGhk0PN4+9A3vh4dY0nB rs+pO+JZc/qWOXNXHGcRkos6+/Uy4Ej+nXHb+PgVMpeB/0cB1WGrdxk1SI0zTafTdZoK63udFeR0 PGoxOVQmyy194aRH+cGuhMTN5S4RTrQCE8Ylry1R/pctYoufss0XE/2Iml07aXIs482Lppnk6984 dXx27FG2HJpQFXbaHNhSclds6bXSGB2oWIT1+dMjo35ANtGUdHcrodm5/j2NSlch+umWMMjvOqDW g8WkvZ8ClwheY4uKWI0wJ5qHrj0N8jgeEyMYSXqK4WfDc5EXCpllBvdEhD15Cqf+m49ybrnFabCT SUcXoAXO2Et9JcllJ+qgvkgji3PRO/ICg79rdzbVBPf+eUDkaWTtsQEwNOTf7pHYcklf7dLVHfaU lCCa7dSUneXCFvn+QqJNHuMQrmRlDzQ9thkfxgU4YBLQMpWVheUUq3sMpIDsUWRsAGSen0/GBRYu ZiDNr3dYu9E6Oy345xQVQUZ9jxkOXAvq5Rt03a9FBxEuIaykHHAXm+YqYmY/hjkRuddJgYuhYIwT GdjWkz9oOfg8S953zg2vtZVgVB8WnA7CWTgG3xOfAXFPLZOn1/tivFxw1KH6ytEmRg7vSoWGvgFV RhuHDutd5rKSL/t/XOMjPzv9tyamUcfij7wL6HpCtiwefAWZ8QO55vmFim0M3AnUB2SmjRZgRCtu 72cfBKWqB/PjFqE+ra8ygImpuIWM1SAudvOC6VPE+VT0bmfXD9BdhijqPphHr91xu/weSxP8loE6 gY18N+hv0VWxdBlZmW4rvDYbLyVXcqoKlMgTpDOZa76nRCGN9HyLzEZL7TNinH5xJwQlE5+n3qtz w3IQGWcFLkQbEdZxI+ZN5lR9ymTPUy+1HZP8u4lS3yc/uqvnDG+RSOzvFyk8Gky4oho4QiyKPfhP 38N0P46xVlV+3cXKsPPN4lBAPU80wP9QIb6Bsl/TuerwD9EbUOUHguwcAiDgSYWvUWIVjwEpd5GR JslU4IAJafnoWEwkP7JuLL8kRrQW/lqJbeRajQpI0oCzPElwRwh9GCpWx9n9ek9HtzZaW3wus4IM aKGaG5YnUCbye3a6/awUf/h05lwwdQER3QWGcxq9JVuBwI0B/77FBktP0VEeHpOPsKMqR3e1vwnY VfdTzoGFNKE9vtLSnwd/L5Aa284akEXKuXawRzFuC5YIJBTGLPmqcoCLuv19MFjgC0Mjr6NtRJhw fp2CVJ3+jvom/lsilmNMP7ADaliQPFgfkg6LWP1upj8b3Z1DpKrYvMGpsrIHeKBXjKEZK/1MV+Q5 Wdxnk4EOXYElB02zYubgKTTYtj0/FaSUAALYyCSU3gFahL6Te/YPMfPD2mTvGhzcDYWIdLGVEvLz lgpfI3cfwPC09RCPVU2ghgfg/hPYc4BXrmuxl5dH+66oOvAnI8HBJeLSW3/qIH51QgRpk2QQU4i5 ps4OhGsrJkI9NhdbGJMo/BbopGA+y7W67I/eqmRlUbkBlFeLJvaAHxXmf2fB6Jafhz9ExWcTcisp /XY6nmzIaLVkuAzzPY1rA9D52qyJzCsOKu143MZyKRH092aPNFV2ymItf5o7APMtnfqv+wKpLyIV d+SSIYF/Cq5xq3++66ZX+P17Cy34vdzczAwXl86zUZen4SgrmpwMm3XFWCo1v6tjbgVmao9VkDaG Mmd81k90ILGoZ9RApz7MYlYPo5KWiYhqAgqgegufy70zMGtTF1f50EuROl/s9gvH33XbU4UsZzQ3 oeBklnc+zqnAU63p2nD9pV27jGug7PlLzD4hYWyXBTZycOr9+OWQV0yUoTzSfexUQOIZT6JpgWsU YVK0bMbr3Ql6nhUjipVZu5i6TwTifWTi34p1diTUKGL9TNQvYp+ZICNEQb1btSs/FTiGyVrfSqOV 6+kxJHBanDD3oTYDTr1ckZL37R6qxRU80ciSExQY1u9lELQgNNpAOK/9xlKzzZlQ4svn5PNpb6vz hlpBBbEvA7RMCivnQ0yGkhj9uSo+CK0g84YPVkkkN3VHP+5rA3YYNNUKmlDg+yNksKpZ/6TghzhM Cznhc31MxJ4yHHMH2Q49+vyBXr/rowsVOrLL94D2Kai6rNjttIyUP6dPFV8TBbl6Q3vc5x4z+E2h dzetPw3mMPhfUwo9S6e8/LMBgUxSxAIJPhO33iff7zdoH0QlNeDdEaWcwYocDcArhFtG3KncASw7 Ce20VaUe/UD8R/855gmtPil3NyPY+DudwtRS4OQJFh82NqQqpjBQ7i3dKN/ZuZMQ7mBJX9DxNBxU BLJ84WWiLWypGw8ghRcdmVLgC+wUTyuUdwzQH6gV4bKc4lCp2q6ME61vbd577IvsXV8Oql5llu/H J73g8cPjds4C95TPi6f080mX71a6AZUy2mNiJQWMvLYhco/pizKO8yATujcHjtcyqOyVPBKGs100 Qymonvrvztr1F/K0ZDcbQM/wvb/lrqnGjRfRRG5uDkJUFeMlTcZk4kMEc/vEyTGOpxZpkncDztqs 6xmmQ++qVydHTs97+KMohtBj1OnnVRWad6fScQYnRTLo1A9R4gC5NjjsyLMC1ys1+7XXQ5nAPOx9 OYtpFD8IkBVVTTb5pJ3uyYNS5aHo46zhICK8ScUosk7Jts00sU7kl3iMOUK7nvPMtGtzNN9cCOcX irksjvDbjXkSrq5z2Mzwzt9oDUntfaEdBoTmGPgtolMydYzoleVkB/+AFKLk3bQIQXI4Exy+ZRvX q9qxOWblTZzgwJ32ya75EToZDECPTcRqJMrcM/13h8925rgx/ftl8xWaQLT8/evL3LKks1OzIavN opSszxxwKpJRVt9W26JyrEULrddHV25hjQBOPaBEcFwlSyJjU97+7Mk/R8UXv6AIarcQK9tfuLFI zdFA4tKSm7gGBtJjGKaoxAxOTi7ExXFbW8QtsGUcB9CerEYtX/T3uzm/CVPKRsHUILhY0p+xp8bb 46J/JsY4HP7/oYAVI5QXo1CdqNiQ/p66HAEXEsznCeaCA7U1r0OSYF6GSTApywwqRoQsga5hJsr/ TZAt64CH6qaGdJypMwkaMDROYh79NK6cNGiBzzuu/XDsFW72CkqjKhpMSKVKrdX6QVfPabme+c36 3M/6mbBNBFCWuNN9d8G24xK/adcuI6tonUc4RG1J6f69n4gF1OB9b7WU9jiXT8TaABPUzhNy6SAT jCSBMltMS/eFe3UGl/lbCJPwi+w1ybbIIHiWTZ7Rz28e4OS1G/Diz6TLnFhPv/0bJEaHxLwKJIK2 S1Xf0RioNwVf1795GmJYdo102xXIUMXBhevNbNNaws1Q15jxntbEPzyIs9ZvF1euiQ4RxQ96kOKX CmWFpi8bbH3YqbazF3wserafe70T2tA1K7D/fe28mK3o5SylvFM10GwVWfAyLlAFPHfCTOREvYEp o8ITCIUT1wJrS0VYg0vJX09Ya+ghFkxsk4Zelk0i0eKVuVq96Ra6rzrPUzvIG12TLlwGCQJ1aDlN 2A5bCrTPUDCjxn8WsUU8yIhzwNQTJiBqEXuzJPaS+jQzlIRfRDh/BUC2bAr8FtlfVnVfHjgjf0yU DiAGWELt076GJonoYR8Fiz6Ac1SuON0gLAws+cKauDu3ZxxkAD8t1juuruBaqB7ddMQb8Kh7gqFq YNxZJ6F8BCK4DhQWV+OQn7tfm69AHnELwZUKtul+B4LIN1tN1i+kqUVgGKv2C1i+uVq2iMclCKDU mAchJjlmxtFqH/+1AR6Qg7LRAGeTMKZy1IHfo6M0oC/MyQMGrkMofL+pUCozwwRTzpEplDTNJSSA UNe91QubemoLBIsx/NJciBNVgcUvYUsK//cPAHsBlqC8KfvelOPXXHQYlC9R8yyBoYvoEl9bJYA8 fwXRZM3G/0jnvtJt5DU6XTnT2k7pwurJI5IvEHIBkUiKQQI0ZINBUegrKjPCtrpjpIdk5qZs92bt eGsxlWLodJvZXN75xqSiELfoWVApMYVKIUApfkvcv3M4pnr8OLJLQP5bC9ccUAT6a7zwl0MOrSqt 1Sd1ors1fvCG9IUeY8AS95bIxbaSIZWywgUpGmiIcyqhzLYrjJmPWwd0Ss/5yDq6TnkoANlgzW1i 9QtVkmL3bhoj1dRD3MR0CKUvvn7iQc/t2+lsALTk0OA6X+kfHkwP/mVsO+3ewSfzC38OqZibSm1/ 12TKQaPw4HP67bhUhF/4T+3JvSTei8BLS6jmiwqyZ6dnlnoDBEUKSK4NfE+B4LJJ5NW7dJzvyC0t z/3M6lQjGfZUNhOqUSU1huRZxaQWCouGo2knEYu5nGrUbzl6NBkcdmmRk/MazORwaOvBSlgktFjj noYThClVESClb4ePUuW4HrXDiUvYm6WoLy4+RCkV0pRLuEducj8S4c6b4wBKF67YghZrxByTWG7e jOr8UJoFZs3Fe2GEeN8CmRiZNMojFyt2nKgeUy9CLOwifqa6lgJuobFytEScsLzVf61v+K5MNgrE jRdUA6as2yadHu6M8QcxY9IrnY1NDu23prqLVIJeWSfDD2oLJvYXH6nA3XwQb/IQJ5rt7xyVpkJd sXXSXvwRUWg5F8HMKEbrG22M5ISVRLVTitEnSy+rL0oIftOSyxCqwHInbSAF4AJF5JAKN6I9r6VU hRyhpKnvltEZgIl9Tic9yVMbGqxzJZwOAuuIlDhMxOw9WSvewZ5Evq/dIce6I6HsYCrg80F8bfJq r/je/Xtb2FSvV/LuNg87LGpyCCc47rfGT1ssi6WPcbI+8nWzeFZOVmnhqiS2B3EWdpVdenQ656RD 997QGS5E7LNXTgXiHJN6czxEMT86wcHN3RQpreTVTSHGkpQ/ihl0h8oviLMzrbjE9khrR0lznpG2 Ssb1rIlVF2vOW2v0NyYdNnXHsmBkF7p/cUGYDOII0G8ScMm0KxGUXBcdhwGfzM83cl8k+gvaIM0V 7xE+7SUSqmrrnhckl2WDcaxR/FzSJG0DDp8cjs6nUAEngjcPXJdW8LzkjFFD1Xk3XJgd/XB/+SEE YpkmzelW5G6uJz1QMROT+PodH+/iecu27we+finS2y8BJCS0XSg46Hc/xXAbJ2yodvpRMwYzTzey Nr27mqmTI5jt9BHDXMkkWYPV64jlu/LDOwfBco6LZ7IOyYkYw9LvQJPSrBH9CUVF2Sfpjh4S04fh KhwvJ8ckc8e+wsoGwaNgNL0JhoXpggdoTnUV/oH7VcX3r58Q9o4kgJZiIFeOy9OlECByqatV9TLu VoXsiqt4p9PbUbyoADQgk8SYAL1E1aCpdIEAyejDNli8Dtspl9JsSSzf+D3Ij+2hb3DhFroo232R 66FRVohyeNU5bzFwkevbQTKOBLyohk2WAd5NmTaaxnXuosX4Wtecmj+4lkIk6ARnTkogClMApA9X X2BvG1PZ+9BvoA/jWXsX99zTQvwCl+bVKUINbt9KC8TpsFIfFdSI4NT6FK2TD3XjL6xu9aQKPrBJ lCdPAz40zrl33HWUdVsR6LgPIzKm37qUKlTKolsAJYXeO/VT+AuyzRbmLQVi70vI9k9+RpZMtmv8 j5ylFHIhFdOTJVExKWMXOQ0FIjsMtgTXxjebZcG+JTr33Gqw9jSZIxyAT5m9LL/pnVZmGarZ6Ngq F8vjI6iTX3w0R+VTwdT8Yv3aWPX3HC88cfE5dTs47D0FtU8K/ArggtBQIrvAAFO1somHlYt/s1V0 OMtlM3o8iVJo88XWsvd2dC1ex68g1oir76rRbzaoFsXoZ2IuhgxuVacIVqiG/Jm9mUm9d246mRUv sAQf8l0vD5XN38RYRAUmufhYWPmCPoVikn8EWfH1sf8Np8cUOkm0J0ygK40UaO+ZV3jgyPBLWv12 8XWY1zHtvMOrqV+Jr1fEs80OCzaTerZF7DaIcLpqA4o5lf8pBtlrcB+9n24bRuKakW+1pWglg83m nRTBFtNq7akjcPUpHNjCKSj+9c8OIB1qFJa1l5jqNMJ31/Hny8ZDW3zNE5hmLtywTWbmkWS1nnh4 H/A7qFgeFGQAl0xe4EmTBqhlh7VU8aRXwiCLphhFI53JkRh/kYfX0yUQERXXt3jzvko8L8zZ+8Io xLZw+v2eLypnzB0wqkSGZV6PF9j8Jl11todnVNxechFFgmzAu3T3NXLmRF+peeTdaBWI/BZ+SOVP W3ATIcAPaLuyxGy26lr0h/rPryJ2qVmOqfeCKXTC9HB/ZqP7M/ziIBLyFh22LK85dmD7/QsDhMHb ppc024O6fZK2qUHTPVJq6Jqu3R+5Vk6D5zSFlaM431AS1Fiy+bulxf3zBB2A2x/B4ZS+t4NKyOeo PVG8aMaPVp1AdQ2DKv/8dwy6ykoSHIvzXQrrqwTIWY/3RMT/aiA9jDqAq6XmdBMlg4xd1Yf9gQD4 uyPhQ541FCuU6Gu0XsT+onW9S8yet5A2J6iE43ZSvhM0G445YL2PbBXc1AlAXwqeq5YG/jI3IZ8x cR2YFmFh5zo9GVxiNKn77gWezoMZl4SNVv1IWUdAi0dAuk7tEvjtD/O4Q3NLWSkal1rDtmN5mvjx 3YGWefj3Oabq3fZlPQWY7XoqEm3EVJUnQnCQBxCg5RXknNgGy0TAu1kxdOrjVB58QJcmTrZhNKJi QA07qgGUdSEdZ5RM38+I9Ns2u81awUnaN9DcKaouHplkOXdCZKMWYvxALRPGGn6cMaZSxypFJQIe gg2I9mo62ExVy+mkg3SZWd5cOpKUCNho0fGknuZuMpxwjoIZqtDaodfy7NQS3EOiIljj4qEkdMIr WX/i88my44oJJ1pizHx4mq+vl7ehh76bcU+UWoVEtjzsFZAgczLrcrh2yB9yit6LNIUcrixDP7NF qsGB+Azsfa7xEYo/hDPQ9EG1qDhb/l2otd5sYJ2+MfadEDm+vLW9Fo7pZqTfwODIPivmgZ0E8MlQ mubLF8HY8iyjL/T4J10HTcy/Ri2rzFdfctgA/ThJT7WP0vXMW7cc8Dn4GjBH1c8UanlIrTahOvQ8 pWdeTGxfcu7hRT4DuJgKKxt1qcj4CPf1v5a6tOPZwwW185HARVapeuE5TRNpMD+6gVjLna1We/aM 3GQ2weusUHo7dWslD6R3C+7ZYNDZCEumzBzedh2ZrVxKZNqfqzM3PJllGu6V8roExTtopf32kyvP 1uSQCyk0Zu1Urnk3Z/Ww4u+mwSEX1+A9EyiLVCZm5gzonRwnKSLGUMR4Sf65+GA8BMxwmfUx8TBG /TwcB/wi/uBt2kZa9asI6vx1WFQLcFfEJcCJMN2fji50C9Btn93cUMX3wOYwRJCo1HqH0fRKURjI oFG+YzBOI5Y+s4Mu/ORcbaPqPpnzPz7Qdb1jWN9rA69G9naDgKpsNC8CNzkGUlssBK0wSynyAk5a y/b5EctEbukMcXpchMXwwwIseXDeD2E0kSKUbccpcfvKnv9JLpKDfA4kYsGdiX3c2fjD2EQ/NoZW TEtvtbo7R1tHjrUVJAdat04JIOQ5P+yoAF6tVciynwxImz4MQcTkdcUH0/N+w6KwcfiWoZXNdWiA D/Xw1g7KvIPQB0iZaCvm0tBNjnOKAO4wMsF7OC2v7kINUAbcZcUaKxvN+ie6pwQ4wBXvgfYraO8i Zw68faOuYuoa2JJp4jdYU7SmZfTmZcz9ypJmTnt40PsNuD9PJiqkIhYf5vsHWwbQfUZcYJ4tHk3f BiFM3XkN9Nqzw6L72qeLLk5eWX+8sx9qNVrr65dYu4AX5E1yq9OhKYsR2Nf28YlliKM64rglqYgW IZNeIwgfMlSBnHQwcV3CKuwUAPEJinG3+ZRo+7DF1XVHfkWNoMwPzQ/T2sgjZSl33c0MEvCZqams /Gus+g+vUZSlKBo58BHN0ZKCM6Mg666j4leBXom1TeFI7EEO8/UM/wl76RQABt3wdWo8d2XYYbrZ pMmIBArY6Thz1ikZZenctM/51SKGnLtM3FwKdCsyHtqjf6iHCRCxCifVBaN3LGspktWGoAZKoPjQ QL1yvfZIzZeHT/PgquEIilxFinaMY+z7clSUaZhj2T4CqIc3uPc0L38e5Jlp8V96YrihhcclSNt2 ceYjMSO3cECw2wi5iVRycDQlguQmO2i4MlKyUVz6GhbfD3ibJik/50EudNghyvJSaJ5dFGjmXDmY WunUIsuzuG0Drh+QjYh9ubn8/5x+HgUlQ30IcQKy495HxGpyM0Fpm4i4xGZjwP8Wi1A6LahRTdIU C96G1T9OhILTTlV6bUvd1YzdfB1vwlccvb3sOrs3qlVN8wuWUDdmeC37ABTJOWJYBPDgm4wsTZzR NiDSN+6rJJne41DBpY17sWkdfZXqqWbw4MCyN9hs9mEV2Daq1cM9nIsRFEoHS8XbQj9LeY34Fen0 q/ynF4hMmuv1c3Hqzi/cbJqXIbx7XRwIUqMeGsgh0motd/ujxWVYBBMMscNCXImqNhnZ7sYdjm9z Ae0Qcq4gyuCERZuQenxapcSCMwqAfBsP6lTosBOGMyXE0U+uYhm8gVXOLKeWsbduOwYo4yaka3BG xoH3GHqOIgn9ylDmWxeZf3HcgaL9JJQSwzbh+4ejQM4FuR+2QrOY6W8L347KjjlAFNtFl+54hitU HYkNoqsH6sP3aFhFWLfDp3AYzTTM+97RPM8SxwJkDRkbiCiYkwLYUSDKYdOcF0fq4pAWp9Ho5Sot Yzqg0IQDR5tY6jhTe8xYYqrG22914+i66WqK34s1L9HszgPglu3UyJxvAbXPa/qt+w+FCcz93FqN Fy6mtrj2lTI3AxqbvMcKOQ7e74f3lR2O1xHMH/ByD4+JOxOHe/b4wJm3HPU8Ka0W5MlanpCvRnvu nO112Ni7HuDFtpbQKsAwZZuDGNm5mSIwJp38gjTcGgI6cxFa83eil74ejOm5hlO89hIi1/AA2rJZ 8Lv4OdK4XgyEcFx6Yw8lXV6A3vocvL5clm70LI0xPW4JTOuGRggWcmZ5pvhL4hZZ7Ir8b25WAhg/ slbzVirCyesyLlqqDw7OmXo3NHSfSjAJWvbpRyYbyI+cagZ6s7C34Bs/hdAJPt64aL4bedcwi1/D n7+zvLXU9pDsFW6bjmCV3M20BNKUzvNzsuEluCfP8ZtQFMZsiFEXv8daUNLfHVZxhw7op60NyitN yjYoXUQI5gzIGkv6VWvnibSz1j2xJlRCrlaLucpoUhcZppZeZ44t6KLRP49TciMHJA9GMthWWvDg QyGtOKB/bmGT6W660VuCBnBypHKF+8Cne+2/7KoJjgl7EpOhlUCGpUqncqqA5PzR1PqFkB369OtI pJvcZs/iBK2i8qsIkQwXP8/sx/81uhkfoWUNA7FJXM/AbT125BWm0V7iLQbERyqK+alNbNKHgO1/ bALVaKtOqliwwY3LlZUzf+9jgzAbyV2TT5pOA5ZTCPQeuA/NpJM2Ip7ab+KKiv+MXWVXOVAGFPde I+PKb9S91ITEU3y9llVaLfC+f8NYdfhSCbbv1H///xiHC8r8qoWwdM+MSLpPLqWUek9PLtTJkguK Je64HEzWQ5kr4/x2eCvZ+tJQyDXCJi4KXM4Q4jG05EpEUbhGOAg7Pc6px8mFf6gWoV4wHS+dpRRB tgfUzHsDz7l9QNRByr1Jgyw3ZLMD7gmq8pnjmlbuwQSX7/cwNhCYWqZiCYxnl3A92N/zbvW/IGj2 sBFlpbbN8KyJNMP0I4ata5pp23nwDCVURi1cvv8WIXIzUAvByBWzm/JIfrYvInt4IRMssh952Tz5 wsAKCdZe1KIIw3oTxTYohMp5VVB14nZUfofZvQF1dRAUScbV3T4Eh0DhyhdXNSfwOZsZZMByM9fn 6bFCNBxYKwehZ60twh/4oT9VkTJcxiYWMlLyJyEmOSjkflSJzG3K2GXFPUuZEQ1gwK3GYkwNqhv8 JSiVo3ZOOAyBKRPiq8bW2xU2BnxDFiUHxLNXBHW+DU+NBnQSjyBo/rCepPB/6jH+PGG8hJh6fCAt a2oNyW8Nevw+sO6OHDV5taPrj7YftnSOwM0Rsio5+ZeX/Quyt86TYN61n6v8ty8rsUM+/VbJezNr StYbXOkwWb7Y7qQ5lK0TdV9kWT5QKtLOVNAOKr7V6W+L57QRqIjjaQZ59FBkK4TbpbaKH7chiarY wttdGQVE4ERReZDWwFUIvaHqxNtUJUgkYROHOreuzGZPXpEE0ZJxTL6fzO66L2Ssttm0v+jQ42Dt nlAbzEFx7I18QsFxlSDyRTbS0L//YlOiGoT1TgxbkQtJROyHeId9RXSf/zmrWQciYStCaPPWh8BJ n+xCrbl6N+tY1YZBe90WXq4ow6UXc7fdnKOxqdJ85Yl1QXbaH4eIX5BmSWblQ1WucpkZka5sLX4g ZSmSve7Iq+7NXAo+mqv3GZGFGgSetNB86WWqk125dQeHAYNpSosqj1M4NoCVNpV0aWTs86Bf6yUi juEeArcVQS3yixdcPh9dYtvtrt5Zmd7sORUYU6ew3f+YO1Tw4568GHo6OdBgKA0ebKrvHPRreEuM xPZDIllLqjDFxcH8duiIJfP1LBjBC/X0VIoAob4XmYfbw6+YfjQOhsPzqwBd1ZzTGuxEytnCpOsy oy6z2KUXB4+n5XAOEMpdz8Hhk6jWwH28BZBWTy3gT4fIc7ODi2i1YhfJ1anP18bInkHlNAduYtZ/ 773aJc3kyGqnK9VbG/zoxR6a98bRQpASC84PbdyjsggprUJJvF3JgDVT1/6PZYnsimdcsGGnX5w+ jR7sSMDjylTKfSN57EMntDI4GlMeyCgPRLLAPulkX7+Cc6kuHhHFfmcfSlr0s5bniXM6+cuQ5oZ6 2LlfPzk252q1vLTuxHP9dujLIXeEDICl9DyeRViq243CThh7gBj2mBZAQZYw+lhvveZeXWDRLyKf lLVqw9MO92GcvbUDnjl/l+JndFI7jbLwRdQr/TDiRQhIt4l8YHT64GqDUav0xzB4wTAivCY5DZku q2BsZvn88XiVl7RWeuhoRKCS/UgASmQH6F64MnIVafV/jGImySofl+SDZl16Kht6kE3zlk7q5XZT lUyl+rybFRhu5jam9TUwlFQpH00UbFAhbBw3+15a6V4PSOxqQFyu74xtWsNOuY/MZ2RZq53U36lx UuB5krt+/OqffyL6YQZ9bJptsau3484mZrvhi6AWEKIcVhK4Z/FAeO0i7NsS67PTKenqoZeBoWhz oENKod5SQYabxU9KVdLU8nIayMGk+GmChx8cTxjRHUop0nAKQDZv1Pt66WyZVDc9Riu4g+0pn8q+ J0AXz8a4L+HQh66PBo1I6DeS8ynUtpV0LlKJIK9Dzdxyqg6nBbOKPGVvqJ1yS/XJ1heM1JsQWjwd VmUQEoNWiKaeVwA8imB9BCwgCA/Gmk6y0ueEguk7HOZqmHg09RbvAHBWdAKuF8uVIVudAp+r1s95 k8xFVaGCW8veSscvczzWgMpfIRieIyNcK0NGu/xPZUksY3u21hu8O/XDSrMyWTZhtdTgZcNxWHfV 0e1Tx8/Ft+8lJc0gOK4BVEisLbuWQfKAJ7TaUXCF7ipTAjF2lEwCokhJ0SjN9Oz2Y7YRHI8Y+TV/ LCW/uNL8vjMd1IlJ0hzvst3Krb7wMSjDHDVeSzalHJdctyVo7QvlsREcqc9xTnXzvj6UA2ezLKVv +RAW9+YFbget0FPWNj8ZRptLsRg5kpwfoEEKggq2hjjMqMMb4cX7ogox4EngfeUyjdytTDcd07oH zUUwhIAuHU7+dwwmojmy7gArhOklj9c2WFKX59GjtT/LhFuANkJ1xZWxpZHMa9TAZdQG6Js50iHt 3kKO0E/GUIlrowbIfVkQ30k3K97E8zyaTzsHQhdOPfGPMZo7K8D1QRk2qCentwLdj2xqRwGvdL0m Dd8YES7Ki7wyJ7CvWcxKz1A/Bx6/Yq23pJLiNegnlQrBP06U8jDocYUa9EzPtF+sgjD7g+O9vbz2 i++dS4IwOGEQP9lOAq/ASkw0j941WpaM/QETLfp7uUT2N66Pjdv+h/qy4q/015xvZzro2fVMhkqK VhV5kUJ6h0+YFRICsmaBhpgIOp8AcKMeb+Eb1rtOXV8cdLBIduxrdp/p00VRLMTVNhNI6BhZNGM3 6evO5Kh11IYCaPUjSh+2s6CHJbOLyq0weIHNeKwQd8ULZ+t/Veb7bQpWgfNbnNnHzFEA0jOuJoAK OFyj3Bp1+YorFH7hPK5sVoqJtjl1s6kkhi9Vy96aGG8gvBMu4Fcv2EYL//xPJQBlTJX+iPAylPEh id5GBu9j2vkY87RpSOcSRxh7sdLsLpaGD+edso/zMC4s/4H16Ev62ClMqBOOD/yNtkhDcHbYjIO7 eiWUl696Uot6g6qzIcBwkzlhUBSX+6tr8OTdj4eIf/tFCElxV0gNQ8pAu1dmJDOpOZPSLMP8IGMr vWZ/HAZUxZ2zgFgTPGGEVbvNU6+QmMzaok0RjgSsDasdUGfRQqniKiC1EInmuaZkwuDH6wtmcIN5 TCHiHXxo17+fXFZd5DDgcyr/3oJsozRlqAYj4q1JjcWS2qQFiEd63FIxxVBZ8uPuOyjw/cnK41mL DzDysJMQm6XlUu+wYl2z3zEPOSbK3ouiK/GuooKs6tnc1WHkvwxi3R1ot98ydWkDV3CvorJAb8uo yb94IaS7iDHjtFV3YGsfNYnITNlaejk4WkFQGo6qfbMcZ1srrZeM3lotnLsDbJ554XjyfSZLJS+v 78FXtOSYwIINFmo5CExLrBBjBQzUM1ybLjjYGXpy1oMiE96z0MqDf2ymGogT8ZYWAnO3YJfXw/vL GFFF/ACzNtxMjF89O78iUjyKDScxTEUCWWeHJJiMV+ESXmpRGE0uq+8RpP7WT41RRXZTTsvKX/RX dVYU7cg882cOQxQHo18yRaPJJcOicnCXMHihNc8XRUJLIiusPNTSIVGZJKZgRL/fakDy3HJt5BHN nTWc1TGYm3NkopzMoIR3JDN2iZOjs/LWA3BFdrpEDPUcBh5jDpioBRgv7ftlZdtHVThM4KyZdQi5 Dne/JU3FFm92rSP3chSaPgKTgtWqbti0CgYT7p0/B3S0cEJzvTUytyFpOrpZIw62bEM8T1zk4NvM HUELSp/O4eiGWAiUeNL/MJui32Zw12VmHp8ylI+ZBag4MWbTMSgvryjpwVPKHNZNs4FWCJM1zYUk fP6+C/aeJw1mLUdTdYF3H63iIdKkYv/y2fPRGP12TRwY3UWyReJZtVaWPe9UaFzY76UEv7a9LIb7 xhKKwdsgavvD26cTIukieAXzjF5E3Fx/RsgRsOHPmHV3bQ2Z75d3mePM7r0/8QegLZknhLVMMomG fVtKx+d4la62dTG8WS3fusJcmuZhkmF/XdJ7Qj7KEIddeVp/BMqZHsvLdV0bHVyXaCqrA4MyKq59 xPt6gXIXTZVWhH/pK2WOtqcwQ/zSvfFHpbTtbdoNtUqX4Dlje2Bhauh01SEvBAgbhaIWqo46wtfb d7e4LYG9QMCyzxj0ttxp5bkvpntoGoyAOA/40x/WhghYz0TT5N2DwcOLDhqhTriqo1gobfw/72HP LtATM1EqmZs7vf+0Cy8C4NwX+PGhPA3WuXju5+CdNxzzpGvgTQ77DY6MhitJEzqlp3h7SI8V6TLp d9ak3QNQHIkmIWUefaoLdNFXbXydAo8CYN2szuYnaAPZTILZ5LBpvnebFRfhbJFdv1U9OGlHR3La LCr8sRrVQzu7I5CzS1TiB3BDVqCpU5LpI4y2EYelOHEXT7Sm/EQNFh7lr7tiuNed2jvi+hPUQcQJ OjwkwtGRCrCI1rHUBZ25VLmTujJXbsSX8vmRP+Rxi4xyjCGca3Jd4bDNj9reaFDNa21C24kz3rZT LdxWoZhjQFuRSSQGjGTYfKV864/R/iC+hQwU3nrlsd/J+VCBkq94mhfQM9vBITz0Bl4N6jWGkHpK Ktv1gFQNPS3Lt4zbLusZAro23E+7f2S/4BUEe2aDE6AI0FuiX1Y0IbGDk2xtPqTT2IJJ4+1yQxNI RemkUrkdjJSjeTAJobiGIlo+IhBxQfX54ZGM0f2c3dNvnTseTkP12jkWfaxSg1BQxO7oqZr3iz6E 6QsPQFHJkofP06uQ9N672xK+RsWwVY6l4I/g8FWLlOBLKPpYx1ZQte/LjofJqttQJmn4W28a96TD J5Tyaz/Vtzfk3T1yq9y3fJE2AgN3DIKx4abEJ5Sa1CxdXXz96jBQN2dm7Tcaj60pW4LfuAXePIqc z5UEe5NIWhZMX3lSbHgEv/UAwBQvI5NB9LV8rEPBU4RQKwuE8OJ6EEZKkL5Ory6G/swcWRl+ghrm yIp93dyixWv/UJNMR17fvtpDtoqWrW2OomWwX/OK5mEaz3nEdFPCt34lHU2ljmzQmfVrtjlYNPSE xggSpPcnrNLib307cU6bl3fdvarSxuPEOjxkP2oruILCsn3NQFbVxuDGpZbAiNJHEG/eoSktZpTk FAF+kP/YVqXCablr9M+EWS1R8N8nbK8bpEf/kE20Lau0tbRpmHHL4jlpcoRUWamnOZAfNMbhWdb5 kYz30OrOPzYgDSiapHW3WEGClqkOy1/cRnC59a2yYysbqUiS+QqvHwVwZagBktuFgADGXrYWzWi9 cQRMsWQ9UhAGYN8353QSnF4F4w/l8hOiWDRHWpyWdIhtKhDV0WTNlSqcVCnVREW7xlvMmIv6q6VG rBVZ0+eo0kHDIqj/3Qpzlu9oX4Lkf82TfuI3A117w+1CErY5D/s2fkwXecEDkQ4jWLkrlH6lZaLp WqX7N4KdxWKXG9Z3yQRl6p0iBwGv0d1uL8zJv3gYuHcmUPv6HS14h1PiQxcw7DIusWws/YWM2iLw BvkRqWddSwaOWfEhYp0nQttdtJiPvndq3VAQceuC1esZuKd6hEDNcvXVhwvdSknjjQihSxB7ze0X gGVhI/2PkPL9DakLchpz5nsAYJeLWVladg/FY5fjCuyMiKFxLV6hsBIP44ENvuo/40zdZeurMcQN IXVioCcdKcK58Q7fr7xkUA/5xc5SjD58rZeXImTyG+g/f+QcEjZLb+YY2nfsRAV3WCPsq01Kvbn/ BepemMCIrI9Z/45ljlkGDxphaui4LvjHtKhHVRkuXnd/p18M1cSvOxUmKuKwqfZsS2Furq1dl8md r2CMcKLPy8nZSelqA4uHO14a8xdiOiKSIi6vOdG/B3VA5Dehlq1vICfao95HLZS7FWXhrqJbvsmj HfU1m9I2FbSPcJDh/PZtpC5R9zknfjRx+NVA9oZXhBo2IHOtvVfP34UriPmbQpIEbRuI1EM2yFNu MltEMeyPDIUAenU/nNohPGn1f5akkJNFDto1LBpE4HzBiwjc7qUNq1xI6ING62E5kd+qlyX+1zI+ cArIOSJ7vGBkgrcLP5OJQCDP6WoNx9nqJAyntVh6ErZRjGg5d15rbJ4SOuoFWWuBbAaPiEIw8wnI NOOHfXOSLLfCVAUp1WVCG5yCO0ebtO/gm8LI9/6+uPLH/xsMSTB8diHyeWLM7SnmF4ghkPd4+q37 KO/+eWg22cMrFCDI83PlcsoK0RlPzdIPhsE1w55TJNMQOqaGo2vgYRqNlwnhRvJxQpn+OkBwTXdg 7eoS5CJmZePq/zCPPOP+aYx6i/a9vLQ1dJqErlm8jJi0P0k24ZVYx4dl7GSEjW1T9/dkHHP0qckR McRAmJKCH3cEodSFM7jMGVZDk6dn+7pmPV2bvggEqldSZj4Ik4qy3t2oWKlS6akqWCC0HZuymSHG BRjHiUpY1L6BTkf6IKI/VRRdMaBqRpBXs8Khcma5AaoGJzJydEpPcRlkef6IcsO1msZ2nAEV8Ele ZnoWAD2+D1wkyS+Sa1GRpCTUzJlVN6/+TPU0VUZC6EbovMmNBB7hJGUz3rbe1dD/WwytTW/G41bc C9+buvAluApbCRyJoAfGZq7NA0W6tIPgqUaMnyqFWdDCOlHuF8RGJSMVBHR4YzJvW3XcEemRc8oI 4A0MBrJPu2xp9+/V5ztnJuVQnVu88CT98zPxtqUMg/1LkkY5V6nNyGYcPko3GqGw0NqbCM8zE/Dy Ad5K3I+qBnVn+qTBHtmEp5nji4yi8fC25heU7Oa5sQHlmwkFcPiodRrGICQXRjjhghd7KhDXhz2e qfC3Vl+3Z78gjAShVXfoMu5uOjLcpTLFmJOm5cuel1FQZTNgzFHdLEr5mlhc7NKg/hCpc8+N3bw2 +cUP5jlDM5fY0PmDKhGZIIb3/alURI5PzyG8sGlQ50xFWKlahte1/2UPRRSqaMw21S6O/XleVjrb LPvSvAcaR/Pm+tRPS3YJ9S/GunYKuN6eTMdWShEHS3OH3ENp+yrzbQ3KOuG5dhPuoY2QaNrMjRbN Mp+cbsLgaHLSOqZ50qBwFD0nviz46U2xDoC1SbJ57vs6BKtWA1Z720aRingtLWZEOdTEeaATHnz6 btq6xTTSnCwj658cZ2WAt7H7G8zr8yYkrJPNkdBIRdNxC5ek0i0EPDCDVbF1/U1Hk00CqP2ggXd+ v9J3mEO2MrJY7xEsuIq1mz1P7HfB7yUIlxelmYb5gKb5Sr3MQw2CwqcNnPW9uFPcPVryE1os31js cg+GbFGxmm4HZH92tmcOY6ELy3g6+sBjHB2IEZMqEK+aumN7emSPdCWBmdLBC7EPaQFteWu4k+/7 F5B4gPuFGeNlr6Gjd1IEqmkn5MAxQpgw5FhBG0QpK0e2oStlV/goZbMh8Jbh/V+1VGH2FYXoZ0MZ htox7anGt6ZDs/fcWH87JcemZimLLWk6Ud0M71RjVAg/ABhTaTzK3Hm487PHzC/H9h+k2zK88QAr j8MJfuCVRJjgW5hCwCu/GYCUJyZlQX6VMDPkClOFRvXMdsqcigumu6doype4U1rK+12JRKYBXK47 GCeyYPIj5SIWGRyfCOZ3SoIXooYeGFNnY2IoCzTiVQ4sOT1DXdXBs6SeIxRD1+dQL99gZVmd5zz5 v4cLZJ5lZnMjHlK8LlgZKQBMpxDdt39UxIU6AOJJXAvBSRFMV7f6zofkWFDOLI3P975sZpJioCtj j3lb1z8WLTZqkmndXxVx97t5o0gg2aJLnB35qZWcKg6Y1otfB9eZHhkQPoQ9Wjkl3+fc2nZ372Ec Qes3jFUdsUEBnYYswih091qWwpNu8TgpjaDJuBUpFZKF4jWRgzMxB6/akdldxrYdOy08/1iIaqgO obi3aJv0U1OTSSGW9K/wv35T7764oeax770AO5Zxf3/4dp4iBKSZelUu15Gc5Z6E+8sEVEBtEAt2 lJJ3AbDAEX/7O6ucbn+3m1K7CTXWBv90tjCd1HFCDFkMr55YzubgUAifNKBwMe+qE4qdNvQqJ3Zo pWmR9Wux7XWvFimYNJI4xRsPvRDqr7NoYxnb16HwU43gDut0nUujJ6xbjoX+4wWblopPxZai7H4Y 5RCSJu1pS3U1z3vGuFAvIMLpA0h8FpVNTCU5Ku+ME420hb58PMb4oz/R6gsW4usM2k38187X2ayF sQm60PmuciKNKLQczqSvVZHu/bIFrNs6JCVzFEMIut3cip+vxa0PSYhZM6HkhqY7RiIfqzIpTWhT gLmG23I37BmBZL6v3FsRiEXSxAQvPqgBATijShprpBROEDgGURm/DJt4DySVDumIA6SpP7ToPpm2 QuDTeu38xmrcFwMJ5k//8qemZBc45iEj8Q9FLqHo+oR32gUg3Nyde9LQds/ErIT8UH1F9sgNIJq1 oKpMSoMR5FPCQdR+VrML/hGJQwbC/3iYgQWOIxbkkPcZ0IQJk5MAr2JJOwFH0bHyTu1UawbEDpxQ zRoMHBmZzcW+oc1IIsjakJU5nEBwfIhFH8cIvmbDA5dhd8orkzsoUnwISKgKYcoXa4LXljUpaAnD hQnKbx5/bpD+LhIXf3eb4w7iBgY3qyZV0XM1cQIHohj1mJ0n4jwurgxfyEYKbgFRwnsihPVaGenw sKkrOKh7g6j8r6203b7jAxn8n5Jq3TOe7LsE0W/aHG7ourMCzJbplW5eDzsn1al+T/cKi0GCnoeR lxe7fHuX72PIKIXsKKaCsV9oCqe/WYOhlrAlLqaIYDtoOj6AQcYebsuOCVwxx0TGIfxFRefmpqrJ S15HepHYcmxzmv3Zr6RsPssqk22VMOAvS1aQywWF4NL7QCC/VPyymqi65BKzpTxDDGcj42TGmjZM JJJJkPfmkTwOj+ukNGoKJGQdH61JmOxBrz1lNWH4QiZ0WBKz3Evho9yOJ1TGmE2rhfnX82b14nNe Np6AtBjoO73RTUzUVUxePR2mvwDA+0+VSbsUYosfw2Ux7hJFaxOxgmoGuuxHQXqu0yN/VWvfm3Ac 2UsHraZkhndxecCvWwqZIDCq8mjCi6pAl36+czAPGZLG7mfv44Gut04hTZOILtM2q3tdg3zUI2BN qmJW1mrwfX16Ef4hSQPBvC/7sITJgryFl1pyibzePoimGCn1YT3y7uwCIIE/ypDIZdAJ3en4Owkn yHgmCt49dGJzex6OS7XB7rKzSRG57pPksMkvIp6bFdlwHPAfP592jtp6g5yYS7+J18WzYIxjb+Mx 4vr7ly3z4e/wP2btXLjg8P01cCN9EN9iqY9TuuyKowQsTCSlhKDduRn8RuQTfIabWyVaa8I08YUS 0SPCVsIDFDbwu9g3JWpS7Sxhlpt5qdGrpWoDOMlrtWyXbs/IXecIpe57wc7gjwrz/RsqBb8OJfkK lhafJst3z/RsnN8njzOOu0Wa0RJ09XjJihdFuawwF6paSMEvyG/KEgzukUmK/7L1eT3eYamWOqqw b+ScER/BOmPZZOtPoWrLNG8PqndGZQ2AHFIYwNoriP6HUnVshv6eSIiCdUu9iCXycfw7HVDvtELl 9xOaGcQyeo7yePTrhftIubV3pPh4fCx+v+vB6/Irbx37xHXsfg5C5gXJqChSljN333dMuQWtJntK i3EHPHmnW3FZce5DkdmydKNTQVNfnDTgappqhv2yUHQuByKZwVKjsiGKAEEbDhw2wHIihljoiYOn Ui08A5v9zsiX9IQRpxZlsHX9G4Y9RkGc36N7IB4ZM1jdrgnZylASqVVrsMKID1BSRehTvWxXMDa6 wfI5z5TIkWofxzJzJOnqCr3mvvvqqZB9keSnFVbwhYn/NrgUvMLg9sShq1BkxNJf634pII+rN2Pa W/K7gheVgu3LDJuRmSO14tw2QP6OzNd/BvbOdiApZiRAp58D+g54aFkY91PDRQbCUDAYKxDK9J9I 4oOC3CUdHa4SF2Z9MheqvC6ITui1bk8hUWYc4MIVusmUmDhhPcmCeybqkNrrVd7OuWrGeCrtSjZo fmeH8hN8xteUaKonPTfCj6tj/oQpH4CFWol8hZdzqRv+qbMgdvzx7n8w1gEKFpgxuiBS/3QguRAG 7tbPGGQ8NpuBfEGP+NI/tDjdCGktcy6STUhNnv1tVWUh+PXRqdjMt5aRCKzYfl2N11PML8564V3K pJsFOtzrxGpHYk+x8973CRboQA2PWBCxLblYlmOPqHEUaur1tjazozldUkkcX1i4TwCRrJIuhezc Kzc29TCwc9BU+pc7jC1UJTibyj3brraienQF/98rWrS8oebgYefEJtNEgyQ1kwqGbmW+OwdbtTY8 AQLOb/wPvyevZ6SLwLtcCfB+VdBUlaMCNsGW8NODGjFbaulV8vjTe5eIij6e86z9tppyxT2yNwie fS66zuyrQ6SQLF0CSCZyDpYNN1hBdbT7fAEgjq58sc+Xp/omPxgE/yiG8wIYRDuSf/Ye6JqTZNdu TpLJixLd+zN5ZorrCyUoNFD1xMDn/C5ETvDutdl8Lwu+jfNZ33uJPpwDcBnbH1YRdmv7xdas/w5W wF1ipWHmpe1OtYl25d0WZyYYUpRUKOTrJTB5xOSfC7ceLqgt+otB0JSTy4oMoBBSOfMeWPfuviIT Q/OTiYAeDfimuZ6VqWFKPE7LZBWr07plfSza1Au62T4XmSvf43OpBJr09l7PA4CgN/kv4q503hFK mnCq0RsvwqVyF+HEHSwMTDjEZrItwKEc24zBydpNtQJITnL7Q4SfUFwQ2ON3UetUaWG5hxNnfN1R JX7EUTCR+jzY3akJg4DMspUIdimYl3RaW9is21XHqC27WXpbLNNMaIGYHNlphyoT8J1I9DC2LCeP ozT3aDcVpQJHdvDIsbksBcNdhaZ9GHr1+clcfSLI++5wk/rCZtbOGi602rGCHAQczkST40mv3IPc 4tRroYTr/iGs2nlK+WWH9Ak5Z9tCW8fugP7A7rjajhB8Kb3sSTkSK7BtEuwjeWRhTxF7/VRub1im rWMuZ3zUcDZP7er+uFGLVELizg2BGcytKDgHN2Pho03TmBPl2H/Sd5lizHZ6s2mtZU1Ht4A49vJu E4qydiw7fQJekN3DzViidgQ1ggEJCpy91SQmXClExYvPQGCecx7r67P079OEFHyiUb9B0hIXTU+a gEHxOKDC0yrPGrKiXJ32VbqTcWjboSP4RBNnFrEJaJ9jT2LPYC9iQ1bj5WXxpGaDKiLJ9zs7hfJ5 /SW2UjJN27rSwW7fsJF71leUaXpQQ2J7DetcFpLpi1vqC3ODmyenNpGh0UKkP5uUyYdj4lMZv9gG 2IA4/JmSuJzsYKefZcCxSrK+o2xUULmkXIxF8syjfrWt9Bwb/s0gwZEkOAvBh2N8CJ5PuqWuY9ja OXlHyMdnKRwtwEpxWSCcfeZmEKj0igrBxrbTXXgb8tzZHGvpaiWvLF1qJ1tbDb02H3LidQXXUWA+ gpEvspAsYAvLNb3JFWVzEaVTOz/8HVePWSBq7STnwaeqs3rIui8D2pFES5GNJ1PTDtiQxC38NGno 8Q2vgBAWUg2wmQhsyIeSYUK/rhd10qVReftiFpnX7oFWYO3I1XwoFklJN9nHidsb1NNkCOcjm5No N8CdC5ui+p7F2addpIR4MRkQekBr+cbdK9OT0bwopGcPMVC/AWSum3d21j6uLUkkuxPFQkPaY8UJ LJ28oEjq31ttDZFOQ5SL/4rIlQFiK3UPlO0yovRtQLXvF7sRc6Ba9kEbKc4ws1A0KKTqzJ7/4CBx +lYVsXWUcopBpAYSNMT7iyLkKEgJqTy4aq72nGsVDUzM2agzwqXQioo+4tNPbxJi3b5zFgNorraP eOHcZNs5YhqpMTom1eK/7ihkOgb2RG21JMpumOg0rdongjFCBmue4pYD7FrGkmPeiBa24FzT418N mnCAzXlWH36oWVbkkWKBAIJPz2BKzLemzHrRn0UXd7wHoMGEMM9SwrkseEwIoM9vMHMAChim3343 26uQaOfOkghbByGVvrL3gYDFL9dyC8H8HouBK4e2/HRlDP03nrQPQxpolj/cskcvbdne3MruFI5+ buZyQ6tTaLUpXlI2ruf4uVG02rEUr9Ekv+OYDhw7REncK0G0etRpBUxAS3PtujV6sXz3FMORelK2 X718SkzpCv8KuvMf3iyWA0/z1PG4Yi6HspYiq2P+wbEXlN35iJzOLAWPKOr8//aUQQ5Wyl+OSPxg jSSfvZkFO8iye0nYFwEqWUAItwo+ReMRampHluSI1nfPCZrUJ2wGdxRhk5Yky0vvspthniYsVFUf /aD3wsWKjCiU1vCEr6nDRoZag+m2ScTl7PkaOA1oka/d1WJm85nnXBxX1dbbLDd1lF5Ui6qi77jb g0wHXbqt07un8eIuMCwSEDId/vLuZ69KWczAU1RT4Z2aWbCV7GKjWV7aTF7L+RxiLqdqnCm5mC7K 9w1PlvQCC4Ljb1VQk763hvcqugbbdvSGQ3dKRmEGoY4Yu2nxAcHKGrRpheympYlARSdRq1d/GNlW szYirg3lzKTpWGnlsnoZBhgu7hGd6TaK9p14iZMvseuvv6+tNDtOfjUApsmBjaQROxohW2cELw4n VP5LsW6TuW0Vr8uI05gfwNV8LdXDTbZNwXVM3kpZ7Xfg76vHhD41ok2AtPfrs9Ut4n7OMZXyoVx9 RZLp3Ci11y1PViJ20p9YWbUWbnAm/oK7ov0tRjehCZ4jue5VaZGTAKxNsDx0c6hylpGq8cvc67qM J8iCbTZkcCpQnFYalsnui1kDHmCUvfz1clX7DyXOgLbvelhJXWY9zNfoL46dwEj1KxsW1a7yH5Ob YoIuythEGdfdJwvozbP8qaoBvwcahVIplYGDe00rQb4ZaVCeBbRKNpg02W9ta8wLV6GWckcUpJQn eBslmVsxRABK8yGDaVb2w4KdcbKSrTiWZn5yscTejfsCAMsKaDC91Bt+pE12D5xTQxwhSAsmJ3iP 8mR2hS7eyS5RHH9yXz6hEnfUcj63T71bA6lyMgYTwqmHTZJ/o1yH5iR4I9n0Va0La82qhL6Mykrg CcsQRGXNHpc6XZ6VcwJ2PRrv0KeQH6bLCM1qndsYdzu660asWbDuEgzaBFDL4X2IMiklXO/cus7a wrJcnxx5wW0d3NZU4knYB6W+XXQs8TmujCrVxqZmgtFxIp2b/GnP40mUDdMhkmg4yJBiC+BzVHmV n0J4XQg68fm62WLUt4dhmc6AIbmJLkECmARjR+v8Njz2lc7jryJAH8zBqWVAGAtUuta6X3M2nAY3 2alan7q5dvBHy3HdwAPprgjgejkpQQW08ngYfScsRrPDQuxf5HPw6LGWImTwEAvnMeY8LGfXB/S2 0w1ouT7n2GLSdCm5pS1PfulO10qGyhfR4twohcQU0aZqk/155fKEBglseZ4hQGEAqkvr6Zv/mGu/ uj3ScAb3zmcsqkgH9pkD7B2e0EzEfw6r4yG6kLxX2DCWNP6aMxZTuAKAOsOr/dPqAAO696/yepSK AnSXhsoabY2V465KliOvTaeeFyd/2WIg3sjb1RvcuaT/Ie7MeFsg4wB6+c5P9IupzXEYhVSDDzOw 5KxowQSIWlEN0QGZBUfGSKWNOCKUJmfQg1JegEoLecy8BOxPKTJVtmMARCWdIBRd9qZJGZ78ggIH KS5jx42L+0syAB5/0kkZRhIEc1ifaJcHk+VSu12X3EuJiCl6TqPlzIVrsHWNx0s9bzjJhlYXfadW dS78fYgRBe9GMm0YR1F8ihrAAsFwjNxaqvRFR7cJMxwu615MNSTkBUz3HAlu6NITNhj2r4DtxJPJ qiddOjO1syX4MUFkDUKic84p0xS01Cgq6yuzZ8t72SHtsfb4oIHATr0mbDGPeRAmDMfzIQ+EMxBq jbtTU1nlRVj05aXPXYkr1PlbhguuEtaUL5xJNt9KWyut0gdW0BK4nt+rP3inX41PikB/lDDtMnm+ /UAIrbEFdyOW2YAwpjaq2gVvXUOZuPOpFubbhjf+P3JMWqBjro2dcublHJP1kFGcgl7QGdy5yLRe j42xxrHxLM/6VkTCaFPfOqEhAVdWnti1w8SIHjzKNz5cenqbhaSK1abQPZSUkXuHULeW9sq/wsZk mIEAxaBOKX/MCTeBSVKyeqKk86k/gYz5bg+WfryjCnGlkRa6Dykrd4ZCukW13WUu8IsapDmHZL0v VSB/JIPi6s2W86WCK5QMT5cu5gm81y8H4SsUCi+wH8tDuUIh7teT/eTg+h0SkmZRNKP9r35sCJNH TYg9ziZOGHiuGaUK4Zlf41wcfgpsu/jE/OvoO8KhjgaEM0t1P21LpPVOr+ceb/LK7toMa8Y3fPph oRaWEHpJUaISfKHayQ0oKZZz44NRmMQBhgEmhoHCJxH/7lOL/nEFhaUwRgJAmko6zMb9l9hKSP3Q clJBwDov94gf6U3tDjaGX8BYnpepFCDARlS9TIP6J/i8zyGgzcU3E5R0boGZDr2ug1wbWM4oV5d8 JHtpUCTPv63DW0Rzcp48Hx1da2irS7eaTBVaO+BEjVCJVA4a5CpiULuhV3GHGw+zTEOisWcwThAp WRJYQIA6++lW1vwNcVvuovKvq7l7CEJlrLIk4htgK7A3tlKuH/lPuuurI83iQn2JoACNVuKZ55Su z/WSlfaP49RiRzUbysr6PFW8CACPzu1M3jacIfUuYAbTyXR4bYRbfyZT+VC1nB7MSdIi0x6XdHdd iQ3JG3k10JODGUxhMzduLSbA3x6RSD8veOEBwk60GW7qxpjSn6UZieeFaow9Vi6QggWW8x9hImDm CePm8v3J2TgOdwGYUNIGgOAkJkbbiNaA98niYCLdtVXudae+FyInrBynw6FeaAeewAwk/CS2h7+E JYWK2DDMS1ixJLAgnLyqvuYRIzWvuvg0NKnPMKmmqeZ/yDE59ZTC2zVQyviOpdhYzidmV5OqczWG Vl9HUfeg4F3xIhjZdBXYBRbjqiOa2R1YMWpiy07yjZ67jphPFgAQ0hzHIe9G2sNBX8ZYysBAH7Nb eHI7Pd17mIkofA37kG53Cz693PEujFy+bqA6QEtrDdmZDapTjjYsq74fa98zHlu0f8pss3WIE3wF pLVIizTv88q60wRXoj6Hf+UbR6PqxIuoodR1YIwRVTQpLa6hOOn6XdPxrZAvih2vuKEOjZ0JS+dE J/eeMFgE8dYhqe3MJXzBoQp9K1DJ5URGQ6AFYsHg89d6JqDfHQjyanOVOGxMFCIYeVzYiaZ4p+Fo HHRVJ8CWCVZlLXMFOjy+/4nBUVMcb3iXj8Rj61ll3HHFmhAv2UnMxjHwYYbwBOrPeTFTwxTr0PwR u+3KGdaABJWNEz7FJbt/5PkWa1/boBijyt8IGAmx4ihQFlt+/9HzbZewDLpEVBZJfGHH+cgU0L8O kOl79Ji19APqjnuUx/W8n7WL+TXel+Nw5/L3wGIUcdgz155SQZUUAGD7DprenjEfnlN52Vty+4xX Fp6N0qKCNTTRRwpXUFKPRbq3S9gYa5DheVvGWIq8cMU2O22xAIvRPB3vn/2Bnp3l3eNaLbh5IbQv 1J0ciixkx79NrOPI/JR5Xstz+BMJx9RIwguvEwvpUhaXALznyyPAKKzN4uMkKBKQJVm7p+X4Pw0j iM8Nv2E1E1hlNUp9Pnzz+4xOIhOsGA8lX0Qcpi/18yX0pJAt0rxi5SmvfSRdWRim2nefrWCF636g GeHaNTD2jEU6cngwN1Z3K4CskvKMVPFRWkImoYdOakxVOfS2tCmi2/n1JeFe6eLOkHSwO1JOzjcc 1H/OuEQO4H1S4EkPI758YI44Da/P4MGIXR/q+vIxtqjmEAOREbuJnFgbTpUK2LN3fcJ1xZv2TnxE UIrv+NgEtA2lV0AVXxENhWEO/WxmzoJ9CDon1XcN23rmPBTWDqRz9rjZwaryLTfOXBvgVZm1ymrA 1fe2wZ1sfe2/5gFSpJmJFbqlLKeAkkg1aycxa3mx0TPbhyjWZb+odEpTbdC4xG11lYuSHbslrtSh fATN/tdQVWWQvcGpJcX+8fmHccPeUFk8OpAUHpTBfoxTHKLe0D0vI1ejdbaD0/8wY+/3etTMUCdx nfakRsZbgTUF/KCCNpirPYynyBJEcTpJfPJlUARmHkutwbG9dkWaR1d9vhwK9Uo1Rl0PQXMJ4zxy /yot16cgHdOexxoKux41gp/ZeFSe+ij0/L12zS6n3ixm3PzXqm1qboqYi/d8U66C0qp0d9OQBMy1 5Wp9ido7CFKpvvBnFYMmB96BiFsV5V/1jQbVydy88AoRCI2cBF1O7r+xOSvB/V/YD8LUkL5TDDfZ O31VuEiyB0i8rbh+BVGGyqc7l0zc8X0QlWUI4t4Jk+jRZcKWWOUzcYk2oq4Yz6ZTIUvKS15XzbvK 5KDnswoZzl8DgDvNJ/TLPakKTE/I6cmC3mbkTzv1iIm3fNfJg8t10bSxIVIOE/Fea8jYVoIM4aWa fl0K3dr6U1zSxDpA35sdgmLFB3WTwE9tpBQnMhMxRW9MK5ItlL6ECfAHr4EUT7xhELN0xgbfGMhl JXalbXsUGeOXYSk3ucZ+amJoRBCeg6SGaEEOdirmpKN4emjT5eACtwwgJp4xp5oQRjVrsylf6rhS dfrUPhcy0y3sw2dZ1oTYFpNr/230GASqlswIniEosx6u+vlzYgaaD9U+k6ZwCoX9vFEcjvSnzcls 9cC0IJzoZW8p+dM6XqgkeobgSCDqE728K2L40k7MlTEEy2W6WcTRezqN7dLchFDX2EzXaDbdoC9R hg1coc/XVM+QhTjWWtDOuhfdwLCHsFjdUibo4IoUmPmTSBFVtIenJBeQJrsaJIPQoOfn8L/pIBJO 5mVeP2NAJ65iCDz6DJ6RVVfYOzwUaCJ97yZp55HEIOdkyGfe316P4k7k/8JbtAuCuvK+PvU8GrUY 5Er/1Hrt1I+wleiOF0NMi0cAiRAKegdSXy6E+gUZlZyU6hRANzru1dpPiT4r1kWqRokUuO9gpFtG b+9TG345hxILr7T3czPlgH6HNPs6mrBVyTWw4e763oGgrvqYJONsVftepYHdDL3bZ+Yb+LyN2oWt LKH3yVPkR2xjrhptH9BlzxQVbBf07ENJhMpG91Ya6jzrgRacM98WI81Vc2PVpUeb4avAJqLpRVlC n7zyZIqHKRMtnjQRww8gaKUTFKNGjChlGbqXgQv1BrRiNntJSkfqHaGsiye2WqD4UKWMhXPD9xsL dL3MRytLITVGC0yW1fBW3ZEVc1UHI98B912vAKIbr3CCnqCmI1f54T49PxUmqrHb9QVtnCZVn+IL 0dbb190F9LLz3mDQH+/xTNGs9nwmcYDoEfwrce5U5r33DBtXXe25SD1Au+Z7V9AuFD/M0FCPCaa8 EeisMsOxNBnH3HTUSbJ+KVduOzb09f4JV/AdBPqLj6NtDSlCRDtpyF7ZSNgemkQfOH/HPE/HRmgR UCi3b61qvNm17nS04VP969fMlnYRcQiPXIsDV9JyzvEaTGUHcmZgyD1F0AFkf/u76RyD6W7xK91E EEHXaBJkriVsQGEA0QWQHFdPaalT5vXmoN3F1ZohcHVtgt8/YmpFRmAhf9PA2nd7mS35upMPCaZY CVW+A3DDwDGPAyIQAOlAxAYyMYPAsAynRKwGrA63UaRQU+M1YNe3sahqJjYUWQC5wSmEtvpCc74g u1XJfi6ZDFOphpKQamZSRYBSiEXhAbLcTbXWPTjPCLgYvBIDfZufML8ZCLi3Z9O9GSXqa/Ak73jY MM1hVZ0fJ2YftBT5Qnx35ku6S3TRHF7viZ/7aCKAKbzHWG7PZ0UIYoLRavdU7qZrFTnoVGGKMl0L XEA3Zs1MekOAMhdPu682UKcEim1DNzN0ZMVA27Psd2OyrbfbrhmY1uDPl5vUddKknkR5FGklPWJC abvCzU2qCihffVrw/2wP38CORc+ogK9CyfQoq8uS03wnvX19SkCPQpEME5Td71EwcPo0+EkxYj9C S8POe+XvxrWIQEFzHwIyTs1O2IurpnNAfa9fiRTIiJu5iv/YZ/952j/U3XoIHJU020KJQequY6W3 iBKV3O87ZmUKjw0K0/t2mZSboP0whKTdsZ9IdXV5JfSel8+ePPG2xAvOIKUd9dg+LpVkz6rkC2Pt nNVCj8hnktRRi6d8FixS0F3iZlkX6a17n7hKZweC4BOUX44ee5/NqIyH24hQwRNwdP7SKTXA3DFq E88ZP6B0SmKM1TmH7PyHHc/tqXRJkxJou9c80CoTB9McUzPmL6vzb3eCZtLZkqQnX1tMEZLjS7Av HF9Pd8PcePEBydapQV6nXnN4VZLVukuu6lyA+86Ma8EhmPR69izW8zJy6Hnsu4/Qlfdt6N9atp9Z GUtD8T5Q8gzHXj9IwSa1p598/Wm8503oOvCLYeSFQeH36w8zc04Qao7K7Go8PUs/Fk3Byo9B+zov O1T0UtsvZjW4kXBNZFkdB6XdkzY+ObRfwxj0rnlx9959YsN2A23s4185nkjJDuflIrk0ZolOE6eC lkkjW9rVJ9VpxuLtL8vtZippZavTadeVrLW8OdQnJdpsvgIJwGDoMmljkOW5LzPVCsqlQOBlsgyk 4JkI5wyLEWMqIHO3X/9ZHg0loBKSl5MreG9iY/sWeYHCEbGqFDUwjDS0tgm2gqeiWlA5Jsc4/rKe lAbnJQIpzSmLmfG6P1Fjo4SmdJAwiZUzmprJTOCl2Ork1tFMLSqdwD5Fx8bwXgQPGJW9dQ1Q0YZq 86JvccEHJZHA/EQF0W1ahqZPEQyFH88Z7F66nrByKGlad5H3ZeikXZ8Oedr/8uGEN1vnVjFHJBwI AfyNPU9TjKsZ115BAfmWLFmFRPWVF+XWVyPQoee/fRK0h0QjIWvA9Qvwp8vL6ERFGZLVP6XnIk6S 7PRQl7sdGYp/0B08oezqdqI6unwd7NwBTxKBiq1IJiAoDTTmE6XhLP5DbkqdWPm8nogG4wl3BgYB V9C7TVqep8DekgvZwkZqrxiJrWZm5VnogSInhZRzi9XkS4BhXRzf0y5/qM1pTzgLMl+XFk55SD2+ fj9dw4ij2ePuWyGn/kvdTu9e7Qc6Lk5ZK4Rq27ApiQxrNO9cz3Fwgf6lUs2cKYOoN1IOTiFEQOqz N9D0kJmqE+RTpYWv2Lm4AJ18l5P7fAb0FP/fdifyqeMPf6LeTNS0/7uBzgTgnYaB0FCnUSRCye6C 5gDk91xp6If1HUGdss1TdkU71dOuYv5/xXfh/LRUinizi3KLykC2RorHwoeBNfEMBVyR3EL9xUAu cRqVyA7bxzYEU+NfPX1cKJ/Oe3tvvuwG32Q9J4hTP7FOX/ohAV0SWAtIes7gVnctY6lmOUZs+O0Z rXZ7BlzIMnmpxyaS0uIqsF10pgru9J92CbrYH/xYrAEMvadIge9IWRICO8tgG52sgxYghQSU/hzn GKJwYZuXgOaO2d2Ax+0A9o4k0FCXbuYc/4Hib6iehXJekL5hf2oa00V5NfiVKDOEx1vrphXndBmb SlcxuidWTcRkxFIiNXUyIetqCpGsV1viapWn405zj0ir2eI5JBCre4TbLwj8Vw0sGTdp8CxLGJtA 7lf+MccIt9yTZffLzq1E57xzWkpQOaQXjoHkHAEjbKb2uYjEU8hlHZSQXgQMEQvRiSKHDCCYJXKc 8sQuVt+2SkM+crZvuNOfk5MQbsrc4peqmdaq93Pu834vNMGvadtzJyNxwg9WUvz7HmXGy6aAlFoH uLfSVUL2x3iO0Bju8kZmofS89a4EqUDG7vu8As/T2d+RLSbGIy3tgFgkUxJTt5wojJ3ewWh1pR4i aSD/1zKshs5Ba/x7x7J4SJLLg5riHdrf9RFMLsaX7OqKRf9w/lM4iMdfaPYZZkHFzoDIJtZht6HW 4mSJWLrNVxfTyyE//yLEEN0F+ulaZIOjktr4hmSlKTh2coHCQZbLhCnccB8eXgqjFgnHtRjUpb7T LkkQnEzxBAelxNTQe8FClF1JjNBTraxV2S5Szm5fFWt5SJq0pSRek3k/NIdpJVUq/j+l3OW1zbJH JzmmtOglfytHbRkkhSiMlZ5OdT45hymrGj7ASGsG5Lwi7YKQ+Dn+u1qrG3IRFy/gXTeIUYuBiyp4 axrUIJ+WLkAbp7xNS+Omc8gMF+uCmbnpwQE9eSeELL3imMuPSXTZG3M0Fr3fLDG/7x5nSiLB3QLD nQL6kQBChykozEtvq7oqNw3OSmFXeq6lR5IN4INLYiRf4fjHafE/uXt9jr3ZK6csg+GqWgOREJ8f BjptCd99tYuT+CTyTHrbB62gZAFNIIB77DV6hKonzPm5hpe0oebl061eOtbZ1uKGmpXMavKuniIN LJZnZV8ZdWLw9aMAlLjvlr6ZSRmofyUo/PTgDkM3Y0DoQlyBAkJAdeMyNEXia7OGL5mc2N1wvK/i w2XASBwb4uf0e7H9xl/8yIOg2J8S7jtBcEQMtTL4IgZj2nzpN8T65HYymb1EZok/BYZpW5AcDpqK N2ay2E9wNe9y0kt4MQD3S3pJl+WrmPSUwSD1Sk9qfFGqrA+yII6yQBseohpBh95n+NH7TwoghTAF iGW7Wg4UONLmRxCNtMF5IYpevNu99ltO7E2APvoUXOJGHAVQRiSDiFIXkSGqkb0GrweS3UY5rOaf zv40FjxoLiAPWFJfAqbz9xmh/4bQnkwvLApvEfC+zG5SDtdDJMw4MUtL66pMD0ly9HDIRHF5HCBc 5KgK38BLmz32pgS5jHkb3rw8/Op5bJznLDJ0gyKy21EoVoaOuJhbWIQ08viMQDq07AnH2eRYQyJH V21+/3u4TM0leD3ze8VkAsCg8Ze1a0hg+PJ8yLb/iNFbxZrpI7kV+v1OUgph/JKI0a1KbKgRozgr FYh61NsK/Hm2jX5OZKtwueaX3AIB7BDLaC4XOi7uuF0CdprRF5Z7EjH9Pbxqx45XaNffdCz/KZld aKcZYPlIgnLs2QsuBpBxvkNJI0LWeKP34R6gfv1uc29QPFnvkctquT1E6VakIkC+WsGkddzb478o g/y3rjtcTRAM8s2Q2mtWdPhTeWJyfYEs70PmycctZWfNusrNw1ag0QlijMjEydJJZyp0H/sZCJa2 Ftq7FrHHj5yTSfSjsrMPW385j87VeMQzqwCpuOyh/4GSx24u/kGaC1iRzhPvgg20VK3+2RW+A3Sp soUtKQNcSFf6uZ2YZ/5MdPgmn+9q3tRKrBx1Jh5GyuEh5MZSP/h+0fv51uhPbG8xUAtUxc9kc6Db mdd0xxV/6pwtjJncxp8mNEIfxcu/rVBnL5EpB+AKjBHONq8PrkVNE7ubQ1g7X8J90mUyTaQZALG3 r4RBn8pp7CTC9US2O2ydMlppZGB9vA6vesUBJftQkV/yV38B4eX8Zm/nWcDgNJ+5R7wUpmtThsEk 73/iKH1ZtC2RrhpLHDfjJAQst3/cCKrHAoQE8N3X46XpbJWLztryPcj/A3i/Mre30JnFlIf5/j05 2y7sMWUbxNkgzNjAk5SAThHtOAQJorFUOJAf8kMP2oaPlBxID+ll5RbML922ytkWoDuRO1ePGAx4 gc7TFKM7E3N1Vyh8hao0b6AFaScPU1dnAA9w4k43Xl7J8rvaPsOz9qh0bysoZO4H6LQlW2Wnry+3 N8t1XPtWTiARdgELhrlcgyH4RyDcxM1OMh7gPAQca0uOnKkCr0boWXfalcxQlz0prJmo32SNe94p NpdFTjJitD6sfYsoharsfS+jHl5VB/DVOUagyEqsM0sTtyWmCq3TqYOWkfV/VK6h3+gIFCfwPt+2 5muU7TKaoNceaA9OEhY59BY+GfKaXLOQp9nnZRba8S6Bm5S56qT2VT7WhRD3Keo7XR2ULGNQSIu6 mwbUnyFAApKuF+0/WisqauipM64ob0M/NFL+n8PGgui/yLFngbUcpwwyOLCYpB18GARLAv5D7A8I 83hxwLif+fn4yt74eXy6n5brMHjuD0aGz7NYCoMka1AzBtBUkqyneTOxQUpWDNvT8MmFoPeGwUqx iTURLN4IZy0Lif2US6EcYVmy+QbR2ATgyev3XztbN8hWS0HbtLGT/pisBD+8faWfVsCln52RLd0o dO4eDQTMiqjsQ8v0L2zF34HArNuZYnXzO00P6n4J02bTGiw5MoaK9t6BFh85mnODb5rDL1v35zvr f9g4/mWJyo02ovb2ZQN+lmAs9I/LmG6hZNG+LWLA3lJhVKkLT23xFR54U/vKKz/MOcN6TD39H7MQ R4aKrt9fvmFSkSYZEQqRC+D8v1GSlH4hJQAiJoGYaG9XCVk6umpnnR69mLFTb44Zi7krkzg3fybF AUSqFmgOCbcRM8Csqjlrkaiq8qQQoASLqahrvVLazzcTIiO484s9/QJ+omHxTcHpQlgRP0u/k5JR R29VZPvOeTiKoGx03C1Dlc5aHYSWsd2hsr0hdm+zFbbNkCvAu3xhGtjk+vFUNQk4KBb1bvW/U+lT TlfX13Y+mnnf35QySkv8oRQj/a00r4AY9a+Bya76hV8C5Dyj3z8Ivpcl7cOSxDOH0r5l3lqwKseE 9erYt/OTmxIigzlGbwzpGxvQfwRr14eI/H5oQ3PcYCyKRNRwuARqv4R5KPIl07TU3do1feyr6uBg Rmgrqw1HpqatQvgCLxPa4Wb/BmtIWb12tu5uXIqzBurfnCyKdaxVwbT7fNywaNp4ox+tbLBT324P ggMsVC+znosal2HydtAgowTrMMi6a5ItmX532GEEor+l4J4mriwm/hIVUHVmHo2MdzU8uazygUim nGFItitNQyubtNfyrOfAdEBpujRO4TzaVegw9verPrHqXW3zJM03CTbkMcmDIO9Oh/9Fa2X6pfO1 VMJYrNlSfLahZ3urwzL0dFxQoUipM+UXDPNJkmA2Bs9WH9Z9SS4aUSSKIXOzySBGLrWO7ADFAxKr 0hZVzsf1uEadJF9VXtHrZE9boHbdna5pZFIRI364haY79Ppd9stSh2sx1F/QXw8Np1wl2tqbWvk0 MQzugT3ZkIxOvJmeVmghxp4jnrBxfwIxstt+JvaEadIijSfFc09RWETP0x3ZdsM3orCcPy3Msmjd hpX9GMmQEO5Jym55UF8Z+sweIi3DkrAcedS8nkmFm/9fulD4OObZtUFy8u3TJrrfFM0ouyCXnOXk XvBfyXyU3yYfauVAGLpncL///60omZChwvZTvSPUeuHngRTlkAlFPcsthYN81ZFCthFh7NZABLI9 olkgHi2IY9w7LGW5qvjIUrrdiW3TXhqRExXfzst/M7rR9s2FNZLLKeGXykEKJ/XqvztDLjARHVep 0sDejZcPCG35aNZcXtxggiN3uAS7iqHuTkaCFQ8rxXgPX1M/ZbMRhlcBxBVYCOjQ2k4KBCK8W/vV e2v7cv7E+09HyZqQ+XaMyEOTfdGBIy2f3d53AKlWLk7v0JrT9+V5YjsIG1kyyVTReBW9t9V37IAO 6Rfl+/Z9jFir8xGXhcWLY6ITHnarJbnjCt9DgW7J+pX9v1HydvMLWWlUTlKFFRhKanCondDrD7TE tdbnP5wl+z5DGNAfbu5d4MMLjgkNi/m4LX/OI1QuVlnuAL8veRBMODTS5xOcmiYn3GxQwm2HfN+E hY0B7jxX4eCo6qYf7AGnDJf6tjU5adffaWJTQWLb2l8eudmZabJVKP0ZI5P9RPY4xGM3rS+ggxzw 6QJ6J51ohEo9CbgfHr7pBe9FNpmSPw5VBx97al2bGVjwLTDBeiUe7GSldgp/ndmerbuRwmtZL3zZ qLqSYeHM/3sHTYS5qH3AgEJ3PClpCMP0WJC3HLQHcHr4tX/kznnHb2QyMtXubE4FVvGrV3cW3v7Q K00UZiB5semSH7LoDWAdj4XjwC/8Mlgbgddbhg26coUvu64BzRGYUysIPu1maeXe6V3W4G617G+l ugJ6FdfN42hCDA9AuA+Za6SxNqncZ0aZe9++isg3K6s1ssdW8sibXGJsLmRrDCnuQEA0Irslh21W eNE/Q9NxAwbJK1UzxLBUEyEcIimpEDeID9yyPg/AAPovASkJfq1KDuaPT0+CzX+Ern9pcqCthHhR LfqZSgwuP8l413VI6RwP7569SK71Dwq1BLM/zTZqaN6pK3fVpeANizGtJKd8V9gTm/A5wldS4ta5 FcNk8LcrQRLkEQQBwQsLAyWNA0/YYLyYSix59xSn4c5tszhOj4d7E59AS/DEaaZWuMp4zwwkWU0t UwHijsXsvh0VbVxzs4CWOo/YRLuG1CT1q7CXqOyPdshOhvAplGDvzCxhED8SB8x4Va/khlTh0L2C 08104i9G1+AtA/QnBx7ZM0M+l0anG3S0cI91TrBhiMCjS/9fk82ZfEFTXwXeKEMLQILkU5Zmf/Ex b6ire6t4hIrc4SxstlU7jiIdliLLyX3GlyNn+jK8/EkQLoef4PuQO0qRZ1YqDJ6OJjYD/3sRm10K +5baVbB5+G52ww8KGld+2FZ0oUQHXQBFBfRa/ohhtRRgEDIvUNMZSMguB7EGh8A9ttMN9X6Mr1Iz 0i2gVAXYReHnK8ZydEMNvGUknlGmLJbV/5pJJkXfL7xKsohl+ooNikS2uDPUOGM+IS1YU7huYXUG WAruVVvV+UaHXk7ajH6FPySkfbKAPyIp3oiw0BHhb0gO7minmhBBv4jKI/DcwokE6G9dIeF9DruP eU6bTKJMGv6B49tp3VQ4RLVQWn/RlOuUv/IR28lgogVAnoVrbgiKATrLPlIRJRbc84AtvH9zQ5Tu pM9jwMhGii1m13PJpwlJ+cL4N+bEPCjn126ZyXE7Xgv/aI/se2BB6n5VYG/ONcnP2GWIl5LevJ6a 2JxvPLPxaFtuAxG3FUNoc3k5Crj2l4Bl7z7i4RaCLDp1xP/LTyYbJ5HkLVU9Vq2ze54qV0ckReKM VD0bcKaX4pko1BJ9REVOVSoqOWaQQCjDFI5g3/4OMp1gDYEuXmRTmM/s94l7LTGUwAIyHfLQxNwI uoVQ4unRWRlYRbNPl418rIAsb5sH4EgN8V/K61GcdnWGid1IIZohlX84j1vgMs2GhRoTrK3QORh/ 693OMbG4kAgM+tQ9hdJDIvWnSDau5HNlmaQW6bC+4414sWoJMKb0uxKGZYDI8IsoC7cVdzshdFSu 4k3hvpwM9a8dlflL1H8nq7DkCcLWJHr46z49fYASXe3rOphCashRta/SuiYwAilFSeunBiNe5DPX fbOomPMknQ32nUL0z7LhNZvkOvFPMOAu5ulO6PloaMjqAC25Eec8SWoDrmTcGk8fVplJMdkY5ZUa 0XlKa9jizgLuLjEsgZ6xLqzE2/WYvqF7wyOxLbrX7Yv8ngXPSERBGVsPuOoxNBEShohIpOCI+oPY lbH9h/llVfWu7tEJTbIg9SLS/oO/jwu7sX/3Crh81ZIZRyjkNIMIhTQ7oRqun49RB7HuW0xZjgnr 1R6Pyv1g+VHIbhrikUBpvE+QFQdd2zPjtl3M74cVPoBTp+MDSRjb4IK2GfvN8Ng/NffsDY7JYUpb HLcU/TL/WQHuSC992cOvyOULXTpH2G7Q/bM+HzCCTDqTagcvvw4topx02hJboqc2gc0U1Tpx0AxZ 9b2n8+pJgPMEYCXt7o1Z4boxMbcqdqzPtOdY5ts5vF9ShzZPAcPqBuASD+roEPpYRdrQ1h4r2yqF C/3IQZJTlU6JBype+fkk9lfoR+df1cfTk2YahPs2E6cPxH+Y4ags9pTMYryhiXFNeHpWF5C6pdsm sY3uaewTcMKdvGmsUE9uft/BGR7R8omNWLT6DBQjfz/BHeuaTeLVX9/BIlvFeSmj1V7fCVVrV0aw uVUeAXHaOd0AH8ua2pF1ak5FIX4M0hevw40eNFUJRxFoV4LI5k2zU/lcRZm1XFcUS7gCcikl04Pu HJdH0ByELs2uNr7lJYOq71aSwYM7uNocgrFeHeCeBMzonGNtJO/EJpf10iTeErQCEKxKQg3quIfn Ja5pE4rf0SwDkCwiThseES1ZD7WbOFmQWjcyTVgc4g20nQmNpjfGArObgXpX2+fKIaGsY0fEENuq DhDnooRWxOFMX12VLIDIjYpAzu3ZWIryqL8IrjWyWPMZjMq0VxKuS/cErt800MA3f28vakKkUnXC NAI+EWiybMiLK9MpTwVifoEDqZKh5JaBgbPk/khQDaqgmRnxpKKCCWe5x68ROUmGLey2i1mxlK8a 9cWKN96EYrKIFbT7VaQ750zpiBSpuSlUFShpYV/qBAu4F1hb8wGWAcN5DEFgp9Ic7qJlJmVUTdzd olrtqVbATsThWKyqVDXbaHgaSD8iX5cPbfxp5DL14QX1KFyFj5OyPS+Wkpqeibv0B/yaSNr18am5 LYs+ojOH9zV4dKLMa+aunX2piTb94w7VZ7jAm1MLzHrcev4cQj8uzDFmLOH6hosDWp1SC0V4qmz8 M5SM+vlSVln3n+8e1gbd9p2B20PakpJIt4F4BakyIZ0mHT4A0Fq1PgYd+FO79p7sVlfOQCh98VLq HeSeuAZH6oqZ+hmqtfCHyNjosN1hKMG2ZtDeg4ISiTsn7mLuBBiYn3V9tuYUpvlfGwJg1rE/Txwc /TFJxZz3DDNl5E+3N9TXflt92w9dIf4NTWlmGUExLj5AMvxdzyJN5JAsUs0K9CM62cyjK26w43ty kZAFQ0Ie00laayYfo9wItD+M+80JFaxmx87OZudINJlcink5CfwytfMHmNUPjhwLp2V+U2qCg4FF y0xt21rG0Y6s5Znh3MNc8hZrQefFcpOqJyWvOxxAV3bnn+IqD5f9O2KJzuQb3hiDeN4xKrY9eWuM XByrrzp/YXIuxhLcTWJsqGSJYyhaB6sT7FlaoIK5DfjhufuqnXtqJrVdBZsyt11z2K0UbqldTVEN zsQVg9mp1Py9vILlpUTKCwKFrj/QvoAtzVE+n+yAjJAm4lBi+aL2L9vfaky8OhJNLcbOO7ZAD+Jb 37qBRxUEMpUFUn0RSOikHlfDJGIm82FpBHQybDhJCSxacxbOj4WxqPvC/VtRLkpiu+6kr8ukYMyy MugDSUQMKqVO9MmIc/9M1hEB5aiGlZUE//vFl273P9ELhX2lf0GAFVl7aMM+TBeVqfUuQ1fLk9WA PP2iCZ0LSMSGLwD8ltU2LuyOG92y1nW7BVqGjfltwgrtbhQZSEHmHyPTIoMbAcq2gXipLdAP/Wr0 /RBWIO93u6QcWeKVEIn95Vcco1pGoZS7NEtyt5IpeGlWSOZ2ulVR/1Rk5zdJSaz21oQyq6gcGnNr oXMU5zOJq6FrTRlFNfWulympb/4GmFVeo4ZPfjWsXY3vh/b7GxMmLUMtrshKQIOwwQYpHLr9Ma66 wvpEoLuNCczJyArevzYVsIj878NMTxveti7mvuLdX6UyYfE3W2dujbYflrCbSdtqySimofnYpQa8 RAsLKe2+Hl566XLsijnzQ1SMc4MOL7ycpuDMjDwnal7g/dMfpcVBpXwueG3L/rSDJBBIO785z0O4 /XpwSCcvT/8Jkrdev5ftYwvx/3ELWKA4AZp9u2Z+bt5NB63rXqnbIJ4Ler48o+1DP0F0mVrPUCq7 6bHxjVoxWYsNDJnEV1x7jT2g/L9Oa0qVhli06hq+me8UlCIySfOgXWyGSDuzN38dc+jaxkVy+y6+ M/ojrOchbJ6oFxwI44Dr6/vgsDQlQ0N9Y3Sd4qxwTv7NHW5H8m7/WXmVlBVMgl/38GPlTpm6N9Zm zdODXKX06lPew+M47JZf0Miu/UgvKM1oSb8uPVeDD+xtZCZmWOsM67zOiN2pP+b1kCsvzuD9o+kX hIDtesEzhFD6fR1XOJ/dKdLCV6HQWUkSx0fE7M36AokCQeH97TljXvmzIQsPxOu6+4P04FpXfgWt IUiAnOK3cQNo4yduUQ3yT8+DX9H1sUxQTxkQW7GuaTL7C/kgPWRcYyqzcO+AwXXwxizLwyT2O5Mr yiID10MH3bDP7JE9/KRxB81PW9JcvGGjjoCwufbtQaZ0T8T87fIGrf7sdXQwFD02E4UH0rpKDZYv hI3mxymVqIJ75nqWV0BEK6Yyin6I8/OXafIGpkR9QiN0TUniwuMfgSZ5jQCvQvnGzNnOWnn7OQDt VGWkataY99FDiloiXJP5+W/T4nqf0yXkYsliLc/Ra9yRumtzA14LikFenWRZRtM9nzuyGAuZ+F4y N0T0VCbTlmF19YSykjlqoPrYueRmnawWcvzEtGd7pE7GPim1JbwkbxpF11w8mYRZazcdvkckPDWu PvrRIwgydQFtFgfqTDx9Wltrw7v0E/62yasEXPzi4FBoh2kb6cZTDs5VnvDtCMjI63XkXeb1MQoI TI6SMnVVSJoCCxlCngOkhZas0Y2Xg8gMHBxybNRWIv5qlF3lMlhzrEhAWEg0BSU6ceEUxUEgNgFH oWFeSgE2OJXHjK6+LxhQp6k8h6nBBt9Bb/qdGlVLvw253aTuEtwq0mXFTt76wzrFjMUnRlTna/Zh rIkix1/otu46NpygZOqz820hHO4g/v7fvX2subqYgBQsJWl2gK7KkVUCMtonDFWP9WHZWjGb8MT4 5373ZKaIEqW/JqzyCS/sMdLBpORPkt7oxk8pEgr6xiYQQHIfptpLrR6N3WJuK62U5gxp2+TkXTOr wIWH6KyuMh6IGuOVj23MLtrVnSiy5W4t4B8TX6EdDTPCHcTDzF6vGc4jCM74hiT285ikXckp8+sC Sld65x/39xbc/190aX0U8h+ZNac0rYEy8dz3di1r+0EecNAa+Jpo4niCIR2Cn1jHhr1DX6THDr7e Eq7A0SkE6nkM818Ktp7/ar6wFtOJZnexQoKtYshjgw9ZXH31+T7FohNnSXPttICthI5EdO+zYV8O 9LEN72KmsQtS9JNAWVGqrdt7yp41Ski4HJhl7ja0Ggpg3cCp986beVuoF5YbXaGZfSSdmcfZc4vW dcZ9KWSFFeIiRyHwr0QgX2B2bsahRj4knygrnC19QuxQWvnAOXC6Do9rGvEDuelQBvY8O6wwpZAJ LkYs+bgZ6mxClzLwcCr659JffvrSmqJ6XbFqDPDEoX0vX6xzpm8zdxjniMaBfV8TvgFxfvgpKhv4 Y4gY2XO0HUD1B059mIc6l9htQPBSBnEzhyrFut9/v48x/6CC1F41/2HesUVHlIgroQkvr7pbgPYE u1ayq9dw6WIlJHptmSrepdLP8ltNYatwPBWO2sO3lYrwxhAK4JXkLu6IH+0B7buHwmQi9jdDygo+ o9QjXCUopSLfaybrh7q7vRbrk9/suaFoOwJ59veBFXPfgTDm5tQ4cQxiTjX6BFjyY4A/QdZI2Nxu ahD07FGnWPRBmrDnsxKv7oMe0B3bv4WnzOmgbBQhIVjCTnmZqUNushw1AGnpknXVBoRbGx2LYKV7 LGGPpmSdRvXHEM83dCmf1ASQl/ndVZNBTDkiltqEfOw7ZOpdZhvG9WCLDkZyNqr158gnlZQm11Aj Rl8f/sI6nYHKX1KTaoe+HLWucm6VB43rePNRxbuKQCuXB11N0V2FYXovQTJ0llHnhGN4Yzi/+XlY 2ymzJwG5vomJitds5+EzfiRNcpWierKN4wYZ8IjgznfO2ApEyBLRXrUnYlJhuUfHregjL0RsfuqT JiCi5wjkMq6mMg1qcdzLA2hkCyELpwmKDU8Ujnld3OBD3smRjI8blaNBfNs6ppvnjalei9dpQDy0 r932vHkMnWB678X7ii4i3R2PgmQBK+8R8R4dFsca8TkHxBkfkssH03bZ8cSn0WtfcPNQgHzkkk4J 16TL+acT+8MO3ecQQ6vLjnd9OGHBPlVm6bmeomsyY80W0jToW1MNHlgCisGqAip7Lzqe5XFu7i60 fjBLLwUykc0ghLX/EJH8il9bIWjndK1xZnk3qZhBSfmYderRGGxFkik+bnJRsir08reVo3YZAxCQ VoWTo5M4/PLkCad5YBoeTgQmcsgjcQag9eewlFSJ7XOFS9u/QerLe8zi68UVlg5ipL1DiqsHb+bV QhRsn0evnKRUeHQ2oztDKOVawRiVrIOadkyuUwlqSALPj+JMyj1bZMLqjr6ewp52qNHPr//vJee4 9vVkiJLpVGeRinZmUVt8t6mcBw64JbNUUNSE+LZge46CUGUGbrgA6yxUTHiKJq/tTSZJJJHRSEUn 3HBi462Nm+m+JUlPShYz3YjOCFJ0zJpS/0Y23MmDAWhf+68HIc2jytUrYtOoXwyrUo8l+4A1Lc4b TB6AWtrOKfWHDoICbLyJZIhcvgFq35oD2apShn7arfk6kCTevVEfMXnSPb62SHstPhUNYpd5/WNn FVug00gtpCpEYmGEDyMae7XIsnlHlo06VxoEYgDnGPHYPVGY1eV4qTs7ub1j6rK1cD7HodIdJl/o mGT5u+jOzZAi1M/3Ny/9Xy+MhyG4NiSk9p7AHSagOxwLAQmsVwDmBSvrgfBAA4C+yO2Rb6JVSngi INxmUDrUxe2WSxr0tzZPS91CBzgVKQsEPGdjZSPPBi8o17XNytBUSeKDfer8SuxhSexsRQUEgjNq iZ0VvxweO4Pl5SQQdnxn4vkl2hTUzZ/pvSGkvGj9uZvuWw+7ynHL4mZP1qS9NQ2YJT424bcBLOJA RWbfgdBMRUR90d5EYaAonOY+8HEbeBcKSkG0j019QSDKvtaVazmPRd/Bz047A3xbVR22gKIYTkSs lrm783nELdN5g9R1s7V467X6mU0laQ0zDOL00DKdtMs/l+l3mUl4fryj5xasLB4OK6SxwzNXzcz9 2fyn0Iv42jbQ1FjNbJZ9cjPDremW2K9fiNQ3emZN6ALRDze+2fzgEdI5dW2Kbik6ZGvHXX7jRaac vkxhjM5kBwgpX1j278SZ4ezTJYE8mHFse70j/dIx2KbAbWU0BlivPBkde11LS70a/wTLY7MPW/1U ooa2bY4DFMSxS/BDMcfLqlkJRR4MKNDBrMq5NO3UJeb1CS20KG4eGPsrGtNbV7D/j4ZWP6ie7DwD mDrLiSfjBdP/4AdmPvMKg4i6EdMVh0RAXcFHdTpwaHWN21OQLAmm4i731/M1FDiBFFEygtgg4Pbl TPMB2YmJnXejrcaEaRfIWP/I0igcGn89KZstVe4f7FiTTyxnpT5oJ+fyfrCpG50SRdWQ3Krd/O58 YdWgrq2kItFXGFD9A0OcSSZPg/lQalNFKcUtT6YvLM4VdqXmXVg26LRsyxL4YC398unoSkxUwbmU gdF+DYilEHTuNKYyCo5CpewexmGJmuxdwb0qkrGc9EikaqKGYrgry9NcniwSPKU6yrFfOYjEr8rk 5APsQCoui48j/wF2PmWz/+FHXpAkfte7S9NvEU543X4oTsUVhRERh/v3W+TNvswN/c3MJkYI0Pq7 RBA7ixA4RTSTiM2NJPsZp+zcYDHEq7H49tyEkBs+Qpzb9B4d4eg1/Ci13yRA+dn/RZPzXa2KbKql Wo29M3xQFU2/JSrWhbhGv6/GqAWq+UVC+bAX8iWLZQ/wxflm+BSK0zdo7e77beaIRQf/XeGQa3m6 6chozePTYrL0wZZNWsDGp4/UxElJvBBwzJw97ioMzBp6rByZAhybmALfTCirCeBfOjeOmUEMFKja Bff1G4Rm/rnVnFEDyRWt7xVyNY6FzHfKPQ8GPPZ0ptdlHZsQVuQvxPJ6yXH8thkZen2jMOHobKlV GaaMcSo8SRKzCkK7x0mGNJ+/EXLtQWGSi9yggtMM01MQOO876q2iYdocmRec9u0DrB0gZ5goXcNd LGRNUxm3DCot9Hlc3BqnW3frTTAVC24WgVCBzpk1zhseu3QKgwL48H9Yxw5Vkyw+w0vc8C+Rw4Ti btQuTV/hf0/kQtC0xGaR4Rb4upCG8DOHEi3NdU6sEWyEiEyqzNK07KDzsc/8ll3XeaMTBiARUXFL 5GRh+yh1h7L4hBaXjW8xe5TYNvO546KkuPebYu4p0rTfFu2P8Xwe6AJz8XGvgi5skeOvUZw+UxWe npBlx59RiJZLiWpAafasINgeLbf7Bp5xHNAk/Wbt14wwOpB+lyoAk1kx7DCfoQVp2LfcwjofMSjA vD+VRATPX6dvrRBb1LccF5IwGbM8FcgSvoYBefbDm2eRW3mMsW/9Os1n3qiIj4T5V1C+FXA6VCqR 32ejSH3YWV0QNXqoA6n8BTtnsEeHG3SLHE5fhcNrLcIvkzPG5DTDWtPA6kmsx0sGyeBJ6RowFSNO A7LDaDxK5T221c6l733qIlYvVF7AxeJEm7Tc/2BADhb1ryuuUlKHL4pt+KngYe4aqlOy+B1j1Lnp YRHgCaked0wHD2BK3la26dff3oDrSXquthxpQA+7PlboZ/4jasqilYgtedHcRpPCGjtRg23hv5Xb 23JIUX05D2ok3R/nqMpaAk8sUwr/i//BbuQpndk/WKdDj5A2XnhulDZDonIuY39rzNu1CQQ+te0T SrC8PF9ice0m0JmL/DOtkpXrdwbIDkRsK3UO5qNP3nnXCvgXGvqdCb6BlfsYzaoHg4r0JzMHBvrE 8SfRsqtRi4a4rx4wqrjlMjE3zfRL/mTfZCneGZRp+suL1V9X/EXYVWIMwpZqxc/gWh5MUEKogTh+ x8Mm8hC1NLt9iwYpPCUuKqk3BE84QBbIsIQgaEm3ZWeg1iXHkTcRg80uYsUMR3k4kR7WLfXnGkHF WzPndKGyioCahduWKIp2aYaq6RhHrXYyglxDgVepJIahq0vO+txEJNL1Z3I4+tk+ED8RR2GJ4w4U TWO329BC7r5hfWExglQWTj28Io8TEUJpy+WuoajxS/IsdUgWAKlG3TU+ZhTKX36AFj9jty0Ahg+x 4ucvx1T8+vvHMtigwus02CFlca0hgcY/BoTEdkAshnGc9lOqFWoQ4oEBxpeFhl+Ono3e1k0YLEUD hbgpfLz5FjkIHCNnk2pe0YA9MwfcZQoWiIR8BA/D2UxRcG2ojmp0M6jQt1raaKM7WtwvFRmFa6cl VZek0rIofT8fmqhCKhRp+W23vlVaQI5ts+9k8/FIkKu5Eb118tHRLHP+6aWohRXmnXTt27ja2voz VnEcUXieElcpjzDzDvW9omXC2FUeNQhdketpB1FqyGf3FmofKD7KRTlJJrZxiEF2bobs60sLrxzH ely/eie3gJmIeh3zOwVohXDqV1I91Ikjsrdb6qDTuyQH30NlJW9Zkx/c/7feltIHfSlX3etv25U6 FcthgMyNTdSmzOfjFo6t10lyADiLO0mQeZDmvnc9GxkljXOmGZFpnfxoh2asX+t4k6HcPoLXTQWt WtfFvnt+OZ6UsFjzl3qs0irauwmMzhNniJdpHs/FppAWfMYDyNn+RTW61eLeBHCy6j0aUWQbN3lP D8StcEq26B7olKSSCIQTv+PJZzAvb29hMcvr2YWM+4mkzGx2MWmQs2iM9/OU+kUg49AWCa9+h8bF l+gUkHe298hf2ZD5evVFO54T8boJj5BIB8l/8H08GZmpVX8//gjaAKxgzeKZbNeo5BgefDrEqbcL +nDVS25NJEURZDAq1PF+j9wsblfLPBHkAUsyJw3por77bMaVhKNY51xIjjxDftikcCuRcK84EBIt peexht0dKMQ8sPvQ0GUtVsnBkAC1TUCz1gunfNwfkqA0YlePUMHYaVMQfHUTPzGdtyko4z6DTmzR PM9x7DTLfqrUvdlVfWFoorcJTd2Xl61s8SnTqLdQzP8/M96u+sQon6OwR0E9mBElEeJIKSQt17rB L9cB44U3QnkqTe1Y9ZFfhrzGMEz01Tt3TY5BXUWwgr2pxLnkadT32L331dcdSFTvOotn8PcIeT66 XYccEvyQxdURVDg+udTLyfit9+BCWvocUNLYwxjXU7VDrEunqPCnckzINZlejNkGT3UJaUMmbL0z wCn70PkZ0zwuuu8NXfvHjpZVMIGHET4EFEMDttHTBh0TLFHsGpacp0CkUXAx+aJjnR6x4lQ4Q4Pt kjEI4eroQVOL9bM7nDLMpelzkBdpknVyIbGO0b8nI5wpux0K0kK/6OTdVzkDT5raZCOqkruv1y66 Ug3X1qD5oWy1UC8h7wuEgXKHUiwyytccuUaVtB3LhBLAmL9rgoMzAlWkPjxBodUNIJcm3IiTeiu1 eibrMMs3RaCV7eBX1PQGtjNJj9mNBIGqPtkIi1kfrhtd1NMsSRitc2y/OPd5OPvIyYXmDv61Q7R0 Ip6ZsZ8vsM1wAfXTixJ2b4+uAkUV4vlEmle6NdNmDGgJUHWOsDB6o6dsbkwOe8oAPjjLGJJC2ScO STHJ2ZE/s1b5CnPIUgoD1QSditgKgP9ltl+2MTwbVWYilVCtnr7eC+nwd30SC69Uj+uIaw//PyDX l6Z9UkLtULvO5xfE+bJDy3WjwgyDOr5J6Ckuf+7F+quP/XWXjjpFllFrpem7zqQsUGRoENyMWr4j Mldo7+b0ifHqjkBL305wVTo61umGybM9ffwdJ2HfYGCUmxUtQv0AwktSidAeaxVd1IWiDnDJmXFG gtRRtNG8c5kzhuYxI7wFkKdnRqpplSyKMy4f9dazAnkorAvadFvqpiLMsnurL0rACbuSo3Bb/OCk jXCQCvpNI1rTEr2RbDdKFfB2rQZ9ZuZLrwyWxMBFWk1n65P5EiNPh2I2kZI4475PsSr9HjmgvNOm JPNmZMtQ1RPRuvaZm8xCjpZrM7GrFWOtJdPab+DOcPp3YQClURH3z8DCOSdQTw71QYDm7zHYSRCO OazRH++PMjSNwBV31qTeCpp59gtB2dezb8kdwqJVBM6kSVTtFh/ombBFsXcwaI0m1HiZQMPpjh+u tO9hNHZ9HfkPm/6AVOYmA92BCdUiE+QnK1DRzOR7amDWycSw3C0DK6bsMAvyTIvbfs6Tor28UfC5 YCsW2hbq7ARUkCqAQxETijDLgeIwsu4P46JyrC2WWYoHMCGW36E8FMWa/haRNwLIGfmW0/uy7wsF 8r1hfzmQfnhGBOxOoIUn6dNySMFhFYxfEAISW5Y35TtTDYGLjZbSLs8gDMI+L4qgwNOCg344Zqay Pwcxz9eQdGOsOb1JsawMc5k/5xB4PjRWLNHMHsAZ/FBaR4v0C2hqcdElLc55fkYqjd+EuiP+1Uvx i1MF8Py/KJ/i2+zay1S6E3HKPgsK6As4teteCDjHxjU6bLplKp8XGArjhP+iL6gB9XDNYd/iewjE SooNFUkL5QKCyI7YsOvqHHmHzUVVgejrw6mWFWpgpqmbR+fn2tHPCpJW7sv8gCUHnbLnW4LRtH+p U39OWLhaaqXRu+HLYGLTvgRQMEknUNFV63QkhKLIMRZxMVlDgoRL8IOgnwjS/CcfSHBEu7jUn+ea y0RFTi83z80BWc9DceqKBazxt+QFb7bciHWNlJ4YRu4aPiMqtGm5PPOqw//S0QC+ebN9rgP9rjfi O5cZoIHQXjadh2D3Q8QR63UwQUbxvF/35P03QghBRUzoCyp01Na2ivPWfKzOzANG6ulyttHGPzSR ASU0Zu2641c8cltuSp7J2WMRZbvbNQupWKSAE/wJr/o08WpkgpSGNyJIK4xvU3OJVt9uNGCcsk5P fbGN455+x16z5MMZy2e14zIqD41PzKMVzwW+8ShabBAn4lKd7mU+tZd6pK2P2a53ahiueQnENM3K gydhkBewp4ETkulDgeYDdF0OGfM10LsmcTYpHkf2miZ2w4utYh2l1zqvz3PkD7iJFJ+lnwvSWC77 6Vd7qYooWh/n4KlEKaboCvxsaeWSh3nJSF/aElxVnL9KsvyaLj/lHi70KYJh4BXNGdrmS6Ef6UQV mcpVaTWZ3I/cR9fk7qzjrobe8sjhsojaZYC79H4Q1FOms1ehF7rSDJQ/MQaDJ9tf+UbR0Tfe8P9g IJjVW2uZ93FhN0ksJ3/ix536mPW3MRSqZrliZx3a4H8trVQi03oUD9btCLoY7s6HmtrQq/g/cykz 9lZ3L97REZmwxZrYJNpz+L060CP+8wTBM3/TpWbKGyMZCiRUPMgcFFeA8AY9aTDgKmfsspZ7YK0p 5GRLd57xcZZ8XjFMNOXTru9ajQW60Oz+KgXatnMpK9SErEJgUXthR588+O3wFyAg7e1LgH9Azxna 9qX2tL5UymsX/jGU6Clqf5o5ixN9IgA5bOZ9WycnQQcrArFisowHzN/XBXv+bCE/UIVeLE4gVi+S G86jNPf58L1wif74gHSxz4dB7XLkYnOojYW4kYDpe1aNm/12lgC5WHTSkBujGu7EyGkLYI0jDt9O DbSmMn39ys19KqbZltWLabipaQMT445aCyuUS1pCJ7RYpd8cFvEnDf4bsFAFURjvod3+zRhJn4QG eiZrRJIRqzYLp0ez0ml+o0TM8MajG7MZYHKIsRXYO23X38ft82LmK65dNP25PGxCuKd6IP40muUc wN0LpJmyag91soeg+MJ1pXVOLhSVCGnRpKMVTb3QmN/Oj1bUnKrYY+zd6nrSuJFxevb1xxAqBDKg nQM0dvGnEluOcVo7ugHg42wbE7ZntTj5fsW4WcP6spv/8uSYIKc5Zn+VTE17xCzJBUUJ6eLGEE62 bsEG747x20+8N80+lL4MkadurJWTVB4SomdXdUbgWI58/6PfNys3xBKNpFI3G1KOJ6d2s7f7weKN QF6fUkvN2n1q5ZgSEBqmDhDWdTMaoxw1c+UhPn8mmbQL9+JYQz65OayiuTUpZqGIEWSKvK5zqMEs fEA419TnZfRam9eCNg3TjbQatxLJc/Smu/3zNLccP7MXCrBIi+TYlgUMlQLl8kvahFMBRtAqutpG T3CrrGFR9gmWQiap5uMZ2G5DeofzeqLr1PhTRCMQS2E4pX5HBNpIxraWZf/cBYTQ5klZX0njgQqy 0xsgjKU8Gr44QjD9MVFDkIrxT/CNKpAZ9igdTGk2Pct8Ey9oCfWlZwNE26kmFsF3LCGlLnRgr70I ZP7Ev/2sphlW0J0DB4bsiXVWfe62/r8q1i3//xvkgz3SObJ+8zXzs+lfxl0tDYFhgCB8i1oDn2iN A8YKGKD655M5liigXpKCXlGEY0crxpFG/ZHMbiACW0z9fghblZ4F0Yvua0b3Bu8PQV03DgkZZos/ wRFzK9cVrX8Re0+3E4HPCy9tgSrD7N/eriQtYYmlqL1EoBDxdZQqEum2hpshyJ0m3NyyC9Lbl644 WaFxidGLgYS8TYuYCGG2HJD6crwoABwrWjZ/GmirWEoJ18FoOF/i6ARHub82jVT1ZZawiNfs+pCH tZwH8e5nsa3cDPGb6FGzIWvQRnSzHCTSL0jeZZckSGZqKJ+Ip38Uq9rJNpwPOSlC5e7nsUU1vFke 1IcWFPj4D8n3tRVI8Ndlk1DOcsCxlhia5bQcoSPaMNJfg9L+yMXfytBK1gxiwfjscDps7DD6PVMU YIj2PzRuS6B8OkxmCh6QD6WaaRqSybJ+RyLKnILRC243cc1ZmL1tk8x/owXNM2axxdAjTJdB4xIM KdfxXpF9BR0qmnrGfNZDvH7vbBQjlad+x7Q1jxuscgmQyyhjzDI1ArU0WqvAGjlc4WJ8/1GNYRlR vyADpjhrGSKd6ZRiJHiYCxX1hm+sbNw+UnLGNBKEX7wnkVKKA8j4MAhjlYuubuAjA6KoK8aG07HV fjKOWqAKXDomFWat1mTSYFEv6KX1+Yxr74mgKYa/IyQo+Cn1xDVtb742U8vfufc0BENjIQKl7rlW OBOkOKsHQm/YPQ1E3+T+zSgXDIWwikHxBvJN7DtKY6y2op3sb/D1kHWbGOERuANOgv/s3cBx+aOl 1kasPGGy+dXOUJO9wgCX/cgKD1n8ShtwDjSPZAMQ8+LK78MufRCg6WOzFtclUspF5ckTEiAZdJ2S vv70U8HcxEg3QJwvWTfTDpCLlDVmms5iqJLlK3ydU8IZDwoqV36OFGI4YfaawrAZSFSkCCZwGDpj jiPP8+KorJJFAsyaPtXxf+pYWhmgTqukh49JwMllE+8VYAADpyANX/HIKEodM6c/DGWUCSUpnK09 IV0O28S2IfzHXUGPeMUWI9tBncum8yJE7Dolt03Q4zpmHNeHdIf7NuR+0UeBjHwHw3PcTxQ87mLF a+NXNiI1v7znhQCjnQc0aLQ0FI1pc8hS0az9QRZ/0YXO24S42HKJ2ywGYXnICq55KrI34+YEC2fR 17dNvNMiqmgr6yG3Xr6HZPGGUUM08tsP/Pul4X2NkmkivTSzJNE/giGrUY8Vf35PtQoe2usxx3vH vUXmNukD58Y8vZZgDyT7GvyFwQcF9xtwJOC4dbbb5QvlMffWeaQiMSizaxbIID5ewn+6bHZbEJnf f8F3xvwArCiod0X+nGeymn8vyM0G7YbvCxiUdz5Cwlqfi5oqmlZyrfaPeDdTBI5t9lbqtff4omhv AbBR/3IW6DaF3D0XRWAUeQRHKyB19FR2Q6uRnPjc/m+UKc31qlvz4CC2lRHBb/za8lqd1rXaFjd9 j5UJrxLx9qp138SaIxsZEGl2xUicxp9YSxJLSBy/La1JB+eDLxDegyU55Zfp349loABwV+BmVt3t RhTqOrn7k8mollWT/Di1y8SnQTvtA3jQF9SZMCdu2HnLydXqWgx1l3q1gA1AZ9Gu+2kdPhoxYvEs F6OXYmosRWTfSDk+2t2tRLdvyQFof3w/o5JeqJLMDSXVAqdb7DRppCjUqMgNuzXXXBxj4Qwqhxbu xch9gL6nEZO2Wb+2Q08Q7AqPHbAueigKUeX5SyRCZiZ2lhkOrPEey2QmDZ1OzvrMzOyd+lT5bJs0 M+mjLkwBm1SWiCAtzUiJ7xANu4d4ku1dgv8qfIsPT6WKgA8LXmhW9c2IoZlX+Dy51ZG/hsPJlLEn pYInhUJCA4XlML7SGgG55zmuGLQEHDIAgGSzrtMGJSdszf6MaxkU3P9PmXPze7l8W6du9iXfdh6n ftsVF8aGBFHIz8oaG3nwTWin4ZqJsWlvJEClH8HYdHvUsDps3jl3dGXPFPIrjn6JS0a3fhBmG7E+ uQdt/Mijah+HZyYAWBdUiZVp+f4iP+Q+bi8ZWkWw1qAB5HsB6z6N7/HHMGEv9S1KqwhOXaOlMFfP 2Lx07245TgOl/VqxIlEj2QdXa1nmgQiIMXRFH8eqSNh2owl17s8lgUq1/zBAr6fMz4RVCCnMtj41 f8fwrKHAqbEjyceJLpYCyYrq965u4CYJct2mkhaO+JetkloV1itT0ktBvHJZr78jWnknsqd7SzNM gkf0cEudGl9cN4yBR6FNp4ACgrP6uUadTw66a3SL4RaYEiGqb3RvLHeHa03elObd/K1oo4wLR0HL UWZei5weo39UWDCZLoD1+uKfRpS9o52558pcVdIDusgyaY7ADmXTMtQXbklLX5y6nJBHZ3o3YcT9 vSGebkB1vwcLIBtazQQzCLt3575+rgQD2VSBTLwz7LJRWIfE3w7R6xasxtt7wHvtQ4TPv7AwjscA nHxL0F5uTC4l90UxVX7O/rpyZUjZgOrTRdlUqZSSHwDXUQrqtSY06sgldQvP2u46NTmFMQBqCs4L vrt/WWLTXY8sh0L8b9JeUBrEkIua3x5Mhrumi+T68+bSkuIu2D5W0vOgfu4QDL3mGvPtUu79IwQI 9gguaA8336c2oJDyv5GDju5sgrYnAT7vlE4QuLwA43b3a6L0w30Otty9n7VRFqLcI+vmUMp2oxgl fM65xGNlKI7k1BSGkUgPfB2R00HtfpUvRXymXEKz97Gnt264lL6jSQrJ3chJQZmbTF4ieumdCm0n qFC//N7Bq0GkRrNXmjPoP4K6hhDsog1m4FyCQstxFXahdX0uwYEsbjtODj7cHXFri4DbOjW0ehjJ l0Ru34+9IFriPMNhfbKkfeMRdzbqTMtlFnPWbRWALgFjIk29nXx9Kxy9Z88eB0CgSkFf4O7AyR8Y 067Lgt8xMv1yxKziAxFXnGNnGnFo2UsV6OWvPK7Zv5kJMGKkrQ37jbKUO6bHSus/t6XSLwSdaU9y xgiePLU3OPB0Hm7rNmRtFAvrkDKH4MjDYA3B5UUVgn3NEAPpgKIeEMwVWD4EfDQBmSe4/ziBMaok uG8HaVEg86PiOYPN+kHczZyumhYYMlVAUSQvdG9LQIqye+9XiNDwWxd3gUnCmdDMCUxcUfdXGgF4 axCK6F+Rtz4Aw4oUzWKahmXk1zEvqTnwwYBz0okRdKXZ84ZaDKylCcidGjq8Un+YriXviTPck8P+ qf+VfSd1EufXwg/RSUbAZWkCyuVYM52p1KtIYlkZjhAt6ICPxJpAdkjZAHg5uQEQbpQ+N3H3yqQV FFqeiIgKHQqHbBsvQ5Wt6c2DhxxDJ1YgxQmQjz6fH8qyJtBXK5loPUnPrSBY/Loc2iO+W523Yf2+ ihLIRpZqcPWzOGTthWfWMNJXFxM7HRaxOiMWf2rXvReW6cP7n7s+3tE862ZJgRnqIuJ3HPZJiaCC bPMd1TZmQxlD1YrTYIidIwZ09C+BHuAEvVw/VdRIdrQNE8m26guazm2cjEQzWPKb/PHfOO0lLHQk NlDkM2K7Xa8/IRVvGnYzctkH0e/xFg+pgw2KJsbni59OHfiFBcjWEstfyt1T9UYeVGiw+yPhxbKJ rcWNv09xCLXizSGO7h6vluozQB2TukocKWgMvsEsw8kzhTr0S7A6oROTrsmsMYTM24262BeA1gom f3C9yXAhFa3QVhNRg2UgdQ6oEaQsKofTiHWrVJLopnNtChUZUDbDfAYGpruk7fQ7a8j3maJmpEUI 1AeYajg+mn0+220hFZsrci8fPhghZeEjKdNDLlYI8AbZG8gM+wPbTOrbrgwRhiZeNzj4NBKzoC6/ iKg4syh47pS1PpWpJlwgjaBxnK61EVSjARsTKOqsrDZm8Y0x7LCjpM+BhnWANVOm6Rj829p33jBM CQaVeX8uqzZiy2UX0XZjBLIvGUz2XKJ7ORyO3OqpxGCiwVZxFHvmtLwB3aO8AGqUUv0qq0zvs/7V +PtvdwXk3HXVnMVcePbNlZTCBS6HpAmO55OD+fdhDxlVflLL8AWwpI/CJXmbgnMZ2Ivu6JmmKgJo zUO0o+RTNq+MX0Fdk/+CSWUps3meDo6IKOYpjUFx2PogUZde0/9pit51wU4jYaM87To7rM5hWpI5 DBD2PdUB2cc3eRNVASrR5a8WaFZ+qu4Wd9NsZ+5xGKxocXFxa9lSnl8hL0JpG1hP55RjvYbJDGNm tRGlUqZyDGbi0QXOEfqf8KnAQbeBvTAzbKd5d3kWbvCqSDmus0sKUhfkgqFLn6BjGQ7RKGCoYywU gebDCVFfKIrhWqgfTvCTxUvlP1iSUKMu7AocEAqVBml1uUITszHcBj8CzWl4m1gxbwIe6WcbVRzA X5vdeHhaUZrcoe1YAP8/M5ryc5m9IrQd70EskbwHYpIq0w02+H5H19IvI4PXV+ZV49MsnMj/HKMB 6HiX6xR39VSoz7jY9qCIPwPwodO72uOi921MvVU96Vl26q77K/8n7rNKTut8YyCI/79fDwQCScE5 0SaK2T/ZlqPoFZm30UqWGh1K8I8vq4x3gJh2YkQ4NUUR0VzeLpuNDyxb0Tgj78zqKxYw5bTgZUF0 xVzrF2Igtg2CFnznWIZhASVlgjdUnq7Z5DMBR4o6hURWR6zgxsVNyx5/W2dzcuQqHxmH50bg10ga STXzPAfVnAPbqqCB96h/g9eLNWi9Ubb1rqFBCXxLME6oSmOsZ0AyHqsS1PeekhNhKDaoVA7j8/yx epZ0cHEtmTVjSJudFJeY4Vxq5vqkjt94fBEBWm9BnfVmu3B4cLWuHWsefQAbrHNie3Sq6nDwP863 wqRlO0hHEZDX2NYFULM+iGZ9a1zN6xxVQGp15SN37cqrFpmgwuonqIrDstxpyDiNw8ltoPvlXirh 6GRFdNhrgrAFlylnANrTFED8KdCdCyZcdNBQzJbuX0tp+aDqJngvIZsfD4plv5m6yuWxygd99QS8 +t5li2d7zc1bhXBq4tAKF/rpUenXF2Cc0ShVenzWQPxw/JIJfo7l+nn2ayA36eAUnDDqmYcfp+z+ c1HmuAwILO36asc9GtPvKZuxSf+AIuWRVkvl9uMOgh49n9ZXJVNxCPqSNxpdNaXsjZgmivDtZl4u CPXivsIygxtKMiglzoh+GfAeatdwFxuoytKGbeGEOMViYH5gBqFOimExWDmA2O0UuuF9Ly9gxPM4 cyRnLkHLu1S2KAFga6R7VYEAWr6CUkGim1RRKMfHMaEyjTBsak8w3rFJRJptF4VmqHxk0PagGUMO wZOOlO6L5ZT8kSYC3n0gCFxwzcfJPXkzqVD/srSCCC2AwdyGxAjr1LJVnbO28JR51EM7NEizCKoS XbncJTvOYGQZV2wZt/3rOvqXLJjdNmoT9Zw+K6HIKqh/OEetHmMGguv2jCEG1jI57BYgeQmrDwjp grMQbKXS4FM8aj+vGLW+Pir8Uoi/yv7tOlQW330ImmDhy9DudZNy9eIEe35taLxgVX5Op7V0EI3p 6z0ivrGOqHJ6+3C2AvFIFMKUtWsPElJW/kURVZ2r0Zx67h1058ULpm/sSVdMkUFpMSPkBR4/RMCP SW2BH8uH+l6q7uFhPFHMCXDzrxdF0NWF5ZJCObnLVTOLK+1FLvgtByfNmM5sPMji8+RFfe6fZuqw aTo+Llkq7kHZlY8wlNhEXjSgLLnYyP4Z2xTgCIwD46E0gW4lWLwpdc5tSgyCfb5bb4D75U1TrazT 2m6lacAEhkv8Z9Vf6DNKiQZDORACgvPNhg8DxD12/SrLfONAaGIasDt/lZgcvJH56HxHcRG3K1/y 2BurEpX49Ds9VUQajY8hf36r27bGV4ulgdX29LmT5gbBPr5kJHky7YhUe+qkrRunj99ZVPwA2Hxd tdwnxwDb1WtZn/zh2hxbB1RGoLIAPuytrWr+nMNFCN2VbzU5estSYV4nLeajv51iXrSj8h/f4Wsg RmQjCo1xN4EI5aRrmq9E3oFc1Od5cRFTV9PJXnpHpLpkL/XVkBx1VZU6hMSeHuBSpGF/0KMPuYr2 S81XdIKSjz4pM0bExI39oWpGggs37+jpmKbpOG6wxsNmRDA6NTb6tmS6y/+9GotReAxdGwpdL6DU hJniU1Y/CUV5zojppgDqH+hx5pPZrPFRZkV1hJcwB0fdqyfbJ8qug6zmWZZEd4BgR0TnXyFPrfC3 Xk4zs0QwGMRIveA5BoO1VF1XZQ7U/mZhAdgnNArbTQUgv6CVshgGRUorQXDCaPNcmbO5XpE8ko6m jwbb+5KvWmLts59qdCZulI0uQP9lyG/icGdhxQDDn6Bw7EeuWGeS/ZTFkCLd9XpZPbdiy0W88ZRP 85Hh5+x2VIzBMIFAY+VTVUMChIfoOqK8RB2yzGUMevxQq3vpOYZ498kGLrl3eI3PfxzgnYcoZH6V SKw9Hf7cXeiMFNw/tJ1PWowKrEGqugOZobL1b4TJdNTlF1TTlMeif49Zh2yrE81HFd5BaXQLwvA1 UmG1sEwiZYzWjTItxrQ/NP+VwoS4nuQS9aUn2P9g04NEoGiMKG7gIKhTOAfHXwOwCGdULhXUXQon QAgQL8PoQ6HnzzWjwoEgu3liHkpbenwVFZAezuZo4Gojz6AoJe8DhRDWo9tb9zhNqyihBLeh7mwi zJ9ZjifIFXpaQAzvV7/Qe+jEFyAg0KrbpDljEsvmnLH0iVw4sNEjd8VCyMN3EDgJaWHbahK6JB+C gxOkZ7uKCsSlMeTXQmySOa8lOyVTS93BMBPIry+V7LOekmQhmS5YQXvbb1SZo6g0t7ut3VLsk4hz lPSx/YS5TdpIqlYNnv/eq7l6vrhRegwXnC3FpIYOPPayJvWN7UBtflEtBCiTiFBy0ScyqtmUc1qK WhxTmHanvKvEoowFvcntbhc7kNJxwDWqtPue1iPYssm5rXukQMcE80knfmOK1AdQ7c0T1L5ADlmY avdrTPFC2REKLHe5jifUDuK3rb1OfiPCy2yr3Y67wu+u0KuLzB41BOyRhtIVVlRMG34gtAvTZcAA p2nTb3pUnt2CiDDQoLraYVAVQGVib7OVqJJSOzr3k9uGAVzKfkT0fr7+yPvCB6dt65QDVjF/PzIS EXf/xs7R5j3Z93JLp7uGbOgLetKaz4RKiNYejGNlCxet/JrJMsKoIy+y2A9IFINMUwq3igkvxixu WVCwyhfKjQsOOm/Va9bAj+KUUc+NhUDYBYymvAOUepXEORGRBQztm69ehCH64prcasTdOuR9UXWh VhElml1bjbmPHRI7PRYMeOx7R7WgAn/0WxaYOBAd4e2qz8R4k3YpyW6Kd09/9ouGRoAmAhAw2gWO KzkEsO+keZeGmk5q00angFGUQtLfSonkdd/OtTpSNbt1eQXCWfWinZ7pQaQmeaZ5rMbEFZyhw0xM AtUIvT7Td4EvVJPtQcPUhirgswr6FRMAiY5i5sckBrAb1QECdT4em7LgNpNBA5sHjgPRwz3x889G Wl9nz61srCBRtSF0y7d2Jeb0jTlNPYQMezJkq+yVssrT6nRTGRbv3g5tlnF9ooznLkO9/Og8QLg7 1y0MYgX1mV+UUD4xIOpsuSI69+Sf3BnjYL1GVqQuK62DHipVwwU2xFymqabRVS/06jB3/N1naGoP Y1iql87TN1BCgBuVooPPXy3hfjTt7biWFgRQoQB8nGQnITmsPjMVaLvTUgrFNKvFvjguBnrxY1Ar n0of+5V/9BhAg7sUz1iUCSgKB/m41ioxngHgp7MVttH30raIvOzrZtoBtY9wYnWL2hIND0PlgVGN cTyKYdJs+xh5Q9VpL+fGdlDCNHHSdOV/a3GGojaZB5wp4hTsHsJlCqqBolA4qz5Fuh7JzhiIDcgu V4pC2DBSZC3ej/TiK5Y9pJ1iUQSI9gHzWZ+DGRIBL2W1YZaXdl4g4cXvss2Ry6qxJ6JLbGtBzHpO FXb3kvh02KNaW8G+NgLGeyifkStFJU6uHbs+WgmGxZJ3YPXE9j7shnQ+Wfl+NHnnVNll+v36L2mA p2LDKgQ/HhHAZIqe+RK49RKvunHa4llI8jpCyK1c+v3+lXNWaz3qH3z9JWVHXrT0e/oxu2g+MMWR qWfM2HXkESTqajKR4XOdHlhelR2mx+0rXclnVqQg+vGtqjBQuBvU+auWpHSFcgugbUUCWKdu7dTo 0PbUYG/eTBiglKC2A6oqrIqoMie/+UUm1itjTMHJgC4f8GXUKKBo8oavSxZul67KpPgPOVTU6//7 czPXCYdxUEuQMOY/D56cAWIC5DU/BXds5u25VdHP7MzLSspZx9wGTTF/jULEtu+hYvS5a2sB9EIT pJg3f7IJ9IpymCS+cRRgQ82NW31ZOgFSnXqW4N+Vnehddp3FsyjdMfFSE47n6jhhXiZBpIsqwJ7A 0FRZcg7LYVs3YAx6OBoHUxO+DuVETtlodko7PcSLcUUVPHVpxCotyNf6Dgyo+JLuyO4rF1vDaDWK 4jXQzBlid8q4CJAv4oe+LK/1jzQI6gkUCNhS0TZ2I5jYitjGjVLwKD5l9hM0lVcLXyjUF/Aj3GZv Scb4xa9sNEcnBDoC47xuL5idWMwdQcpf3Fk1Y9+CJ5oHMe9weWTroGySdtMHev3+QqttoQ9PJa6z pX0xy9EU5/dhTXBYP38JD/tTlewS3cqCphApbZ2kVUfAWSnF3SlN5k7zaBuvQIBg5MpyFh7dy1Gt OHc+qhptpqlzsVCELFeVgEpVFkQZwfcQ0fTO42Swi88+LMdiRhL6+AHHoR+3GVMfATWX91oA5SM2 io8iUyuUzV9SZRobJaft0ipqLMTqBD4v30B89/ulgbb3quT7Mbf4Mi1sldV8FI1QDX8b4D8S6C4G R/thaxnST0SeQjMitREcgI10ptKK4JQCCDTBmj5dG21xn96YcSp9/M7xokIJhe/01uRgdlN4WKLL xD8exVs5gYb7yerlX0Mp4oiqx+aDQ8QhfLXNYhsmIbbXmQOzDCQzV4Uet0PzG0f5yuHcRivc59NH 6I7uyCwUeVObUJ7qNsxXXXKF3yiFmSEccehrVJzllp9ICxhxNcV0GmP2Qcylc7EYFHihZtCdQVJV GuvXX8HRbWbffbMR1hlOB3NKuBquH9T9GDnmLBJBsHxX4d3cHbc85DQdS2LGmKEK7/MVmpyM4aVH X2t58mfzz3g69UrZbK1FhIXU3a3rl/MkJmuxhm3Ktrze0XdwDS9z0NYQVtbaDpY7p/TOmvHNKC+d aZIk1TWtkz6cR2N8aS3IPwjAVnfAsCk8ynAh2fiwfFzWw5V7l5C8puGMHMaxqKbX8/ALfUn5p60W 4fQDkshoB13/ie4xwUMFZ4yRotY/0853oc1nQmVTsLreSODt8LZoaa50Omk3ldqWWpaaG7+ZIQb5 lOUEHaOkHslzmwehdS6+Z34rg/3lLrrnDqL0kF9tRkASAe5Ftg6S4KDdLnDrAWK+Lz168VUf4ymp IsWdZNDtgPNP372CtJ5EDls18PqJhR+5xPvWKi8ELH0ziItZHIgV8All0YHfdqFbbkrwctoDLDji qdRlwvbJB7OLavvlUlccEP6DDe9XCFyNLvLlENum+LXrhbWKDGPNg5EHfutv9TMBt/6cosmulXX5 jw9EG/9rR44X+7C9zXRyrIiR/6R3VYzDBmuUqGmGY7ZY7eqYnKMiEOVFZh1QZ0Fieo5ncq7oldHP WILYPHzPpZocPsUQ9acg5Dty5c8BIOLA7QknEOcO1w8WlhAtCMLNNkSYx0feEKpe5CaTOzTXVgcy WZTfBvijLAdzAg2s6/FtkHKGRBvdVgzkxIUqmNQTavNKdSf/ytmdenv7Kpz1PIoEBl12zHUxUonk WZwl+kMuQTrMLtNlBKcI3i0RfrLxvhd8C0KHeDU2AG6WulVyhaO6nBBz6Z58dI/F/P4epchGwjSl DtLaq7dHFjX7I+nsojig9mqLh0/n5hTVnan/+/RLhZqC9XMmwo9e0p/XlV2ciJaklgQgS+AHDir9 CpzsjwAqoKeBOvn5r7BMLi7D/A40dKXeALTMC9V5q3Dr1WdriFcBkt0rSmCiXxmv8xKkxhROvgAQ pIfNZpwcFwVMw6oAbPAfWOjHo4vdxeSCkreXtxpNnzkI5GIX4IGoIZV09UUTxzndWw78hmNAvfTq a8GB1BUtyp1wZiFTS9QswC1bQ4HS6p3MqCJ6t7WMJ0R8FtueQJAP+Cf0cc1s/xoJMVGgrtyxUK9k 75E/SYSrh9R4j8IlQi1/xZ9kGdidqnpro6VDNwoXD3t1q2lpfxQud/7YJYVHzyraLkKD7qDUVLo+ 59o0eQfo6HBiMKaUGbbAOq7k80xml9A1T+6767Av8O94C71qDUxFYRx35Y8liT1ZsNpxd5120mIL nCwZADA625pl9OlR/j6giozihET9nFF2Ai4Rs4OLSR+VbytFxqWshotmjwluSwr4SJDkLH56xhrb QWVhsCDDCMXM7kCxXYMOGAvuyHqItJcUjojjuJbMRuQEH2tjsq1gudGTvXcYAFvp5doBvkQ0WQnL +P1QyROCSfJctA6NYGgaRpZbueshWhdaPVADYsE07ql1OgY/FuLCeAoheW4NhTp5gEkfJM7JNhnT r8hid4/2c1oPAiIstzNSLS+QwjS26adTu0a7pCBDksuM9oT0DDJUcA4M/iiFR8kbPDJvga2GAFgh HonKGWiEIrCfPny4D+zAuuJsHeV7PMO6WZYTpASwz2KVO6aj/EG9uWEXwHtl9K3J5apDhcQbcxaZ Va25ScuNX3sU2eDkIlsKEOH2KhvyN2myY+aj11TPj13mw7DFVcMOqYc2A0Z9+NHs6mj5ZuwXwRg9 WNL6Q2oluJvHaol5eYElALTZWwYHC8HkYya91ggj/sCHssAE/PilvkAGeUKmSTdB0KAuKxemCBwx 7wVZBjZ+9lPHJ3mMwAAEIhLbbv/pJvhvCCU+OPns0c9V0awE0zgKOCxTGNqrpoML9ru1J4IjvTmr ZrI17B3/kzQpquIRxGn7/eeV3VYv65zUiWMnFFEQitQvaGvV9CbE0i8vFqzLZXPH1Q4us1UrVhdQ jhsROJCft/8PZVYLxseJ+dvLRKmS2FC2htXzNxaZgvc7dYAxeRGh0koUID9qVTZLpsOQR+EVxJXD jgIje/zOM/TtFusx4+9hICi/N9XRpoaIBtDS/rIcaqkNNQvvSbsT/WRXtZU7EfgERvdE8+o08/dK PnbrTNR1VEfkOjicavv73JPbm7YXKrCP2ZfeN9ojk2iDohjkPa94B2h7Lyh5tnAHvdz+TIo3HEYO Uu31FyIoGoyJJlqUbxfMYxxbA3FvWC9ofzX21EKFqjf8B/GzLaHfrYsYc0EA9Yjpkcz4+HTRH+m+ d5LyEU8oQo77Bw3sKvo1w9BpbB0sG6SRmMhLLIlgj36rNcx7qQAVM63DvxYK24l5DTYLRXr3ogD+ Q85taUDXbNIZRrKMpUmZQoHMm7zxpYwGgIB2Mt1Zwc2t/+I3mCcElcgUDR8s9nlwPHLFsYZw596J 3hKzuUcdgFtYQNDgNwxBWVy3PWjjtSIwLq4pSbR78llr5fi2uap1dMwBqk5zuRMZAjhkVWhXGurG vhWzODvnNL/xeqAMCwdGm1QvpEO8d63aGWhCQeCpZdwYDcLyXOzi02aqqoO4bhqDxsZ0RmVslC1b rCab5JkD9dOpdIVf6a0mK2D2m2kMK5fefDGd71DmWBFFs78gEpuE0V7wDxjCU/eB8CHSDYVaCsd1 W5GSZfVH1SsFB8ofkQe5kuJiuur/FyzfprCVfqmjQy32CQinkR/cwKdkGKcWKHHSj8bJ0hVIhUgN KgqFEbBvGaarKmC/s8sST72QZvzfh2j/tXajnnH4wueG9/rBrmHeot++XpUpD0HOts0SfUnsN4Vf 8VWI2UB2/bJghLJpoM3oqbH1rEqY6LxdyT5rgLjNX7yQJvQIGh0C/i9XN4yav3XzunJ6iUWZoJrl wYPXHf7VNzhdcAD5KvTR3tL+OERRtbZlJJRFyMLPCzV4o172aO9hFzffkjdjY0PibK58p+XD3c8v xsL26N8F8ZJYAjBVNXMLjz7ysQfKq5b2p6iXcQEyC8ZPs798iCe3pSwDzVZbPC4pUpkUuo8B8xR5 TkfwtezEhoXjUv6iEtKuIEeC1uRpVDVgxZWBiLXPCqT1wY2JEbXu/uLfzZgspP2ER4A6pyFq/lht SJUsOTiCAcESj2bS0aqgzGnp/JO15XORQw2Jl5IZ9fVnqjk4CxMzT8tLZ0sQ2IRrxjJd4wlUyPUe vsemOMybCsifl/GZDL7cEBvDGrBunhN6QKtF7omyNmHtrWYSwTaOLX8UEhTygoTOK0JlJRFJPGk3 R66b0UMbbyc5A2Cyh0A2DMrKiXOusFSsxH9BuVF9h21hNoG6H0KzqbvyWVx37sghAEnxGqbaIH9O 5XtIz4/znE04p/koGODgkETtall+DqQnnsr4UgYgVnxiXT6si8KhtNUM030EZ5ZNxydzKv8wbO3K YBXwbD0r7/kfwbv3+woKaz2vYLJpShE76h5ftw6LtoD/rnpu7ydybFYE99NQD7LAxG8j0kPg/cgi sJGylZA+evyd9do95lsmH/YTyfM3B0NPZU5OfP+FAQFeyS5xwu3hbHV+AAD7FTr6B7WFQWFI/oHc WtdwQ2VJP+T07l70k/TLEtJ+Mz4LbJBdGqZHxCKoRr3AwhF8Le0m8JnFpdvv6tSRszvU5mUP3dlt x+FDhJoxhP7WzE3crN4nbOscq4DwfGRAWS5FmM8NUDFlyPk+xm3m+BpZCaFLKdTdrkXoDiClxaNJ hFcTefEChH7jnCjMhDhjZ2PHV93SNdrk6W4ASrXmhcFUCiGy4LcILi2uUpbAp4DOx8UXnBpKT9yU 5KlYOMr+nOmWp5WMyISdys+pB2b5NbHvsEETceFEqGi4VG0ln0D5BfbC9QInH5f8y16BMIRJNhT6 4NF39tX9/GqYUzm1SiyCfHRblMHuudx4N1LPQVQIM4w/dHwdPcl2xlvexxAnXstCZypemHF8Vtk5 Hk+GNQ3ZQ/7v1C3HRjoqQ6Lg/1cf6zix/nX8QchZn+PxtPjk58s7xRvRDG+pqayaMF2RKwKa88hB VeQ/U5umY6d0j082XbBEFOS2FoYNoRLZP5kMJn2QmSYmsEdTA62mjNNsGed/P36olIIAT0niuSWt LMaTOVuAoowIJDxWkpBfg65yyg3lfsuaJcXmQLnyYn+qR0+oRGWgD0Fc3h/fjY6PoRAHK3dpTZQC OHpFwHUAqkZs5hV6R5Qd+tr+iw25WZUUWjY0/jSmgIpDdaVKymrWDjDw/Hp6xqU5lJ/xHAqwNi4Y TY55kURYWJR6M+UzaiPuB9lsu043ShyEeOWNE5kQMLlldJrNziIYGzci8lpTIGJKnSv+ZjDXwKfr 1HFZDh0TRmCo/T0T8HHoNsYN8mvAMxabkgPNs8R9xlwMd1IF1TLctoi1bieGVStON3zbz7yxYwAE jUiGqIIeMtzqzNDWy4Wkjg1yb0/Z7kuOFXsnnXfMlQgEWSAr8MujhS8yi25ri9zsfAsKiReUWhDO ME8o6t8+7eupmzZpZ7B5lm5BXFp8pr9vgRO3yfBCjneAtJfz91iLZuy/eppIMfClOjyXVKxlzQj+ da/rBWqLUBjkPj+GsNcUrsbhDvuEUltqouIAosN1ALpeq9qH/NnVLrDEfAyE3RrEJlSYTMEagSQM jenJm9LqukZdaJUnFsXSHw65jA07oqcuLl1Y8dbMzM1wkOrRJXLik4n/euozIaxQI4bC/HOabCwF sXstKYGrdhUeZLiNebtotakl54fLW331oxKP0jP5aySPjpf/af8cU9WikQj+eGSDBQg7lOD68Foa IHZYNeTRR6hQkM3kVnYAR2b76L0Ng6yb4c4Ii5R3cKDAlbUdSUstum9xYCAGQ/WQt4tSwiif0oir rWchmYEY2V/rhRdUcwo5iKHHo+CKFeHz/KGcrVg0V9EwHhMFYbKCb+i/frHTcyT1OMccOMiGIDBT tj0M7bV7G2GA6QbJdMydbG3P6o2FuTiItcNsakeJu4zpRIl/LybKz2r1YUo8HjXmctSsPsmVvz2g LkcCeexka7ybVXc6EOlMkj3LxbdB7W4+lpEfgFwWPkYV1bcfK4BSkj4TJMeBbiWJa4Kz9FaNrz3+ b7elehYkH+iAwlZuX1WzZZR4KoUnzhYh8dymKABIkoBd00lfZ7477sb3a1fzCLD9W8brX7rSFicK vUixDqTf2FeB3Tpu48GDEBTd1TyLOhL6trZUJVRM5VYxYUtVBMrB/IGffLHR0WJtPjcCcJEJETL3 f0IylqWj/aIw7GkpqrRGdYxh3MTALZvYLwvFfNGoEmEu0U0i3Bq7P7soYtHLZjCGn2uUi5DhVyr/ bmtPZiJxV3sf44/ZIFgMi1qfN6DsuBCZQksl0EB3eXhFB7r7HckTnZ3Eu39ad3LV17auafQwMLqL LYARZTAr87R1quifomDYoWFaubhOLE0iLmrt3WHPgk58rQ2R/AYuK/DbfXQoyYeRfrcs9948mkQL +R+TZ2d8mRt0JgcfzgkBor9juaX8wDLt59vtItJGd4eJvD8DBJyaGOm1Vyv7j118UHFq105kRQi5 7F2lH4m8vcjdgRgKWeBmfLAS/inDjYtPs8WGI2EKs5pv+StLqh8gkwUAD5l8CNiDV0Jz8bUyzSYC 83pvaNC3hXi9djc/oIY26R8l4DkRa56SKfpcuMv+l9+Wd4gunTtoxn8B6kyN1aZli6xzFsM9GOHh 3+lTKcTuBICumFge9zNqPbBAPK6kSoeOeGkhhTx/+peOQfhuxYdBUt758I/NwxelCKO38s/Cc3m6 aoW8jTAF9GuZkdItrEV802/GrsOY+qi/cENelc6P9HKt4oZNTIu3NldTe90rvY8xrIRR5tUDkpvC 9kuZkQDylvyQusfau/3vmxBgrTWxZi+ShOV+cPMrCILUDLnGMe1Otf3vddS5OUiD5k/SUqNTFwWg HL5sS6H5VerrTvOniECyyBmuk0mxDo5YD/pH756q9arMjj2aeBMVL8SEKIpIm2DcbhCWKthBMqU7 Km3GsFn5EWUeA19bbF4U2c/etQNG/q1qeUUNnS4xLIYshPnmUrM8BO0eGGLYLpP9/EnHa1G9qH+J zZ2qzC71TQCg0tuW5fAOGeATWZTIvvXu+CKAOXXQRIo61B7O+iZyyn3cvbJLoKJe/mLLaPhubBEg 5gbK57HTQ+JkFK6uDID8meXEjl/xSmsT3f5e86GC8jdA0mbgyUCW+fxdTHcKmwrQxfd0p0dGTbhd 2r0o/+DYBMpSAr40cbbfgGzVn4o1fSKk/XTdZs+8DO8x2eguh+Mbao8yDTxKX8PQ00LT3mQ5m43p S24WbbVBP3CC1p3WRBPTq1PugrwHLpgHU+psrronoXY4Pvs1F88Sw2jIF9Kot7U3uzw7QdronHpl rpiLNjn+jKFkCPh7Nmym9tU313Zsp2tB/awMaUlQi8De0tUSZNYzuHvWzxiandMvnmQND/m4U6X+ 1S7TRIgxVxCaQsHxmeROSss+4MGCRy+cPqLmm5ER+Z9wRNb+q00Lxv2JsGYgzF7hk7vdGUbVFend dG+OGVwvVrEDGv6vr8em5pkHURTsEHo/TkPsxthoyVczsHnjIQsOEGBttHYo4rLhqNOPUktg8+xC XNrVild6QAnm/kJ3iI0USEAua2ZyGUZVUwdPATFMO/KJcY3lDs9evIyBOs2i2QTcEoj2V/dxs+hR BvQtcdKW+GpJLlYaCGonNS7IQKe/t+uf3vE2XTW9CUWjGy3mHaCGuGvY+L8H+iekplshgVmlEF7V rKSE4vE+BSAoI4wL5ZdRaDlCkMfH9K83X/gwnRe64xN10AJhAfLtqZuLpULfAcsXWLFRFdnjvBOu 68UuFJjd8PDcVcII33l2EOVcSmKvoO/fbKUmdMf2KljETfH5sT1mXGRyjD9ItVfIDLtdnGSBvSI2 i+rWSnRdwFvU3Hep9iByPmZBdYgSNxnTBQr/9sPqaBNrfnK4qZ06jgqadolZ78rPOMQM9jtduyan 50V7QIfM97elO7osHt+hABfS6A5PJQ5/GIxW1pIp02NyyZVyiqheza3/2w6nesHtcAcxuGiMSix3 QqsQdVrYRXn3j9GqLas5iUW05gcd4+JFR0edubrs+iXToS+0dlYpiHA6P3A5rbHvTNnGCocALVd4 EsNmlnGvPqaReRAKNMaFXJ/yqghFk51+KsMIuhjubNvtJ+9/2fCgTCgPGtjdp2ULRrnZGiSMD+YK Lwy8df/3d4SASsCDubD3h5hMLGr3jDS2Aah73aCSDfZmEnud1jbqyKgnxWDFy+b0l9jXmoT71SGw 7aMD68NWjKDvAdLccOx3QBCYX6AuaQawBbG7b3RQRwpHIzvefoXfnVw+zfUCNW5f2RQE71YF2qN3 KnxnJzhv0e4KCSPvUQd21vVx9i2gNAa+1b8UgFG1HyURx0ORfMjJEsBtgndnvXKCHTgBoDMGjC5D OB9BICrdo+hNiUG7jcnCwoZ2PzoeWrZbawX0PIuY9hJFOYPTcrjk7nCzcL8hL/4TkjgNOQAYsegh F0RPrwidvhhagzsWlVcp+1mpUl+EPXx7stMfA4tm5KjtAC7IR9E1zh7tja7sz2zw6ENPRawMY7vI MCKjVE3cfPiitfEHKm5N2aoFbgoFT/k+RBDJ00g2CbNyK6okCf8STHHCtNQky2QHmmsr9tGyUBm/ 6a0ZNfRuv5Meo/JwhGGO1dN+2v/YLEoX4sUm58Aiuh+FWmRhDxL4RrYYrIrgJEsUSgRevCYqYjke D/VLBIl5I7jS+CavmckG1xNPxZvvAoPwfCrOsrs4jkapGNDYqSMh/U+IDwCbJ6nlaHMDZ6iDghUv kXW5olRbba7Y9SI+/+UqFjDqlyDXp7fs/QYhhMyjhhyqrWS7PQK3jQqL350aYKMGln0gNTt3C5rt UZRgptm15OkknDp1O6y3zQoV9+LWrWNOVJ3H+nJcvYjLTgyGiiF3HHF9sJG+dolk0gtHb8ihfGSY Mr3DDRX/K+rPvNC7VWkavn9QVBiHMQ+IebPnYGIDOhirBompXUE1H/6CaFe7oDMFkPVz88N7JShc hp0uy3gour7bgto4JAZ0rPZabZkslrA8u0BecLHdTydNzjBQhnnzHeFKbgV6Lk6Petix4eGnmMKg ACKpKZ1w7YOxHQnSe8+5Rhj7/CLux++T4rx5pwUJokLhPebA8cdsSbzj3jwAjuvMD08+4c9NRo3k JzFAX4c5eWTjYEqvsTuCkSwhVtNBUbPwwGdknOdtF4fADssr7AgHDrPKQfM38UEH+WvF3CXebMGF t/4ygo8Z6pAp9ywCaX6vXmg6C4o5YlccP8TovcvFboNfAecYDsXIpomI83wioLHZ4AORK66l/Ne8 qz2vrb5jYiue8u+K1+qeFgJ7QVyLtyl7h2dLtMoVNkXF50m2qiUQiftJZU4hmSLfFQP6vQO8jed6 neUGx7wyAQWr+fYZ1y8Enn8xnKvXNIZJXZOv1ECZSPaOwkGFJvVpXCfL39jPjUuYPJepbnQbtIVE ++2NNc70C7cf/G9ODlPC8AyilN7V0Px/lmDmq+xLR0183yZkOAuO8ReN0LjnqSmloT0E82qlKDL7 OYKPiVrzTc2LAytyw27zsUqUQvhZNHaQRCR7We1pUcqpmz4egozj8I5aMERSUrZKM9cY+acC/xJV 3dPTX7gSuLKMuQErP0I2T+FR65A+YXVp6alEMvEwE5LYRHyZwfur96cSy5PUv5nuqMUc4Wjhe+jA QuaUvlW21gMNp+z1MHvd/PW+Q138ZvsOLaKX0LV+0icPEe2TKQs5c54gwNLmGsb2KGkj4P8uie29 vpAnWAS0hKz9saMjEasciCPVaYg6qBEhXvkqisFdwoOrpSdcff1qi020TQJr95jb4Q72IydcNkzc H3iLvZ3VrZT2eBe4BeqMsnKhVCkCpkVhS9oiFE54wJjBIFlOgbItWCnxOL5696KU7RVkvBF+zAT7 tQBuR3XPNbL8FQTsnrYM+HzPp2dKIWAOLxBR5OCVL5sYZVUUJQIPtIY38PLpuXZgCqZ67UG16pFw eSqCzpzC/czzPFejXmth5AtzInNH6OciX+IikaTqjKmXarXNmZ7KH4ZbBSxf8bomOm+BRUhF0Ze2 99NWdDWpxrmPrJ3yOA5lYl61haywvX42R9IdI3nK06KjjSqqVexay/m5oz6Ng5ltHazFapBneFcL BHyZjuTO8Jh79wmBV7zl8jrankl0wXNxcNYN+3pUFccdjQBiiSnfnU/3UsQFBZB3YBRdSDUI0clQ U4JdLwR8MO24sTISH36d/Ush13vdsjj11gTe1Zpd+3EM5c9B32wswYLgOiAbVhhdv/GRdpsZYctH 2QOACu0t/pLpmiatrDoXCA3VJAs5yUK2+41qOePH9WQWCOMoOgt+nPoNBwgkANKDTJwE8XQVcsG+ T6S2YCw6XcNCiF/lEbr4p3idjJzOvG2GWv9sq2PuKQVBsafpFYKg/2G/1furEcfUzgndh1mlF5Ef uDcJrqdXClp+VnnYXbIF+PKMvtSunCgQAVcfdMfaDr5Q8N0eLfttKWou5oG63YpKiiu788ShM7p2 3q+8AnMxPJIuJbyle/ln3Qoje+FTqHXU3z9SpqBH+AntscHjA/WbUKRuRS8YWqlpwJgl/RvAnWMX 1cOdvOnsRxr8kXfATrsKDDDt6JfB7IQRr9lxOx8RGSmWNPCTFomJrOZIa3QRLQe4nzBGvOSdK4zJ JxaFuFyoUmYFwVL5VSMiMeC+hCts3VCEV6D8z6vLfTJ/reL2PYxrdDwrW2KX6nzUX3QrvWYDuBJQ utquBQ6da0f8qFbyXOuF91YqjrcM/QbwcJ0KTbn9Eqb2GdslzIYcy5/fPXLXY5xfs+2vsdl9fWJv w44VRN2eLrTyWKlmXZ9AFoIa8d5MCEJ+UzlVZmsBZCEH3tyjR6KvgSyHW9V0F9XCRQ312PaN1uvP A587uWLvtFm7thc0edvdRkeMkSxalXIvBa97s9oTN8bY5+ZOMA4mB5r+ALsoUSmsHWLL5a0By31u nDcYcTHjzoHR2RjfSkbFVkSLdru+1szWjMWaCwYP2jmMl1hbWqREC+zmf4G+xLhKsMsPgU1fiG/D yzDBmhnP2JMWt9XKkticP9xfMeiarmJejhkfj5IBPmsLnIrByEm8ZrcbllXgwYAUEFcENnExIWEG GlDrhXRxjrbLx2DHdLx9aVTU3W8Nj3phfD1wG+eRM3SmhA13daobVP+PQZGyxe+whhsdVxKTmjpN 3s/JuFre8qDGsnk15B5/lKTRxR6rH8v1Ar6isjzPUdVKhxEdXmsHscoczGlwamf/mEgwJAIImMX+ V1idwugUF0KTeV0mUXwAbH1o3RrsIuG1fGMqkqBsKmQtfmnxXSJqQyoccRiUhMxHTEkUiBTWSFe0 qFBehRZ5ME3QSwZr2awAv8qtDi9KjxX2ADK6kT6oKyVQ9P7XIP6lTAJWhnuGf6eb0uHEQbqK22MD fzOu3aAqEV9E3svr+mcj7anuHTqFDONiF6z81BwuTRl6DvYObNKl/iaXhbsL+PKnOTKEsXBQcJjq eNajzfMmdqFQQxaWIOEDLmzX4XCJVAcdt0onj7qBrtT2Dp5pqqucJiki3YtWYZSvhz1Ag0aAnNtw Sxdjt5dtAWJhwrE2JS1jORGUjiP4fPqiBSjFoTVllGGqMayDoJKZv79CVsF5MKFSB0I+k5SH75Is ek7LE5bc9s6QACSdloxIgKpGrcpWFJ1EQXmWd8Vbr1F8WF/klRYv5yEDdNERgJ1skZyf+2wwqLFW q/9e49jneEQBOgeH7KLumIn2iXyppa0qO6zTWUX/fZJGZPIYLKB2GNtiGOBWOZewL6OJGrwfCfQG QSsfoaJMEzJutdqZz/MWCgfnHPsyC2FCchcZbVhNRTtzWhdnx1xPlI0DwDwi75ESVs66Dbj4WBNk 9XzWRFzU2EXx1fobg1BljIMRvTGqGKfQteq0czBUU/CtCAjgc04nZ99HKU5XT0Pv1VN48uLvQcL8 DTBJyz0ruHVTpdSvJ8j4yhezj2Rp2BjAAf4scT0Lw+WFZ/N1QHu/D6fHGx24lDglzIKQuaoywRTr qp+5KO2lvysIFzl8+TlnIs36QsTk3wbCty6Us4iO0fGkCoYJTEu5ThETK03EbKa8AtF/whCD5MQj wbVOe2YuTRX+WlAczmJmnxRKuJh/ovEkViC8F+L/Kd85vtUTiKN+gmMmzXd8/TprWT98sfOeExJ7 lK2+o80R3qE3vwi7vMd6fb4B2F9PkkljL2Ujs/bzMMLF55860KzJN80mA0p05Jn0iFHkmZYK8CiQ olU67yb1c354/X1xNkh/T/IX4NqTurp2WC4pWFZXYDCfRNF0PgvCKm+1SRihS93ogH2J/15JkefQ 4Ln7aagmxTyMwhEd/I1LQXtSfW0fHZSkMpQ2gsTE+NVFwRvlDaLqdDJVuEpBryVRNr/+4bHhzk4C kyMO+U72V9UDk9+jtu0gMBDiW9TBNNBH6S+Hg9gp42f8NcP0MFaNVlR58EscEsYBIWaFlPLzyiw0 2AV8LslwyWsMmVh36BohBQ6dodeE9+qrowNY0ceQNkR+DBTJep9sN7ZZjWRjNult5NYjQ8JND9or vJNIS/sDRmJUWsrdntzsfLkM9n6/9qgeFlE+hikqeYXK9i8yGzSz/PMETiGX3Jh9XP3wVJBM5sc/ Z7gWUapd9zXOrn71OVFPjnnSE0DcqpGI0mrwcblBMtW6Cga8TAC2gQFsZJ95XN/XZaucRo/r8rQC a1I8x93yDdp9t4sIDRbEqGwNFU/SwBhLCtTPyFvjtO0HiejoT2Hg5syUchQd943vuRrkMmWkrEVh EU7vQCpdFt06djQOQu6OAA8xjJASvm9lhLUnm9z97mV0BckHkbM5urRh50u+Gu3rqsgz45zSFFxb 8CV1yKr2dIekhFgTto+ofSuaRTadyTgN3BgI5YKnbZK2np0fjwwndLT2HA8lmT1Ew+mbMDC2IcER wmyBJ2QPRy34Nh9ZNeQcQ2C8jwcS3gToNxVGs/lghmoUIN3i0PSpQSWlS9EDVchLs2n2uaYAaH5A ifCHWgxrGJ+uTG/zbxhx+fuvGx/KbUJEmqdSb0CUfeZaiUlS2KzNnaMvb0/QI8owpWGWQ8d1a8q4 Kh9Tn1I26sFSawagT/cbhwgSwdWBD+mj69KZp2Po8bke/kewpJ/QtL0GkUvNuboavPleyNFS3UMH J+GjhCVqVr0VERYe1+/n4S44SfoH9vBrlnLMJBPijZXxJjU6W5uG6+Bm6lL4OLGr4owTlm+gzhsR kX2EXUUzClFqJdWG13OoWFi9uODdGo6pFVvycedc78ePF4nytYeOzXcrk1G7vJ6NQvqmqyP/ehI8 fjhXYkobDHVilZZTWSiVpPB82SkDHgg/DxC0hvWOTMLyg8/VLIJlyHwQXtRb4u8ekV8dl/LucnI9 QOwhTDfi7DPVQD7aF4sGSUoOMsNVRvQaD0eXaLrzhVyjRY3WVNtBCro26WAfLG5gR3j1kvelaaUT PR2UU8e2kRdU+w6eXTaJrD7sfqPNCfXc0mvEbFsmsch7gt5xspM/xIGRVlx3ki99pwBnP5gj74uk jjf+83wNvw7JYZg+ST/0OUCk5niu9rceR43mii7eXWSD2Ds2zyTkGJWP0LWxU5SYQJh2De/8GjDm ls4AJTYclhATAoD7pAAPCSM1RirNvcL/JsH/mhMoGgE0xepKimOw2M9yyTgBT4884i60BMHUMtuh WgZTTdb5vFNkOt0r0xJwjE2MY3PfBBCU6JRjQXpffWJ2TfZCj7YUmdZA0wcZ8qKwyOgesPXOw6p/ yCGcPuEIAEcKJEkjxrpXqbTAL/PRfmGL+nYSmaryHYC4daBk71cbFI5n3x+qnwMmbwv6J8IkxJZB UUpoGZsg4VVltmUNXt/+g/FzIwSbaZ/ZRYOXThFl8tyWk7EZIx2W/88M4RCxCkTY/SRJ5R/iZENl 2es6VQ8ttwI4N7zE3BNppyUcTOzgUJSeOAzW4FSbf3IAnG9QaXUkPevgShDWXDTizIekGQ+m4Swl +oXJwwXgqMzEvyOK480ooo2RRisUIjT7CrFrr38OrOEUVgp26IZrPfWIu9TF/vwTer3KwXFtqV2W y9oY4pfa/PBIGrJ+albHm4d/4FvOG2H93dh/frJq8mGlLmd4uHEmYhAZsoMIUnYtEL2j5MJmdxMY ARvYFmgYK/5+dow6VdDKYqH6WXwh8Gxt49Klf25yg02YTNc0Z/NmrnJIDemLyZ3jt+yX5GV8THIN SAwBBdm6GNMXDrVljh7oGAd06VEb6zmjTQqGuRM3WoIZO7aYtafB7ME5jcT6W6WaCQocnk0aYkj8 OGKfmrRMdizOP0+/Yc0RERYIzrJbMYAK1VuDuDaSyGmBLw1RsL9y1E8BOC1PzFKwAT8vTYpfzTHv npOD17mEnOGRRBCrxvkpUMNr/uOOtn+onSD1ImwOzlk8L7QA1xWHonRSeLjmtIFSY/dD3wWn7/T3 XKE6Tz47N5I5qOy/fc10bPNwaE5QYJrUuV12tLkJkECA4KhEYajNnICGSR2uos9hJ2fYeRjdqAjB kIHQbxcJhGszkQPsB3fuaVe+2ZNL4zaBhHc+ca4Nwj+8GizoU9rvGRk4Oq7C/2WfbbbK4TtpVvxT sSxZsePpkz90WTGs0cYG9k9bk12EBZWLG0n0NUfXjAIXcmv+ps0wgPt+G7ZcDJyR4YXqskfyqeeb 6JK+dGNbSFx5NWOIiUkRyV92c91G/tasVbrGKTi+e9o7Onk6hQRYI72zQEhVzd2TxIX+rz1m8TRH xqDSQUdBMqOMjzUKZfhabmqFvIxOXkubMWBQQupGNWPwvLeIIRDV/+GrR4mJJaqq/oDJplIhry0v 7ljCRuJDay7cVX3iQMpvhB+sxwfDX7NZlJaiIuKVCF7ffmpQri9xb7oNnOooDV3DHikBWfOyutrt +/retww9Q13uxVE/S2QIHhY/3c6twzQgUUjz8/jLpF40Nwcx+vYM79bz6diXXGFO+oZGUFdgmsj9 re6xtmyekVLS6s0BN+VukJWT7brWxY5qz9UtWV61vfl/DKAsLxXuN3Njqg86DPeDY+XfFziJobqg fY6lVFPt3qfegIlPsTteC+DYlE3GNfmIX7R8cgEM+xa0tLrwqMN8NDpO5Z3FXzo6soIF3HUaCJ3J KaT+08c9+iDHUA5i6fRSdPdBTngkyVCQiLqqXjFI1HiN7j/VZOJRjuf72xLgnhGPoAHAqLvbh232 59G4A/T7bu5Dwt5aMiduTd/f5Yss52AF7quLYSLB4hCVIlJLJLncfVnCKbfIM+5WTNUWXXf5b+fr HG6Ne3jO9VPRy1A6lpLeLL5aK8GDah2TMZDeJNvcloIKH36C+77/SYtusNMno2YcMiaIceJ86LcL 10ddL76/dVoaLqOjZ8YHuVk4awXqixgHacoYfD+YzNfs9xlTJ2v2rCTNBQ5lHUY+kkpzx54dK9PR x2V7ovnLEF57qZ1rYl6I9Sm7y4VhlfYqNg1APwSzhz8wSlZIsDpfg/Y2jw8d+g/7Y6muAuJhZheP LsQBkkVl7YcixKduCrKgc2Qd55JXd00btHVjhoO27bgj9aBMa6/mj1C42cGqY5Q+W9c6HdZbUxK2 PKtF1rN1HEExmTHztwzcpkuMCa/XwZjDvXW5mNvK/tymorQ+3aXZSrGIV62+o87a8P/0lmvMPR8Y /z7rbSoCZu5AfyNN86eg+Z/R488qWZsL6F4richA7JvT+8zCw3BS2muy/LCSaW64uNuZKQ8qbK0C t2hlcLEXf7xU/i3xbHZkOiT4GHpleckfKS77SKx3ukYrJ3oSd2eDYuS5GR+DEP+uqJlp++JNn5IR yU1/CfLHjsX8OA5iDnaYSAANAdAv8dQqwirD3Zw2D9ddLTi+paL4TBlKA8jiqIHk+iz6gIA0ULai T4H8V00ELVAJXRSMjRbp3i7xzGIVK4CPD2t13PwHUjArwb6C6AhSl6zOnfkIWJZk1uao3KCHKPDF aY63kbR7RZMHyQsXuxkMkobwxl2Rptg3mz43IRX9XQKhrcjt1whI013DF+AUyVQX69haPdhbGhzP AGbEBQ64bhfLW2KMYpzjrfM2P0ImhRe7EvBh4N4/cd+RM5h0mDPWnXsvlKs0sJfQ1XZWiKh4oTrs z2k0gRzJ4ustG52bBmrVqTEN6qljeGi3c5Jj3mqtJ/1cd8xd6SQa8cmQIglMfOk6wOhBXalsmWpU 3nzWsWdoI0Wl5lyBGSZtLiHm2hFLfVFCXS3Oz4S0VOcFiwo5vFRDuSkiz7/5JVdedpZYUQCjgZMx O/2rhE2+fJcRG0O1TsI/saCUGe3aGUIm5xXX8RAZvykweUPF2I9LptVQNUy/LVLG61Hdp9XsDq0s Ph2O4YPY4F9iinC05nZ1NNJx4Wy3UvHPwHnkfR776iYzeG5xBrvuVoHCXC7sH43vWPeIj7ILH7LU lhyGI4JYrZ6WxT1YHVZEyV7erLeJBTym8pbL7UJNJM9h7QFNCSd/hb82kzHG4Eu+x74aqEsC6Ex5 fdHuxb4FAvFV2p33P/H9WzlkBO9UAMoJjfegpoqoVbLeujBTgwlJKp85Z50CR5ImuQeWepe/qBJU 87mGn1zB3gpWXnfjeNht7VK8nNEGQBliXRL/Z48aAwkvyxbMIdXY1r72w253Z86WYdW3zxIBD1CK iZ8c6wKbdQc9NUxSK0Up0AYNViPJCx0a61hInXaic6v+aFv2hHGG4IIwVpvCdaAvmoGpwiZ8ntVm VrEOuX1WJpp5S9JxlKkL7lK67QEEpzRd0lF7VxE6g/4kTZpuyUpZuB70N+ljeFoawiE56R2yK8Xt Gj5U/Dx0d+0Kwag3aihJrGOatijQX1Pzx+NwOa4aU+31bii75/Q1gZyAbNt3HcwUT0r4ljjwW9Xz fAsXpo9B+Zpmns5a4WblqlpjifkYIqA6bisO1LJ+U7i4HwvQI6ghl8rmPZkp0fGaL+2iuGUlGDcD i5yRnVqR8ibvNR7uwSjZ21v8u7uHmDHRVHrDHIkX9h9NTi5JbfBR9s5gIznZOx4OXVFxtLyoBM0Z kGy0dHU1HmdmS6RGaLEU04SRLC9dZPIOQ2GH3RQyqzBWPk+K9equeHrN+0GEjMwV5ZfgNEI2e55e +krtF2rZC7iFLNXStVIJkYfd2VmzKi7NHESRY/RfRKjtV6T5Z0aqVTNS0P8Qrq5ARSrQvDDokDIk hW2Tcz2DmhmiAbOVPxz+IBrzgICDZFtR6uiWXbzasFjRQNHk5iSh+IiGXQCXdWmIED3exNSQ0jXh gCE+NlYpNFE+BrfBJPdS1VwcMqoXyFpOkXpts45gl8o43wNk4XxFImjKF/kIynjgIeXQ0WdZ4ykO 8/E3vPmIMG57L+u+KGra3WhkmhqUG9pdTEI1/KzkWAoZsH2vEN3JS3hAr6NkByH1Wv+zCXNHTNPh JaO5PJYCyk0puohp8ucRoXhRRNfXeDUul+hDg5D/vds+DI/UFdvHA8J6VrrLCnJ76T5NiG+1Tnxn M8IpacnLiJbgshgLqaQmzzuM03DVltMv9JjZ7R41Y8OeZ4WwoezSgJqsNUw08pkszgsZP9XCt8nH AeTFkeulPA3E2oDg+L9x3+WMPcgcNoNDEhS+m0iniwFLwJJLdoR+3Lt7IfqYFPxwMQerzGnqdr2O 2Tof5y8vjxc8BwTIZ/9LIGDIm8zAmHB1Lq0mEDY4p7NzqpPrPP/o5FihsJFSzbTHrZ23vd9xsZFd TDlQRBo3AWsYJLb5aUIFnt1Q6NF/oAZaYFm61Bd39uwRTS6Ac+R8EyEY4Q6NW44ck8a9cf4d1Pav 6EVef2pFq2h960JZ5Rr7dLb6mWSVgo9udNjtK/jPynOR34/7UGLF4gSfP5sQu9Ham1SlwzjJk2lo mp8BEhzggPg8DQPY0WOQNv5f1xKDJ8oso1OoxdiSTVGrftbCTcOAHt0buZ5uDg79VKL6sSHCrHrJ QC0oOaf6EGEj/IF+2VKO/gdsgH0+OuzfwDsaAcNktm9/mtJaddhvl7TJAfUWb6HomDXAai1t9Chz k5MPk/ddVShGTK0WuIXpkcsVKIDYFkcHc2E5WQeAnQ9WqU/54wmbCce0gqvJsKm5Te47GT0EhfTK 0AcUCdqIT9PNFNkk/A+82VSKVGACXO1zHVByOX95x2+M6ulrIqMOLYkyquEg/mSE0Mr4J0IVgzxB WRmWL4nQ4P29sOn1Wv5y0xRxzwCWwcuuxmpY0Fvv4z7jQISstejnewyjigCvdcOS6rI8T1CLP93Z JWJMRfpOZqNDeEa+p6GyJMMDlowv/ddFMbEz76Xk8ITmTSex7PESAHIPSudI9V69ca1zCNMn4mGJ wHji5lwXaMSOCDMx7EK8MqbhRl0ZmFn4RFOOjv+dpYPbCxtjG1NuCr0lULlafMt0jwVBD0hx2VnC /x0gi5QeIQBR8yrQeLqu2vu1nr7dZM66EIgcbTADOljUGosLwwv4LiAwUYNNHIyS4WpsSkprl2Tq u+DPXJp8vGbHIUuLp5xyOig44xd28FXZdv5dMQ1b6rxn635SNfBP2KZbe3PGXQqbJj9fdXxB56Ar Pn1wtp1sL02SGqV5lcyfCI29/2Hie1r9dSg84YgEbhEmzd5rT0NFntF9fg3OpfyBaL+CNtR8F/3y itVpQAtpqisZWoDP9YgZmZGM7FkLi3d5K3mAA1atnRZuu92zjv3WwGUDDP6v7Z1BewlwiGC1LCjk dR/7QQomsSJoEl4u81/RTdvDLwAVI0NjWGuzayiEyvRkV9IXAOguv8ubGOIB8HO8JWPcBGvhqmXs 4JnLdQEEr3l2RnzotqVOm6pKtW+mERvQCn2olt2Rh3ASzgtk9Z2icGLMOznlCGS+nHXnWVInRvcu qKNHB5PwhzH5IgPsuEB6ICR/GzCcCDxghMws6hl5rSXr11lApWe8eEa/PZbTu5HZIGkPql1BpsRZ LjlrV9cfvWXibqs6P9ei6BsZhqMTCQZFzdPNBMzzUehTbqeQ8lLHr/KoysrFgHEEW7I9YV91Hz5q kpqvut1Mtfr59JXUd1IdckhVc5OG7G0kJkcpODqO1shzqNQAioJHowRU1ECq9o8O/dLXO5/XcYIZ 5BNbVFNFzgp7CdQUMwSvFMwZKSeL95Q3IPQWXLv6JRSB1yCVcPpO8BQENcVo7ocmM/zFMNgIrllY QGd02FSl396oCHdAjISCZvc5uQTg0oNjIb+/ldu67B7QvpeqZ+xpbGuQKMXhxFQjS4Ele/ZIDIfO KQh4CE0c637poRvavisJ5EgH7/mPhKVWJsmKL5GVFGWi9h1HwOe6NbhtmakGuoWkas8OEeQr0R3c JtQe3638KuP6/JALFvOIL2sojvgCsgUqw2E/8iQwm+1NyMDSa8RHc1ss0ytCEQ17duF2fKwAnWWi DLQbhgCxE+CtJ/J1xWm2QaO3NRMZaRynZolff+4E3/wo0GFv/wysXft14wVQNhCxJa0K10D/R8rB Cp5YH9rXkSKEHrrmASAreS55QDngUWONLR2N5ywyTInKBSQna+W6mVVlJy1zYX2yCOCTajmGK1Bb 6A+tcLy1F0noawenKuz1NeUG90Jecjza0adxdadlMX9FQ/RLZu+yNBu83XmGMI8+iniipRu2OzNJ u/a4vTg1qH/DW7xr/TmaH5tG8H15leuJSoi3BsqiL/r3Q6gXj/K7lrLXaug3yAcK0ll2qV6libO8 u4nystsjHJi0iGjh1Sc9f+vy8ZnAh/1aK/0H/7jlNBCJDf/NSuw1w28T85M0XJsLz6V7jjQoVrlU KUsAnBQn/IVsr/4rHJVGRHG/QsXNHZ7jA6ilEJH5065OExYOj3uNHrgdY/2ASuYjWr8V8F2NOX0W Nn/24ZR0CVj3eUjtG/gP8sVQFJaJ4LYz4LOTEQO/QDdVgwR/SQwp4ExQWO3iiIdfRMzUApiCZZJY NoRVxh704roOEJklXYY2yvXcctD1cIzS/MDQS7k/yvCw2ytdpZU4sjBbYQNv93G5fuzy/XcjR3PS NFrLaPTpHMCd/P2XHkqOOpCLH7DxT44o39TL4/UyBaHSIMyvpqXGxQ/TzcrRZHSAJZ+H/YI6pHwd 2m33VwDQlTNTZepQZ7Tn3vHRAX+Ar8jOWrBD5PoboNZPt+BcqrE7Bn5v8DfBu3qTMuCMFnH/pO2T QcVvrf2z6ldw9O9SBiZlmxXlRHtOU1Atp3ytTva/9SMilRtJW8/wfKX9z1jBQqmu2VEj04MonKpe 4MjDFJM36t2n6uakuZh2BIEne677LXO5jWGP+xgUC4lgxtUtU+h80uxpf0lIMnarsSk/KfMQDOoj iv+YYet+jzuj4wKqHnTcMR077q+RwY69Pl6YJOar5dGfoqLbl9ZibIg54+qcOOxC6Rjpls/83OZ8 XULqSkwJgYiZUn83/DWQbOve95tk8RT8gMiwJTbRqGxuxrSEH5Nhr8GyRroV4INPa5WpNcKXk7cV jPoTwIclYqiS7LI8jW0JHgfBNTVd+Qm8f8Sgy3bjr9bb7c1tU1aI5IHR+7L9lmlMBp2laofr1Rdn 31BHgZpI37yYnNme6sT+0WkFtTnKhZNtfcTgDaQ5J9Lwusq3grfaAwAPEFQTzPYxwv9RBecn6JEi rB7/tcKcvu/Bs2ahlBWIdODFLyfXNxlDOP/c/R9HfG7aDh1TMtvKKusttbsiBLDdiM6TTZcbyUJq btXQnDZLmf14DG0HSEiPSJPR2rJk1puhrPtL/mVs0D5x83u9YBqIY35X1W/vQ7OwwNGXZvpoRJwX FXFLg3XdlmfG9BPq/euyoBjoBxNanZiTHA7pFh9mXV9NS1urUVCmhY2N1gRjS47pR49fTV4PSYvv 8qFOW7fbRUrAaRgD2mvkKawFYBH1gGYbDWkLtMDCypIo4aZ3oh/HIvVBydJaHgJKlBy65WV4Y7Rs 22mn5br7UbkXCBRMVFZ7TQhLHuc4iOsQl2Q+Wvzx38+WBb7b6HvM+FZwKvg7xSc/ZKBoinJ7brT4 ZbZbrhyYYZXWI6OSFK4FgSw906KtGrd/v7wky6WEsp2KtGV//MBkannTxbIT7UbH6ZOk/z0hIA4Q 9YK0f0Ath+vEGPAE1EzrgYT7AvDWJo2ZDrML8ZJ9RNAvX/PuRRa5ciikZdc0bYIiT9rDHeLNbURP 1WezZmRRvd5J+L0IiJsIEC9jOhRymHIENAeq2cNR3snx0kkUqZAm1irDTYexM5Y50k/XnxG+OiKH pPDWJ6UqVIG5ERRNxg7/YG0JcT5Vw1B77lpOwCpKCfPfEiUHadGR4MhnDxuCDFaSA01QAjYwUheZ 9o3XI96QLXWo6SF7DQEXDo6kkrCdToOVLTJ2mI+XdqOb2E1+05CH54nJawLitPnKNTWUmbDfGH0L U0LNVXSwL/kQL5LVoTr8R8KUNGDVTBqHlDnRc3rDTrSUZntz7gSI3c29PWhhprqxhHYBLCDH2NIR RtHA4P38YCmUsb9ueboZ1uw+YNzOrxhnBMBAXtrJ7tcgKlfsgmtb3S46XpGV3k9XvIuhYjkMxZ33 FAIDeIL2VQsvuetsSjsYZI7G3Q5TDWzUEyGD0seGPEszqWRamdP4FLuDuPSJ+p56VPURLZqerlDd An3V7fyqaoHOsjF4TO8rULgH4eYU3zy1boY/32hKTx7NWq/QKu/VNEldhKISIb+cmv6nAGZlt6b7 sp0qyiqCi9QwlVGMYLSNbh0J1joo5s2ARcu4J3P3bbL/IN8kyjm7emlUQ4ZdfLfbKUrfIrNbf5HC fE3PkWbk9bayrM6WFZEHvJzmMqenQFC1xA7jbhUPgsYQEKSfn3aSWgzSfwhRdM8E71xQMl4c17W3 QPCnTD1GLtg8VYL9i9Ri02mBRZrNuqTWp59DdixoyHsjOvoksYXUIW7QrSAAVFISv7Fea+B2E2sT bNOLNz9Qx5AaW5qYZ6gLUPtcZZk6gJ8I+pmsecNJ+h3mZjIdF90K0VE8GEAZ8Smi91XTo+sv4I5O llSvnUH44c0ETOys75XxXQ8clkxDoZraNAHPDfNDjbA7NrNhLKKTTQeH65wtk4MOJzeplgnBDeDw BDZyMfAR8NyiyDQP7kIj/kF3BxJxqFkHtNBnIc88HIrRrSd3nnG4Psf3CtY8Hop+WhGgl/ng7src nYbzI2twnmp1rVH4ZqnAp1b5Ccm9awwFIbPBQJANhuJBJoqnbArvVrHLIUYaqGnU1wV5NDirOHSJ Ibytbb0zTqFRpBQlLUd2/ylaMhIJnb24e6wNUE36cq/7cHSHxAur91f7JAQ832bUpzEgx5sZLVH5 zSYWIbDxilK2lUz0OWRsbMVgP8HugYj9RWX8mrKdaMRNIUdTBvJ+diZxTMmD3FYq8xCDPVyARPqf ejmJGqbKxJbg03lz9ICnlnarlbuJVX/isQYfpN3MOTJHuL71BBVDnW7VgBiqcCeyvUOrnq9muQzo 1uu0xg9K8d0clEEkdpsFRHMa+tuU9SW/suDF5BsOnNYMM2tkW4xyb1NP+NYR2LLf060B1l0DeBBt sdMwLOy2g7fKjO+Aorwch0B9LydqEGlFMoZi5d/SyGmimER8i0f/clbQc7611Pm16tPHcrfGmAf0 j7RbSTiZ0N6qcEPcBNnDCykJLblvCyQZ90y5ire7C/VlNwsk36adknj5tpf4To3x7YBuBtATOfdS 0TmGsz3QfxnMU8k3ShKv/1rtTtdRUKHFWGUH+NzLfRqdPWYxJlkvm8bdmpv8gp7V/2PSY2nTofOU HMwbnJ6jQG1k9cCZSU9NblxluwB4lPkEkHHRbjADsVzOoDSHRbetlq5C7ZbJKk6TNgrsDQl3Mjjb zaA5/d8ELO51gynEZIkAboHAi2iQXa1tGSAjksicblovgj8rCpz5epj/N8VUqUVejR2zYZth5WrS yMayJxGI2Ca9fVBG3XVzGWfNMV5TkZj62K6OOPBi745R9LPwpK8P8k0SZt1maCUOK8Tmu9Xq0BLc s5pH6Wyp9IHPnUYiCbwXF9uTvE0jcNbGwRqxWXQ4tA/XJevsunHlFalS7w/mUM7ImHF4+Mp2Uoni 6zq2EwICBu5z62Cbw/yAPGRVu4MWwO/OpwAqRiSpihJMjSpp/WwRoX95PaQFOhLO2tVWrgueTnkW 7EZRO/j9uCdNqnIu3+25dAFHAPPVJit3IPfj7ZAQf6cSTNLx0zIyRMl/7lQbhIMym50P2THXM4Gu 6sWVXWYSRwC8ZYL4BaSL1riu0FzvbGeOhTj4NhJr6e4+h0M0ACQd+4XcfVzenj5hOoopWu9zx9Ht CvmFiRsTN7pD7gsB2UF23m4Y9jLO8TtpGPl9jasBQJQmE6JHp8A6BH0C34gXBwqjNDVDFCbhPm3g hPgYkOx1k9Fk36xoNUFMrdSYMTIKFruduGgy1pSJ+66plzU2toeIpVpmmfzvQXBDm9r8c44IPSqP VK5SEGwOri+85j44QeCkD1VRaLyIYb1XUR2aZrH3n8DXfmJl9Ed3IzrVTpwlJJs3+iSK2NI2VacN NmW+N0pinTfmHW1ijHyf8nNo/DYh1oS3QFAI3Yo3404izhhAZ29AJZdNcRVspXMCdg2rquixBTTw 4hbN6irEneALPBhLPArB/EJ8sfr8GZU8WFucpepy/uSRMMLIRD7xZsE4eZDMyNHIEKY1mPReNzFR Ro/g5tmm1LKUyHO+purWWxds3rqrox/XJj/dFqW5cwXxK2jorHJ33Wwc7wAnAJ+2cahheWF1VI3e 5FYU7cOcRNIOJxpLIUwA31vLSwDLuAxnteSvI+8cR98V6GeXJdqBSCihRCUCkMKrVAw58Gll76hS G2QTgnJMpS10BKhT1VOWfM8majeuV/Tq7vLVTeT8DYCi/YIXH8Fb+aUD4FXOmH+IkQGvaBkeYetU UgUr4HSnEwcBAL0forGn8ryQwxIz5Fln/pRRKHihh+eCtaWKDHvdJPwkFGbeVddKAEwQ7I93n53n HrWCrJnPIht67j9Fv/lPZW3AOHfLzN5d+VlCTpVp30lg+AdC0a8KyL+kTbdMsJ8n4Hzv11DODNiM N6HdNtrkkVCiVwE/eNeUeNfK8RlgPeSD34FUA3DU4ehoFCNuTXj2H2aVJua+sFpsT8q5UAxxqHPY lSAA+9VrSvG9LC1wG8S1hs/IRY557Dp3Ez06RjucwO0uLmXF9Sbgz6oZJN8OUvLSe8nLJRK9Oya6 UlfEN0zOr1J6v0Oqy3GEUc6KhXvQ201fqSeCljbTTa0ojXe7F+K5X6nkityBf6j/q49XR0x72L/T dDfB2Jh1HhoHv8WNbMde9O0FCPvBVxsp65zxTlOck6Leg2gZV/exaI/sAPttyRympMnJAZ5t6RAm nuOrmEmhePume+K/0zCqI3eDLUBm6UfREcDyUZbAy/IEETv1IDDHBz77rF+bt0TNnf2iYyTI2noL I8031fmufuCjoOSmIBd2nAeoGTkblYl6pgtxETnMfsMalLAAbv9NGlXh1uEGZQNPiTaUQgjvkah1 OI0Uqddt2c5iZefsIqtJxXbgUshQ1Uu0ZCY/65i/gLYGrnXvQxw8XQkDSt3cQcLf1xY92/vo0nh5 T9N6R5cTewXXnX4EHgs3ksjU6YZwIBVDd8Rsl3etBPcdun1bs4/n0uMbhKouE5PBB5tk2s/U2d8x Lkx5ckmqHKZAF+2AYiDoqjmD4cxmmLmYqT8CqWXHgd+IUinWGCq1zwuk5KjLZiiZfWuiGHwyj4qa 0ggd3MBZ1LNLpnQx1l8fiLIvj7W/zu7Kw6DG85Oa8FFfsabj9ijvvhxqN4BqtECSNsJDyjSNbSsc 6k3PcYG++l3UZOnXA67IdurglsBYwmaYLI4gX1FczHHyCVcIZRZ5wWXY8ap6U/AROlLbGm8xPtUg /Dh44aRaXQkGwSgFoe7Vhd/LoWWbTECaCvC/8LRvfup8PFzZAk/HQl9Qboq/TndMjNCfQipE3UjF mFf4zOW51tE4L2S6WcnU/GakeosBZ1pmZguLxzUMgVV9xu7gE8r4MJkRcXL9wLR2cb8+sEe7sQK3 VdkZjNN4tJGmUurZ5VFtxqWsJ2sMI9miv9/Q5p1Njrrgnwhk4zRLPDw9EVaL2PxhyJaKtBGyO+wf VZrAAdd9oBGM6jL49Rl+Uh8GR8aHVT6sWpN6BPGl5UWB0NVxUxf2iMsVkm7Z7QqitSwHrN04QI8D FA2A+nqlcIrB10AOh09m2SXUYJaocBTU4/6fga/OnGJ4iWtWs4cCadOgQWEqZgkROytee/GUeYLv Hec/7z1zh8Vpm55pLb0+uJJcr2MFzwTIKDBr1GZtFIyzt61zpf+dYPfN9ZvjkRajPig/N8M3gxeq jUZtYPsjR6VVgFHa1mpfs9/xAciv2brH5Q0duf5EZR71wfxm+qXjLnprGI2XM6LmwvqwqFP0VWwq yvxqA8iVvUJJSkLEfwz8V8CmC3X97DZJjhmSdSpVyuDlqWZqo17kRNx6Gn22q47LztoJJ4MI7/zo C0kglJD6y3OlIDjNLIQmN2DWNKYqofacu4xGkuVtI37wMHUX7If8pg+TNDM6qQpnVJU5cqbJFulr xVhzXoGY4X0XfgSM7XXNMTOH0vlKzWftyXFx/4sD/LmcZoWTPm3SMnkbqwHBVOjnVEzFTukgMHpb wwJktS1MKjor2bO6MlpVdaT1G8I5yhJ7t7EH0rLoMR0I1MraksuCmK17zhGvrs3YsHy6XLhcOy5Q E1EYxTL6tGImGLzRh+kI16Dld3F9oOVHHNuj+6BKF0vEQlVlVbDvrrdWk7/tZvAr/n48CKwpSQmN dcKg+VAFnYiy5tuW2KifH3hUDpbp+Gbvkzl+YlMkyGt20M5QRmWYb1jM5elD2/0Ceb4kEQpxPKlR WU+LZlcL8XNerhx4rlqMN5DBcEenVPUIeIQrXTEHoGe1e6N30gbD2xrxLM5dzisgsp4fQRNqj1eT h6VtmmTwKtgpwS5ydFxt0LR40gNc/38pVvvCW3y7Eemz7CUmSfGfqXQi5hObDt33HFxZPIl+kCCd LIG3nVg/Mf2dSCXG62LV5PyCNUt/I0nX3z6cJBgNPYVjA3bwvKjv8XY60Vck5PaY74DON2UuVDXc JDc3VdjC1IS4BsN/UOgIcj8sNz0X+WojQ00m13ja+5mbPvFrYf4EVDRw5/kzivIgH3Ivo49uEojf CTUlGAlTLhiBJNJP8L8PxBajp6kLIFQVriKJ3lZrWgM45hId+paS4N/M799dXOUcDXlh3E16Q+Mv VxlkqOoe7K5Dy5dxtcAaPAc+bCmcWNs/00I+c6dQyzz4oeDFQz57DOIB9A6vK9rjA4JLaUglyONw ilMtopfyFlSn4zbmw9KFzHgxwfvI0oNM9YTPiXc2HaKU1wSv/4VEGwMXXAiPrXZxS5F8KRTG5TAY CKhS7EUUQUVqtld4ZC0uoXjiuq9c0I8C76Dh0OqnIMNbFmsCUVwoJAXV443+hRP7VNmLXPSOtEX5 ZDYiXohGOE0YYXeaCcJLnzTgUD4MFwfwQD8RDP/ctYGmUbzElfboH8GYzGmoznl76MbIDgMScU9R Kr3t6M+gxJI2eSYtP0ZHnCU6bgPzxyz1bOUfFsiY9bT9me2LEOVdK7AgTLsdt5KChvh2VC34QlmR WWk2SVWSx8PRmHkZTlygmXaqhEseVm4fIkA6yZv+r3+/mKYe8yf0KYZ6i+7KZk6b8JueoDc6I4E/ XrTFfLtsqwjK7zXzDC3jqyRJ+REmpXxM6152LPgdb53ahwkCqqkju4E4BGz4U7tMYNDdo/hHk7YN dxpbkKiJ/pQzl8IrZydCiv6t1OiMvKgpQY2jkXIdqOHOwsXLdidGJvZMCISzKHvkOl2T0LewIs4N /p4AV+tyHzswhoqIL48/xvJ+iPj3vB6yN2vDV3gnhyht6f9+0wt+wOQhdGnGaV4eYF2RpXPeyW2V CH4jTAHrXo61vHBBQAGtgMreJQA68QaKbq24zfFvvhRGQcF4OWVJUXFpzWcBkqfhOqtRXkK1rS9f TEUEj8F9G7h4Xbhdy98q/MwDmoiGoAO+xTIS1sdGPsT9ZJnI1OnW4ghADfIzDV4mqKSdL/ERwafJ Hq0knmv98NWNz+vYMDV+AjfwSDk79xGTaNoqDjrV1cGnliSJ+0r32Vfw/cLzpq60eP8epR0ES2Gx CbUXmfoq5NqhDxaumHGoAWE/edAvUtEuehXPOZiSorOVa+HfrW3q9h6jcC+ZMbb851/NqsD2UtaV 1ubHzoqKH109EQKsuqE54+Z/1um2ukCBA+JXIVM/oDbvVUmlMGQegd9ODMbtKKH+OoTWpdiV2hVW 7UfDpr3WtPUPSDCNfun8Lw/Y5/p3Y0UMMYnjvx1b38lahWljoigtzlXHbVGE8NLftBHQ/IIgUyRq B9DZjEeVzNqfjKoWpB4AjX+bl3K0rANHYH/ymyO9/a0h4zdvCmly/thFyk0F8v6hDIhWSUF6c3TP 9ZhRa/9cbD1xwUhZ97zk/ZETXQnEYvHr4d/ZGNHuR/HQE5MN0j0mbAV9C8LGWBfVavfasHCIg+v9 qYHI0BF94cRLHpVkUafFYuGGebqgiBMtiG8CGTLgvb1ZMZMjZWXZMBS3kN+EpYiB9lQG19g8KQak /z00WUR8ToCuFN963TdbW4Mj0t5gMzy9WlGIlxfRxJDt8vuWvJ2Ynnxhd8I1tV2+3r7CidT6qFo2 0krmh981vJWaSsXYwkU1aiO2PVBbJfu36wEiO+HE5Epy9LF+Jok9w2FkTBdMpgcLLDsWmo8nUeOH q2TbJMmmCbW3GKu89Qawy08T7Z0fQvep8HjWUf6x67FrAPD35MHP33mdXXG7FrF78rXvXjVJvQqB MQKZulwqtJWk3TYJChPxuuR5QTlZADl8Nw+f7NPW3CMsCoxuGFqhySAP5i21J8jnHD0yrPXwwIth 3t+EI37BUt7gDHt+3NkTAI7sGJYLeOjP5JHIT7vrem7tkZ9b4216dGAvJovH7Mb5vEc5JhffUBbb Y9GX+Vw2Vz7xRxMgYeKyI8a6BlmrxNa1wkS+DEMggH/1uGH/7mPo4G+5K7C9Lg9c0tUIn6jQ9GR9 Mr50YGbqxlcSdRdfGQY1yYP2MGDlJywHkdNApXClUZS//twjTSSsiJkXdtxTl8byVJU2FcCXubaZ qv1kIoPFa0q2CH1cQCuTdHuoSB+RFRoGQ57SiQzoAJJLsHRK0/DcJ9sVtPLh0Zx4kJYDzW2Pr8zL b4IeTXAy2+W3/dq/Z+jyEN9mfLvVQ7BDuOAq0ulv6SkR6UeRAvwKKQORwnfvt47ZDhl97Dme4LWe V/eytsbY6VPpZkWdmKaNfbO2AX/ElPGcMDbGmEtdYYZo7p7Vb1OgR95gWDsGoE4aFMbHLzm1rpIp xJZoOXq/tWK7wYDaQ/zylr2p2TKyafLOtLMTxICYdcj3nysLk7QD6y63nNcehUSNTwO1Wf5jpbNn LorEQfb1rIKQGpqg34R2aGiwC4gltCJ2QgPe7SZ0mb2Y22sUVfKcXHvQRE8vHze5rGAbXvz6o0D9 LUjlnIN0fKNWgvzS0OhJoWmX2TpkZsV/Fs6wgg00bjKLKNf9fpeA28/ATXZCUfesuJNh1We3DbOn YNN3A+b87OFs+NMe48UuK2m2+9Sver3BDzOFKCBe2gGexS7nWHB7LttlSjhCu+v7RtGumcNLDt9c LbCMsYqMw//JvTEGV3sNagfAZ5hcqmnJTbujz/HXIb1wArZJStL7zDOjQ1rbTN1qpruzFEfb15JJ h4zzS+EkoZ8ih9bDk+odjLFCaCEp8MTLlRoG3Zep3JLSfxyeJnN5oilBCiutIqBK/GOrSa3FkFEq 4n0jEH1nj0yVJ2LH0rkKhyldDKrw3bNfvXpAK+TP6Z6UUD3RkSvNY/IVeKWIaXQCCCcGDtVnFgzk WVnmGQuDTIs3hrdGk5d0B1PF2e5X9c8GROxtXlJusa43RTvXjRWetRtZXkFsCkgHWw8qLQvvYxOr 6YEdjPAWUr7E0+UkDQ8eu3rPPgpUUSNdYra1TNK8XP1VeKiUTFOtlm5fwCe3y+HCECxDhOdOUDW0 t4cgkZmpaP4cXVnqa99W93LwgzcmzYsU3Zz44RXCzHY8rK4ztDFz0MDN+CdA/OjyGD4IuArgZ+hU fInWd0afrOVs89E0D/AlCuk1kC97FtrLAWKc55s4hXpwLdeebCSD3kQ9MsWmhI4V6NOr6moippN4 0bOHlGIsoZFzj/hyHROO9R9fE03FzxsWPESdSevGJ3cB0i2HGrSfUe1KkzwQn1zVnBFCyCYO5Txp iGI1o1N3CgtYVheRZA6uytyGfbeL5D4qsjH3M5gJmHeA0ewmjyKeNgpqTAhT9xRDrRFr6sZGL6Kr ibionpvRxq7rdg0Mu1yWQHTdst+uEBXxG4RuChIIMs7ie0jcVVUDL5IkKif/Oq+8kQJhASrS4cU7 9a1LaLvhauFVUss6TnuRT9AC/EfNTpo7nTXTmsRdP3YyfG+x4ahhO4pEa1v6LNvvDi38VZHYZPWE nuPeMFDXnPJnaPpXwXpmBaG63sz5UcysOmKgSxEMTu4gMalg25e1CKjzvMB4AWvkZ1luUkBsdQFo gJ1QeaqvYmUkzs10ji+S5wqVDFlBS2MuiKqwjZqxxjavjHHReE0d/N+XqSl8golRHYhSBw+KOlTO nHaauMLbWulTQrvScydbgOPl5xk+zXINij2Kss191k/mfOzbCx+UR3G8+QL+v6GBz+SOSOxw0iZm cNijFhHN0wFxa37xVFGijnzmK5nkqnv4La2abKqCco0ZYalO3d7DnZ2mPtqVq3yQz7TGAPx5yZfJ JzqoKD8EijGNvzxC8AFeQ625rcfdY0WHjXFzeuwKKvkUk91wwlHcX9fqbfTV13QDEbWwNgaEaRWx B2lG9QgZjvUpLV9z553+lNMRpcruuGhotJJmZhl5uQAVUukimGCSHAC3GHvv6YlPZGg3Uh/quhp+ 6aEIcHW0sa12QaLH3Ktwl7RHuOuJY8WnWDpXA9x4btBCjvTfqZJ0kzluLJ129RBk+5BD8tVueyUV iOVwFYyqSSAc9qS+8OJywchWnu/xsOguvgCNL+P5iTmS24BKmlAQrvHG0dsFH7BOIMaSHid8TiKc hzETeE1q/KUZ8Ufl4a+h6TgVA5/kV3+it9SmLQve77ONpqwhT7O3YqkShKpjt3e4yFUgOdXRy9cM aQqifNc9CQnJQPbi4G+ijivbdU78Uu6M/JrKXHznl3TkFHO2E3X+5WDWPMNzyLOJ8cVeLMkGA6tD ZRDVR3Rl1mpJ5Yc4Uh9+eTDlZXA1ON2fIUC9dGBpi/JA4BZDC1dbjoE5igG8cPP2V5M5MaCN0LSl UhQ/wA9tJWxpW93FQievhB3bZwnoZGW3bSJRU+nLbGmVk1+orAwYy5qz/A6Yv8dkU5afUdStM3DO 1dL1I8IAyQ5fu1b+MyADVu9kLvKJolG3S5AFQwmfL0kBmPgDIobu8wMU2ETTN0w21GCEwNX13GJ3 09ZXbHJxxwm3z+y9u65vR/+1nh2TsDfSb+vOVHpRlsCi1ShMAaOz8tVmkFFKxhALQvOHFbciZ77v uijrdOJABOAZYEXOShS0c8qv1iQsltyLkS1bqg1ek4RaokaEnJ1bvEFa0VMZ6uT++OYh3GL6FTrq 8WEDHU1H8DAyVNuKcK77dAjOADaDl/kcEwyhF0eS2gsM4fTQcc15iYxUwXtxMiZQnE6P53N1Oq1n kz2I8JDf+r7v5ApOjf2Bi4Yg9ZkaBwbClLU13lsuva6Q+0rdk88qvCcCmQvFKCYCm2usYnQQWENI 8BKz/doVtxIdJCqfj0X5MsVWBWD4I9FrtSVgbfwtu0t0RbSba7DOjo4VQPrbEzVMDCwuN5Y7052Q +yjtKNATwReULhdFBj4Kzy+Eq9J7D1N0O7mw35GfMUP1PU/UY2WXEAUE//tp8KmARUhPYmV97WMS ySyDb9qsFDKh+TfQKRcGkVQaFeLpr5Y2RrS8zIBiZB3qjzdcwJi0s++v1NDRWIaRrj7PBR+axdTf X18pO7k8UzVAWnS2Zz7K4suHRyF2jUaQlaAlgufKtzwOIOWva+0xIf2QcEwTo2e9ZFmGv9UAqkjx XEDE4QTxn0uxx0mz8hmQ/Ze/YmZdY7k9jRnOhjPJD6koxS6f7V7P8PRbpDjBfod5tNH+32lJbtOZ nXkXM5U62xhfDoWJIRzCcmSey+TwVlk0ZXs6E2wWutwmQZMxh8hSp7FDQdYD14/YTjK49XIMvVqA fACDeFptA1doicqimFmN68BaybXamg8qb/8hfjWMLY2aMvHhNvgAHfE/hOJ6ENDA1ZeLgtxHddbj MgO/ZZPBDhPX9NDEARZg/IhFWKU//0vOGj5MXONh+VSo+caNQyyBnPtNv71eG4z/D3GjRwl1uL8l +CTRHWueMXQlGN8u1ai5m0daU5tsKJHslaYtOpdukU4kTP5uBuoD5rq+AN9H354lKHmoAI1NmMAC lVHuIH78mg8PS3jFHSDv5n4oCjU8H+6/B1scJnrscpsVg7NltvaQHq0hK2LLHrCHg7ZkMYXZWZLO 6zllj1CKyc0xfrYhWgkW0wvQSgV3KAo01j/n8jcf+MMGYV7t2I8LTIXQFFVjBxVLfPC6tR8jI/xA 443bTXhLnPeucpGMQFjyIkBo7edCWcqpBWYZBdL6ujzV/DR0rCyqhrBOHSsuF/nKBGnVYQZesRD4 Z1n5WaT7vfhOtnDwkHQsigByuY1QuyM2oMFqmMuZSil9R7nVSILvANtnyyJYzZfhOGUTGlAXzoyJ f2id4fipKe5xnKtHtmSnGTaS8zaX0til12TotSNGjtQ4TR9rXdZ9BKjfo2M4AHWTjEQ5EqgNJndS UCmeLYewo0ZCGCPsEunoihq4+I9TyfZxy4l3BOPIfzceIoKZIENGCdv5At77uuE+f9XQNlzsU9I2 J6DRzY9+DFVKDDpgDpCzbdpd16qrxq/PkuuURfzU1RbGsrNPvM74X04UvwnfYu+ft0LnOR8NQTi+ UsfwPr3/3RzB8qQe4YkOcqypIgEZoxe9ZSynLHSzuXNYgzYE15z278TJBflQYzTBM3injUXrEhny NEZqi3bF0nqMND9LSq5cmJA4jQVcNbPAAu7jXVXV05naxRL6eETQEQ8nm/qpGPjRqo+Q8sf1vO6T L7sG2YoHyLNHAqLe3d3Z+4tYmTVn55SeJpt4bbySOXheZZB4OUIshRsDkia+t+I7PpLofhoRcH/3 HGTYCvfca+Cn5zc4e4gXONv7XuFLj0Y48rrQvw1tIhf7a27H0YFe+RfanR1NJQ+U//l5aAhdz71H uwrYyjm1yFALtCYNSNnIiwfTEgOijjc5fEzws5nUju9S1N8lx8oL8pAaOjWlMACI5beopsM1KbG/ Lp9HtGT3Dw+dX3Pr9TZDAGHFnAKcN6CFC+Cm7Yavk3tAq5LSmGTY66fqcLSB2n7b+NMTZ8tZRYkf HkcDI7mk0+VUsr0aLxvpmzBD1wJ5Bry8mWCwVjO+nnbg0A+RkTe6FHCj3P/G9GJ3KFEvOIMlqYrH XU2Q5/E33CwbTHYAp7HkAAziEIo2upA2xFNIopTrTcdA/Mjl6YGrzlR5U07J5dxWxEdiEFDQFwRJ BKwnDDZMYQHif+VG43xQFgsey59mxn6fqWpoM4CpqlMEQJCtm9+LUQO5OJgQkqpHfA9G5MQjW5kH 5xV0JFH0lrQU+T6J7dc3tzgzy6fXgojAG14MRb6tyF5yf3hKL2QrufaMe3jo67gvakQQHRVtEado PYoAmQtffcnWc+7rL8xwSCGSLd/5E7zTnY7rr0L+vM9DTx5yoPLx6IqWrh7VUxTuIN4mWQgu6Pvc hgIdOP/2dSn3Ujf8h9ix4g7GSsWGSR/fnHrgqLf2Les1eMwUZkY05kVRCZ15bEcBSSm8Ay6dLZzP lchv1Y0RN+K9QsXvT4yVcRmb3n0hx3G2L/zvWiLmaUyPKm5htJRvn64NZ1aTk9im/XySLa9pcAhu MhL9NEzbLDh5z2Mnc/9WgXW7EVxqxrImX2WY4g9HSMuLQ47JTauE/5yf7bQWSeicDeKJMzUbqYev CwRIXcTAt7PSqtEmra1cF/X3VplrmzZQSiVtk863vlmyhyYl/ChY8CfE0jIquCa8HLPZdnuJYhHZ /EqHZ/D2CRdshvUJ9R9u3shCGqLJ5UJZjqWcsNI5ZrbzWUwtDqeHNzOBXhKauveC1DxKb8G1FECp 9YCEvvfnYe6o9s4K/XnjK5SmFhc+MLqVk+d1qIMemU1OaiJF92ICSozqH1d0HtFMhVBsNnc47lZT iYiokyvg/rEIJyey1Jd6qjaPQ+paKqkZenYKcCA67W53e/I3JK/fwd+i9GLQjJA0YWOgE2p257Bg Tt0cg7fFI2rQZFTJoqx9rKceR6gxPKPr02JXivGSPaCPYLEfvEPq69qP0gWkvy04sl7Xz+p6FMxH i32PJfeB5QJlbx4LlvCVyNe8QSH0t/nqZTUdSa/I/kfLG7PkpbQFNF6h1bdNuFhWY3Otbcx+9NKT ZbgLF4BnPbfX4uhlcOgyeFWLvcS+6N2/jK0UPOjm5+wGWuV3+34MWNF2OQtkWWPEqWSVNIZFl1Sn O/DZobf416qZoWQQ74/AYPjs6JiAA5NUNhaQ/xb7IuX8PHksEgxhcm02eWJ0FoWGRHGOSnuaB8Dx uiUnSJYVD/J06aMFLx9W4kvlqkoW4Obq/KJ6b5mHrYoWUXsGT/J+ZXOnYfHHEEFyuAWRGkYGwK/a MwSUoU36VCFrTIDOVhPJ5hLZEyvPDzb9bXklQC8blJE50+hARc2cNPFtug/Cq2K76fsGecpzkQdq gxrVQEh9utipu/pZERQ5rQeGROsuXHmRshVVU5XA95i4heNvvsJc90L+c6b8iW3szNMdYSKMhaXr a0Be6lUlxiFmF/2xVizsTrDcrGSxl9PFXxatnhp2LyAb4LbYbkTXi2qWAlaj0FDl2BvM+KwWa+Kd 7QSYEHN20cHZPxbB4uE1nQztBVHVLjCMCXI0U09CbzYHOdSy/0O3H6Md1pilyUq+TyQoifCmKPWW mClozbN2d2bHh7he2OmihXP1U3gc6zi4+vVvCFfuWz3EpBgXz3hBHn4eGDgaiwI3D6DXDx0yUYvg MuzTU36dSkwSlDeCPikdYDFD/h6g+XX815YqMi8uUxU6jVE3gPP8wM3U/qLQ/YmoMYqzBNuiMpM0 4X8S5MBdshxx5eWQZuLH+Wu1mLoO1ENrvtGoeDprHq+BtmIDBfIUzHE7EGrncZMTGoKNBLVy8vk1 XecDVI9VibbwFc7XFb64iHuOKcMDeI1LhhshHScSzERpfz7ufNG3dS34ImPjGwiEeq/oTk3WN7cq K5KOVkanCFkUzH0d3/XI3QhISxGHH6IvtBZivgWJcAYo4Ewxs7rk9/3tBqi0hdoxo4o2upXMzyUf Zg6wgzxRdLw4LkxTgH52SCSstpHTy9zdv3cfSqEhCXpgN3DaiYOUtIsYL/kBnTC8sUmCSWk41Gza b59L252MBNJRBCAtI8TWa1EJVOkMHth1WGIz2pVKd1oMclAd2zbGTDdlWE6pH8x5PU/s1+k08lEU EfvDylhlUK4goO+4JCqyw4hnTHoBs8LNPbbboD8KOD7HjxSXx7SCCF2eW+8Oc03/uxcG52+4YhWT IE77gbpfndT5W/9H85aLWeTsd0z56BYLnS5datmJN+H/LhQrvtxQmQyhniwEdWug5THXzDzUYsgS tsYWVMZTj0YUVkwEz5JkIOhGWaouSv4KQF+YEtwWUuo16O34n+da/wYHiebj5lVWTFr+V3SkObUP RgcMPvlg3Jjjxq7vfG8d8YfUFHHe9yJPagrbdMdupr3+5dleDkKjTqnRA713YMfAppFqizUdpdZ8 TTeepuv8KuImXlpvyK4uDPwXl1spMOI+AP0/Y1X1KOCoZwuMGQbq4oZVZpfqA3Towo3OskBfBb2d XSe0DIlfHF54k7aXCudpNljyIg7VD/DEtafPuym/+UbKBPavC3eb7Xje9yLT05dmc+ipsRxZdVhm zi4OBvOGehj2+L594fG1l9prGi0xLy6xiHFmjWghstocCREsYwNBPBGXBRG96X5y97YaV5oiEIEE tua9BxLxjl/yk1FGTFfSqpabftxkG9keDYoYBHJgYLIPc/Q7XCKvSCtQH5lGwaoJeP5jm/CwOrtk bQG6uMd6ad2+azYIZbfM3yFTfpS8sIIdnPJz8pwG/3w7lAvRgwZhbrMv775SHXdptAJOkDvx8JB0 Vf1Nsw+R/OpGXZHuh2CACIreg5Eq1f5+mFnZ8gEwuHgPUFsF16Pu4a60twGE4q7FH6cGPa5oZfdQ u8zn/ejENhKed87yaS5axwnPtgGdyhk4Zwb0otDSd8RLWoRANoXJHWToHTjc4YXFW89O6aL+hpXJ eUQiA+GDkasPzvbVe3QAGElSdO4Vq9vq59tMkLLuKMeRpdPpdmlNPpfK46VgXG58KtP3hTa/d7PV V2EmU2BCd8n5aRvY9jnNWoILuFyqdTq20w7AIJMIV/I9q9qSNzkFqwDWQ2jrN/+JC+LtczHxRKdE PN664xWTGDhCH+ufdhYbnZid0yzDa1eriG/NkVodi9eoqtBDWYqsbJjvoY1nEX5GnqTlEOAUb36u XuAd14WgLuFmnunsYiW7kWl8gzcv9H1xJkj3lDWKMvR4PSnUGh/kZEEwT+6uUiXEkbnGTC/JTq3c zeMtmZBhYLTUtiyCO7VgwJM0UxhtXtuHaBthZKDVXiZRbQe4YLwSwMQhgcpg+CmN3zGPK1pNJIKU zLpCNg+16B6u9n8H0SLiWwWhwU0eoepD7JWCfRM90fHWpqFAt6TD53mG9udUESqj0ZozysoS2okm trppdvtGKAJYx9PsygJ+ImDcI+hIx9e0dHdWyl1gXjI5nSoSZ+CnoCcQBzx60swt3eO9VhMMjoQe Lufvuv6XpZUfIgsZZ3ca4HIQrqxC3pi34P2RpPblIoZJAurUTyY0RFFhCWITTl3lQxrCN6udHv1p tU+R4tbH6/oDNg0miQq+58qIc6XhjtR+LKQIEyt7JCkRk2gLEZudd96d8JTFJcU7dSPNXCSVjbXb WdJFyqF12q5KadDCeLUQbxHohCh2zOXoWSpBVCaI8Tdn61KtmrUI2IitIvQYOqP5d/VWW3p7QPJ+ SAp7Vdi6ibivdR9lr0KuzEHCMi9DL0lAAr9vTVbFTzxNvZvWyafbRGy+VOrA9xtpMSAJtxE7Epsp /2s6eNPpne9rPYj3AWpFu+ggyGRzyWPig1Z6sY+vVKZ6K//luGnsqvcddYgLgVTao9N07ZNuchDj 9xWLUBoDegiRHRA5k0UstRuBUbboF/1aOnfgCO3SGTUEf0ium/3FkqTMqjKcKH7U1MReyUaZ/WOq 9dQvZFxaoELuQQw+SbwhqQSR+91z0KlkLdRpGX6N7THVNcqsHBpgF7D3GRY0ouDj+ljXh9/Y+KDR WFAVW4u+XaYUZDKrQyf3taoazh/N5RxucSi1DvgdWoQtCOzm7h681txmRlyTzSe+UHWIsPFmPSqV DkuKTX4kxCVg9xRtZsi14GCZcIcFl6oG+U7MJpdaHJHGgm2KYxwVwsmUyd6nsMjG3lBSubbNKwbh ahNNwo70jWRZr27Cm9VnwC7414qFIapnITVFavmwxuj7Kue13KwhxP59dz/u1KEBqwBI9LFDxQp9 /NdD3V3kh/CFcCiKYp8tie8fIPVVddEsZlqm4ZShMUmJhzsF4Jp/xQZZYRTCC8bFAHv2Xvpww33w je4drbsLbT4luAqdlFpoA77U5bf1RqoJtwe+LovasmiacSuh453MNE5wTwmBojcT16Ge/frwML+L oikoUiqe+Zq5brM2jqaRfQsMZsjsklfUlMUh7YoSb4Lpevdd/4dTa08V1RL7KKtIkfWguvkW4OXo XS6N37O/9EeSuKhyQNDHume3TzvMPrarIKNb08EElRYbjinCDjekSiUtyMBzlhgB+vU5FP/UpARR 56iod/oHaVw/mtyl3yaGNT+spai1R276ezdD7EowV7PUerm9IjKdSlGk2zIp8KpIJ+onLCNrX5Qm a7D271i+syDCUOELFzmnIZ70OE8J9KsH3XhrhUb0l9SUCGKdRV2B9wq12DJprFmA4W/+ZBDKwJ0k S4K6t3dJaXBoQVX+cfrRxBUKnKD6taq+YeExNBQcVG06vim5Ef2nZTA8auakI/XxcCuWz0r0odwp J+khPkIbymIlTvj6yyP+VHd/lQApzz6D3E2LibcWYvH5YMmxfUR7+qYoG4H6+1e2rg5B9dWR3VeP UEDPNwuuTD9OEkeTQIWUFN5laOpE5dxcnzXvD01TANbh0IdEma7AhKaocpZk/rtz3ZnULnjdUNHk YED9oqaNk2vhK76dLifBSIPRjLiSiu3n7ZAnBrP5wsGyls8v4JiGL8Tmx2Rnwxq8HP5KasNyYncF nVAA9U/hvCJK+xhG1p4emOCzTR6uRzmqpses0pIllebAuJtxL0wWj4KcNLYke2k337MR4id0Nnml fhEssFqWgEpkkl/UHGtYjogTo9jefdJTrDZpXLL2496ERqN/t5hW62ocZWiGJfveLaAP7iGhjoOg EitQs4enY5fxzjdrASYUDivSSb1QVcrZiZ5T9ClhzTNTeS6oGhI6KkdUonqGmEKzn3wwmYAWWVMU d7waKdGI5ynBiK1u/lolsB8Y2esR5rylnVgPj7pQJC5tboxQi67XFufGq/TxdT6h9PCme0hM+rTs Icr+rgVxBNTL8nP2NwUwcszT9+mDpo0eVMZ2S9apHxkdgP9nHVogZEvdw3/PD5I/+fYTlSOZrNwp sFJQJ8WsxsOpy5XQ/APBBkEhb5scpSJXH2E0P9C8T2FhRiU2ZkBGjSyg+ix7BLW+NX8p0ODztfFk tEetNw+weJwNUIkii3vh9z/0S9WcJxB74jJZL190vUeuuHjiNy+XfvtRfqMAKfLXnMtLQciUQ1Wx ktDCaL55Ov9oJyg5eMsNb3Wdk4gc88yjU+8vsaw8YhCI/15wLB2dJQY0CgvH265JOMCdJXRnkY4r /wCIRoBVpU+MMIzA/J5+x7t0DBpSJ7/TJUX4XXuBbBkNAsmdvjXrggitqHS6Yq/EmzxN1yAa7uOG ybmVVC080BzeJQLN82S1vljbV30JkyQvS05VICrqfLv4Cbwv5f+iOSf8RVcbOtKI5LF+p0SYulF3 q1vUII+qBZokKg8yjPeLYaEGeqtm//QJIKWhuGHvOh/12U2y2wmrZmD/WIDun2mprJ71Co7o4M3B Y8LHtYpqV0NIUJ8dfurbFThPcqR61ntrOU2xZxroiSoPIi5RrTIatEr7sOsLqC9LJclWOZ616dtA WKKGlwr7fIv7YoUsedJL0q95wP8lpumGw2zKfS3fYS5LXnmWnbICdcb9zqIlYoLn1Zdt47WuWqLD qLmLq6xkl8snejqwrKyXSXb1DmWlWps6Tn3p6LGhVSJc7Z7ulBBgmSoqINTv4VdzugP5po3SQChB fAfHrjd8zgqf2ZeBKN+gzBKpb6XoLHWJGtLD9ZZkZX/20SiQPK4Lsx91hbeDdUVFEocPmlLp4qL0 +mQkMiMDn/08Q+fTJux/lKOPmfgVtg5ntRWyETGiM4uY0x1+nqc/+T7Lung7IPtbgZTuaTB5QSnI ZpuzpGsniZyKfLsfmp3+GzJza2w8cleSWmSuiRoQt5/nG0F81HIceiMS0nenHRe3Lgbf0OrAB+fs V5Nct8yGFHesJOG6VFzrzhuGsy01+bMfsFkp/OzcFdDj7vSc5gxqVa6be6zokAh+tAJvnaCYfEvG 0XkhmPBodfFMz58VCe0I0oz5XajcrVnv8oG8TOGketX/YrasGPRH/Jl860rV0/FTY1QKrM2XYLrk 0hyd4+KhWxB1fny2kwY7xK97YRuM8YMscMwNwnx0C8woPb3o93QL+xG3OjKZ4UeXsOiBdcTn1qEg Jbw5OAznpfxBt9sjUzMzRSBmTOZ0BDmQGnWEHT/SLNU7Au1/QckgD2tJtrBuveV1Gqyy33SAES0/ R9nxzvTv4ZjMRTLyuXhcxZMgPXOBRajrH0T6lgSWOkQ2TahQVfcjVUw7lclvJZJDAvgVxm9Vd2XA LZb4ZyZtsd/tiqGgpBAzDiB8dZA2EH+ic5VPa9W+sW/nE1ZF/zS8aw/NCiU1ZYOJRLYQttMLgmgJ StN+TN0EOpp4lwSfnKIJ7255ntg668+u4TZwYpSxnxASGZlas3yDNkNUmgUrxyaM0nEebf7zVlz8 +KgRZsu7U3q90wMxTKno10LZwJvG98ceFYSe6g7g7xt02cJ+dCdRN+WD4t8Ua+4IuKhRhT4w+hU9 FQ7P/YPrPAAxv7sSFutmEOqUIj5tzAp1aCmnHoG221E7uTCgT2bKyrCy2stmuTPchPwGXDxfV0Uo 8CXDr7qgs7f/ruZGwecvdPldGWEEB9nyqgh4xdH+GJJukzel7VgfZYAUSCn5hZH7TUNGqH+35ir9 i0ewN9iKwEmNzSDGOx2yFGlN4x+ZS4BYwnAtCCPDvwvj435IOsy0ccaQ4XWrczfSAfbucmicJrZJ kacNtofV8sEsBtBkgVqF8kbWvjRSvrcRfYEb3zqQYzI1+Diz+UrTte94Jc1LZHFFLZnFDADMSugk uXka4iJ+9buD2+ADyGZIl2ZyhTuDib6OrWisJeFaMxPmz5/jSDNeSRDXNkIHZA/RmGwUh/kfp2Df gCzrnQO5lnrUdZriq56I0t1EWy5ZUDw5iNyftKQf6XqPR6xA3aFuKUopRT8rvVRNsB4w86RAKzAq NkYQKYMnYNJ2IESAmn0rv/rKDi+07IIJuzIBUKt8JdhqvMbfE5D5lrntTCc6PeR65YrRkGGu9eIy bGqvn1OCHAdHtl+SbnurEnvlxo85ansYbVddE3wYTULtzt2xWA+2A/eK/oFGwOx/61/bjKSwuA3Q zP4+uXO7SXu85xrV9ceEtiVj82fFp9r5yQ6G799tnlN/1Im/ID3ZR2Hb2kMTe6tiwAxgJL5J6QRT S5/vSgRYb0cQWx/xoFfnAjp/g0/aMA1fKuN8LDnnMA7k2g6AnVPaTwyQ5u1Tc0I9zUepEuUzSdp6 e5DY5k32NEcXxE/j1rnYxOFFMgcMbugWD02uohG3REhw+6xaTt5dnTqFlDwfxzCPgCqj/2JIxVnb pmIwvJnoqlJXadRBId7C1UUoZmwQABTf/cYvWWCe76fvZZ+uFzQNr8zTiSZX2usaYS4YAc21VWKW GV4LrjdDbQWIcleL4emyedyv2pZ8xGBxJO1LBdJza1qaA/vJOip4z5ga9QtcePGafqYVqbv1Jpj7 iNHJOBcMywLMTR9ctjKv3el5PQVgNK7EEw878EDyU9cEOz9r6eG5AnBwdFK75FOs7HAWamuNTi6b kxK11RK9dNc1Oo1gUN0+Vu4icNeq3a3yiIGxx6zZeWpye2axE85nENKJKe6t6qSlmKNETOnwU1Wl NPIP+EPFjn4+Jv+b0PuOsu3YuNf0JLQKuGUjDvTMHPpB4BwHo8fTVvFPPF+9Rs4QGnv6RNzDieuY XDtYcl73iJHQ3Hn7RudNjBJrROuswurepAWvr1fJLDPsCoZaHO8ffKZOr3+5SOxI1jtl/rtnaiWP V8v7hhHNjbapplAiKOvoL1EDBmPIOQgJ2jucQk8OSn32Qwqp2TD3Pnviy7wzYaqsaZrLHmiiKb/h 6M60RdeBkmSv/1ZhDdqOZNwhONpd33XHx8xE7DRTVBGtz2GLdKJX0+yLzboAAaGFZRYhr3A3Xv4R 47lW9QyNaIdZC4FI26JeUu6FfGqrCoUaoOsGytxkljKgB457t+VHSBaS3rUwKLewJ2WhEo1vBnWz RPkGSnMm4VCzoE+kRK0Ml1fQmyDj+6+VWA8ux+Gpa9t1AppI4pkZbxg53FS9SmXGD0J4mDZLy4gT PQbBYGjwtm5Kkl54MhIfwA0DTsW0Ik3CbLFpcv4WkNRNDDqQlX+JuluRq8yCOerizuims0wBnP25 MICJR7V/jM3ZVOP7tFw6TacQxxhBIg1HJ2Ct5UxQ+96i/3qCtBKmS5qACWbdsFu8CypMRPkKZ7dP Sgyb96/bL/rf4kAQZ888zG9uvTQre7KHVWJ1VNHqrAvb/fqXBWJIVK3hmEosfegKWiyWWsAH3AA1 2PK6AXV/NAUqntE6qusEB79cECm48xAmWEwSCcdtt9mrY8WeZ71ssrcmHTET1Ap/thWh4n2f2odc pZnGvGs04owDkaP1xUww9KMy3KeuVwYeqWJuFZXHRLhyk3AaW2/F6BNp4d5QTcpP33Q+fFh7itl2 DKZUz7QIAy2iCnxvJ4DNzkZ28xZAyp3K6A7x4jd1M/jQnA6+52a19L31QqM3OtqRX82q+DI//VvL PGLU1ZPBsJ84Fcgugvl/KR7BMHBOEko/J0pgM2/dZlRegPJ4x9/hsL4zjq1MfgA5Brc7K9liuK1n 5/x3WjkNaRuBsT/cYmhp6SuC6DBFfCN37DBFwwj+bJyUTIpCCA+c2r+QYPc2/0Z1aKYMxjQIjBgT r5fnxLejtH9CDGLcN9Mh+s/bnteuBTa7bFI2r+wbWvGYY0UMZyqCcq5JR1SqvOuah7bOe8AKEIXY Hq25VIDtPa8YXDZHvAIDLSdOY6xt4YpTvPlVtwZaFsMht7xqoLQPWdTQo268TaAzomgkJ6s+MfDu wGbjzoROKnV8eAOlmCGMW5xN/E+t82Rn7FJ17tQI8KU0bbf41MRzw/+I9+9gZp/YIvXPmU++I8vf 5v0eI1clFpXnzW8m5YE6c7ani3c0nnrk4y00c6v/s/YkwF1k+EAsk0pEQbFsUDPrVYPGolpGnye3 tFDiyf1opl3/IFk31nhb/XVh0kxHZMth7fD+lNHWbBcYurwiR8ygstnKctLXktnCMD8PFOYzMcjr dXMajniyl9Qd+9t41K02Fi62gPGw/P62onL9wYYOct/t4pL1IgNDNCkjGZuaiA4jzA1ejO7gRyk2 HuwgasCGm/wsXWp3RJWChJH6FtyXTa5g5s0IyAiK+D/++48/io38Ns8lW8AIq5ZPrmrz8dwTU/Kq qkGD1n1nL7VZGBhiTtK0e22LZ25JrZSRC6lnNqTIIkDEiey14cYDihiuE7SsiHywnwqlZosWvZM4 JIXILdepBng1GQgEuZ+njxokEyH0N5kiLFInRf1WCJeiKirBcl7oRixWPWklTWXtDeRaeklDG5KC V8PnBWYcswMFssepNb+9s/3Kxk/Pi7pi481KrOtlb6Xw4ELxfP1pYxBo+3mJB6toP4H0YoGQRpo9 7lvee+A6ghI1dR11Pfj3EVU1k2mWJJBrQGzOfWnvrU7QTUO/YsEhlq1gaWc8fWeScagu3zyMBvZC q9kp3xjNZmwFN8Y8aHSuT4LOtTDQZ/b5SvFhPzyaIECfn/i/NS3WJjYXVZgGEZUO3LE2nJW2sVCK I1VNOSMNNVVrvu5bPSnd5EpRie/592npxBhOYLKi6DNBTnz6V8PNahbSOT4uaisGMknzslDq2HCP dW8xC4MgyJT821TGoPwzI0yCXGN9TaR0ZQqrfIEtxOaUSN1NSCKUzRXFzKbhElHF3GJr+Hb95/3Y 8gz4ASm46OHW+403QIQv6NLOaqODXK3uUX7jRtO6OBRm4rZZXQMJA/AjPAEGXCRyTXv7rHf0RXeJ gBLLt/tACz1DJd8PStsu4RDDlRnWWcb2ACsRMBY6xGXe54bQlSM1uMGv2VjBPNdaHuRBXDj8orqf OHFHkmuyD6ElyaDCI2wHPlZhrjuxHarT1NV6XmiHM6nxsKLjNoEsU+9slp5zIcTXwx4+xgHYjhIW TUz4YZi83XVZlX9E9Q7fQxhCjrDlvt/ZVArD3U/2VrV9H1ffeUA3Fv2OvgFhDFRnauZ/m9iaReK/ zsVUzN1fOXDFiZkRjvOm4VS5JKPvAp7ZVfwsuVWXxDHV2ueu0+qsuJ+6UibNU+99CNvX1R0Ou3W+ LtYMIJjfN4x0s4iYDMyOyEuOLudPI4zPqO2B61LoZsG1mu7RlE+vgi5FEiRAn5stpSKDY4EBHC8U Dm/xKly1n+6h9XCJ/YTelFQAUn91B02DVuTAax3CQQCSZPMsVVvYmQI1MS8JVTqY1+n0gf3lR9/r 405OW5bk/+5MluQRvoyzZOvNQJlvgFgK31q3HxQfyCdeVABWTstJKSM3nVnnED2sb4MnFX3ai8kv Nq8Jc/FR9ilKCV4kS9Haxhj73z9rV+T67tSWZfF3gGaN/ASpwSHfEDUB1/1CMs2N45BI5/kSHB8B GRzYf8pjaWpdGP246QpsJx7PDJG2XMpG4LOjdRZreMtpm+ZkWAUbBzSFttMk0ApGq3LoOleUz0J3 XIXUsW2yJlmbcozMOUY9xwDB9k6qTgGuJ5FPc/GKEqPFBZRu64X0TGXmYNzJiQUQh+2odCMBuz2q KgyplTd583zxaKFfWbNZP8+8VrBWgYivgVuxh0a6R3ddeT/U/rH5oi8GoV/x4JVAN8POGa6TQy4f S1A9VFpuqy0PiAs6IgX2HEYkdzrqqINfUhfJ1PteJGekyMhm88Lq6YNXR51aleQ9/bWnmRxz0+0f NrD/7jY1E9euyOpsiCxcjHOlOdpVuvEYaClpfOJW7ORPwJ+wVgguKTeNXWKDDuBzEC4OMVtpfxln y282nCM+ghRXE9zS9S2VY9SLYP0Fhaae+8k/x0NvT7bHSCICePxpUc5Lceld+rsxSPyztXXi6xUE lftCBKNpz/5Wa3dZQIAqcAgTi+/8phuBpoFv1QPaBYRIJVfoy5k4mzUS4CsH2wSDd7durkahyOxJ ALoNgWPbwQYcYm21WlIcOPDMTuP156Nwp45A+bATK5aNm07hvsHLL20aJGcAmdIw7HrN2my/04OO apijTamy5zURrt8NzX/jcuRx3jBAT636gZnRNWzfPBnocrScNqvynwB+MHTyBG2/ZyULh+ehSEW4 mvbnzzcsBecOQiA+IIOB1/qUDpSQNosTaEUyYd0d6e2GaVeQt1u4gg06etTAmEoazEzO7vNUAl5M BQizISndTfilnJBGNAPlZqB6X0406ZFbdG3x0H+tZRMsd8iUPSQHOrX6kGfKUL3n4H5W1aBYxTrA /Z4QBQA8dc2Ml7liSoiYlimP1j6U68wZRycgYqIS/0s23LTQJAfnrUVbk3WOL/CTZ/tKUXFSnEoM 15t3T9N6BMUTxBRDKn3Xdt4JSJLeYKCwJGB2tTzCVUC1jL9U5cGrlG+/2MVJE3xa0hnC86x31iwc dn3f0gfKXt0eJ1/z2KbZXRMncCE/BhVZIEhUQ9dMNU/a3OmiJztFpFQXHwj6uo2hv74TKECIajwF 0FfdfAcTBAlQGVfUd/p4GdakXSsc13BZCTov2hcaqPV7d1cysmwERDJkvS9PDFG4ndF4ggwYnxtc SyblVNLPeFnCxRrUylgSbB2cVIKR0FaPNxlt8m/DArAW+OroSNJLrK0Rr1yj96aHsUVkmqQjFdb/ gj7k+a2hnWPlNp83n6GhSeOdwQSNStrJLjBKexykbe+XhmyaWdpc916PtBgVgs8E9P9c0ilL6MOy 8RtqnuH53Yuu0EeerKbpHaBFyRIW6nW+WR9+9wDN0jPG+cztO2QEnuMaEQegYtWqwbUJnLvqWjaJ ym6MOYSUH9AoLlwRW5ojNkoiyILcGb55uNAUZ6nT7LaF6OArY3aQ2eU8NXaG8ykT89MeoixtS4+F Tr4s3sLXXSUnxFyb2BJnHIZGJrAZAps2r7rKc40fEqABPZRv6Djk1TvOhtFhRU62B4AcH3IPRO0E FxM0WUj5MDp7DuV6dht5n0cM6ua4tAkORWguJGCDEc5WVtREMc88JunYI+cfVOASbaYIWh5/9Ix8 33vvnLbIqYwzqfYdbBsE7Pt5mSUnh8XuiGWvanBKry0NEmshVCZ+vGGFKrgJEbof2YyoqmYqsaaX rRm5/YnepiV7DwUbJjO0TUvizwTbP9TBCu0XGTAz+YGYOr2rsrW108ZSNxDL8FpoyyZYKDlWNf7j sN2dhN567NJFLcfA/NPW4JZArJTukPn2SkvsmFq+vMxZ3kL/I/Af60AQeePTJ+bBh3ioIPa3do1c i1SnYPqa6yekjZWwXsxreKeAc0FzSoLt3T0d77tAisk1hhv6JiIVx612ZW7S+C1Rq1etdDicAFDe nk6ofmFAVvCc3Z3UdE+9GI5vnra/LN2iYoBLBtNqqs4A5lEKOtSXdMzGLp/vQ4pIsKgXWG4K6TGU 9s8BnukUSK2HlVTh8VQ1cK4gkrrHFlSmqdbdlR+Za+H3z0HMuFH/87q8+EslWp+PGhkEnkZjIgr7 Y29Xkcu8c3qA1E8FMANEt53D7LN7ikA6XgyB7qpwF3xYtxngQayq5u7ywrpM63/trzew03MSSRx4 0aoqjxd1+An84PETRF9MmafYObBUle2n6h75gsDjnhAMQqjL3p8HQiB4Eb/maamlU1fZSc9GHkjq ItiQEEvJfTGP+Uhrg+teeAHs66Fr/xyzjxF8q2/DN7UKST/oAtlAq8SI15jJhsmBpKj2yTRUuhAl +3Gw9BOWoZsCaMNERx0ZpuNsyYVPt8kDd/zkH9Do1812cPMTq6rv5nNV6K55JqrqX+9sCAUVaVnP 4aryXn7RcRV/D/Z/rpqJHsUxz+FrBAcUykWJDEjdyTE2nR7NGy2n936V4w5QCl815pBJfPZJDueK SnAY9P5nEJpM4DqOJxzIMEQNzP9pb/SdRMw6RZ+iEX3BFq0gm6tbqWuEd3IOvHOWZgHAE51sPHEj 2mgUWzTtVGEGmSEf4cE7ZTAYs5Fv3QfoTgQfghu6k6iE+qpIAaknmb3ppdo02IRc7dFLkBiCOhxR kDlO7k2wqajSUAKPAPueslf6JazSuDw7nDKebW1uO/n7eYipq+l8FL6+W8oZEqHGJGVZg1dkCGLa 7dIfD7EBcTGK63SCssG9WQzWZ6H8r7viHu9ILA6kS0Fa9LD/0zbCy9i/Vd7S+7l2oamO+EaJQZPb 8FZX8p/2R9dp2Z5iEdbX+//jNGZkZj+wTTmWK6M8swC2gFMRayYmFpaB+8yPbWb3X1h8DjLuGB44 xTv58xe7B2h9QWkeYlLc1PSc2tmjMtC61k/qQ46agw7Yt14wxK4CbBJMPSVrwYNGqYeWxz6PXwWQ B8toIpG7GvUuVdoc9tmG+9sakOoJWTZa7JYbP+ZA5TU18Mr3svnX3hDiIqZuVX4v1zOlJxUzLn13 IEphmwnQoq65kdoxIrjv3Ro1Lhdc7jdLnpSy2R/ECubHAnTDiJil1RRwuMbqwNnIRU8bFE7j5K+8 v0oAVzqRiccjaN7WZrUcuMnFb5seoxnGDosrvXwXfERigEd+xg5D4jQCXnX89Xg1o8Om+T7SdCjv GfaNQUsMtalW27eGsbNBIYlHa7FC5LdeqUy9ebSDIYYCG2qJ1LWWcpgO+khqxDgOACLXz+rfqRA8 mwJdxzXYSSVRfG7/uK6rV98R5B3KQ/rW5o4hmUE1yNmgH1ERltHiVg4bNYlQ8xQ0ucZ+B1Fj7oWE ReefM0X8e4QLZ0tM47DItOePvhDfostWEebxC0boyxRXnl4b7Y4gskx0En9L7nf3tfWTbFXvdm4G iAcy2GxQJ++mS9/yhCQzmpBuilUQQjvcGKp57BCpC9m2UZF5eNjskToQURPRPfqZBw/soiQ8yimb v0l8mUeqmMUR2cWBOArjHsdtQLmYrPH3wOxnC5xHzcG3NaPBYQhAH8ZmpcXhZRkW2hD1+ADGEX42 JDdlsYrEjcKoTSuu2pbQgY5HdEQi9rKCIaQVvnI/zfja9NdZFQAYJ8Q5x55D05xEElOjBPvrJ9Pz LcXQud8tkjqZT+tzUyBvghyRozCrXqzUFO9e7yAhcklkryNSAct3Jai0QoABfAXmi9vuzycnj6cG nMtmH2h934rOU6KDlvwkBB6h+EZVfv31YJ5pBowFwyaFcp0MONuPLITdRg4ahNPrK1LvaRKvqxOu tULuwYoDGtdRfkaZPakXme56i0A7GCdHM9oOYAj0/BXRurAA7K24oyUVKRv5rBvAIeYiHqVRPGSl EDwNaPQoi4W4ZJQEqKV2UbP11sB2zgsaUfhIPbCfkpdljb3B1T1GCxFXPUFHdKIUWf8sa+okJqcC 3Hj0qL0K+7MZNNCni2QHegbcsIDQPpVCiqjm5vWsqcoXgRUyXskz40AB1iddstSqFO40gUKIPwm2 F9TTsUac4FjdIZGksX4OTgE4Flxu5oRJPzmGYlF1yq6CUfSrxmjBMqnXcH/6FK0+u+vENCNFVfju kzcEfq8Jfe8OROdqQccRZlSSJXTzuOC8uPjBqQHilIbgIx/HySoGDouJ/al2fE1TJ5PTrWcs2d5O QzIl/aJYqyrfAVOE4coyjOrdlUqwa1G9K5fQHeSqVNj/pyoq2nimqKKJYjLD7Ak1DB9ksMGe61cV npjGAod76wfjdAq8g3817RifoW14IXkAkqto3MbGVsTMkvIBLW68Hv2x5NjNiUMMUqzYmvy7Rqh0 HGgbdkp+qHTia0Qobr9u9IVGFPTKwRI6a8OcvWuNZRS9+WpCLowmmFw9vEXnxIWox2LqxbMLk8Ix 8AFulDSvVc81R7SGlSLSjjiBwPGOZhv1UXk6+SOqdqXUdlXmEz+uhhdZCo/kbLxKYi3bjBd0z4Hw mzVUPG6tjqY3haE2/Xv+3T+I969TnbifqYtJAfOWI1mdxE5BmkMaOWtRKO8GO8jfYNuLmmzQHBhD GETSPFDgQySJuVcbz4ACW8bNshzBu+T/6RGIGJgO04x5y8LpWlcrErTp75/5wtYG1iVxHfv4ysl6 zQqcAWDyHN4bTwIW7tzBESiLrz06TqTLctffeb+/adhxsEjr/Yb4r5AvIssxILZGGOI6+6JefR6+ A6FApztXW5mMFwgg2GXbjZJwzf7r2ujXTd2Ik7wYmUI79WApr4VUXLDHCWkEjRq+1kSah2sCJX3z Z5x7JqtwB0Mxo2hGjKAiWFKdPHXz8rbcGiq0RVg2y0qriQACumOWCwlBgIU5hM2wMcyDOZXz7xgN PGBL5xI30nir3Vf75rIzglr1zjYzZt5bdLFzsB40CYVHeuJkSO6YQjLTdxkyIwrzFjyn6pJt0YeP GM/tbwHdypXBC8YAyamd1JGY1Hh/RarH9QbziJS0yokQGWi1F1ryAbu7ySFtcZ1dJxTncr9jSOfm XwpTbeIUDwdLn0xlqbypWMxyEoIb5nKBNCNnN+GhAIkw/q8cpFVTa5XgQ0WC4EDAN7pyVJVmKk/s LdM5a/DyWisLjOj4+oXCjJUEqAJqiiYW4hsSWy4FXmTvsDMeyD595Jq/uIGg1CcZ0rywShBPunEB gwknsxLKs2yUKmRf5NHTyhg9zPXB04tj8bHGZiFtzFx3t87jf3QCiLtSmQnPoxDvi73aYr74Dtwi X+3Aw08nODD9z2HpayMvvJqS1xsh2qRB3fe90KM3NSYGRb0v5YmRUc+rkXy/zNs+ZJ+rvNtCanNW fyLaXgtW94D9QSawSiUEbVZQezQjnsCG+lJ3KWCK0mfCro4T7jND03n2nTc8wbrZCxE29Uheouf8 wrA2SQR44K1erVHjYCrd1gauTw7wY0EIwMVUcLBFRK2P6y9Z4D0X8p5c4cqRT3M9ckZV5iu2rnK0 5diNtWCm2SI6rtg50iZ4K1zPG/kCFRU0LUWkjl8mptQftmRf/Dji2cffFIu9YdKgZF321bd32UYa 8Dd5ISeZA7f85wFnuRT6MB3kCGx+E41jEu7OxtmJ9LjTiQCIwpNOYUJ2KRCiGlTafnpF8CHFzbS2 p3Z/EtV0GIGRmgNlzaLVFDZwlayLDV7muc0Ks1ENLMzuU9drUverHnOO/MJoAuV1bikRtvT+r1Fn W0Tr/BMq9QJBtE+ycSdLYqR3HxoYzr0b9WYow+hBmUvtYkO48eI4ZG4MG2ZTJPTjgTq7SEKSoq9T rOoGECjTT6gH2HaiySe5JIQDmFdXnN8D6giCvddYIkGwyvmilrk61vsn2Yvxrqq/l81ZPYdZIoUi TECS/AsA5o+mj9BNqxiV4uUSktooIC0GLkvkNG9oYNXXdEFhUEN+DW0SZ/2sOMyVaJ/fUYX6xphT GFjMXSr0O9fDte1MQZ8rQpbfozJKWx+YwweHrEzfKejyau1JaFFucphhSZPK5BqYVOcbeDEpmzWB h32ivY1vvU/+r4xS4SNQXnGCDyS8tPIfmCwmF10CV43uW65NBJZZCrBNMoIECQTyitxzIZ4Zv+1S 6GkDgAbHcTZMs6vI6ofxbTbhO/dV8MITNXZ74czKsLqf++V7xOHZBkWjWuXoWvqdv5klnRWP1H+p R2e0AkK6Cg9sA4mAnwaQcHBAwmFUdNA+lxlkke2RuES54MHSkbrUaxEM3n5StWGsxDdfMx0YnH/w a9BVQ2pF84Q4cP+9TBAGypfBDaYKg2LZS2Ov39tuWfnWcrIvNDAth03SNa0PXDDWkUEzTiABJlU4 0Jit9BhxKPy0uMkwhIgL/5z7mlGkf2sfuaF7BDOg2swf/0OsEB+cEbwos9MzeYh0bIAf+j28MDGM f7uPUG9kFqn4tSHRMzp9Fe7LJtOPce8OHrZwFfC/vB09Ge3AcS9O5fNjAaFfeWg0GZxUwfMqoDO8 tYSy+Eokg3xC+UdJrioG8xdo64DKeiggJliVJEAuj31J1w4GaA0gMDxbXzNdTAHLF5Dbp2996egR jwlrB9BOmRR7N0AEh3NlKQZ5FRsIGrkSA58MfuKY3E3/IAuQ/InNK62UJF6bmpVqZAUbm1EMRnsF nwPE3ueMYPoFckaQOCrzvUT45pNYnh4CLVXIYSf/+sr2SxmRudnVJUj+FUe/rNtqNR2gkUb36B51 CpqlANs7fSYD4q1/IEcl1KKRb1k1ljFPA7KAUAhxHhOe3eAmsTaiD0bAVx+PPoPKCFTRwbFFLCcr HGeRt+a88PMhuO0Moc+E7ir6htrk5vo/b2WtUH+67APpYW8LRgfa/v8kQqKL9BGSJvFWr0jU+5mm xKDZ3PJDGCHcx7cYAiZR7sppAbaEk1Ep+S8afaGCTWUBUxHPgD1HzWo2k27mGUAaEsuf/e2ktX4M To0xwyq+NBrIqQqmiGUm8L4hWeLMSKokoNuzMS6RVVPGQ1nmjeRFbe2FhFWhP43rYpmJy1irEQdk dns2AeulhI6Zr2EUzKrRhVrYEUAosZXwXT9uc+/Gk+pavGUBkGm1CK++xyukgqTW1yF24qPEA8D9 RKT3AONTHjdtrDhiTbswErC/Vj+zFdHcBYgyDTFA+xYIPyzUm2uDttN6NcAvnWFxkNoxNabZufYG Q1HBWa6hSl/1ZQXNuy5dHzS3qU5cGxN0ZCIW2N+iZ51SLOhb2Ht5mdaqs7MgDXbulfNOsEv+lVof I4yHZMRNHC3IioySfRbaksldVJNLvNJ9u0/QFQwhvQt4Q74ET34hrV7W4Z7NmzY0BGUd/2oyie+D 2PfsQx4SkzgvPRTgiLsQaB/msn7qZuQunwjYz3loM6ZlTIa8Ihz+qj5kxt6rI2W4NM3sIJLH7tmr 6wSjopTXrG0EAgvbxinJmmvTqD+oWE9CvKaPlNMPvO4pphJWJQMelXdiSs83YyCE5IxrpVnQmOSL ezt277ii90nDi4lEDwljmAiKoihcqGiDVnitPeKdbR4rRAOSDmC2YFgJdgoqdD1fcyz84tgp4znY s6vdbwVKvQCyr4HlYH1SiLWgs1PQjzJpiKt7C6TBixgYtNOff79JR4ugrWhCXJSgNK59g7SPmTLP LmR9FGVbgw2vypQFPlfIOCkUm7IRUr0HFiLwuvfauOQNxeU3J1Vz0ihTIO+sg5OK8klK8KHS0n/F IV73QM0T9Su4h2CF7PCoCzbHoalxnX4nkKTmjIPmNENaqis40ExMKWWYzoyqFhvs9IJCEFGq+rPv ItOkeFs+6KUEhanmUjy4HQhzqlSAyO8Jc4SERUcRu/uq0C9qLBtFZV8yOyrYhrRjziV/DrJJmHVc M45n5xMAX6RDSvS2ZNZTgQdHVZZLeBNNqiZ2BDNZibl7/aXpXv9A0qRv1aYADf1hS/ixL8Lsgr5s 3YexhZtXe89nZ6KAIMj3RZzDeL6xbZbNjHq++FeRo2kI8n7wdkWBYnh4OvY2jWd9FTedonZLBx+9 H7j+DBxd9qP7mDGclRxzvFPDFDLbnFyFaH4KutPc8slY7yrHHcj2DqNTkT23RPLwOcnGDAtknrCG M5AeLjkUqwrt+tioQQki5hH4BlESUrscMUBdU7aEeZv1eE1FkaCQrq1arsir5pGu2mFW/pHE8khK 5q67In9Qg8Kzt+cevHrMv1sqstFhhOfhF2cUTHIXE3g98qqweQu4lpyjnc4UanZ57kHC7cn1WnHU HkAg/xP12+wBFaLMBShu7X5PowsNfRHn/+qkP7HshXUWfI9YgvHGxs2ysC9kOU9R8dZs/Oh1M+EH oGFBB+Khpq3eKEwSyk7Ko/vWt9f8loXbnWPD4NmHJha9DU/SQtzi1d6n+Gtizilri9K7d4NDzY9M 8mJAG01cWqcd3/fSTJtg1EtiDbLZKJ1eML3GQwQI+D1ImRg/rtKXgHzMOFjOkWtq/TaA7YN1c3tv fghZCsbSiqHGUa6vDM9ew0gCFCYdkpJFnID4IRuTcwPyGdyhuWMhH+1hA9idfMnaY2mOguBrd3v6 oYoanSxlbwtEgyCq0x9lBb2ZqQoToSsSb0BwGsI50UyVDhpOAbXJ4xNuu76KDnxEwggyOupngre8 nXl2bu1esd9aQyRzOry34bjIs298OMzcU5nXaH2nfbU/sMk5sRSAie8hoJZLzGz2XdaRV4ev6rEd HeMgvx40C+vdd3kSwtHwt6Y/0+v6aCPlTJKUTEzFDz9woZLAAEiAj5S0x/+MgXmzg2hZV5Pi56Ww hka9MtD5PvuNPbnY4fwUXs6JWM+1yfnOH19LU19ISBJVSSgriXn6kYO1deKp4WdMf9pWrSxP9S7m 3x0Z4TJYXjVNItcpG0hi9TN9f5l7SMi0GvLHxssJBVPgvYUWMzlAjNTCTwfGKMaNCkPfhOhk+Qoo j3sWM90uS1K5KTaqacICsQ2P4/Sqe8PNs8fp5bfmFmSIZ+QxY1i7yndONbl1k9VnuqLWcsyLQsrk HpSjeoCQX11xOQRvXi0OEWDfqcfbEJ26P8AEaunGG6iRi8pmk0L/Ji2KMSyhajH9tt52nv3WgNld 08kivfg5fmuHeq07iCileK+FZNDTPsuJuVYRTS/We0KjvRm0xoBkwoumjiDtyF8Mw1Ad2OzO9IFO AgyjBEVBLgsJ1aeuZG/oUlyuRlpgowuwE7jXD7AHraZqZ+lEUbWcC3UcsBlmH4sC2MrzmXPskUhG KSu+cGu1GWX7lR6A2qheqJ6oc1sYKJao5HioDZ4n1e/UxNZhLxHSrdqKe686ALjch8j3tK/qgfxw fkUjxXyI+nIc4k3Q4OYzDaLObZWPAIXEoWV/FzeSQKmljJ6zKTnOCQ3bbjqMisVDSNw3XrdRjJw0 8cpvvNtMJIInNIQ2XVX3GvuzY6puoIivyUkGb2Pod7YYbv/pz9C9Uo6v/xn7DLuCkJnTuToGhrgR YnymzZ5/EvBMdosROMdtB3TMBOboIRaLWYQnDQDZIXsBgVorFDkhG9LGlkyN7nQWfSgXmiegHwCx Rg20JcxGMzAbp9H2mIfrzCDfFzx9VFQE4RoI6qjcbK30JvDOyeS4RcM1lV71PUk1D3I+ROWzkR40 MkhRMaZanGCJG681mswBdxU5NO9bUyvaHGlZVNQknt5qRpfcua98ofU6AEQMemehPhEHoTwo/nrD cpezvRokSFrzSQOL/YHAK+zLP8p0vqzDspg0lURey4Q53jhuDuzFYEHM5hkPci8JAuqQ8bgO49ou 1k6b0ak5IFKgwnXpJc2DF6ukOewjNidt9xnQXn3Kqx4TUa0/nx/B7GW8ioClXAILqZ9H0WiVq36e IHw05AQr6ac8MWqJTvqx8NjtA9E55gfD+LScQQU9YJxOwYGzy/XEsU+6UBmM9wkIW8tgQ3878tnK /gWiAV2DwVORwK7tAMfIjy7nCfh+loRiOe6+pC1br5TrcrgD/glo5Jn/LRf4Z/r1AMfsFxrN76A9 Kmgpec0RzYOrzbOextOonTEF1BpqM6EveNbsous6u6ntlLbnj8pjn4JexTuUqeNK/cRwURTkKBP6 QJHJSDp1VchFCQYetlQQsN5AIvXw3Iyt7vuYEWwtRAWuW8dsOmsI6AELhlwCuH5Y5g/S/Fi4uk0H QZcXjfFfSLcgvMA5BqIrZiXoMumAnbKIp1OeeMm2Qoqiokq9v/BKU7fIDqPWWsKYbXDKiRIukuh9 lmRJpKXd96xT+chkr9KaQ8+xUSyRZJEpQXjftaI+zIelSQ03ZeBH9xzkO2Zc92xGpgH/eelSASza /l7X9EYMd++iIejFAw9gjsSNs/eyF7XNsyBofPTwGEgtoiWeRr0G4ruldCCyskI1M3ABJu+j9mAe grjOf/4QBQFP++OaoKou0n7HWIsbnStgSSSvfUVdYOBMnQdME4naZocnWQRxueja4e/Mz5+syN80 1modM4uTbjeRSr2/kdNyzWJ2jYE/DGZrN3iz41+ZthbwIkeAS9pIC3tKRK0heYW+mhUYUB7XXb4A GkOs03OkuIXTQMiJWbDev0WYmfK5rrr8ngZn13OhfMDaJzW/JTdykugUXEzjl4NrJY95S3S/Yahi lO53+z/Xh4AMUV8zfyd0oBJIDPeqlw2sNRDZrVdmOCfk9/hfE8V4Fme6lV9QDX63meZJ+BSy4M2d UTGn9Pcf7MW8QOX+1ACC9DUtawffzZCY8l4iAGZM4kajM4GzGcbKXOkLumUF3NS84e7fmVCA1XE0 Y6nYmy0Ox4Lyce5RK8j2Myfx0EpP/mWkKgdk3PMAa1CBLx/yRmbt11lH4LeYXRjTT/Bvr9vacbHH nS/hp0cWqW5rIos8uJ6h1E41Bdv+BHG/MjvYnOO/J6BZnhKTXIlTIJ3OW0CcaygHWuLvSCyVthJX hmicrcLUB+Xz0dxtjGRTyi2muf/tNEGkebxwDuiQKW8N9z6l1lNzEmE1IcPL0kSCqJFt+WcDkp38 x2mt9c9JeT83LB2lRhh94Gqy9NUGvzUqkzCTA86GVOlpkeT8jtAM6FHsO+GD5OS5koqhbxJ05GZq 8E/M+w/lJV1kJXby803wAoyhRquhwsM3tiiqG1YD0/3JppPnCi+Mhpl3uCEaCcNC9J5TYN+qm9Qi lJAZX03gUq+mV6RCiRaqXKkDtoiLfUn7Ir8lfImzUJjB+fZ6jKuJ81F3n3JaBSNqeE5w1+zeVDvh 5Y5LhQQN/zWwcRp52oM1sEZLU+PUKAmJVuHSrT9tgvwtaISrRz0xjvqJ//a4MDNtx8QRf9xwEPnl abo3cYtsDJp5CK8s/D42kdY1B/4c+I9AFSGqOCJsevx6OAYH4FLlL+XhYww0MLts6miybcfVBWJg OMCfi5H4nJ/t8sjkfNV/6iyaBOlPP8hZzzi8eRDUyyj9ZrRKVgJj45l3Eenr0QptyWm7KPVA9jyl ls1dZbcSqqb314GpdiWvHvRmB40liLmku47zy5kZ9HZiYWKR52NU2HbArNgsifjLgxlDBpowKc+2 6rRL8lMaJnvNbTfe7fLKxnTZVvPvARa+1HG2KyRSgPjRYxLyeTTYDRJQH+s6PTEbo2/9erbrDZOi LHB718/GhawQjBe1/xN6exIDCRWmfBkCDrOFholSUcr60NVnZRw2lyP+lT86bRyReql9fLI5+Bh9 VN0ftAu6VcrnLj9UCmNe7OZaMOhWWPbLis351K0JotRRTSqh05VHt+pz3tVbEUyuiKyPD8N+gZMR 6dZGckOmWR19P0TEFLXpbivfq8bLZKVk6s/n64mNIA2QeH4FGxjNhRaNaH3aPCC53a0HwfUyNfqW XHnzo1qUFlUtMEKjgVkcNW38j01ZU/H6iCkc6tH5Vdz3OsXFSQjxsH+dw5JVNgVqJ+ELQug2gq8a bFfOQG4EZAa6xRs+Tng+nt+mU8g5ajh4Avev6XZAD3oDiIEG7im01JX/1GJvSRo7SLHOOPXLmWVN rqG3BmW6JlbqjdSrqycFakVavAXUM09yPT8DaFHGW4c9aJaW5k9MqAWTqX5dS3HhzvERa0RE5akS MpQ7KFWqXmLu0fPm0U02BiK3pfN50jnYfeECY847j9vr5Ba+9bXZcLsi/yqTWVlwuCH6mvTZJWgp D34xFn+xI2qNgXLITGfXWq8FaL84pTq17SXEBF/Ctf0b9D/B7ZrbowDG13u74XyWIfvjY+L/OJuR h5RmUxrw0h0ajjNG8P4jNJmXcbrSj5gj1dkoecyYW+4HZSDBHRaEC1v9rqZYdAqG9RXl84isqjEX W0Fzk2q4+iohAKb+ofPQV3kDPOfWu6697CZuxGEgIpjmbP4UbNwqUzROG5EmTpqaMUw0y+oiIc3z t34DzeFaHc6d4HWQa+gwvktd6eODoTC95OfYlKDHSo3Z83f0rmrqD/vkz/qv0mo9XGizDTIUQOqU u82ap8BbijlU9HXpd18kKmeQpnsoJBaRS9yjH664oHnFVcdfUufIYLfU64rawk96gfG+pyFB5ZPK GeuRN/NhquHcJWpiZSdB+dC+psYZOvseiofHNwytIG/IvBFHxj/gsmnANe2oxdn6HIUVVpJ19WzX hSuXqVFz4jLWaigknoy6Tsl2oXQSo0mKQw0eoseDPEaC3kDjaYxLA3eys518a7ZmnjMVi5NCInpI 4y1tO08B+lf2rOXalYN1Dt7wxmdMdFMOF0nPy74PQCF7ZzUbTQPqVRw+x/FmlOs/vIq9pINFl0A/ HkxEOEOGuX9skEI85qx4475APUNlxoYIC0lTHzrcK+qJrXyQa+wuX1FjM5xA7NsFx+vKNaa2sPAA fXY3eX8FpsgOUvHW4p8CWgW/BkVHNnZVxMkgC01fXnN1wfgNGyfsB+5RXuCMksiKuJknZaypm/M7 z3c/VWlG7jIaysWoIP3gMJzgs5IMSsUu3WESYI2OYq9I0XUDezdShGZc4ACdMUBmIAfYCnCfshFc AFir69b9XFUOwSuiQDQWhdtOm/WFuylH4tzKhnX73/KNny1DDR1a7hnv2Ag4YRq611dpcElAM3+5 29/HVATrinfJ9ome3xqKpyidGiOZKT69y7AB4mgmfePvuMb9IiBJvqUoyNtEZDskKCzKDET3F/rV kEb6wi4BEWb1EHcW7ADyLeGQAo3RotYYO+G/rweP77ZCsZJHlraLGUU/O3X3gJ7mYXLZRfCNExmF NRLlzIhckpxqkM/paL2OIgIBx69UTKzZSfBhRy8f37X7IFh4t87AOQqKNFWIDVveXcfi64doLQkD Z44EY7nXfLYuBX/DrxfRYUOGlAVHmexOAgG5XHeKXB3LDUM/LjZwBoOFsKqJ0mqg7iiPQAohXN++ Z0mUllK8ECDHhNDJAPVXCE2dp5ce+Ph7O8pQI8xfBTrnIF/gCjcL106vfGSG5Yr0+MTl+Thh+NIn 82JtDzBZQeSLZZJ0ib2eXN5Gacqk9mnvl9BBnEiEB6uor4vbVO6agFfQbTdzSKn6zXvflmHCq5Ax d5FtMM3k6M/jvkJSot9k/SYOimz1Jz3Ip7SH8AwR9i8rKHLIrlbK4GzUMK+i0SX9c37Rp1cpUqRk d9kWVvxK2RGITSENI/1iyJJ/VZ1J3j3Cg1aNzRgHfVKr+0qOa6ZjF7PnnL+9tkBAauAiKbWCuePf WWnqrKhCXQS15ocbnBf5FrrxzI/OLoV/Vm3RdlDD7Ol0GtJUMBg6nZR93OuBBm5F3H1w+3j72VkA yw8goeEdMCN/u6xA4C2R3k6pofdHB9XPawh2h/j9Zlq+BphAB+ivTPJvRowB1q5Be+N+tmXFWuZe 3VeO0WwH1+5CpZq+Y9Ictfo53uRK5yDemU5SoWEwcFVKUGtK63ZlJbnok3KDby5TlW1/bZtrf3DQ MJOopEIfUgKC1JUpD8DUyQh9Fkhc59SqUNrXfpmhbUXIryHSj8zreV2H54P9bjpDL6Td0/FF/roa mGIbluXPN6h/867RDwBP9A0PGU5MDVpsrQtKhBlcVSoMmICecoukl+7LSy339gJz/tWnYQ2wn+ov 5x2ydE/bMKkPu+hkFHRjAczx6KHQKvvVtk9s/mgkpXaiueLq3cQjiNLSYGHJc0zVu0ExrQzd+qj/ r+HxUsali9wABKLRAo7RRm9Vk3lWCcdoB3Yl8zjSfemg/mR5i18s0SmUcVgN3hNAUSgtcIi9k20l y0WJpq+2N7DNK4o0aX3OreNwrjz3y3Vbm8+yMZdm5jWjReD7l1e1F88CdrKhMHL4N3c5Ev33hZ5k 7dJy7t5eoM+BhK1CNs/AbAgKP/udWv8KTGFbuIPIHo4R0nKXlWpEZcYTEVJSTAqc7JAAhFjgZrSw MsXCQbaG/eJisgYFwMRCtEq/FVSRoxe7Iw5iJlHsz71Aaxwqe+uOav8Q9C9lSqR98GhQytMz2g4/ 34MlodM0RQ80Mq3IrF5C+zdO+u7oeAyv0dfF7VNfEBDN8T5XhuwKIchtoe9tojznxqdUIAq4V/M+ 1EMHLC3ahYTTJ4UNu5RR1nqOGv0Js0uNfhGxW+AYulcIT/0ol/0WiREcfUo5xFg5UkgfVIAxLS/9 wk9jRC3ZaO470CZk6v+c/reJidLnao9mbJgJmXyKxi0HwtLoOt7sZTifMo/JZEM4X7ViJhY8pXMG LjUdq6kKErIFXmzMHyDv4Sz581ctcp6c2VGqFhBfvKur1/gRTJQ3O37bUiQd5nmseuVEs+8CmGYn v6pLWCWEvD0x9/mzHimCq6jwZST7YIzgywfmVw+JLX3zUNJ7D8IPNaScE9Q72Ii8qL/azs8Uc4zT VWOoS73RaMYsZO6A2i1GmE6kQ7MYm3tYmk52P4bIDOU/uEklrmHpOxEbPmwUwAp4eoVCBU8S+PhA LXgb6pdn4AKPcYe9/V2vxb6yk3TkyJNgglzMhXdc/uTGIce0dz8vITHgKH3cfyXmeXhk2RpqmkiS no6XJDfilAqtPzSItlv4X8Ok0Z6Go/c8CEBbPzyDfOQJf4T38yd7nU5du8bq2T9cTJ8+9SbQQR/F kdwyUtbKSSmp0pEAAP+NWRSS66aBr/VfFydCtylMiI09z9/Cpu9nvAwIkkVK0CuC0hCLfdn2uypJ 8gDrALC+NDQ6vrpf7NugISWtfOibS7oDd5bb54ayQlEzX4OTOn27M0JhU5PwVwWkM1ZZ2lJFD0JJ FbPI36VnILGiDuJGmyiAL/vwVU+cGKbTeOS+IRinlDu4pKLAjch6T1p6MtkpVxNMRK14lo0bYZE2 HK18odS1gmPiPdvveow87+9nb/cAsMRi3it+Gz5Xmntm3XnvREvjy23k2H90YyURr1WQ2Dv4sNSF eA6k4T5vwUn+w/CHnonlqlfx0/O1qWkRLYbrVfOAyFowuFqxHNK1VmGsy36N/07iKKv1hGUJo0Qg nl/9PUL0zIz7xThXMDhtB0MwzmhGhhvchS1WRWDdp6vseyfW0ATJizVRNA3FCXO9aIimtxRHBH8z 35QgdwoMP36Ked+SP/j9Ho8R3aZje85yvpAzrZaYSyXTIgQUdF2nrisYoOmuv1enF+TdgP3AFwOO jzjUI4D/Jv5VS0EHw5vZjRUHg0h2p9Ce638qoXg3qnwRKkF3vYmtr+j974y16pdDIqGXeT/aAi7c ley48b/aeOZaefAuT2rxE5awPmqnu7TMLPbGbBSIvfJwwX+7ZsR37EKLyEORE4u5ajY9Ac+QAssP /my4FYbyUDg8+rf+TXyFzSZLtZuXZxFA30aG8trl8vtFYoVX5FyTYocXGGMcFiUslg085x6ZRHxW PGjYQGHhKLURLXXvTe/d/cflh9+Q4kJuFSxZyVlM4IrZH6wFKYxaDoaoLY/kdPE+YKdHpNuTvBnw sWE25jI8eb0dU1kRDS9o+sAzK0ydhYfsXI9qlEyhCGbvvlkN3KE7A9dRswE5b0IjGLebKd4FToKT EJTTv/pTsjQfZ2fBb4f9NmhWffQcIivEM4QEKKd3VaV9TKrgPGjIMdJrZGutC73jdmRFOSrdDAsI VBfJggo45OypmTcwf5b2b3eRL1YzPF+BB9sCPZSgS0uLvY3Wp7fQqxi1NkMGSAzkU2Fbh4iS48Jk gheAilimWshL1ZlSs3w/d7Z/L2Rt29RiJ86CliyweFdTpaEAKTUefb51QbWiKws+CjKH0eFZk8Qx JTpiwmLpbBhMHiOTdVYk1bM/2PLlI3sMP16odnh6NLfyN7RXbeMdIj12ZNuzNPiTZgQV0zb6Krc7 ByZTJBbXYS14XA+gYFegliacjnf3Z7OZxqQ4kvg7Gieek8T8fCujpwggIuo3K8ITlGWqgRMWXWyw WyoJlf4Fs+NCUaR/VXrX1K7IMLU0zPR5vS5NsbVYD46WZl+YA5H87F711+sVhxC8XvLm8OSzl3R/ 7ThRWxgVTYmVDk6VhgH+4mYVzzaZnYV2AyBdODqc03eGLrtN4scFQYUp4pHgYr6JoiF3kUIM/vUU zeB2fLMq9phBcdUGoZ75e3QNBQjCJkLJb5rAOty73a+Ef+lBoQR/FR1yMpeoifKVS1MRTuadhC0H RqgvfRDAp/KpLmuc3wW837hfaxhBcbsHja91uSypl+TnB5gFOua0ztYDFSL9qXmZw2IiN0p70y+5 NuIvDlPkq4coY7gwig/F7ySFtZMSQTddt/XgLWIKi+3LnUdSE3/3X2e03h4EX9MERvGSZXj6jLRO ONQZ+/jLFew/NB8dKs9EYLm7HvW8kaDB476ueulrJ35lKs8B83cr6ZMNCXWCWWRn6ZrTtXV2RKEN YI2rnAAzKLmHt13zI/D9iBbOZxIIEyhbYR/CvHRS2wg0a7J0ZxP02kSzz6sWK8N8GIM2oEBjx24x kuTp48ALfDnIB2356uthTNDN4IEDBSRqri9UUfHQ1P/gaLCjKS7/t2ixcyZhvbmKMwoC24eawtim Cul5eeQ5a+EAvdn0td71zVTm2UL3tW57hqJSZqIVjxNiSROt1Gb4fSOelwSn1n99g5U9w9gNJE/W CFSwbCRSlXg89R1Nram8rYAqysnYz6CcN5PSm6HGIRzHcwIFBimIP5W5zG4FgxcZJOEaFa5/lIm3 gxov9dzPYo5PHrWcUMmTrT9YvF1dV1v2/rWkdfum9qmBDNeYbcJVwNBalnfLP9jZKGpi5d2Lm4Li OnKH/EQDqLzd6spapMu5OK1GbLKE4DvHVouRZXEIhKNzbeJDjZ1sN/0sFRpe6pNa1/jQffcqLOqZ rDii9qjkxnjWFHjUgljTV7BHJL7rYdgi9cBrGFahN1nGbU++U7jd8ase/kKBo+LiNb9w2TtcXW2B 1O/tfzqPFcrtcNRqRuMF14ijEhDeaNad4/Krbfn4o7jc52Oe5mj7htt10MbFF3j+TCYwmNbWiUXE 1XsxECzJomc+aZ6G474tPrMarhofzbzUWWExUA0gXGeif/zbZRFVyosNxrx/FthB96VdG3a5A/e1 K3UEW+R3bGfgDT65EAYwDL8F8l7yDzkiL1fr+XmnkQtD39y/wiOM2widSoma2acmmMjVYTbvQASv rq5rR31ufR81pqNkfrBgmSgYkWtOTLJgiR8gWh0f3AgytA3+2Kwnk2/XSZZQG/+YH9R43t5fmegU pFwbdca5sUNC+c9C9yURFJMRI94kZ7qaZWjCyo+CduoXwVcg+tj5HIY6lgOGWSjtozT4DqZK3fDr HdR7eV8rCqIvDOT1tLtzwvmi5oj6s/7xG+1g8EZ+B26HIQ+rB+8zlfaI9ZJPDU0Kbq4QwLiWYHS3 Ok65iUCeRV5Ig2y4PeifroaHkSSYoQJCXiYPqfP3Lx3I0ubgOLCVbzgIUEAv8jHJ1sxixXZa+cvT 2RxEbhmtuUT8hUaVvJ0tMJivutb6tWJi1e3K8ZYc1cx+/W117kI05eqglqgVSoeS3vXaLIb2iKdn /Krc+zhuDhPwfbi2kDViDfvlj2OeQ8SqGzwTQAkRrGBTtw5d6S86VhLfLd/bUKSlEt30TaM4QP0O XV+sypplhCFPLHOsLX7JJvopkZeSn6yxBA/I0d0BGKrzzK5EFgfkRKgJ2tOo8A1exPtepbODi0GS WieEfuFdCLEWNtiauUguhe42rHwIisNeys9XGPHywVKf5ixkaco0R8pGqrBOfNLdGZW+BZVoI6Q3 bekOU/kIPQokFx+gDInbyYeCdGOM/f0YjxpKLwufgEHA5etyTtGVeVtaFX1lATzT5/Bo0gvlhnxb PAwKMNZJGXdVBtP+fsTi2p9r/mkVFLk1NaDReVEtdBhj5A1jHYo1Q6cEkTEwW4OrDwKPtUKbO6mO IV+KcY1h+b1IM1haYasZqOWVgFvY6vXn7nPUAK3/mIkEEWeIhEVradg+0xOSjlNelV17VYYAeFMg Drn1mcfPPBxwyFSMJOJTnJvKFi0e/2ulqZ8oqmUk+/0F46yx4SfcwwtUgiN6utqWxFoBbJuu4ZIu XvuEe1nnrNyr7DFR6xiOZzBP9oI+tQcb5HEmcLDM9fhrvoOVFdwwDjmx6kJ8lWrPzDl1skAmiY5U 3qMyB+98a4N5OY6CUa0GTdHkrJd5YNsKdvT8R/q7SSwtG/FOkm5FcnC3haBx0A41Xitvdit1aG38 gz7PYoOl4Al7J8en9UBBBR1m+2wL9furmoXvRunjKAqyrSGxnlSUGAnWsTdWX8qQRFSn5g89mnFy mjg+QaJRNbVkTmzf6kuCIboJYDjWDgzkDjW0EmwC9oKTpsDq/4QelWWU7eyrLLPk+sQsNrlE9fc1 ekbQn/CpWIu9vqrZRhmeX1714qQC4Jp9guvSk2jwYiEvxtk3zt515MnIlgk38OFgTe8j2V9MtHYw tWCePWk4sB+CEvTiJB5Fv+ctwO6Vl5xJXZtj9flyX319Ylj3s+ttN99sRbItYIDLu9SqeyTMAN29 qw4B7Nbna+hZ2pmQAIhRpFt6zLiQokDq49SKkGveUZWHYepqzMq0zZUx1/eJUgBgs07AZ5eKbSgv f9go/tdapMF0AuiXyjUK+EHprWxu9DnwjzEfTBO9YBHWiXxFww2hf7+LXoPZ/WER1ayJn82V9fbq 9q1cpENawQV9MtryrifTPvMUGjvfjrDIyLxJ/+ybB9HMIk8oJq5qbbqb78U0iFlNuymgwHatE7xH X+yx1vFN4yTmXArffnb+98uCrOmj+nWebtYq1jIr8EXcqhsghyU3o17LyacpJWbYw+fxWkpvF+5B EpD5KinNeS5erQzx2CA2qcRGU996C8YqF0vEoydN8qDimqiaYNQfHDX1YPQK+C1kr/7k7DFu82hA BntaNNuyf1v4RLXIJai+X1cS0wG7zYv4vbeLainSiN9Ruz9wqYglZUxJzGufviLrV1H/9+wPnmIm kSnInTranPZy/ta10lCBLHAVNLuUPipAGwhbPcffB9Olb8SP/O3FJluFO9OO56l80iAZ13Ew+f1d /8Z9oTchJDTL3LEgREJ2YdXP9/hgxoj/Rs4U4vJrJaH3DijkjE8kMhBlTmOKT5cDGi/Z8HrQE+FP QgQDxH4+m6PER4p1PadllMrVgBnpQXVSOg11ZF9yJS+wNxWO9qx9rzofExBzL35RyDjqADwHyKQ7 boaWDGGnXyS1PgobKMoUeH7IrvXaOBN/TMTq0br5HQQfx8GvYPx3wRQSGiutSpbZSKIIUTrZPNuO cZD5qaU6GiuJXfPvugllzL6qIKCiXkc+hFU4B13o36ggRIcuznCL+IwxEW1ODHWfnJPfL8lbZ3Ms QeuQ4Tw6mOKyGo/iaT4eMWNcI35zM/2/LkqTp1YHON1EHofpNTVWkH2dLqnExyw/+mBb/Ys7VP3l EszuIYFWohLg+Yhg4BoiX3GL8mIx7Cxgb4C8Av5vEnyn0dL4xcMa4euNLSufULizc6JHlc+ZUh69 TjlGj4D0PodyyR06SisDrYUFoKf/EQa4c0Nz+F22mT6PPsoErTFF4Ibt0a9FWNh8vPyiWhdWhNS8 04BlWrDRsk6JvEDxjIOjohdjBzF5G6NnZMhAEpYTU0GoFmoP61hxem1krNaA8uabcCbscze10q8v tVdozUCx6iK07oK6N5Xkr7wuvTUxgBxZ6jbjHAuo4efuc+wMW+KvRkses4Za86IUwd6d1mjzNzk9 wdx/SOvngyzhpaoghPG6KNzyewY+S+A98VROcF9w1eiOlgpT/PknG8nw8ojfcl0XrichUBf2Xfs1 No6TQTDcxwo+Yo32O/CdPd+pGCpPaauIXKSOrYrHC0Pn13qoGGHr+r5+5bJuOdKpEnRfRrfCHdy7 zug3CV36kXB116Ru0TAbwY6/MPr4V/PbwZvfg1oM+0WdoohIm0zsnuP2coIRFmR7r1HIaExUWRNK 6uCM6nsxoe92T1Xr42TXSmSJDnbBlKRsNh+ngF0f5jDYk6OXpKjrGBbpHAGMjm4G/SYh5E1eSKFp SeLp1RS7S6svB4xiXrx6wky/feyrzxJMf9v3P7ndNAC84lB8iKLwQRqjJTzTwabodfrrCd0PRCNq AsBx+Sw9KXzBDuLvYfooan72FM8uJ2IYK4sGwAcNvxUvP7zLla4uyIJOOYlTopLZsM9ca0sVwli1 DC2+AS+LOdjJiliftZfC9AdrqOaco6Mg74R/BBTfu/xQJq/2lhkjmASvYH15dtSiPgnsd0cMYkBK gtOpayAR4bypX60QKz9uzuiFNt8EognZw+dJLYY6KZAnSx0mW6syBKlNGnb++Qk5cqRmUcuEY/XZ lFKkynkR6+LUJ3XqBoZhDvuBRAVHmyKWaATMwtG7bX9nRDmrJznIojdq9/0KbI6+gM+3u9U6slOl ENHwwUaMrOQL3B6eCZ6AIlriwPqDwOE5LyxyUjGwzIxlgWIXq9C+MTGmboC9dNlAWaDQ3Fj8o1hy PYxSdP2o1yjSzmk+8dyMppXKmeT8a6Z553i5LkKIBb8/oy513juZ3u+VdY6ONXRXzywUWxFbNY+H P7+nY0kQSswElrCndAv4+2WLDGtf0uxn6gWKRgVtADsinIsxyv83iLRSPn1vVQozvOaBTXdVh+Ka /HG9++5P1GpNw1JXBAGKZRyyCuxDXg73/KpMQx9Tj2J6NUb4bGyyB+FNyT+7g+THjrM6umCTS/0U lSXgq3kJ+0oSDX9GUXlR/p4af1RNKvkYTe/qPu7Sqv5eH75nFbwOZIaMH/EZ3BF/sooEWEtHxGUk d8XwzLC9ZX8Po/Cmlxei9lZjO+yiAQ/El5pgXCUw3VaLbkoJ5tLvLu14tkgxN9gHgOdtWewkqFLG MsN1KVHlYNu979OlYDCj9ApnFyg+jAeAOhpD4YwZSSbfBA40O1XoL+dpLpSZEB/CTGENmPOlGIYu pcPoTHI4DjA6efOmu8Iw+AZfH236n2o103ijk5QzSxGFwF43WX9JDtDEN3q6BzuATq2WiJDIPy0R he6NlADqeAfktGU+rhAvM3fmsIr35r4sXg9F5Ooi22NmcbrE+gf3+LcenV2omRVIK7RCCeTsadPZ 3xp75gAXZXe6bB/tjgPfWxIcpJ6djP93JF4bnZXQD1BbqTjfkBZDCFjL44EKI/hULGT1F6vg5fFH cbhBlrhdXM2s0CI51vmCWtFCoGUQjYvbp4l+2zy8rXVchr+L7fPfoixb05HFCFHzRKkQZ7OSEchY QPYXLJqwnBIc7K26ejhKTX1PQbF5nvKKr9FWTPU07/mR05efA2CmFcQE3xuhzPyXbsXmSDbKoIyu Hw8MIjXnik5NHSlPaVABZ0gw/dQXjdZtTSZHN7Pm/512vU6vPMUggSsNyYFkNjPl3kFEV08h5BGX PpsNGjBxdUkfvO1J3HZr1J9xqlGHcN7/uTbWDtgAWpWrW1OE1mBassypcQTUy0pvhiRe1XUTaFI6 4dHSVHWaGr4rJyRMD5P1F7+APBMA41hlTfuBOkaV5Il/rWgVw1pgkOJkUJcaOFJ39AXi2DtXD26p CPaAGBdRd4jzvaOEqc8vSPsPhj+a8Ui2p84pNC4L4N0y9ob0Qz5sYfrCRS7ygB4zetYWxXZs6eG+ BXkmP7NHWGVPBnPgW6aJpwiuTWbBedFhCEvFpMItk1bHjpMElUJinqRo1tRTYHvRS5ZPXOfJaMl7 0FCGyjZWDuWooBT1i4g+n9CT2hxV1BL4tRMONjeWt+HCazApDU+RfpSrVWLE7oWq4Xw6KCOhK8OB gYqYVPkaz14Wl/OyWbkiuQrKHXel8b48qqh8GtXUzgqDlnne85g59my7xTR45H5/rsmAm9rEH2Hb bFZkmc8Nu5nw2tXEOaWG7VNXcRMfewoMPtxSH8qUap6Mfr4jcNCfiw6AMGivT01chg2bDvdqX6P0 d3Nea9h0ekxkpzbbYhb3V2njHlrAOMpL0nSn9/GIDPljknUHvcNP/zcEU6ZftkTsp7xMUbtMyXIV ZrJVJlbCReDPWhs1wyfxoR/BZW3aiKswYwRLp45Bad2PMbgZxmJvSqKGxSADQ8V2Abom1P6hCuAa BGVGLcGDVVQdNDw0vr0uUoflHEPAlV+udGpGv5i7AT1m7tQ5L0fsqDGvVLKYJwJ0w85PLTPNLNwM /BAxTG8HECaCM6TE5ouU4ZYUr0Vis1xRse4f+Tiq0asssqr7jyfxP2ZGC6YrgRtcr6YYWRlJWOB1 TyuP7+Jy/yBHDkaSuCH6/BpxHldK14ugEsz3sXUbTf7ilPz7tygyh4WkHgAomP3VTwb+2IqJYonu qQ9lVWwDemE2RZ/WHvBS7hgAWPp828S7E4G3dNy4wCqSS4MIO8ZfQhmNP3Jqkw0FLntQ2nsNplin tGaP6HNYCXhmt7xezM/G54xaW0Bn+2xIOKiMEsaOBDug9eVhgJRlCvWuVqAv+WFtDKPXzAqw7vhL VQa3y99fnN2Aegbk3w+/1Yx/qO1OEd5v3mw23oAUS3dcgsGKvbj4rlQNRhj8o6gP+gmIXNJz30TA 3KsciwAsRBvlDG9AHIQmFrLmpARFBFltnRVHPVOgdTPnpCXtIW279ZLUz5tE42a+OCFMEXgwNw95 t/hcs8kqNLOoEuCzErtbhPQrQ1MhBAZlU3tArknYh1WlOYn3PA40XWX6l0vzTQtHvmR24HJvSlIA iymh8u0LT9Dp1npz+knNfBYP28sx2CkIaZ6UekNZKP1333TFv8fdNIKysDGSvJt1Gt4jEZmHpLGE CuMCbZHnYuuto4QeD1waRCROxEc1GcaOrOaQT1KRI8AV+cn3Hfl498vr/ef68pF3O9/WDY9BLGRk uDl/i2+sgcUHmm+npVoD9v5vNLkbUkFe4UpplWzLXMSAImSXc7o4s5pXMeREnr032aLi5KAkydYa 8PRSDPB614x3PY4zBfLoyOypgiJ61Jp52t6hYIWg598m/u4ZEXn43hw1+9VA5fM2e8ZUironwfFN aRVvgxjlvsOAy1wVybiFpgSeRE2kKhLgEEiRD9yBFlRdSNeRA0fp1iMgtRT4taaARyrIh1W2MxpX 74gQvyfZ5cq46c3CNX8aJmWYFx9LD2j/6iD9Mmpuye+kQ9KHyr7fYHMRP9vyZDW/v9ikyLVozRq/ ILkKD1aUhLKgDnyNxsbsKNC213yDjGK4MpSEMeqq1O55zPNUkuBRxZEt1J+5NbqzP2sA7c93onGU 45GihXIj5WTLKzaPaaTiDeh6SMjnA/a6JwCXr6YCJMc5nPt/suq2jfQk+zklYNR1IwRSF0tFmnTp C152Cnd2nzCis7T3KM/rQinGjv/cZsEILYhfL78Wki9vZWIoMaws+h2Q4MTy+O7tBOxHg5nfR2UR BQwYxwn7VnHF6nKxaLdcRWEe7EWNFK7C9gvkfvKGDDkZsAKPF0wBUkHfnkWKA4QJ21NcW8M/dYMV tb4x8n0ApLmffK6DnHS6a4PE5phre0qdxg7DHbHCQMkRHsX8nGaYmof4FoVun4fnyMX0iZ16FUsJ PWbQXPtC7TFzhObmiaS11n9dCGmZ81RgqVMLY2c6XbOQf/sPktBa7NAT6+SPRff4QJBCsuE44aib ifeUtjWqw29bB5M1/3Rol0x9/LgoK7W/D+ujEqp13JTavyXBhTn1QN20UvnjNTF5qX3T4xsVX1Fw 28HPRmCOrwfoa5TAf4glStoIcZdfzUOi0+rm98mBPIyCvnWqeE5IT1Tjr5fhJTFfrfg7rjzaGlrU yhy+rGt4/43Zn1G6DV0PdtSlazLN2w43yUoUPLGu0HgLqE/Cx9bPDCT3gVJbeX1FR8a4rRZ2vsYH Ha+iwrdISm3frXydIBRv+MbYsRaQ4+M6DWEeVn09O1ZIJ/hsmjLiG0USFJOJbyrFEQDK2+KKtCP0 59IAvGxjAAMWrtA9bpp5W2pod1pFWE+ZFiSoDkaF3AWz70IVD6sI8n1N6SnjwD/g1T5gdaNYgp8E jSvSIenasqJH0dB1/g+CiyYi8uDigIcAfOtZSFJzg6tSjyUKTTEm2yEDOVP3Z9winb+iJ1KA9XgH ZTIhqzbqvtbxBRV6JS933V5bTClI2A1aP+i9QukT5Jq8JunE8Ylzp0SmziPRitFbaZpIi36JSLFv vqSY9/hQwWs4oE10l2cO79d6ovBfGJvqiGakjeaXl9Mo1jLGBWixqjdaunUPGszDppbLwjRI9x3B a/S1RuBTJCBfTzyMxVavWv7FxByGg/tcka1U8mWkKL7ZfS9JIXS1OgS+lplbkfvcAMRxUGMHrRJv qYKCawgArMItK5UOLUJPgLt0JiKptGw92F9HQ9az8Tsob/kWr3xm4ZBkN3dtaPWEbVj1gU0TIcmk HR92ZFCRaY9CGrqzLEOj9QBSR7W/V+xHdctgkHHgvliT3eA9NEeuJNudEVk4qXe+pEtO7CLVIchM 0vSDI/cPoyKsfELhPULTtYHQJurwVxdKZUR5OJ0wA/kV04kDG3n5CG0QiUAjyHatHEtH728qemWG LED0I9K+TG2tq7w18l7AkSmRdfHxvIQWW6dhaJEjQ6RKiaLlTiXx+hBu/iXVqItzhL7hp5a4U8CV xTezAaA0XJPZ8Q37cgoFbIIIvIhvGhp1SLoBh/MqwlMqwI9WdTQHqTGfLZqdtMjGaRXM3bNUbKE3 KWR00lI3mCIxSFQNPPhxY8Cvc6yZQ5MZV/G4UpAlBPV/XacCM12HelDApmzv3j1voyPyhKrmGa68 AFyDjdYfdVxriRQeyirX1W8R1wP/uLkYnMlHt+3bR6h+HlLTJqOt0BC1HNLxSWzpgkNU/pa9kcVj AKPvvS/XAzW5e9vKyFyymT1JawUGnypnuMuwLQxvLHVC7yQEAfUdORBPJxIzn7KjHxB+1EesXRZZ E57Ak/ZCkAIPycJ2VsqNoqnQ2IzJf7NAFO8gJeu9MVzSB/Q49nkhdM+hosbF80TA3psTIM+ihnCw 20op9IbaRG0BN9qcFsdgYuh8/FrcO7f0FCwZVWKVdvADRTufvrkScH3UnbluHHwsKSdpl93Jf12k O0yY1UhKKjZ1T6rLyW2iZVNu773UmMBBsqdHdcgQMdcSdoL/DyAX8Cmx9kMFFjbbSh2AnZe6w82y Drl6U8kY3Ml57ctg67+cbWfTZ0WZ3LOs4yIePjR9v9YUOYpXuoNNv/GFQoPbNj1o4DdKBZHE4E/2 Iq8J8xcgUb2Q6a3ijNCqR+gg7J36zSBZ7wPJ7r/T+U57vfTD7Y2s2mvHNw5wBEtVceuA8McczfX6 95eLtopTnmIKnOQdZ/rHIOHJwvl5systdBbvvfsH2EcGm846AtpBddirplDesX0fHem+34VgpHeK aTfzihAwvMdq2ic3+InwFtQMbxM/d6uSvrjW1ek1dPG3g4MyJE4o0QmYjuSIzqKoZaGZK09OQFJg 2o6xtEf/u0OC2b0MqN8INiQ4797cD6eXJtwXO4g4T6sFhMm6Wa9mCd2T0G8ibfHXbAIVgJbSgjFG iy5vOzGlkNk6PMCphKDRB5PBq34v/Iwl6eiWeeJzH1WDfrnl0SMBkGMXIfz2Lth2t2AI1oZm9/Wx boDsR3xyGG0KKNJm94LbDl+/XBnuEZLJuNLy/dw5ld8Qgq1HhHWLrN1AlTJHnv7VBkc8VOezeYXv xl8Mbc72AHwtsde7QPy0NET59L21uTb1u0UMtUp7Dc38tQtvzajh1OuM5vG+BcrxG/p7tfI19d06 9c+tfqIaX4mYpkNcxguyaIC07H3D0fit5LRD6noJhhEN5Zpjv4APLDZutShaLquq0LvUG/ozM8FU lc6ClK6nTub4udeUyKYc1kGyP4T7BGcS9FBDAYOLOsJRvQQ/ewgX9oSCYt83vz2bY3SaRbSvDeSt BJayzZOzspWwT81fuJXHPj7wS61wksl1rKwpttol0epHNfjGHnbtyGY/okDnbfvg0RGuJuE0ChJu YiaDb05LSbMuqVbqQMeewHNWqmB+6PLTMfPHT5xwTCTtFou6GtPKO9hqOQvaN+RnoFLwKDuVIdPs uJA9uGoN8Nhh7u4mYXOsHoZm2iqFlidITj7yzOdCjNfw7yOi1xnYuHfibMBO+Ay7fK2h4SQHEG/k 1cP+SGbHv9Hu4OGoVyjCaVpcuf+4kuVIp+LNy+zPjllEm0/XSWD4r3DESlt59LcNt8c4j18u/N9r ma4a0FnfW7JSzF/+XwUw7lPs95GL4NEeFGvnhyJpFzqBA2jp7EEtT0cvNVzm8zQSe2Pk8OeJNd7P +DmlL3PhklxpmuK+uQePB6hPENmydUNd7GW4wA6kyWGxT02iiNUyt9T/tUQ0PZhOvGpjCv35icGj qdKCOJd2c94NUk709kaMZ4UZJVgsiSrGNhTJpHYqBm7YAphPafKDciIupd/tc6Ntv5nsX1udsD+l TYpEQgs0bUSA0Kb4Xe3OkeJUkt5se5nty3mwYQ1KV4vK4ewA+3uwmXfG5zoiqk8BONpN11FGbX+q SQrAHCMGWQY6bTMSz8QZ+I8pt8bZX9nNXpbTdWfRqc5ERX3Lm2zL21G96dML75UEPLOz6+k74dmD sdXt2A/CScxoMu9OJ1mzBMnTQrmumyOCjBrzM4B5zPiWlo6f0nL0UGs1Lhkx0oQn4Z6FgzHm9R9s 5abelNW2cFM+oKd0pEDcmQB+5AfTHnButQpynARbYUrXAt0t+BiUok4A5wS12v+1t69Ge2cbxXi5 QuEb1TdQTMaprobvP4PeRy5RJ6sKOzDrGjmnGnNnDfx1rjb9vHODpALrb3Ti8bVv3yaLapl0pGeb dUAy4/azIjLCqta5mYkKNWvBFGjwm/oRxsV49ARly8bZ+yxGqrtzfQN6GWfo4gATVZw9MpHlb2ih afO+jYlyfhq2dTUgEIB/ZYlzO4jNaRwdOBQZgnOE5eM5Ht3eVSGM8f+f+YcYahT7/z4tyBmkzzUV Q1R61L8rzNE6+6fEtQkDjh/ajcIRscRgG4faFO204Rqu7UcH/C0oV1Ikp0m1ZbuXvXeKH34sHKAf KIDNDpR2x7sPSykt2Jy1/2faaG98Cefu1YxR7ms79PyrPI7raa5aBL8XLT/glUvHH1IG1dZ8xtCu vp8JZQNJ0b56hqGN8O8c+t1XAWztoxA9gtJlx2aUYP/sy3EfAueZx3r+QjURnfjhubOIlHKgUrdl EWj7y9jq/lapR15+6/72Sq3yc4Qzbl1MCceJ7wDultxuAppnQKg0BxRy/MAHPQlrWGoEirCLFWps ++I3swM1F3M7i4T3dZmDykzzVP91DXs45Q+j9/ui+l815Y7ohSV/H3JpedgkDafc77xy6Va56FS3 9aiZSwgzz2mFbFNEosPLQs4f7l3rjZBUTWKqwEfGYhKc9vH8en6hCkdk0kNOnYq3FPzWCNWJ+w9T B6LJ2kT9DfsYdsfaaXNvfvZYFzmVc4/ZX3x5N+ZN30v6z9k0PDZbFz+ALxLoF1KO/6YDJhV1nRbr HVRclMzWQ9Q+IE2aWKSnBFuim5bMqXsjL72txXaOm2909ZDY+uPAFy6PS22J+jClW7wO60tqd2Em O5e1bWr8nY/NF1tsUypow7eS64v2lRAbBoyxJIyqcTsVco4sSyuSXg62uhh3x4YkyaNDHVC/UjEE hKsLCUvtGlesgqZb92XDAd4r2vSvPyUaWblya81eLV8JHpOIBcu6oBrNBfRL4FUf0ST1EWQLlSAY kXVKD2XgbD+JAdLGRkiaZPm+A5FEzTl4UkIPrwgiFaI83FRlRk+2iRijB1P8Wn/QRI+gxpZjRR/a qege0Cwbzqto69jyW+l74OxuXcmt2V/3rmBMqfFcJPijUVAyxCmQNquxuWyX6T9tNFL6khixczMs vn3bN7ac5tdqZ22LN3xAKgN/dmW3IUFLLzChxpmVfyEH3aM4v03d6syMz5lbdamJuG/9ED8YqIq+ wv1Ocj6jzKRyGmbRod5RWIU7Sgwb5WdMju4Mfsm+jGTJr8pAMY90UZ6ZlQ7gKohZJzTXHgO9bE/L qDJ+/07nH9fpdmUkjPVY2L8desww7JGIyABBPBPjYcEffggns9mXk3Td/Or2wNrNSIb9GobaYH7o c456VE2fTrtfJzp3H/At84WlS9gwmjheEUuy7JQwcxHs5i1gD9mpjywS29K67doQjHgMWTKek6Eh Et3qPx7N8NEDoIF2wvtLHUxv/QzX5mFjCtNotZlVszajEBXRoHiUoMXpGTx4BdpSPZzNAGxyA2uC /sL/7LG0uPc7cd9i1RiZXLhc9UplX0GOxPTLKFxzWKXmQhYHJXrKRe0CXiC1aBzZZ0JzwpigJY5c LxS3YJ0+KI26VJJx+JtdyMjyRtb9WFxUYiZ8+CRl+FUSakYQBYVuklMLXr0QopLaUwtzt7uUo6qG wkHGvFP/F4LhBOUVKdfbunSbVbZvFxVVoKMLAXxQhgGxG6roGbj1A4QKdwYEuj+yD2pxFmJ4Yfi0 J5y+PWQ1FBmUOGTcxEwQkZgfM5zC6T0wSShjWgONmn57TA5T5rrFBZrhDOP7Nstc7GvwPRU4zzvQ mppEolaZBkSwIKmHgKykT1qb9UKvhWpf9MXG1hQNPkKQFSDwx2HC8dAw9eLXJDuDs6rO2nF26Fgb gEp39PwYHsMR2BN89SAaOSMGbJEIWWnBz1d9wV1ovPB0IoS0X3C5VJZyUBUewrtwa43u0N6C2a3E qwZMBsLJk6yDiA0iRSEtkK1D34HcGdf9n+1urBSuyidOcQdpQPWw7NVfHRxP6YvcBLvrXDU5jTpJ N0sgNsAPSCbvwnPe84hO5xI1udGGDJ8Yg6MYD/4EeEvGrIRzmJEvTxtzHJLosGCF6EOtseN+VwU9 AoM0UZw6hKeb2ian45r0PUpLsOa/gJuYqpXfbu/J779jBBG//anNMeXD2ZWvLZNmGoHhuQMQnYT/ i+mYLDwfrPHRlmSgE7VfP0oKJ0HkWwrHgBOkuIos3eS384S03iCuhbmXzxSZF4o8I3TwQUbZAsno ZsFaBGhKRUzkVE6T3FlLUtpD+eCa+OWr2Rz2R1WJNaw/00XrGI/vpeHUPB9c5vZCsnKIn85Hn2XZ WbOWMO3NGQg7ORPWO4+XPiKrjXddqXjV6MTqjoU9Id6GgYG9rDktUeSVaVOlkLvtxKG+YYXghZOQ xRP0Kdl7Mn2asNL6r9Foz38L0rs47DqOQ/uSvev6v4IY9qlUF59Oyw3sy9KxPu80ut/ALgLQTpuq /q1L2CCTIWxUZx6VUE4Afo5AIPAKgYSrHKFP9TpDjqS+PlX+g9SuqSEzX8OX5nfvlcqHRCsgIvIA GLSa8SxeJyKeXhGIX68+pYobb6LGqhMONVqibmKt+rOhgOcsh1wy0TpP7R8V/Q4ocpFoxw07nRM5 Fh4U0w3ZdE+W+5eL91OkY15B7ti1hJc55baagpFUjieXfzNGfrBnRdo6Wy0cUlo4HRa4v2VYHuvT ylp8Op9uFid+WB96KdHDX3PmOt0X1JQC3LYmJo957A3JgTQSyJH0cFCmVu8vV53H/AhUv+1G4poS Qu73YV/xsPnHKYtuV/Lyy8Nlk4CfYWms7TEcq/9gqUjTjpG9eJPPg2ui2RAOOqF3dGaeLNCNDkiI t0DYaoBYchkiw+QfOAoIRLP+ldk30BMtIgOI3PkQAOG2UHS7EzlPib1hRs3mQmkQWDWlDkkkJrsx uW2z0pETfOZ1TUdXEpSX7eTj/hp1wg7rIgvmpdlgJXH3bqxsqplCl463Uw7yPg/WQjlbVSUluu9X yiBbLMRvsAyDjcd2GGMFWFqq0wT9lClhiDn1EBsLWb/BhrnaMZAdVf3+m4Gcw9utsAvJuGKD+hCg jGy7B/Q5UmFL2MhYObnkxHLvWqJ2Q8qEeWMSIgiH197xyQev57E6oZQVyllM4TwxDYdv51/v82Yb fg48NyVz3fqyh6MSGxpceTap3SRFf3YBm9oA0FT/VZ5PV/XIkymZrLye6C9PJ4f2YM8e1pUMXFor /h69pYWukWGJsxxad5trC5FQ3OmsRbSc4x9+tX9fGBoqM/PykflecNhb5hdQUySOn/o6m/g7eLXW G80MAf7nmVznz19qse8DxvudIMjgEeEg9j0crghQfyABNYKVFvfKITikyLJBGfpA3IElCUwPGO+8 taAMDMsIHMm8Me/MKzbKFWf1Na6vZuqvq8jDXHcTQ3SLJOu6NekwuigR6YKdmx2dtAkx5FxMAM4G C1W+0zH/x0hJ4wi+TcuDCVVoFVxQzFfRzg/84y8rTAbYILUPWjcOFGRootmPgeaZ+7bfrXIJCCKd r1pRqtisU0eGOgCr1zMXzjp2/CKpsHPpmryDSHBQ2Q406jmzoWyIi65neNTLtJYwJMgQ+R3lG/vT HGfkeXfxANJhu84EWPEAgr0bwALvhjEvhmQmaGegMjhsS9L1Cu+hTnOVWxA7kBSjHAT57ajLTpgF +UrxIslzJ3O1f39xj9GUNFGQJtl/F7dafJK3bGVcbDIasvS0T9xYXDroDuoiuBM6ysWYDpxxGWKk YnGFX3TrqYt0yNy4IJXnoHk94YBAe/RACvEc+FHv1VLBR1BAhbw5hMf8tDp5OSW5eknReb9+k5Dn kwpdg7VI1PUpr2/uZCWBAcI/RAYH0StM5ovjd+3C6BBOtmAVeK9eiNTnq2lBL7PmAQDfnkP8N59/ tqkBRyUrDVfSJMdmSQo0jjNkc6lte3BC6XOQpPb+pW+2069R8uH3fdAnPs3T5BjjOUbEFz+QZm9j 7uZU3R0c7dDr7iP4crkjs0Lwa0j+xE000TzwYmQUEZtFjQvl2+4DovLcfUBp5/GNXkzQ7O8VS5iF 9ZM2+Ajewk+n/Wf1ncFsSg+ad+JJK+lO5+PPy6bGJFi2v+rRQmOuA+Hf+uk7Dj+Ahn9rBJZohz70 TK06Abnx3gyCVnZt/ZQ8cW8wB+XraV6A/gKQpkW15iDe5r+bLjDt60jzXDdkzoIjKSETn1DtX3Te lz1MQ2lOxpyBy5RaBlDTYspCqVkqd+WWXft5mjZSvSch+ENiAaRwTmDpR1rmf40+BaJz0D2BOhzb sYE6fBMjMa4zFCW9N1WEd9r79FKYoS7cUy7ZlOObxE/+GFavmu1qL5DhtR35iahGiyWMNEb062Ez AP47lL8xMYxTJ7Yc8n/AH/1elBbXVXYcgvHBj658zKoBQ8BrnHpRVR4LPGj29pqwVHIlyqBSS2lL VhpoO66Uln0bT+ZkOQ+eIxw6SHkF+1sqOchLWULFW1U1vGk4TeMvkeeGml/OFpYCWWQVEDaFeuox 5rLSV0MPU/u9slMKHz55dc8RBUDvr36AYA2K30UbsbLOgpd+rfaaOnhJxD1sCJ4JUeHFyrjdgJ4X WXWQ/zXzz4iO/KkRWxX+igg59SqCreaIJns9DpNebN9jk/cZbmKhcNvSAdz1gw+OhcuKATd1+Ex2 eOBUb1AlMnDqgs8Fl0ZpPsPAiesPirVwup4iSjB0bgbrQwb/TMRp18ourWuvXGj0hGNqtx5CJ91f 1Ce5jBMG43N09Uwd4cmpRgEhMtmYl0tFql9ZkRYk0n0vLbfIqb8133i89NiQkkT5BY14ZnpW8vvf MO9sB074TUmwB87s59nsv03AePRhDL30GLN+1k5QjHv1RF3/LHuTDtmPcFxWjIfe68qsALCEyGaM 7ChQ/ojTXj8qmd+NT4nXXn0n5WZ1yjxXNreMThE3HtaOz+4aS4BONBhEIQKvSyfFcP+lZpVhTtsA ctfNUA1u63FPr/JDYckpTpXvukp7/4WvUJT9E5DVNfcWqKBGt/pg+9rRH59WJH3i7CTliABytg8A Wj6qsVjsGebnQ5lOjUu3HkaL97rtCeI/Cf/ikaaCmS5asDC3Ijfi6qbHBX+TRr0pEUgvTwo0bYdR lN98+KXt+9k9Xg3eBA3KYb+o6GSLyqrXh6SCDLl/7vexp/Wz5YaX4NRVhiTvyV5j1tUaMWaY0j6k Cu7nQnCiBvgOugChKCTicG5OepZQpX10zkJ51LpDoDTXNNDdxXfMnBw0yvbLZXKvCD00RPPWVKFb 9DlKwVA43L+IMKkNpSJNhW53tB3pO/fIiuIJZI2PJIXHWIUvw10HWvUCT2XPsXQrZomqRO+pq91x bz9brr5jIRElvD47/fp0DKErhIn4Ih2wANSl1TrrXxPN2zAExMm7tzGhsLFbyoeK+0iFPTvsI/3X jViKd57wiQsOvtznrf/2ZpjHa9/REOXCC2TljwJ/K62LkDpCdtmd4NgPmTtGn6cH91GqyXhP57LY Io7ldp/cCWl8a8pwL6wBolFwP6Ym3M6hBZEM6WqmLfmA5NE8SBnDh93a61ekqNDDTXCgay97a+2B ahd417q2crPfpdGRMVVJLEC9UuSrYf0WX00yHTwNzSfdc2sjFCkgGVQbXackeXb6LY3FdSWXRjBc o0ENnhPBIGdwhDhpfRr039E+vzpwj2kHEyHBs0txa2NiKohUe7Rb3YU/tXm0yKO3iBdl6SIHZZyS VneSoT28x/ADvFHOOtilUlY7sFEfNYz1NEQwCLaeFrOkht961WS9tVYtse4ibSHTH9KXVQL0PJ2S QQkAAOU2tguw4fwUxPWveoq4be7yk2V5UdViU6YmcKOVWG+byah3vVJqpZjLZCEQC2aIfjVuTmmZ lKqQJLCuFnJ0m9cFhAKzAtzrTY1S38jYWVuRmRBQr0kutotX97Yzeq3HhJGFwOLjta5SVAFdBOpE 8zec0Nldi6adtkdHBTbEkNoYdOswEOfMv1d89oyEpnOeHpzhECBqvHorI31Lzn40xsbSEqZSf1IU f6eKQ6Pr/M3YX+/OLv3xPzJ1d1LPHHpc5ytHDQzOZ8O1mqI++YCuqxQ7/DzvD8I6DJSOpixL6gkK wsR/iCO8h0vDAQuqIM2xBUft/64s9u2Kv9vsRno4/pqgzqwv3DF1nmOARvOv434DZubuK3v1z0D5 fZmCuYeamkdlCxDF2wMjB0NjJ/Ol2+FNcIumKbPDVtC8bEOu+E/8pxfx8XTahy2Pkz+GiMj4Wu8K 0CSDR0KVgdsoc5+2X0E/m3auSH0tvVl/9VF7K6ekA7IpPshJKT+YUdVaq6pDaPkInvTp0HAlfIxV 7AhM7VshWxlfn6Sb5YERMIhFJZFAaoDw5bctqCjpbyA7KFdbXZaKRot9vx5BmYkRkhImeHuxQyFU 5wbbwQNGgPFjRBAHWB1LnUj3UODVMVOtu8Zc7DXdecAvT5VuQnLPOsknwQ+unSE+cemUF8L8w5dA 6K1QammjmL6WoHTSoBatyT/yuSkSeIvMMMRYvgq+mtsQ6SQsEBgFuVSav074D/DTaEdzmO4Ipw8J N2CYIKB7chwoToyVVvhUkli14/dGDcs4n3BNaTNOp0c2t+REdll1HW0I36/OdhhncyZJvFx4cnjS pgOmGyp0rrUDQwG48hqQeDg78dWLYS2HbYg8CoOEKkVPs+7B70jx2o8qIDY+7+T2oITZVeVRhk1P Om8fHI1UB+zruNpbEG00MZprB+5f6sCuRCzzuvSVMzpZUf8Lgqv7EZm1VpEXYIGFGBxBu9OiRzjh tMJ/Js0pnvTYAuuAb5mRJbXSAKA28cUhx8R8qNe2ni41jYN/eSJwcSZSH+QAovWPsh0Ddm+0KiyW hG4MugDU5pN6Reeq2j1W7aKkFVALujIrg92h8Af/fLYrnsF+P19P4YNRzgtIvk9SoSDn62Mgmp+D JXI1mExJg71W06k5/GA+Q3fr1YK2r+gWr3Jqw3JbZ0nSU1SMIOqVlauBaG2Y0WRlSVj6iTjoUjmZ kjYD5+a3RtvGLNrYfvGeFkbqVx8W020rfvjKtdsJsJt3awFegH747GI4UEjnU46qM5N+ycOosDCs qRyeO0q8zORxMwnzh+w5fzenLpyzMQtqCbAryStHqpr0F5SnaVMUXhrE8cRxDqGzlVJYYTDKd1f7 uQYW46V21xQS3hbjAivYS6/j/yjHbSqxGJCLOYxdNUVn1h8HeHyD/yB/XffEnVw87oDwd9uBRlTH f7yYyHjFG9Kp01C1iOzuuwyhBVyDKuptdI53s5CtULPbzz0MbvZ4SiKIVTN5fQRL02m4YEU+Q3oE h1DDaQwfDsPHS9dmvGJrKQAMicByRFLHjeSLPxju3MvraFJiCAigOb2ZpIxU3fQtpcyPJ2u8RHkL DpWsiVuAuI2rJIMDH4kXgxzCpH+fQkN0tU94732gyybkjVm5jEU/v31e4YLiQdlQTUs3JMaB4d4C o4Ng39wjiap7G4D0J/Y0CYU3Yv1OjJT5l6zj88m/FOOLZliUScWmZYGxTum5M7/d5ALIEAjtUIGY 3GzIsmlZEsowDKYlaW46PDRebbpyn3yOpJ6senCTgC/mmQHlkNIPFGIFYCUTLxyxFwqMSo+1EXXT m/T0WbaCfCvsMiJnYvxXZLRcVD/T0ZT9kGPRBIwuvOa66iO/G+Bvvg+6+f5jzU0ZAQQyaaVoljqe 7mbJcVQ9L8AfpeD/t5D4FKLaaWD7bCJJhr0nBEZGIfcAMi9m5l6S5at5XIMTJ6nK7ZuTBWv/iaHQ SStx3pPLzTNruoYKl1dotJ5dfecgcGFdWUJmqb8P0VbtlKVWxcLeIbxAiQ0xrldP/YmiIQ/07ieG kKE6DbxqYc21FwSjPTB9qahAZXIfX2zbCEz39wCuMgkxxaL8FC4SFoYCuddOQbLsfRY/rZf7mGbh VMYy1QPxJviIZWfZfQwTsh86dbe79DyEkA0iDBjn+3CG4QysI0igLiHqQiKN/MbhsaQ551BK5SvE bRLOf3ynLhZu2CfDGscuwD2cHZD8dEjvtlU9D4o2vDyv7p2Xe7MxuPFXn8vLnXZrt4osJnZmzFfD Dqa5+Y+24zeK3PEqcoUIrcMLGEh+klKBggAgeGQCeRtGO7tECvtRQZggztjHlnj/xiLmkZtwW3el QjkqXpYL6CTcR+oO2GAH7WwXb/wa10a4vD79AClRoG+4Pq9aCMbtbRc1S4SZFX83vozvmtxpwNoE 3mhtMDyGIms5DSRWXk15ycXB+IhC+YfccV8rqVpz3V8hgSi4sWopRbAEsQI+TVOr0cD7mjBQHdVD T6jzy0kRU8XIfZ9VNg61didZbCdn86JPmw1dt/YdzIaDT8LtVRYTdcldW7euBN+vcGlcd/4w8CTt PXBiufjOOtJ73QNEapeW7H7BXvC3pjFK7lflZRAYKKV3NlRs7J0OvX8WM4G1l0F1/LnvVShm9sXt HGUn7O/5C1ApFrG4dfS7oF5tY6IqmywfUI0mxGOBpe/1163+lqOxrlEP4HZmGlPf8/Iok0q20ZUZ j3b6+qj86UAQ48+0sXlV6z1+JPId/patYIzLuE8OsHWmloe9EOlfNuNmKxtlRgaJlY4aVEb6uC+b bS5VNz6HIxlAlhzFumIj1c7evHB+2X5quzUuN1Ge+1fYIFR7L+ZTMw1W8w0CqFBkkoMC2O7De+2N Wl1RGdpVWi+svg+mYui6QZ0cOwJMKrOP87Pjo0KVubODUt+yF1SMETK34SJGeyK52JeGZKaqhJx0 OCHV5S7nKZhmb5O9HMpxK8pw4rwCu8tGj+c3y3SJjHYCkPEFfgxS9EbBN7tJADRJM59AfZhKPDwC DyGvjZvTroZxturTOwZYFXGfSrsLwvE4v+j+MZLAjlmJMZwdVIuwFhg9GQ7NeqonI19RUYSvdeRP 5XjHtfSFDB/JYIH8bqaTAjotT3Cx82u0lz8uw2YDPsuLj40Jhg1Hwv5P707kgCzXDWXX81zgHvlT TeUwnmLRNqGHmzqXoPpysJPIps/IbYSLw9smXg0JqpZKFRLvFx4llBvaSgFRKWUqcYesUtwfdAYE rpld+38ZxuBOrWD53YLEoN2hCzDa/DOPHW/1pV+G3AWXZ4kc8isldKjeDXZpGO08uWYX89H4nWa+ 5xoandDy/7meeprzbTzPiQdfDRrdc4DO/MgOUvbQmFicSMwfCCNmF7HW/5ZtNvvtrm7RMZbKOKBD HhA2UkA7aMTkYlyf33PZWEveoRWQ8fYK7/afuNSxgC3eZ7atS223qEmjYnF3nRLXVTa+VTCmmgA8 ZWHq5+jyG7e5YC+o747DSa+VdamVLIeT4ab/09ur76tH83YT8n6MPpeYerm9gP1PaeEINjwuBbm7 WVHiJz6K4JpXQUwWKS/44Efq8V1a1Zi737FPhQQ/yCNBnC9JlhW/R3b0QVm2SpZS+yYugVfQh59F UK3u9cJ8Aws7/L9qRTq2NP5RZ8zewWVA8/K2lrb4mDgtp6JWyxLICgYeVSH1ScrezoqR+DuI+mPh QVzPCoWpzXI//T959UL5RO85O3jGX0m+aPUnZ4Bb3wbk6cSMm+TaNC05OzVtokSsNF8kU7iaTIBW 96ZCd2EEJHU49bgbVKJdtXrgAL+DsQ3yG43wGMLSLad7pBwS89qhYglLm21hS8gxe8nLcgwDBIYc loCuSgeHzu9XEoD4SJSX4Bxwhg6KeOLlp8jkCJaIGMQHruoH8DmgJ0szzXy3Nk4lwRRj3N3R+HL+ ZVUOPZ8WjJA7+eMpvAVfHgWdYIOSj8dUGV2+yKHzOPGXW9FU63m32iAchv5vSc5MeqYW8QcdcfrH 3eLwzQ4QygDAMq2xsqvtxkd2TMwwNDNWSPnS0v8iwZVqJBQOg6FQDpmd3ZVxUrUxMdbHhMdSDCA9 RwGA4uCAaJG0NbG69W2gjtqWdc9/1fDoW+7CIiOB7op+mMBKZRHcVM2rdgkYu808MbI0uFfp2INK KVfhg4SRgkmZodKcWjKwzNnEWKZcPGDDLhwHu3RhQ+l4Z5Gb9p7vW3mYY7vJfc2peKVWLI+kdK1j B0exbBiScjqPUfPhkfCp+pckVBAHwJ5G2oxUpZYQfVUwMZjLefyz1P6rqPXPL7Cc7o3NXnoVXQWW kMaTKg391bpHcYPfnbMH9fpFVCviQZXWXhWN7aeaaPDbcG3lrx6sArpk6IsAF5p0d1CjCbyLEJAm KNgUAeJKpwVufQ8tHd6+UGJsZ70HmNA4qyw4DZ0SQ1NKtgMC7cPOSIU/VxzRD8pUoTzhc71vYG2I Bjz5QYsmnlG6sgDNObsuR1e12s12wXSuaTl9Ihtn8CSbRZjewJ9OKcT04wMVsNfocZoIqGvifwWh MO6c1kD+Vpjp7cLp5ab4N5k+0pj1aDX6MvPCX32oSrGLIa0ta3EP+iVH6CE8L7zK7XMf7iXOU7N1 CukvxJ8V+KX99PKgdwM579A4DotdtS7iYe7OXU1g10vkX7xgo3FC5AYxeVPlvhwjHR9TFIx7lHgG GBv/21BoZ58HdNUtIIFkJ2oTl1o+dseatkQuZyOOppLajUTZnhE39mfA0V6RLB/aaRdbKDSrUwzS VI7R3Sot3i7jYehf5ZKBXyM3FptidgGt72/8cu7yhKg/bDdaoH+KM2pcn26ziGKNzX56n0ihCzsv UNwGg7f3ZbI3QjMumb06usALERaRRQC/QThMMq/j7KamTPZSa9+/2IsN16D3b+gIQsbS2OGltzbB cu/Ssusk5wlj1NTCXbmSKWVhFe6QDFMJdMRn6894q2Y6BVtVvA4YQ0GEE+SnALwIno/yq6MHlfg4 nsbsqz5S6UkxEwlzn8m10irkmhknTPu1JQI09VkUmgP3xVHhO2LdxEYoNpxYkXHtNRy5Kxvj3A7W /cCVwez8TDPYHwSXjXjmOoGlPkT0qfVCjAXiYbwVi2uPmkqteGY5bh4LYXKCusKz/pnItDvSwyJi mpL0vG+kfqSXO4uFW5D0YfdEBOLHv0ldFsKOfu1Sk/2IEH8jYptlShZjwx1Il2gNQQobamfHSopU n2hjrX1MimnfdPkyRCVES3DIaCWDL3I695MVk1VUMZLjh7lPfWDAaOV628RQHxgcV97U+RgWGau7 wEfw4QNki+wL8aDEBX3PPEwPr6YHKzBS6t3C3J/F+JL6ed8PGhPdUPn0Rg/efcArGjie29MLyLLp bGqjjwZfAIMqjufnjzHuSAOF1UPZR/z9GzhBkudjnjowv1jnmJVNmIfleV82yeL18wOAHHaj1RlI mp043NX/rIgusQpmsh5yhgeyYmLwa9GKOrtT/KuUEP/4228zQsOEk4I2zVXLe4MN9wQOlR/qMkvU 98bvGTsRN8V2JXLjV3mPPrLP3epcIUTO3KtNsx6n0DtJkS5kCQNmQI414g3OpHDXXVSTYfdAozuy nSntEQBfGs0BMY4NzifTnpsSkn8pw7G/OnhFQd2MBM5WR/FpaRxiSCL1AfK/wRtAT1UHfvPj6Sjw eNqHbGvZKRfvcmSrZeZrlbEYV2BlWFiIee5ycF9iVbC0WCI0I8DGJCQ9qhHuscIA9TsCKxcwcDuh IQuyfyAn0VtZN8nhd1TqNdUH1LjIT6XOc3Ul6UZ2+ajT2CoMD2OAoR68Zm6rjSigSY72+QrFZQZr blSAPEdctkQHLaHm3sHiSgvaBBFqLLptrgC4z+FFCA1s5x3dgXm/PbjwFYfkB2Ks2mzwds/QZgSh mOuNEBScm+kmuFVPRsndILD4wWw/hYGtk96MFOYB1bb7eOznxiBvtlfkYj6/353aBUP+ceL0qBwt wtdx4Nkzd44rA4qmZ8b1LmSwc1RMTHc5qjFaxbwjd/PIChFrrpGK9WHlzxEtJc+cXU6Z/nV+sarb TiuHBaKBfl5gVIYYOlhqdKplMne0bahrOL7E92UyrJrOhr4PJh6NpmX52+EdLwuCi0V7z/aVTaiB 3HUcktm1OhuThAEuU2uPjqH++VsVQ22TnDFwznAZkMVT/62vZHRTjRJslnKJtqgVroUbtwzhYA+a wKb7P8xiv5rTVYErWQx9hq8oXAbIu/JmmaRNX+cIoIUrD9gjvjqjHNfpKL+Vcro0kyRpns0F/hV3 opzC9iDAapA+tVrLnTYdwMacW9LKJpAvnNs/UeY/+TxwkcT9A1VsQ7szPUo6ShKuK13iD4KC4eI9 QTFdKElPRoQrRyqYi5kU5FdnHXaFrdVADRsEBZciqGvnRy+uoN2mprW31/cJ3K+3WtTE/nJ2RKhT ES312PFrxJSTTh+5cKw8g12akefFBsp4U7WKAztoy4RROf47LJ1Lq6xPcSf4wZtiP+2xashzlzU0 6/VIm2XazALxl9caGykgq3N2R4WvugTuA/qLu4P3zD9IU/np8XMt6AIK/NjY9c7d2vVbj8cxOiyP iOMt4kBhjLuQcrgBVROuxMm2GWAa3YnkfrsMzcS9YyVkGjaUEune0ty3a2B/dyRlM7m/Ufb84NRY dXdK02UCiiTVTHG7AQFL6N6o2epuJ7wUxekecqnMQBw4ZxdPOAbYBYaVeYK0WLXxflzjE3LP8drx DmXTO7nSwEhVH63VMGMLs+8NSZ54KEZN9jRLn85P+5POCf6nqmxgNvDCY1ZouuwrjIQ18IU0ZJLa SUWBsw6kQVHYQqfVlHyiJjmky/bhWBY0B8I9+OQcPTb+Dl+skQIdX0Y2LlCk9QbWhUBVY7JTrWn1 Ifnvr+BYo80BzgXE3eCHi89CkhSIE0DsMI40QxO5na0wAC87faAsD3fNSv0i9SWPcpEh6NaNwOu0 YkjzE5yWodX7d3zOAXz3u+83uygnVfLXm79VTQAcTnRm1GnSo7k+V9BDYFdeeWVv7di4m8N5ODXv wSZWs5oxc7FAhJtov2ODtL5K0dGE0YSOgVWs1lhfobP1iTg5w310hraynSR8MkkIXubBl7wxVAlK LGeHV3pkNO0iXMs31lJGH4o6dk6AviPDBxUxtVTncUvbjhrhDI1jjV58sE6ivPzLWJ9V7XeXZ+nQ Q5W62kdj93/YgozvOz7gW1zvxp1xdE+vcb9WwWcn81hM2qAn01r8sdYdsc1nnw/eEZ9AkxQ6M8n1 2GKtBN2hR8CZsD1tK70vFcGpijnoAT1wJ/+0yZ00+oUW9eHXdMmJazK4FoyufbvCA6+XkDtFWD1y ndH1e5m4WCSvEP+d/eTpKjK9a4DDKR6cBfHPl1ZhcqVCGsowAbaxujAsebpvO7pzu8M2q9V3ScAn O4IOJ8h/rWBEp+RPwzxNFqbuY7h/vjFEKejKxCyB99mJA4O2t5ieACtB5Y/+sbCWFUNLp6+AdQQk xxOiSQg49Zqf96yO37twmoXunwids15agW2T0CAFKpYfG5IDedpi6vQF/LlX3gmzavAaspKYhgeY wngIMJZxmY8CPFkf+ceDsP8zYNgGR6VL38xR3ZPTL9+Gtq0j70+H+n/ALvZ0ClqhWLtIfrMGp2pX ITertS+zSaBey8puJ6DbTC5c6wz1gdGBkux3XUO6SsvGBza5MXERKmjUOOqPOskchrwtNdWzt9NR 2F0Ih87FYZxNO+0YQpqYHmtBrqnKjl6aKiVZIaGK4WCMteMd1JZto+O9Lnkt2qHW9Pe8rLCvi7oG JQB5/PfvMiL0UpKpSLdU4Y39ctUSDOAFntr2D+F4pcVPSpk7hon2QnMQMzcwxX42edT1BP3+pTjX ha+OaIEsHCre8R7az0CRBxi+aYdKuzdzpLqodEUGvKn62otPip/ciuG/gnjGFXssXBHXBZq3GW0o bljD5Pa+RO9Ph2jM7vQ1tuwYqMsk21Slw290BVjmHUkJrfCVmBUa0OU28fLW1vYWiGIoFEygIYOR mca1rsxj7PHYvl+uZ+ivHjlA4z9oKvlm5rT3UQUpXpT/wguagCMCOE8I1EDf/XaMCBgSewt3DSI6 41rurIkZcmDix/jUCEGKu8szNZY8+phb+5QKw6XdwCfyVDkdubVpnEomeqe/oGxQkVKlrFrLxH9n FPnlXzo1Z7fJyMOLCF9oCBGUmmuiHEUXkvM0B9d1Bpykhpckh1CTgCZ4Eqt2QXIUfJL2c2MyFcVS +z9uCBzBW+aD/FteqGs92K3KY8IgyaRLSlmaNW/k2YlzvrfpX2RDzpV+X0oSY4/ZoPooxKeGqhhx cZi8vhcqVWWoz7uSkmtFtNtF9Uh/bPdiEOYT0UpQSwvTM/riUsg5rytEh4t8KEguLhx36VZRKm3N hZ6heHmfDziuIBU5HVplRi/tX5MM7nDB+2EcejSbdPm64WAl58iQMbo/U5YBpFd/9gLbVtl4p4qp rpM9Wbh19bZUvgku3jn1tggpS9epxAhGjvOh8wZCQW+0mtzrMa9fJe/9c/O2olLyRNhhrCDECO35 dXyeT7axeEeVzLhlEr1pmJK9LfacF3ccYD77dC8fZ2TcUke04rb6sjOnHtRy2KHj82BiVfuQ0yWw 9fFiUznwNn3Ld9NuVrcWaMOdnsJVG1BpPt1y0utFlQEDjKqsHBzU/JQ2CfyWJVOxGu/7hbYbmy/9 niwIkHXOiAWSCUy7Jotwj9kkG6XQB7RTjETytl3yQypEFOJALEP0XRxv9HGeVmlOgrzx0NTC9mF3 5cMaro/9kFFvxzIyv6vUNiEPApsnPqY6frsc94a/mS6Z4Gd3aC+lEHR5uVy+MKsoO3V4xkJxDz/T 8by7m6j+de6U+rRDCsrJiH8lJMrsrfTdWbyyamz88wf4umXwiiPDgqeIG7QtRUSRHtbdAf8BGjie yGnmvpPkg1SF6I8Hn8DE2gWxGyrPIzytZhh9lZB46eADamg9Dy8oURdAJPyYB/Ko58jQpHRdZQW+ k706rsxvCEws2/P+yVzk9uQbSWXlty5bFkqogaMK9st8W40EgMJx+z+tiPVd6Z5807m7iHqv8Eve KuHLJZGErGUveYuj+NnLoWbW+QrvbRxqZfQ+RpxQehMseAs7vRbBbAJCW85sIkFkRa5mvYpM8Pyf gse0DTGOwPXfDoo62pXFxfOwfpk5lV4lslmhqFNrIxUnXYdOwOUQPtt66aG3wKk+NuQvIBzOaR2I 00u7BKfoarS1QalCd1PD+z08UQGNyeujPxBZQ/oQGwURJS+0AvoKiJsvCLzCRYRp0axI+Q7Z+G6p h6O1t2LkKsZt6ERPqnNbb2I2xvPs6TjwR+IDo0qn1g1p+mValitsIRb+ehSAdOCYl6pW2yKXhPvd eZYpS784lz1dRu6/EPer/mVBtctSoeQY+DFjJs1Ma47zKwnPMpye5/zsZUodStVQVD+IzQ9GQuWg BtB9i+TyCRAMIGFhs5kr6WyIH9OJ3KweGy56xgFzk0q7dDS/y34yXCPrHM/Ti8+43vXKo40AkwSq ZQDMuFuTLvmeIwFTvxmZ1fsdDiy9cqa0kvsZWaa+7TPhFNYmUQXibgghInjkUI1gMvyqfMUzBNbk Td3wUgI0sL6bDUCIai4g3FipofNkYbb72gLsaTOyMVFksGN9EEaVp2dnE+RlA+09TtwV0jZkZ9px kxZEWwo5DFUiUMbqv46JDlVdx8O5W8r7pLNIT1nni8WhahNxbMGFmCHfY6daK6C3jBW1Mv356xte +kojR4PFJ2bw3e0gT9KSLllE32wevHf/nAOv4k8akKtq2nGOe7zZ7gwWs/iJ09wPdewV9cK1cSPm Bs0/rwi+QhQ8AWUkHpfyCfyfos5agngsD801XdZFE+Jy5mcl0yl+70HHv2x8flOnfY7dAUbSsnnO /qiMgvH/GzYWXZk7VDcO7uzSBURt7FcZmzAoDvv/F9mHZbK8Nma+driR+n0zEA9gD2LcoSObcWoU PxoByWISrJp68Mm/4ryiJyw46k5VkP8RcrpfMgSrJSCiSISXleOZjK0zUC5CpNlBqhZpqhtZq9yp cpFY9b0bxGySwcdEvkaR4tcVRVVPGqnbhZpCnMcm/ehzugRcqKeJ2C/9qz7kaiBrP0bRmOi7EELf wHA4jPHA8MsFrPAdhEBbZyIz8yYhqGH9ztqODnpcidJYoApR9HpP5+QunJosw09p/S8JIYPVrDTG bQkD65aYhDKiutjjV+rgydAbpouWbonBVWHmZ3i4OWhENuZLEXAFBhPrdnu3Vztxvk2p3dVbWowV QqJbMHM/3vnRcA4aogG6q/1OZLklErRo0mmU8DcY694qbr8J8IDEhx1aVV2vO21bA5wKxAu/35Hw W1pXOK57Lg1/JssBuybFezSHSLyO60P84ieJqVPdJnAcMy7bRKvDAIHWXJArdTyhjALm3hJY+vuk IjUkkJFXyHzDBkN0AtrHtCuGz7XeFT/0/Ap5GsoJJrG80aa0Xrnj46PIXkFWRP6ndEhk2Fwh1Ju7 uAi6cY9hEXpx2C0W1llPEWGqeiUyqvyvuFEFPcISPm1c5XQzaa8Boh2HqqwQ/sm8AsArgofmh15E xUb6WVm/Fr05sxovW1yBOBvAAC8kaX8v38NcALG8egzeMi6eDZlkEYx23FJh2bSzXPesLIJZDZx2 sKH5h0+ozyYeedxCTPHAduJwdlddNBdZhMUT9ufv8Rbq3586oH8l07NrMqTKDT/xXeXILFFHwxvY +pss8+sVMHn4vCkTxd67lnOnVe1mf4fKvhkLsRXCsCM8cwe3TSfgav8/AWpMQynE5cl093ktE0bu YN0iOjwju17e7TJuYKPXnp+s0qGoHqvYzKF5W/09KvyIVQQM5xRpEt72AfjtKLxrbcOx+aqcNWnh GlhjGv5hesF6ixnc+/y3LS5JhfYcACE8ChAc5AKZvr5Q0n1og+l6yEhNpdbIZwqg2d/QrqI1FQZ7 SPXPc+sOXVIO47zw0Cllikj9WuZorBsIa6VjSE0EkMc+WC0Q3aC+9oXcBYDrJfZpkFdE+RpQMKVB CYJq+s3jvYwjBmtuPbzOW3JzEHp/JxHL0WiE+oEVL4SwOzRopcX5bwcfktoG3xPNRn+KFOHuScwB gv0zUmw7/7ZUIAIj3UG+ZQbyrQt2fMNRSBbgKeRUlpeCuTu+gj5Ealw0A3NrHZf/bUCvOeo3/FPW EOeLNsrIWS0hAXFhFi2jLSlC0OP4jFLMDblDqdLvlgdP8a0q+JYEWU2wYI4kNRfaEQMAWRa1i77S SgVt4s5DdeXlDFIyZEXjPN7ZGOkKbyPgzmh9EVMVDc682rHbckpFhb+gBwHarzbzcMZn85AhWQuF JIXBhQaRPk5y54S07nIVkz/T410CxlJw1A5UJazQGzqdBDKBbN+U0q6x5ZSLzlXPmMV91Q95sOeO 7Nr1YAPP1RxOdIKanu4mHIyISOen+0KKrbkza3gli3+13D4/tLl9KAXU8eJWFAnUeYM7tEOOOX2b e5/asCkkAjx+GZr/rKdK+254mf8LXrTokx3Wg/U0lR/JOCkQSqgoJzlE1wu7jWH5Yb8q0CWvxecI 7BqLkQ1cfNW/wxMkIEDEFvzOTfiAJKnMfDTEGFEJ86/b2babcC4SopbnBvKjEWONr5y7Fd8vjQhJ AwuKe+G4WSmoUGBBuZ6/kxoT9gkvlUKfVhY8sXUBpfs6zYzZk0DIHCJZCbtzslghA3S+UEvh83cI fqfSuhZFx2N0tzZIIMRNBZMH1SKrPzFS+9mb/QNipmBpMQs24T3RXkA8lvhOrLn4pxbylLgYdnbJ oqK00lq0wtHi9m5zcD+XFcbX4gz2v2jQvvwLYKenJq2T00o/FfcKM4rXPuMyV8PVj6vbLBPcWJjA sotsWnr9Neal2tBmZXCSvJcMl9N9a9mcM7xFdKQcIcASbtlhHiTPavqrcBeVk2zjET3hHbm3eYIY fXXk98X+ItFbvzHv5d41csMabmZ9HKdqgO+0vlcaEJRGZnGdpNeKB8bqpUtB5A1pBmTd3i+P7cXd dedTdFxuRFYaPWP79nkCLK3PJOh85l/F+c5V2ePYHG5kSw+2w6mBcnYFO9wCedbyEw5/lHCn4N1L 6x/Eb13hLxCDIgaNjupImksX8oQ0c6mVBwhDRRgCwiPNeydxesjeHp1z+JwZ6dqfdiF4huSMSP6s PGCGuNv9JF8BNIUwu3W3mZjKUxHbda3f3Hj+nQu3/XJsibZTI+ugjQnB/Ry6XY2Pr+3lcq13GUOs RmKZhEy6ZDJLihbgG11BDfnuBEWvQCTvPnCcVAlXBMuTWcNSZrxtp1tqsq2rWPKHkRZqV1LnMeia scMRzeAa+qHlGEzTw6D2l043+MHGWLs7LY1jmVidUxkmqY99+kXt1xiBVwLPUYqgRj6GC7Eyp/qq PdS8iOoDwonj6jzuBb6CNLKNwg5Zeoh7ou++byuSn+H64RTftshkoXgIT7sYNFt2LFGyVtaOYd7o V26TdlZAVWxd1fZlYlPvZjTru/mlNm54Y++qWivK9toYRP6JEMvzcQ4DZrvc74rcdCq3S5jCGJmy WtoQ9WTc/+opFgRbFMjv8CofAA+QhRVUXmwfZl9dytn79UKWiZ45r6kh7ZgumWkrhmc6+0kP8zjk fqKfE4zhCm7UdfyDgko4W//gsC5cCcDh6LTPYjPHHhPccD8fj3RDgT5K7X2y5KTP3NVhs3RxB9w7 ydAGRFCpAHZ+xfl5zWQ+yV0U7ozy9DyNHn8l3/fW1z5d2RwjP5wWISRn+6DEzmYTixYz23rVRfNa XrEfAqnby5hp4+bpRL274r6qg0yePnN+FMA8x0kBkHnvtmDJ+3HQBjo6QhXwEBB97vFw7RYCx3Z7 ZBccphTmfT2tot9299NEy2UWFUbgfw5wHC9W4HJ3vA/DYq3B0Z0L9L9KREXcXePQvr8I0xQAd+s7 820JiG0oAVS2YNLMepVMBoW1cJSJ8TUa1pcP87IBzZuOf/FrSYTFD3vMZMZs/o8ZoeQjXtF1rZYg xqsuxxeoZqY8aWw9X0X6EdmQrShLqiOHUXUrfRT4xpVxEmBWI2LME7aFiE+aRTuozcHdM8cmXC0J rw7kf7pwCC057yVk7hkGTDL1s+173LNZRYsx13wQXzSMYI2gCtVe1Qh5HubAsYNGduPht849xH4T maQwjBKS2q8RXoqu8sXwQe4ARIb6XeNC+XwJ9Z1M8wmbSwJk4mkTTXo27J1/w7vkS2Sj4bXyeuHv cWibI6WM+yXdRcpc+VSs9hqr92EVN5ZQu8G2gwxY+JgKma5kFbEBnMu8mNh8uugNgTV4gUvh1HwI bK3GOc3vPbzf1Fwsn+CYcqSwUb6DNuKFOPSPX4ml+lCckxUj5rzGu6mivezVa6t2DiZ/b93Vp3Y5 oqBX3qsA41jec3sACSsnzp9Sr1SIEhXANhYX/LJl2phLIg0wYP8FjkhevhosqHbFYMwIV1ueI8x2 EnmshmCa6bZoUicNrofbdwGzQMK4YVPQtCyH0vWy360+v7Y/NyqON1QPrnfrOVE5etLmmnJgt5+r GHG+V5xHN8tv6gdPRf0hBwvbnPGcMCFJlbkqVjMtSbhfYyWq6bVP9c7uLHM2nk2qP+e0f3CVX1D8 QGna9StG1es3AZ3Te6Jv4Ovjm8S2tB3s0PfG2BnJYGfoHaMOuQqQUpCysOrnw/P3IrWr95FD2xo6 YjYSjXcKmAUqNaRX0iSEeAOeZYba6jGbaYpKVynKb7cUa9RC4RplYnSP5x85ZcRbpvvCrU53WB/G s2BwKW1NedATSYQJX/yUDiUDSwJGFEDk0p17GsnvzyVbNMwsn82er2msd/T6pY78UGOn+YfAHPWA Y6u56G4T4bYWAFORgjdvW55Uu8cx4h6SeQASP/mOjGYlhCZoR/fIPkC9/2DrEQ7sKrP+yB0ZfrgQ 2s7ms9W0+9INrvjk5Dh44hjBO22WGBjiVJd5Bolqx0S+vgtEkoCRXUDU1bMgOYAuYtKrRw7qw/Lc FqOpwxRwO8IvyGVm9io0Aose1vKkR6l/f/qkr4LyU/t7WG1Am5YrIVeNrTvhjd9xVy3TE6rPBKvZ qiCXaDwMdvpgb/MJf4Nwtp1QkUWjUwdqY0vShs6N1Awe1JTCRHCefQYle+eqULtX117u4VgmRaZi IshWMxlF9saTEklRR6zpoALIADRT1jQ0VZk5e3040sV9bQsGMMQLD2zOQkMRcKq4WLmcqlRGsCGX VNvAogPfRvir7ZI7sfVhIQgG2mA70fESNWZsASFvwmuKwVLwDuU5izUQ9FRQzeccj7zSTGcJ2gJ6 sgxibwtUUET7FYmdLAHFYAewmcpVjr0ogmeYp363zAoD72dh2o6wWtI+FYGHab7eTAlmhqMo61xi HMfqNk0XvVcgYkw6K68dy9m3c7+vIx9Em07B/Nxqy8a9WeXZrRHffjY5Fgju0uabAxiZZOE1MRg8 ljP+tSfbsRu9MYgKWfS5ulx/EcOm+nffyjNWwp44oNyj9wTDpQoHzXJdfCxHCkZ7H+jdEan25E+6 8x2YXAvoA2rfvbYF0e2MBcRwgOX9X+HPRxQMP0CgE9DN9Va/+vebl3O6DMzUgPIQ9gZeArYycNmL Cc69ixXW+qHqsE6QF5F4WrjZLPbmZITGPDeOcFQH2mxz45K28WelWmKq9q4e2LE3XFxIvV8jljdS /D16Qh6kYuSjE1V7Gqoep6pJio0DxsiPdxRsbNoEwzZClZ9gKG+M1QhFsZlBK4IwiLOgM8GMHacV us5SHkZ8+KZDBoEU5zlFGKf4YqPWloFtic1lyUhH6F6HGzwNCxbHQKsaRFJtN3ti6fbpqfzdrkmg 4kLHGIQ/rPcXCytQoYSuBlkeOS+YPlwiD2bNQqIJrrOYfuR2SbgkV5zgZaLbYTLpzKwZSjXEB2hx uI+7edvdvBlWrV+WkaDdYd2y8jIPYwErNe38LY0HVTg15IF1aPl7VdulFgLT8/MDi/jC5mZwNdmc Kz7iioGpvUgE8EGgxgpGxCiQ2+FlQbdENOj/DfQ2yMInMpF4OVK1ubg3ms74wgUpDb1vEuqhnZKr WUbWN7snqbhi0ctHEajjFAX9ujiWgHiusoHU+s0pK7ZoQVXMLdvVpCetlX63wo2EWKJ2l2r06xgU kIvtul39+lOqaibpPqD8CjydadqTNsI4tZ+L3vaibeZSTW8e6kry0rQJZy8DPsyd98EbHgq/0J4g o/l+nUkuVgF7C6cDPjN/GU2+fDvwlJjNjG4DO1tfQnpla0WfkhAK0cidZJ4XJqpOsA9A+/5W7/PF xm2uztlgMNdT05mmfqlIrI2Bp1962mQJ3odSK9juePCKka5vVy+OgFwrMqY1NP3pv3hg+iqaGp6g 2kxOghbd8e+GIHq1O9x543FdBjYatrTFVyBHN9COTCjYKofj5b2eu+ihZbYs1YGv27eDqr1hqKni XJnDHeUc4WCRyAuHiPFuA0pdQcch0cxwGAWmNxT7BIV9CAqfYWOORB8U+X61+aOkdAko9odD9Rby 6M4r8x0aCZNb6qXdI1uUYRa/BzY6L1hu17TPjsGcQ/CkjLvN4dfRtV7+92zcJlWb0RZUVA6xz8bg jcjjplHuAhd4uTMLtBYWAscMC/0Py8eAgFSf7OBUZngXuTn1GKPBWo9J2L31MwBY2FMS1BBJdEZ3 VP09ZY8eWc8hEJ3qjxmhKYEQVqpt/y/kSvGzgSg9goqhDQUiLSR3YcADgbdYnr0mg/KGFlrpqokm zZuj9t/dGZySNpvfjIbSD506IfRarpj9MGoI0DahHpPjhJtitkXVxoHkoPHyCbZjXuKWyLoSaI/C 5YF6x55hOj6j6t6rRc8lZju72LGeXSWF4i4Id48NbqWmr5LwpxnaSc+FiIFws5jsK9WMYUJOWRma HRCCxdw1uyeXrTfWtYWI5yJPfgfbLs6Di+AvzyxYEzZYx1qVpRlawFkLlQ/Gzd2U/lgN0sVw9OjY WZwAhyZfLiPlKjIRTtSsqE9fXOhhWwwwA2zVkVhNjcoUhPk+Dno7cm8OJElnL/C96t1/SdkBKs6Z vKubkcdOIgmxllbQ69z2lgsZV1Q9gc8/KcQS2vfY3EMgHt94ENYsMIAyqvQ/+L64fPfWcoUYdxvo f7ndPH6oRtHv/5IaWky+L/XQD1lvAWojUdkKrweKNjUU+L4jAIajdGD94BPfwJwxttxk27NeL4lw OEqLl29YF6De+uHAz/ol59g5xkKOn8VNMnAleHFWfu6tjK6sgA/oCb8l6PuerYsFyEVkwFikQeWf 2K8EKpMQ35hhmx4w59SIWJB/XefQvw5lxPFhdu0lNxjBTMDrims8YdVGMrknYHi3SIyWEJZpks43 HKVrwHF5AId6xxGHwBXch4+q7n0UuypBZOnq2r2FABCLn2WIpTxmxq9zAau6JR32SD9vXD2HxCyJ oT+24FJWNgSMHdrFa5qSL7EfE3Y9ZYCwDUnAcDHXxktvl3FTXcto5vzP22DOpMLTgA0AdMvn5xFW 8RqZg3ohnbGdN+vDg6pP2XlFhDuwwGjJTlyxjLDkavcZz0Mnt0y49JbHLlrzRTYhRvh24dHMiYvw 927XGU9fyW8FME15mFv1MZrQ/cr7jqYmENKelMlBzLNwB+osylmnQTfJBtDhHERGek/X9he31iSE np6nhkEtgoGOVuGCrelc9io46n+hBO4TXyWTExf2eUJ9sDq+phxA/R+a+GgTXeAoZ7NfsAZ5xjl8 3fFekj8c7k7yc8eExCPTgYtue+mfjmf5rQJa/aYVwF8qWzEhmsgN9InRyJXJRoRDMzg5dXlrpcYF MGVka7sKUxLnKCyb/x4qJAzv6y0mNnGe0mVPeTFvufGMu7eoYMGVPX2eYiOlnpJHcIl+m5znZzLa ROf6Z5LQIvCtscLA8K5OXSiO8aaoUdkO+uwNDAmPXetsJ5XB1k3uwad32X6arzi0ayVj9mD74EeK FRewnoYlxNvKoT5ejCKQMv+dQ2kaUajX3fjDIWq9BWlQwDA3qNGIdw1ncp8w1pH9YRBU2dRiKoCr 8P5noDPQxgNEPDX8BUxKyiY92tJl/E5Xju19fuWsrMqrflZMtc+/TlSptGcCIBlvWlTr72w64q5w kU+VkxP5I3EHajnVttBvq67c1FjduztvMMt/n4IVL92qYb//8vmgAdW4n+3wMfElDvXU04Xzbar4 1+ibfYai3tvyiTuSwhVOdFNQy9nDxqAeAf+/zBXOaYH4OowZztaLXj5gmOGk5agVyuEEYkJ7tqte LkzivhNFDIrE36+MjRgyYqRQZ8GEMrnB5iAiJM7tys6t9Qiknh3ssYy7x+PJ+oDQNaIxgx7BTvDJ Ystcl7HJVJC0dB11H+7HhyjITrSlnTxRLVm2G/a0Ez2zbyWUK6gHVHr826C8bPpVhbsi03Fjj+ZH k7prsTXiRIARS55Z24Atk9t9fIPLyxKcHSNEoBFWFoU3bO/WCoknRVyp1SPlc/qgABwU+VCeQagy rDk9OWlHPsUwFKydmjXOrpE1XKvL0J/cdV8h8TMRqETS3ilTuRNw0LtCnFsM4oGtZRyH3SuIf/vF k1L3dQ+F2AVVn97PG8i7QdWLMj59vtMBDdAh2wrknMnbandSDd8UArkxoG0ZnVm4lSdlUM3+3aCI t8pLhylbU42M8VbjJYcz00C9DDxYd/o3eJfwEMwc24RiVSYQq/qKcQ/MnsIquVSOrWZvrRn8+im1 pzuIT4dwvgxAhNYWE5sgjlf6Glq6NMuFFBugCzsDk/9tzdomqGmlpnoFxoKxf9n5uEAvA3rcq2ZP T/yzzSq3N7CD8KPUW3DRYDB6xhpnQuWxQc1SkSrZTyOakgzBa1GcBT2OltPzAhoxX3mjmGg58+7F 1y9FL7itNGpHWQLAa3D4L/7iuf95KRKJXwev2mJSzRDhQJUd5euFMQKnN9G7XqbEQSbLb+FNwCtB 9sDH9eQQ1mdcrO4ce497QfMVrYMiwYRIGVoFUJTRIArzGm3Q9gfWrYlGcZ+7/Zri5vinBfk4vzCa gfo+cXNy5QyH+VFgq8nPfRxdxHewEs97mbB/I/5ogUmoh7VkqmkBN1dzvHYE+2hXkwEGPddgV1yR 9cM/hPN3ifSUIMaR9Ry3ZCTDIG+8dHnltk2NTGufQPp7gJwix7V1Z+g7EM+SbAYbdV2ukXnw0bI7 Z9mykwBVt9Hsf5tKvzE64XP4/EgX5n9Dux5/l4aMqdV9uUikvLQ+e4hQXJXmTPoY14eFqMp7Efkx MkUSZbyXJbTGbg1w3YB2zoNo/kTPgc7hiIp+dQT6TOEukXPF9fMfuPFWVX9XMPjpjvY6Vp6i3RUJ bB/Mwxw73LI+7i0Hj77bo8y+1ps0kaZtsq8vzEyLGE/H+m3LiyGprq0AJlorXBYxgKVb7oTsduQ/ 3SpkrkJ4dyBsnUSmWhquFMSNI8b5544VOGCVOJ5G3fI2ORFDoDBj5xzQL+BeRDVPX+QHaKCWgNSA JduQGflR9gxsgHLvWq88WODjQ7XucTuc1rUgxyaXaXZeiCyCA9qiI7Ttn38KLnEnVlxe01JEdfsj noW6beF5Pj980koyUK8Z111u7SZJI9yA9c8mxajNBKsJV5eWGQ8zMzMJzJphT87qmh9B8gkZzLD6 rQUhkTZQrYHvPAQviSgKsxXW8jBGNIt51zcq4MQ9ltxEcHZZnedabqMdOGr2s0JqOru9qwiufWPo /WATjfLohvH7os9pCCeRWTVOpXR4jt0h3oe/3RHW67k4Mu5m4NaqWh5+4jlr3X++cucrmsbi5geo xcvChpg9T7WCGOKLS/WoECelXJ2y8JAzm0sf/JvFzjXOImWNoxubkdYwbkz7WM9gDb258YHO3nD1 JoPYhrjbhtTigXorM8CkXgFsgbu3aIUdfYdYEe701xYDG2x/Mx4DCBiA21OHUYsXm1ePnr+muWVA za0ZWrqvH8qXJJ6twgz+5ehNTYjVv4yt3x6sBNVjvRIj9cKRAURIMUwBdbxyQrrcxGirV6yN0aUo MQ2vxKQJPtWyZygGVbiuANge5n6s3ytUmwuoK45mJ1tE9PCDHKmo+8W0tUL82G9pCxojy3tfNQE/ TMWCxhdfxAikrq9/eQLBhcG7Q8cnH0W6JELB6oyEkU+ybP5mylbl7r4nZJL5kLS/4RFtfKeYkZ81 JNaiWRN+vbPwwaovLElKqse2Q20ETW4eUEyJOco6ES7O2IqTSlZ2mHWS1L7yxWgpwde/SBDezu0k 7Ejtj/AqZuQsdxGqxQCm+iKzBTCp3X9tj/BpISSWvmSE3UQAAakUTeVLiPzOs+gYUISToTZKtykT X1LtprlBaMMYnpmFmXu0mFd2JdCRAPE2b8Fd960CMdiyZLR/ac2ByHVC0TpklOmeHJcd2MrxiXue 7EA5SZ2Grsjf+zcbbzmQS5Iv/wbawo0pqi4rBEdM20/b7HT6n1zmv2d+q1OlLxonbelWuXCRKQHg rE9zobLTEcpXeBXxFZaHiH8uyoFDoZrXD+R4jRztGXvZrVjvzuimG3/FcKxlDhCUiw7AoF4ylXyM 9MNGwU/s8TKQ3bG4IxTVqg2cMyIvXpJhuFw9Y8daG6cibkXEy4nQq1jGLhlGluESp+S9re9mTjae ICTEhZ8wvIKJ0+W8SnDGjZSxLBQ9ARrzGY3M029LP3A7uwMwunnf5tQj/zTc8AKR5Ui+LC2L0PsW S4iSTtIZNPkBR3lk6mzzjpSpgvXJzpuFXE9FOinNkyItRFlTHDgAQ7rWvsvNzvdhIHm0vbk+ZplP 827YU0DxQDxNrX0dMwO38dByI4RsN4c1fU2cA0EoUcZMAanIQat8EUAkBpcVd9MDZ50TnOmuDHIm cxBPpJw5a4Ge0RJEHfmAJZr3lNHPqueMnayfMdbj0e/LM0CgwqFll0ixgIOgUzs0FjHYQbqCl2mK izrdwJvuYBQ0XFDcDNhpuL9IcgTR2CcPnFKTIm3W4xPfry92k7OLbwZa6j9/jR/5Bi+/1X/7w5vm D5Zye8bStkSPfdxrqDbrLIcwuMfriMFCT637pfkHCuO/AJNBwtV6TsMmTucaJAShJLkQC4GL3EC5 7HBymmGWDvCbkmjAAVaddHMihwdPt9u63PT6jKzqKfqn02SaG0wgiULXFvlq3JuDZKz7m9sTosQM UzBah/FRYkGzpVtAwdCA8AUcXb/d/bRvvvijASJeZET1vQ0VkiAdTtg36Fp3zZPyJawv2ELt8Cn7 n8rXXtR/SFIv+UEF85AD50TM0df0TvIKa+JZzRbv2EXYmQTNZ6JSdNBcLjotZZhRre15gnFPG3Dd ypKcJZRRNgpX1R8EVgF+tP9bkKMD3RoBEe86btxTHeG0XDm24XhYX4hzGxSbefcu/hQSirweG5No D1FQNFim4a+FF6f6gv0gb6VcDLtgQ1158Fb6Qh0pegLPMVRKeSKN/KBhBkoOcbabyL1jFw1/FUf7 0VmhQPDNuhOGqwR87eEem+dZhw66Y+Mk3Av86dHUzfAeYncykzc2wrYxTNUMse9nKXvn07tlIPy0 6js3OytbkRyw6zt/CdrvzEs5KDljOZNFyMMBPdev26hxSMlvo3rM68myfkEp1Qvl3MHmOvsdnal+ tTZJXE51zcwDmb+WIfWBnk8Mp0zPQWkhCRMr8luXQG5s6X9Os97zBCOJOgHXJNjegh8PkWc0XLCV 9JpyOP3MeVC2ShL9XZoqe/iSn/dT45wGPP44eOHoc44V1yKMHZADe3ffJmGFPPe1j5RmvTzuJj3R HmEhrzueytFaaN3dw9zRfKxd2HBeXESEf7rrtaQozW1dH8+2AI88BQrwa8GYQYEMjzGnmU9829kL 5m65FNqJVOI+8Kh0Y7BYwNgZKrs7DyD0RNU8HzFMMulqtxpgAYogL+IsxZIExZJyIqJppA8mr/D7 kKN1Flsu/nmxywZXM79eM4U3Kz4BTeR7rF6XnN1lpeCY2f80oBc7OJ7dMhYAoSZLZx5m0P2Hf+eA 1ETzc13AA6/KPHF6JM/Pudi4hQsjh9pCvJsjJSVtdT5XJEqDxoDmfM0fFgGDy23fXVkbys9fIfWU O1ofuDCJr7KHFT9iSz+3+Q4vlgU7ILmMGHUu7FlSvG7M5ZaWNomV34l4mlKcFs9WdXi249y9NHJD YeBfGVw104WCBGvC3oknnlvrEFkR/6ddIO0Gjx6eOEk+jduiEFX04ZTGgMp1vB9gExOAsFhGGF88 VD6vQvxONL5uRew/qe8IvCs6EFiwtjylrye7tw3dfP9HcqhqlTpqFsqmOZbdCMetvIuwRRfII/SQ HSDCtvpEXteuqamrDlfz7h7AComH6G30OGl5yzcJ9xbuNbVnc2h3Kk8F0SEZHVnGus3OdTHMpbFx 7e20BzovtKcZAHo9u7tK11c/j/e3QDq4JM0k7iE1XSBDhMa2Ge09lbiw7RFpUskJ+0Y9AZhkPTxp 92wwZiK2MKVd5DvFFyz0qtns3oXjSjcSuv+71VtrRb0h8aMk/jkXC96BWbWWHfZxEpZ4DOuuXUc/ 2ShVAp+uEiwAulXXhw1Q1W2hj+vTOffG7h8Y9IUIAtoTyuVpGQ94a8nue7G1r3jAByVExHSusll8 3E3r4zP8aMFPzIjNp9yNkX5lzdk/4bXqzz+iD2IueEpdKqPbwOZ9ZCZHccvV1o4bH3FDumNdQ11H Xkrnk2Jbgin8+RGDp3nEqOmgR4lJQQoD3ogck58wYsPPRNNDkc+Gfxs2kp/2K3d+lHRoXz5bvaoK ZhSj0jZvRLUBcKYo0BspV10aOIfgmxw4uZUvWmb90SzLRANQ2NTM4nv8HNbSRJ2cZAvshUZqlmXD zkVEC71BpuWc9rqJVGDZqnxkVxdn+r95+tTMDdCx7tAfvF7s6ZTy8cd3OlNINabQeokA9dWLKwyk MgUjghEXpMb4jJGZy7HN09geanCqEbz1W57KBgQn1iWMWREd2sJO8U/Vg/SVcrkSnd1J1uJcTOFd CnE9yo5rNzGTUbVb2mM2Ti03mnzKFutr5giwGACfwWAnn0afnyxiTprjgIljNN270v4uSiH4pW3Y HDCZYdcXd+DJsf5cioKTxj3gf8h2bIOYtjd4SY2C0LHi2sReWwlGbizNQcz37hb4Qer5tW4gdlRb 4HGqgG/sk1oe1vShZ8WikoVFWKC+a3di/PboIJ9d8aD2ScRJk24pPwe7NI0NiOlX82+fUOBe+fqo b4HommQrJHXQ8nUFoPc5xeK3z5fM0WQ7YJnC3ojdmlhn4z9gDVg6OWm4MDlTAEgGeUim5jkPo79O UxCGjrrBavUGpGqnZU5iNfEvnHOKYbx0pQAFD0UObfD0jsFl4ue1fu/5CRXmLi1NvtOOpZwUtOiP QbTESmCfz0R03nQBtvqAB+e11Ix1A8NBke7aQUKm8OMTDcSbHR4FtSFTpUP6jhGfzjuR4w8sK2gn w4AM+3i4BnAQ1WwR6b9omjw6iVo8Q1AiGe0Cq2dHNM4ySzs4WB5jiuNEJQVicsLFprNW/9CBY69t F8RMqPkZSsgmLPu4YokH/AOaxE2mzbxVNLHRyBK4+QxIw1fva7VUARBb2hpx/MOOn3brU3LFIa0K qTWUtpdGSdCnQkn9jhUp5L0rqaXPYKrxa6UcJpwS1kYnySh3mm80wt6Y+GgWVr6PNM+U47x/xva9 na4THlQMh5PbLSR7wHW/baCGEsI9od82CLXRsfd4WxX0kAjmtUTLv6zVMHUt17kukqmjY2qMPWsW oJMXRMrWgK59MpbPYW8AIB+GIju4/95je8NZ+ubiYCrPG8yLCt3zvEVJ4exKGEsq5SI8uhJQC5/r cBST0oGdxBK1wXec+IBvjd05wRD6Ywau5dbBxsS/YIdMXtCSmyRtbEsC/XVNfO3MEa/WbET/hxt3 khCcVlrBoFEsqnLGj+5HgmL+0PwpLsCoWMl6fMTedNaxr8fQlMLKtioffkOaww13uHFvmZxncAIF G9wwTwmFNLWNhzLZYBQeavf3GuFEnq13wEXf7tDnf5xh/+7Eyrb7AWvhn3sjSJ98+b8CFhSct63B mDcFjY18i4MTs8nPu3ycLmo2PShDqnaAPNTvK2UTD4KtjJSLlwdGo8bOxrmKwMXNJOY/OEI+QhvA yyjqd1T1GFysYKm68Q5Ze/OTLAqCdyo2unp5KZrOcya0BKu5i9lQuQSf4epALXz/fNM3FJ7yfhFN gOmGhs1BzB+qtGyuwxTWFp7A9IQzhBTgqx94M0Y74gkM1+sN5in5lgMAruPa+Xvq90WBmtPcYcK0 OXBSQMTFABznLNUuxcP62SK+NlZfpaYYBguifVyXxinTozwwDx8ne2u44TTpoqVZ9IBKtD/fRg/q kURCPR4HKJd08xU9stT825Y4EmQDI7P6m3FuA0kSX560+twQ0hR3DIc2peSkh0cJ64bvwJ1abBsb 6YAbrr7+tlNOBmaIZEczDRiKfYMuXXKEhBaUVNKmIv5F9GU2u7fadVarfypAjh8LjNxA+pXSrckQ dQSEMdQCTClhoseRtkDfmSirWty9VE6RoWzqXBALFdXcxd0156fDEJWK5O43ZbjElpZhBl3TbvpY 860bnY3D+3skJAWFlDXx42x5farJzFYa4luEcAGgn8T0nrrUfX/5jQJX9SIZR5/dD7q0zmATzDlU QHDOtVmfhE1aWaVVyRcz6lQWDgPoD5uMYU4b7vSeIc3/p3xDDfraynd8nIU5M+QGzpdKjcmVNqgg DTI6aORQMMmiulUUeooJcFy5ZIsQBrNwJkXwQTnD0HU1jI+4t9EjE2Z9TJf3zMsg/xtuDuM0yaWk vcF9/LTquKz/DJYwXcm81XvoIVpoNDzvdjsFjk1nIIsGEbUvxa+yQ3X6sU/WH9uO9Hdl5APs0eL7 MZp5jKD8NFc1WXZdLh7PKzQEsHg/KV+XINxgX7HUtPs2BZheLMRNLMFhA/Fcz23sWsPU5Gy5QIdQ Q6R2Hxnvqy6iVN1/Ek+6AO+lpCnMLcm5lxgI0oSr6EYUssl0aDl33GguxwUKVPxO4nwDDeKrVcQ9 OaN0qlq/KeaxbsxIwPYDhQVSe9c0PWahd9J7MUECLLWddEggVEKbNyW53ZIzH9DFrR8lq1Idclbe inlXBWfFM6zdhoRiST73lmTv19I+vYUv0pVBpN1Rg2KWp1hSkJPBxeK0UqmjsLfdcHW0fEp3yoRA zKMg+fCoUrhxx+1Qk+JtInxMkeOMT1ID0BN/Jm53OSgPqe3232rbblMeOr92PslMrvANl/WuiSSq 8h9kzWetop0fUsQtc3TToCN54nDExT7Lf84puqDxFJwwn3/8b+CbaHuwEHQGjvdsJd238VRn79cj YRHPd2jkophrY1ucVYW88s4iZ+7UKPs0L//DyHQ1Bd3kbNUQPJUHj38EtWII76JKE0420duICT72 K5N6t/3nQtvuTiVi/GCLRJT01FwRVCxQtlL6isK8qbQVy8VaKSFvyek6+Hkq9I1BbT1gwSqH12ba hYN8porggDayIHGWjTpPcfJ0TORf9MfyD7y+2YDNoElxeAPXGsTW1vGAGdxTO/mpTJHpPcIS+4dC h442g+qRRUEEbfSk3hcdzu8mfIpsr864huOPgrxLFxzGdvEoPOgRjkfGXQQGTvvDTvhNbwC4T3Ip RSQIZ4zYZvLRM+9Oao0Pix1YODYS7ZdMSCCThfKvBqrp7tcjnU23RoFyNKYFhDBqGQOhYd3iZXCF yryH7blfcnqGZHVLhVI+Q0hcfmQWWro1avZRWHDfdzcAdi1yF1f3ZVUY/QJOOTYgzB6KMwi9cAE1 rMhDfTT7b6EgLsXPod7U27o05C8dwyvLI2kVgjyrDQFbkVVwoQJWTcZMhR8zpEJexoUSA++noY8q v4PEmDUM4i0x7O5bpMBpCziPh1PXQB48cud17PdnSIYQLvio5sUd0JILU8NDufY4SFqMD6ZpWJs6 y7NudQCK5Mp/YuoJO7E0WTGsXod01TzxeDG87Dgxa+PQz0joc2hg7a4tcpNMA2y/OkZ9+ZOWYY4u IEoMvs9dIHTT3M+q0R2DGo3NW/VVBPf56ErzZxmMdqbLf6e53koAVDOjSAu/Nfsj4VC0U71At31A JSzEvGce0D62RGlgRbH04f2ximi3fKAIJ5jKgUAi+fkatdwmyrOSzN2/UN1o3JsiT0wdRMWOKFTs H5MzuvgCrKKk1IMhK6Qsum1qSX2Aa4pT1kgkRnSyu03VtdAjq+dhboNbISM7/vNC542Qfax6zA== `protect end_protected
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Ram_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY Ram_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE Ram_synth_ARCH OF Ram_synth IS COMPONENT Ram_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 32, READ_WIDTH => 32 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: Ram_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
architecture rtl of fifo is begin my_signal <= '1' when input = "00" else my_signal2 or my_sig3 when input = "01" else my_sig4 and my_sig5 when input = "10" else '0'; my_signal <= '1' when input = "0000" else my_signal2 or my_sig3 when input = "0100" and input = "1100" else my_sig4 when input = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when a = "0000" and func1(345) or b = "1000" and func2(567) and c = "00" else sig1 when a = "1000" and func2(560) and b = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; -- Testing no code after assignment my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; my_signal <= (others => '0') when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; end architecture rtl;
library ieee; use ieee.std_logic_1164.all; entity full_adder is port ( a : in std_logic; b : in std_logic; ci : in std_logic; s : out std_logic; co : out std_logic); end; architecture behavioral of full_adder is begin s <= a xor b xor ci; co <= (a and b) or ((a xor b) and ci); end;
library ieee; use ieee.std_logic_1164.all; entity full_adder is port ( a : in std_logic; b : in std_logic; ci : in std_logic; s : out std_logic; co : out std_logic); end; architecture behavioral of full_adder is begin s <= a xor b xor ci; co <= (a and b) or ((a xor b) and ci); end;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity sub_189 is port ( lt : out std_logic; sign : in std_logic; result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end sub_189; architecture augh of sub_189 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); -- Signals to generate the comparison outputs signal msb_abr : std_logic_vector(2 downto 0); signal tmp_sign : std_logic; signal tmp_eq : std_logic; signal tmp_le : std_logic; signal tmp_ge : std_logic; begin -- To handle the CI input, the operation is '0' - CI -- If CI is not present, the operation is '0' - '0' carry_inA <= '0' & in_a & '0'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); -- Other comparison outputs -- Temporary signals msb_abr <= carry_inA(32) & carry_inB(32) & carry_res(32); tmp_sign <= sign; tmp_eq <= '1' when in_a = in_b else '0'; tmp_le <= tmp_eq when msb_abr = "000" or msb_abr = "110" else '1' when msb_abr = "001" or msb_abr = "111" else '1' when tmp_sign = '0' and (msb_abr = "010" or msb_abr = "011") else '1' when tmp_sign = '1' and (msb_abr = "100" or msb_abr = "101") else '0'; tmp_ge <= '1' when msb_abr = "000" or msb_abr = "110" else '1' when tmp_sign = '0' and (msb_abr = "100" or msb_abr = "101") else '1' when tmp_sign = '1' and (msb_abr = "010" or msb_abr = "011") else '0'; lt <= not(tmp_ge); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity sub_189 is port ( lt : out std_logic; sign : in std_logic; result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end sub_189; architecture augh of sub_189 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); -- Signals to generate the comparison outputs signal msb_abr : std_logic_vector(2 downto 0); signal tmp_sign : std_logic; signal tmp_eq : std_logic; signal tmp_le : std_logic; signal tmp_ge : std_logic; begin -- To handle the CI input, the operation is '0' - CI -- If CI is not present, the operation is '0' - '0' carry_inA <= '0' & in_a & '0'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); -- Other comparison outputs -- Temporary signals msb_abr <= carry_inA(32) & carry_inB(32) & carry_res(32); tmp_sign <= sign; tmp_eq <= '1' when in_a = in_b else '0'; tmp_le <= tmp_eq when msb_abr = "000" or msb_abr = "110" else '1' when msb_abr = "001" or msb_abr = "111" else '1' when tmp_sign = '0' and (msb_abr = "010" or msb_abr = "011") else '1' when tmp_sign = '1' and (msb_abr = "100" or msb_abr = "101") else '0'; tmp_ge <= '1' when msb_abr = "000" or msb_abr = "110" else '1' when tmp_sign = '0' and (msb_abr = "100" or msb_abr = "101") else '1' when tmp_sign = '1' and (msb_abr = "010" or msb_abr = "011") else '0'; lt <= not(tmp_ge); end architecture;
------------------------------------------------------------------------------ -- {WRAPPERNAME}.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- IMPORTANT: -- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS. -- -- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED. -- -- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW -- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION -- OF THE USER_LOGIC ENTITY. ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: {WRAPPERNAME}.vhd -- Version: {VERSION} -- Description: Top level design, instantiates library components and user logic. -- Date: {DATE} (by PSHDL) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library plbv46_slave_single_v1_01_a; use plbv46_slave_single_v1_01_a.plbv46_slave_single; library {DIRNAME}; use {DIRNAME}.{NAME}; ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_BASEADDR -- PLBv46 slave: base address -- C_HIGHADDR -- PLBv46 slave: high address -- C_SPLB_AWIDTH -- PLBv46 slave: address bus width -- C_SPLB_DWIDTH -- PLBv46 slave: data bus width -- C_SPLB_NUM_MASTERS -- PLBv46 slave: Number of masters -- C_SPLB_MID_WIDTH -- PLBv46 slave: master ID bus width -- C_SPLB_NATIVE_DWIDTH -- PLBv46 slave: internal native data bus width -- C_SPLB_P2P -- PLBv46 slave: point to point interconnect scheme -- C_SPLB_SUPPORT_BURSTS -- PLBv46 slave: support bursts -- C_SPLB_SMALLEST_MASTER -- PLBv46 slave: width of the smallest master -- C_SPLB_CLK_PERIOD_PS -- PLBv46 slave: bus clock in picoseconds -- C_INCLUDE_DPHASE_TIMER -- PLBv46 slave: Data Phase Timer configuration; 0 = exclude timer, 1 = include timer -- C_FAMILY -- Xilinx FPGA family -- -- Definition of Ports: -- SPLB_Clk -- PLB main bus clock -- SPLB_Rst -- PLB main bus reset -- PLB_ABus -- PLB address bus -- PLB_UABus -- PLB upper address bus -- PLB_PAValid -- PLB primary address valid indicator -- PLB_SAValid -- PLB secondary address valid indicator -- PLB_rdPrim -- PLB secondary to primary read request indicator -- PLB_wrPrim -- PLB secondary to primary write request indicator -- PLB_masterID -- PLB current master identifier -- PLB_abort -- PLB abort request indicator -- PLB_busLock -- PLB bus lock -- PLB_RNW -- PLB read/not write -- PLB_BE -- PLB byte enables -- PLB_MSize -- PLB master data bus size -- PLB_size -- PLB transfer size -- PLB_type -- PLB transfer type -- PLB_lockErr -- PLB lock error indicator -- PLB_wrDBus -- PLB write data bus -- PLB_wrBurst -- PLB burst write transfer indicator -- PLB_rdBurst -- PLB burst read transfer indicator -- PLB_wrPendReq -- PLB write pending bus request indicator -- PLB_rdPendReq -- PLB read pending bus request indicator -- PLB_wrPendPri -- PLB write pending request priority -- PLB_rdPendPri -- PLB read pending request priority -- PLB_reqPri -- PLB current request priority -- PLB_TAttribute -- PLB transfer attribute -- Sl_addrAck -- Slave address acknowledge -- Sl_SSize -- Slave data bus size -- Sl_wait -- Slave wait indicator -- Sl_rearbitrate -- Slave re-arbitrate bus indicator -- Sl_wrDAck -- Slave write data acknowledge -- Sl_wrComp -- Slave write transfer complete indicator -- Sl_wrBTerm -- Slave terminate write burst transfer -- Sl_rdDBus -- Slave read data bus -- Sl_rdWdAddr -- Slave read word address -- Sl_rdDAck -- Slave read data acknowledge -- Sl_rdComp -- Slave read transfer complete indicator -- Sl_rdBTerm -- Slave terminate read burst transfer -- Sl_MBusy -- Slave busy indicator -- Sl_MWrErr -- Slave write error indicator -- Sl_MRdErr -- Slave read error indicator -- Sl_MIRQ -- Slave interrupt indicator ------------------------------------------------------------------------------ entity {WRAPPERNAME} is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- {GENERICS} -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_SPLB_AWIDTH : integer := 32; C_SPLB_DWIDTH : integer := 128; C_SPLB_NUM_MASTERS : integer := 8; C_SPLB_MID_WIDTH : integer := 3; C_SPLB_NATIVE_DWIDTH : integer := 32; C_SPLB_P2P : integer := 0; C_SPLB_SUPPORT_BURSTS : integer := 0; C_SPLB_SMALLEST_MASTER : integer := 32; C_SPLB_CLK_PERIOD_PS : integer := 10000; C_INCLUDE_DPHASE_TIMER : integer := 1; C_FAMILY : string := "virtex5" {MEM_GENERICS} -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ {PORTS} -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_UABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1); PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to C_SPLB_DWIDTH/8-1); PLB_MSize : in std_logic_vector(0 to 1); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_lockErr : in std_logic; PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_wrBTerm : out std_logic; Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_rdBTerm : out std_logic; Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1); Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1) -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of SPLB_Clk : signal is "CLK"; attribute SIGIS of SPLB_Rst : signal is "RST"; end entity {WRAPPERNAME}; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of {WRAPPERNAME} is ------------------------------------------ -- Array of base/high address pairs for each address range ------------------------------------------ constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address {MEM_ARRAY} ); ------------------------------------------ -- Array of desired number of chip enables for each address range ------------------------------------------ constant USER_SLV_NUM_REG : integer := {REGCOUNT}; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => pad_power2(USER_SLV_NUM_REG) -- number of ce for user logic slave space {MEM_CES} ); ------------------------------------------ -- Ratio of bus clock to core clock (for use in dual clock systems) -- 1 = ratio is 1:1 -- 2 = ratio is 2:1 ------------------------------------------ constant IPIF_BUS2CORE_CLK_RATIO : integer := 1; ------------------------------------------ -- Width of the slave data bus (32 only) ------------------------------------------ constant USER_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; constant IPIF_SLV_DWIDTH : integer := C_SPLB_NATIVE_DWIDTH; ------------------------------------------ -- Index for CS/CE ------------------------------------------ constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; ------------------------------------------ -- IP Interconnect (IPIC) signal declarations ------------------------------------------ signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Reset : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(0 to C_SPLB_AWIDTH-1); signal ipif_Bus2IP_Data : std_logic_vector(0 to IPIF_SLV_DWIDTH-1); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(0 to IPIF_SLV_DWIDTH/8-1); signal ipif_Bus2IP_CS : std_logic_vector(0 to ((IPIF_ARD_ADDR_RANGE_ARRAY'length)/2)-1); signal ipif_Bus2IP_RdCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal ipif_Bus2IP_WrCE : std_logic_vector(0 to calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1); signal user_Bus2IP_RdCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_Bus2IP_WrCE : std_logic_vector(0 to USER_NUM_REG-1); signal user_IP2Bus_Data : std_logic_vector(0 to USER_SLV_DWIDTH-1); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; begin ------------------------------------------ -- instantiate plbv46_slave_single ------------------------------------------ PLBV46_SLAVE_SINGLE_I : entity plbv46_slave_single_v1_01_a.plbv46_slave_single generic map ( C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_SPLB_P2P => C_SPLB_P2P, C_BUS2CORE_CLK_RATIO => IPIF_BUS2CORE_CLK_RATIO, C_SPLB_MID_WIDTH => C_SPLB_MID_WIDTH, C_SPLB_NUM_MASTERS => C_SPLB_NUM_MASTERS, C_SPLB_AWIDTH => C_SPLB_AWIDTH, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SIPIF_DWIDTH => IPIF_SLV_DWIDTH, C_INCLUDE_DPHASE_TIMER => C_INCLUDE_DPHASE_TIMER, C_FAMILY => C_FAMILY ) port map ( SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_UABus => PLB_UABus, PLB_PAValid => PLB_PAValid, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_masterID => PLB_masterID, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_MSize => PLB_MSize, PLB_size => PLB_size, PLB_type => PLB_type, PLB_lockErr => PLB_lockErr, PLB_wrDBus => PLB_wrDBus, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_wrBTerm => Sl_wrBTerm, Sl_rdDBus => Sl_rdDBus, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_rdBTerm => Sl_rdBTerm, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, Sl_MIRQ => Sl_MIRQ, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, IP2Bus_Data => ipif_IP2Bus_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE ); ------------------------------------------ -- instantiate User Logic ------------------------------------------ USER_LOGIC_I : entity {DIRNAME}.{NAME} generic map ( -- MAP USER GENERICS BELOW THIS LINE --------------- {GENERICSMAP} -- MAP USER GENERICS ABOVE THIS LINE --------------- C_SLV_DWIDTH => USER_SLV_DWIDTH ) port map ( -- MAP USER PORTS BELOW THIS LINE ------------------ {PORTMAP} -- MAP USER PORTS ABOVE THIS LINE ------------------ Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Reset => ipif_Bus2IP_Reset, {MEM_PORTMAP} Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ------------------------------------------ -- connect internal signals ------------------------------------------ ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_CE_INDEX to USER_CE_INDEX+USER_NUM_REG-1); end IMP;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VWU5o3jwjByPP3mNVjUSsiRbgTW+n6Ckv/AlyXsMPYB7721IYzfrJAODD3tCq0NY423I3XH+XkHB 6thEBNsz5A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W25Dh3x6ot0yIUCGHH/tLPveKi0s3qMbd1g3j3UBkQZXA7Ionn9qaYHU7W4GdqQbsDeTTmKB8jXp faq9l1uXnZ+tFj+gZ1qmdsHW+ypIVYxME4IrExrG4O3wJXJylQtHsd4AU3vgPygx/ppJWqfxK4tK ZFU6q9T2QaQcUITSRMc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FaMBBG/jmrUMe9x8Us3Q5I4UJYDtvorvCao/acoVXebknlwDoGlein+YD7QNkSuV+RFnLKPQ4HjJ 35N8vMBHQ0xKb+5k1DjBqrAS65FqmR6D1E+LbH7ypIOL0gjKKH6xNioNR4Ww7Xr7ICTpvI2lP3b1 Gavw9lpib/I4GSJd30LJTpdV3DLnblIuPbASKAsytD/UM9wBjKSRvNesVDL/mmQh4hogMJgHLh4m jum2a6roqCJ9P5T60Tgp5kg4aeu0n8EK7WlG79pWjbeWsWhVdiUPS3ELC6ifGKFNjY7qhS7bvYSX nZsgVC60Y4GXohLIFLBx9OLVNEFaO+LJGmzU6A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kRO64paStaY0XIefg3dna8iNGOJk3DWqR9c8RZkiQL4sE+qoUNKucO55kbeqDZxaCsjoEiVZ46Se DN6aeQAbpIkqE02jobl3goix6QoenbLFu5n9TraIcx8by2j3Cf8DmlkJWF0cQFRAmq309sWkYH7g ktZrt1J8v6aj1n0w9nQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cPLZpFAlx6qX/+ZdIlpBx0KyLh5FT1vyEinqC/Xx7KpUcIBP+a4xSb9yAsPzzHFgkLYFsHORwF1y yw0gNP9ou37w3fjJ+7Ec4N1Aa3UyxOLcGwBb9gxqjpkBEQHidtbjXFiiPngzrk//8MF+iRk/zfCv /W1GvSRZ9v1lQhQVobsZ9/x2+KwY3Na+zUUnJ5T7xow/20ij1e87xyEQEbvTtMUuw7Egx0By0prL LVJvqi0142GVV3xzqMRfxj69IeYOHqO7rihg/FRzzx4e2gSUkq/3K8nPTPrg/ZG9BDUVgZ1TEHR8 smsjHQ0JE7dJ5JWAoMoW6sp5BXrLwd3Ypax13A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6640) `protect data_block VIoZPHLl7A9+Uj3Z4qwDH+imoGob3/YaNhlppPGn6NnlnJjf+EUhgpH8Dmt59/Q02V4QCtTHsdFY qyjcZpwEU3l9UOgTZg4wbdhgDcaBXH2Rrg6kEly97UOqUDGea4gw3RjLGo1sRLVaqU717l9Dl91Z sEqS359rPl0+x2yJrCUfJw/nyKKe0K+r292cM5gK6DWPnpWj2K4aGP+sQ9RaE2g2xmAM6VnykNQm WqstRmejFnyI6Jd4YmwqC4YJ2xWQvED11vw4OZIaQI1A3fAjclXLMsV8Jq8g2+foRNcAGBlb+BoW viPSlZSH5Z8JsDkqHjQ8LrsbEbJQOOuMbgosOgn7Sr/sB6HBB0OtVgDw9LFy0A0Jw5Z0tdSXaO/y 9nfK9H+F43f6wviwpHRQPz4nZ1ipXsylyxSQmcKA5cnrpzk668HGBA8hjdd1nfXwgbRZJFwJKL8j kHJM5Nx2O4dJgeyBJs4GrIfjzxIdW1pJKFUlg5FUnfIGiv46spaA6kON3xt/JbIVXYhT+x1iSMQ1 3YYgB3Qdl/WSBvmWwkWD9tug87pF4vwk9AP6BXEiQjd3hfQHAd4UmvaXvSVuXfbc/JA6cke9y29X 73SSKKGCY/w1Bm1botEq5QS02TZ4M2tXLh9Jj2Ma7zYMeqotvksdbN4rtZ3liIyA1baHP+bWrPc3 EhJpFXLWjqbnJZ4tL7WW0e5s1Jq1R2Lxi162TaKUCZylBQhpoGfXKCe2MEdLL5DJyAOvQmjPNzOw ktgWwtxzevcJ/btP5j5v+H4AkgCeXp/ICKMGN3IPGIq2i3fHb27IAinTSw4VySBgVcAObFOfPYMw 2gg5eqbdunkyeGDdoZIuDSa5fyuRqeQrm0ZDbpmaQdky4x4RLszs1CLh4J4Ixk37aIKfhbzwy4aX 9mFMfihTMBmIIleGigUgotTB6DGn4ATExzEMXzlsypEV4fvEm94MwmxNQ/L/tO12CoJjHG7jYxAO jX1bIBac4oO7cymbWa+9L7e6UhVrbzrXEJzjI9nAm6ReOaq/mpKW0IibW8xRhmzkWRY49MUoXf8v Mz5B15mbQxmTpnKJDyygMu+p2/MJtUvrfIP+Tz+/VLF6g0FjKYVHi0xMAPQTLKYNl5SHs+EmVMqQ +jXUB0COmhY7BMZgMoEez005ZXkV5K1PXLwe/Kx81sclBZFHYCImpS1sHy6AoOmK9m208gx2WDrm qXVJm+FJowKgA19NUI/0MUOWNTLcWstJUhu2FbMQQ4P4jkcn66Ra7Z1tC3SqXCQtySwjQfnqrPTi pMB9yhxBJO8KovIR67ytrm7pgi1n0kLQkoB1lMmQlSf0eZJt0g9lq8IeAEaAhVLK54kE4F22zLjU pmURAQQ66M8kP+zUglfLTVM5vP4UBC1AGrS4gXqrpHUzk6TqxATka9l3iIbkN4oNnRONZuR57G88 nlXqXiefDqD8qubC93yx3CxbQ0hdFcqgyxOYFkZYx7uYElmj1Dy873ZNVhaIeMxFQwjKopSrgm1+ 46S/X0pV+mBSCpNh74y9rOv2G+PjUQssaDXHUVLekEhPXIR3P+jALlJExuzSazo+2xDGBSkiIsNv aXJ441vNCLN27rXwFqWZniQBbG2zyOfc0E871UgENWpaDDQpG5IwifnRR7fptCepktyEbq/xP7D8 pRdV/PX47UO1h+Qv9hXVV1+WmZjULB6XJRpfM8lfnXr9kcRr/wTJIzz4wM/8x7/pEV2jL88PTwNq /tezP25o3EK87RfK6VL46f0Td+fyo/fg4nDZeRE0+ImzkeO+VGS3qLUEGQMYWz0DUKwlv9PJFkwC 6KAoSV8slVP8S/UmnX/svJJWOnPV3236DUpfsFsQR6GMTsBbkZmuijF/4OMhvN1sCnSUlQPTgoAD i6pFhV+61lhFHdgS9+pk1tjJBzNeL6dh4gyxoR3d/RlDYvlIc1Xaf0x8PND4bf1XOTWLm+ApEJU8 gXMjr+2x0zU4Ef9KBEbk/EQZgvA5xQ5WQMffBNcCOdzY0YKlcvoAVFMqqBM4EeUvnGQlrecSKJ90 CVMz02gjKpQUqyUMD7rvrYrO6nP/ttkJJSTUKcVblFi5G5iXkAaXeRzr/ZVDqhCCqv5IOD4w0Dpc feE2QXNQ/CYrVxB4mr/c0V1aRpN8A5qSkNfac3QwDycqh+Law8W2eQLWjFxcFkabNvUg/jgXQkMz fNmoKJaW5danenLzbpK8YgSIT+bdxhbFTNwUjsGpW6EAFh1RrAxa2/sWHymUZ4gzekSMuLAu6K3p g9oG+CmH8vzTLqUoXWWXE513iQ9jP7h84K1c6nyRu7LNwrTNfPN1DlTWK8nlJVJ0KfOiJ2EZyrTY Kf24/1FSNKsTRUfsYF9XfRlIBF4Pk9IDd97t7gEEtjA09KqQjO0/LZY0AuFVohhY6wCczALXWP4x lKUZY0YE+1y0U5J4EYO+370OhLLWBJQ4rJ/tEucNPxyt0VialmpYLVNVk+dIdwnMTliSJ7J6pVb5 lATtkrglO0uC587W8rA8XGBObiywelIPDEx//rBhGxtbJE6Gk8xkiU0dR8myoYsYNcCJv8/el2uN 1KoqPksIVXNDRgWU2X157/vt23mzEccB7ejl6ePfU7pBx1gc7SOq/1QXe048E2ftWWT82zvj+Ayj 8a8/ZN1tTQ9v13h8nW74z7dzs21LEvQByqMpgB1c4Gz5cEZFTnPxQm7vsL/9CCplqYtNE47XQtA+ xJ30u33XyaQPBR9t+vdETv1B9y0ofIoRIf5+hsUmhaZR1i7MUpQAU2MzIcWM5dSzav8NAsGZ+Dz1 Y9BtThR63LxtwnGnG2SDXihOam9fRkjvWUxxroTexnfK1uymeoyWoVrS7GkpUEB3JFpaYPN0On7k 290FywsLWncfqSsj+bW1Pv3Cb+LtYV0pfJtkfvnI+3JRuplRLcAUbfD9pnq4HedjUXm49fjz88eu J0+6KSKszRjVnm4NTxcRx0a1B5ggdYbCq0s4TV5OOJfH+Xu3vb0VH0cO997h6jNZANWZW/iuiVeU y8vi/cCNd+shNmaCWVhuSq50ckfuaOl5L1Ro+R6oMjBv0b8VYls4s9d/8NSDHVovJusFNsOc3eEA SdHauhWvlzgtCt3p6mg7aRlCKFjxRLdg0POpbGi8NypZD0yoB6wMY+cL6pD6gOJ7n5C/CcoBJFI+ ChjAp1AZjtaS3fMYI8+cN0cQ58ie2qCwmnGVXVDBil5cZ4xrvLagkHmEmJ31eTv4tUApWcpfrbdh KtOuoSJUp/Qe6fLcn/T+sbiOsqXF0eMSTyX8BKOY8xHWO3R4NLjWGDFQOpc8qOzG/M79pliXmgBc I4LjTxDlHvce+pE2nyBgUhRS2Lrlj9+nKVFt/jN410T+0o47eeE4jNM4GO0wbuidTzUkSq646QS+ z1yu0O1aEAkUBm3GQGX3AA5e9x5L9jUhGDKJLjicthmS+lUdf5EYg2dvBFN0xNybwEb4eWaX6ZGu IyEHxpBOnWw5yQTUjHl7UjCtgDIhVsGxMdbxY70SOJYY0BaFkaKRU/yLOKs0wIGMVP2I7pR+h6Bj 4arSpTRV39mKCt85jPGrophqNi5Q8DGk7qqQ6qv4Qo0YhIzNUDFFrUJJZIAvYCa9AW3bpbxbVT9C GcgYfqEMg6dUkKstZ4rbVoJ0FCpnqOJ0sJrsYF3SB/+7F20Pkr9eskKPqlqi3YpbouY0iwWkqNiF rgBmLsfvAq1LU5BRLCsCJQhUhNSqsNtVWlC9pITTTQMf0B/2NFMyPsY3/reZsItdLMBwxZdXdDDv VfQVC+yP5nR8VN4/mOAj3RPrWMohg+JomjnV5l71yyGyuhyXzOOSYCYjaKrxscfHliRUckt6MQoM 53+riCN1N0njefhbzucZUrPlTpYDNBRvQQmlOn5tNWqI4Lhxdbw6sfTYZtYSeq2d8uqDd9+Og7w0 E3CBQLJDtsnhM+s2L6ZoPjESKrXevl/RbolWYVKemnURXNB88ggtLAoqqkabmj9SrxFsh9u3vQQZ BMMMGi8SSldSXuwg/otHsIb5l7SzLuKHIq2cKc2dqNIsqxgCSpv2WxCRae10w/DM3jCTcXElmNND EiGihoZaBwwmM4vyzsk1CNbgLsshNRxQ9pOeuZFs9ZDtaiIGtibA/j3YHTCPHCAUICYlkedfglg6 hJgIc8NdUrMeX34PYx7bhlvvReYzsi8B6WpNn2QFLZsAzOn4KppAVq82DUAd7bP+4aOtI135shAU NLnMIhGLWm2kdMmNd5lziJ5jAOEVWQ/NEcfGMWtL39Fqe7qih3pfqjMiF+YezHGBYzK2Xw/h+jqo 801yf0wCesTNklGripM3FKhwybwv3HFPbIP3h+/WtF1bvgjipIPhiPyaUjHSDggHlfs/Jy5gH/tq bQuYjz/JTtB9fl6UjnS1GTgrMQqVqcCx3jsBx0yFEezbiAPZ76eMOgXdvDBuUghIobGcKgee1Uy+ 8RnqNYKruNqwKQxk4aQfKz32Slq1q2hEE4tLhiulRW1w9M8NFVS2dXqs1lmmAiQlsrlLhTEl+0PL oYMJV9FCstWhkHdaCmsFHrNb4Z+TYD/0Caqr1UDFQXBkSrGLg4oG8Z0uJJ1v0Ar0sMsHm4Jlgpgf M9mBkYrLppm56BsyT1oFbraDx3fv/s6UARoCnAQ7S5QZni+Xh7qvrEGAnxgixDvxvns00NxScM9F Eb0CVnOSf95dhm8PJ/GyJyR2yLrHXq/CKP5OftPaahpp03TW9Xtb+HRyi34b4Tb6AJhKbsEtZ8+w uQClN8r9rT+omYxjvhdwt9gydS+j6Y17KMy7zbjsehHk9jwo/dC9U2BmVy1kEnPtNvPAYrXxFmi8 qK3FPler6rhE/id3FObEzPeVcK20GXNMFWQnAd6Nu/fLqUpeDo7JZT8OTvFbl2kzXznulXyGVHtD r2Z3UvipRqiwR55u10q3suxOt9AlA7qnaXVy/rDzr3k5wWMuOC2GKCT7/zdi5OZ43y/jxn6u9Vdb DR/iOyBees2xk+XyAAdA4bi6Uw9dk2qYhlMHc0lvI4Av+9MRqA6CKBN+91hlZnL1UiNwSq90ZYvh GrCjIfi2GPKNbus7LjrtQfW8+P2faCWjSei6gOBT2h9FMsn1iTEzBmff3gnB/LTpSBDzbGtdH/LY 4oAoSbcRkb/ju1i2+kXCp3qX8EQXdGjLdWoIHJbK9Y8YROC+OzOKK6kxGH4bhstrxyhuEYIbW+wF p1iJy2L1TXT7jGVRpEWFCW1Z9M1aXOWv5YOIQRqd+c5sEEO6ZCduonTbtClDqeSUOuNlciPodZtc LhPWOBgN9n2lqF0I6unaLh9l2a6uiuKdT6IlC1b+u12LmdDBLPEaJRdXg+Jje/tpJL86pr4uLG8s BYnxUHjE+E3G3YyRpEzpqboVCAekPzRKoBQbed6cIb5JS5SKzQEfQJe+tTJSB+gwyMxoUGFFBTcV bm4pY5F3g8Wo3pKPYRRLATHqp12raKsALeLQJJ/ZNyUMDChHwfooINHWXpr3lBTZlIWkUKLH3qzM aX6/F/3UPWRFvyHUmnqHbQu5KeeB0F3acfnQ0G79UZqYdsTHF9uWbjmoqDYWs9h0jpR4T5xi9vqq jVQGyYrgPvhExDEXEIZJc6ipwPtxpu68A3QI6t2MMqQF/oA0wJKNnvdmiS9+Yr6ZtT32msuDyi09 eyB/WbpFrfsHQRfLdyUdLRdiTo8vBb6xQPZnv77vPazoFfNVJJA+vaWSR2tEw7Jb+tr47nSYxTS2 YafCGdWvJVln9eqMveaXUzJYd1uiDsztanki8Z+aPSOWVnf0P2YlkoGsi8Ua9Bqw+kIq6yY8NQ4r GfpdIWixXjV2qfck6IPNhgR68EjTqKDAsLHCOT2US3RLcyfHWPcmZ8X/hy0cN/ObcQpgpH6mrD4O YJ0uOwXnU3U5PcZUQcDOCCekB7+AJA3XAD9IZ2XyLEoMMtSzfS8rdUFlQFejlj8tVonrwffrMFiA Lz/Hm/yjNmSA/FwnWXWYW5BtN4W7wosnC57Afmgcz5lCI24lWrzxfTXIMkCEvDvk9su80Kc+2ihb bat+qFVyvTAhv+BsRgLfyqUL1JaLKdcly4MVTQcQFD2YOc1QBOk6Xk34HExxgYaF621LUuQ9yTeg o9EIY2GvzpojV3YQY6431+Y6KuvcS2Hn1SfyyjNlGfgIA1kyy6eTeHMj/egfYYYpMrgGWR2SyvJt tbjI/EfLrdyr3Azpv9UyewGdzgVydmDSfxH6olPMnAw83RkUgHL4JidaNHliQysHP8VsmnFoMcxG +8jtRIUOW33j2y+YdVoDJJ44WGTMfi4TTN7CNwkTbNJiP7JiRKUYLp/4wpIo1TwiWm89nbwx5AdY CINtD8jSbWpDJaenvy9xHhViJ0J7sVfYVtZpvO6p7M13pxLaiqkjHcU3wWiCjWdso96hJ4IYWuF+ P2AQIBqAgerC3Rhn3QZPzUqmpxssdoEOmo3NNdY4aBTH/13hm4aL8wq9OFOg+Molc59Zm6wxo+D7 lMalZ3pEgktblCcdtULfDrnDxJfKE/cWZA0sROKJ2nu0r736xAShLwcdW3MATf5lBieYrAy/XuxH wgD9dQNPN1ntKsyNU/vm3Ro+ty/zvS/k4m1n6SAPeGBqo4c5r7ufRxUgs19FRArJaDwQoxbkBB7l pyoslIct8rERugs06bV5yTNoCmG/RERJrpN239sfyFnbTMmud+rdO0ANoYTSPjv0CgxSY/X393TW Sd5ruSsZqeXavir32vskl1YVTgmRkSm0bwUrUW0xRtSFvFA0eVpLPRI1hgGWXLHEDFDGv+krW0CI UR2XaZlLSBC8yqvSV++MslAfduV43iZr8eJfDr18MyBuZjAmFxfpZGpJGKkRfhRyOg1rloK2+vLD RxLeobpqLuCHmymj5huZIoPhs5lvljddMvLU2OqiwIWcDW77ra0p2H+O90Q/ruKmyg0daAXeWfuo yzWQb9qEY4QvjxqHxyrunNJZXl1FhIenNdKbzFpQMjtolflKRwn4o8pFXjEOdrlu75fFQrB6pIKF +DObHsutzKnNe1d0NMQ1ZKPhpif/hsLdA/ypfeCK6y4r0/aW1EbQ2KenYLVZ7oV46dB4JF6AfOLd 702cOvaw02g2RxGl7uJdIlK8tX2F8dp3SSZHT82WGnk82BjxygpVLOlte1QoGhQ4F21WoHaNEL+V 4RUPVC41huV3Na+ZrADnxVtLbUJKLRFJSyQxLt72OrGY8Yo3ZPqXxbCZ90HWH2Vxnjepq6KpZGb0 WaMvXyGq7k8rT25YvG3okgXSCoGhRJHC8USnvyDT6FSRewn91T80p/aMW5b99s8gWCnls4gYEbzm k9fG6+EiVikzKXTAB5WXK07eLmrEueEJmi2TmYi8cqcuIZUNDFB8fBhWlX8P1F2jD9n6KCFRq0LE BC2UleOVZ39jlIe3Ljii6NQB0FMCdJwtWKhWgTV/trI/oSIDQPnnOoantCmn3teWwGF3COr3WQMf 1m8Ojfe0FoGF7vrSWlo2jytGrqoXP+llvCkUlLx5VhLX7anDOdEAtoL1kAF+7lbiZLRje18T2yIf CrPw1hERIgozoA8NZBahccQxGEkKftL8lYijXMVd9gZPo3eMMY5wmqr+vMiS5PGqxXfGm+fdBVhq HJnPapNMq2y8q+HSx5w/4FuZaoKNw+VWUHUTGKzzUWOvEi+UAvur6YYyiU+tCaflBjrMWghRsPVc QjevlwNRLW/SyRooy7Xfq2aIegKvGCM2tzHqgr09ub4JbBvbIZ6c5hw0oWpb1NlJzwygI99IkLdd RhUnQcelo4rCGk3M/FPZNADmPDy2PYPH66KmOfG5CXLCexBpMiQyMq+c/+c5Q8tqEsd5SwvoUNhV 6q63abFhnSSXsFrM9BXUWR/E50YeZfF6YchtirlR2PtC9iEhR64QfTU668JrR1s5fQ/dxboI5Uxd atjSvv4fUrviLTbs4K2y8rzsXruHz5smSXt/r9Nczzg8ngx6mezMFG7XrBPR+WiGAAvSr8rWGQvx LhAcZslm6LG9p2+j+2uM4EiK8LkFBBlnmvGKg6X8gbTYiFarfRw7RX1EF/e7gBcGApkfb+Gii27T 1sePzFTPPgejhXUMJyN02CYtKVrBMetC0ws5JNHnMOkZWP5ZmuvBMG6OHsudUZKGuWSZwdPUSYor bpceBc+hl+I6mFHtZ+O8x3icH5ES+H7xxzZZ5O1AV1DvaRB0qJlGk/dutcCcm5wKe5NmPWY14X+S IlhAMINj6ag6O9Y6/Z/p7YbhPxqZR/7KYq8VarHLEHSRu0LqJHSYFA7s13hvDwcorEInrRb4cQOF 3iL0fr6mY75JMLiQRWHMqNi49Y5blYxrs3uR0WVeGLhNqmuGv7xbzH32rQNzQHb1kybkFpgswEvX +HNftxR4mSLaQvCmvrv8MPU82RPfjPUXLdVhLtmix9Q4mYLxowPjCFgLjYAKm8it+BAlvuIZd9Vp z8UFZW842k2NcKlaEIHsf2J6hB9s0P0bzqHfrv3rWg04iH3Uohca6Z9vHOLWen0stGYjK+6lNe4B /l49S4Gt6CLrJvfH5XhnOzoUeUKHn/o/hAbdp3iID1HPYbZOngH9gOuGer3p4apc2Rb8aaPH7ZzK UrPlL2nE1K2lNA6GzKzTIR5DZkJ+CwMyt1lSkGwYmtMNT0tB1ullknOd5O2rnL4TnO9BXZJhzmI5 ovQvRXyvX+7zOU3MygjK42hfUKl4txw/rRzghVsyXYT+uxtoL86zCFj9wLSBV+bCMbVAB+hcumdK CjdakurRHOzI+aaukZYQhXqgZIoxH2LZ9mJzkg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block VWU5o3jwjByPP3mNVjUSsiRbgTW+n6Ckv/AlyXsMPYB7721IYzfrJAODD3tCq0NY423I3XH+XkHB 6thEBNsz5A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block W25Dh3x6ot0yIUCGHH/tLPveKi0s3qMbd1g3j3UBkQZXA7Ionn9qaYHU7W4GdqQbsDeTTmKB8jXp faq9l1uXnZ+tFj+gZ1qmdsHW+ypIVYxME4IrExrG4O3wJXJylQtHsd4AU3vgPygx/ppJWqfxK4tK ZFU6q9T2QaQcUITSRMc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FaMBBG/jmrUMe9x8Us3Q5I4UJYDtvorvCao/acoVXebknlwDoGlein+YD7QNkSuV+RFnLKPQ4HjJ 35N8vMBHQ0xKb+5k1DjBqrAS65FqmR6D1E+LbH7ypIOL0gjKKH6xNioNR4Ww7Xr7ICTpvI2lP3b1 Gavw9lpib/I4GSJd30LJTpdV3DLnblIuPbASKAsytD/UM9wBjKSRvNesVDL/mmQh4hogMJgHLh4m jum2a6roqCJ9P5T60Tgp5kg4aeu0n8EK7WlG79pWjbeWsWhVdiUPS3ELC6ifGKFNjY7qhS7bvYSX nZsgVC60Y4GXohLIFLBx9OLVNEFaO+LJGmzU6A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kRO64paStaY0XIefg3dna8iNGOJk3DWqR9c8RZkiQL4sE+qoUNKucO55kbeqDZxaCsjoEiVZ46Se DN6aeQAbpIkqE02jobl3goix6QoenbLFu5n9TraIcx8by2j3Cf8DmlkJWF0cQFRAmq309sWkYH7g ktZrt1J8v6aj1n0w9nQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cPLZpFAlx6qX/+ZdIlpBx0KyLh5FT1vyEinqC/Xx7KpUcIBP+a4xSb9yAsPzzHFgkLYFsHORwF1y yw0gNP9ou37w3fjJ+7Ec4N1Aa3UyxOLcGwBb9gxqjpkBEQHidtbjXFiiPngzrk//8MF+iRk/zfCv /W1GvSRZ9v1lQhQVobsZ9/x2+KwY3Na+zUUnJ5T7xow/20ij1e87xyEQEbvTtMUuw7Egx0By0prL LVJvqi0142GVV3xzqMRfxj69IeYOHqO7rihg/FRzzx4e2gSUkq/3K8nPTPrg/ZG9BDUVgZ1TEHR8 smsjHQ0JE7dJ5JWAoMoW6sp5BXrLwd3Ypax13A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6640) `protect data_block VIoZPHLl7A9+Uj3Z4qwDH+imoGob3/YaNhlppPGn6NnlnJjf+EUhgpH8Dmt59/Q02V4QCtTHsdFY qyjcZpwEU3l9UOgTZg4wbdhgDcaBXH2Rrg6kEly97UOqUDGea4gw3RjLGo1sRLVaqU717l9Dl91Z sEqS359rPl0+x2yJrCUfJw/nyKKe0K+r292cM5gK6DWPnpWj2K4aGP+sQ9RaE2g2xmAM6VnykNQm WqstRmejFnyI6Jd4YmwqC4YJ2xWQvED11vw4OZIaQI1A3fAjclXLMsV8Jq8g2+foRNcAGBlb+BoW viPSlZSH5Z8JsDkqHjQ8LrsbEbJQOOuMbgosOgn7Sr/sB6HBB0OtVgDw9LFy0A0Jw5Z0tdSXaO/y 9nfK9H+F43f6wviwpHRQPz4nZ1ipXsylyxSQmcKA5cnrpzk668HGBA8hjdd1nfXwgbRZJFwJKL8j kHJM5Nx2O4dJgeyBJs4GrIfjzxIdW1pJKFUlg5FUnfIGiv46spaA6kON3xt/JbIVXYhT+x1iSMQ1 3YYgB3Qdl/WSBvmWwkWD9tug87pF4vwk9AP6BXEiQjd3hfQHAd4UmvaXvSVuXfbc/JA6cke9y29X 73SSKKGCY/w1Bm1botEq5QS02TZ4M2tXLh9Jj2Ma7zYMeqotvksdbN4rtZ3liIyA1baHP+bWrPc3 EhJpFXLWjqbnJZ4tL7WW0e5s1Jq1R2Lxi162TaKUCZylBQhpoGfXKCe2MEdLL5DJyAOvQmjPNzOw ktgWwtxzevcJ/btP5j5v+H4AkgCeXp/ICKMGN3IPGIq2i3fHb27IAinTSw4VySBgVcAObFOfPYMw 2gg5eqbdunkyeGDdoZIuDSa5fyuRqeQrm0ZDbpmaQdky4x4RLszs1CLh4J4Ixk37aIKfhbzwy4aX 9mFMfihTMBmIIleGigUgotTB6DGn4ATExzEMXzlsypEV4fvEm94MwmxNQ/L/tO12CoJjHG7jYxAO jX1bIBac4oO7cymbWa+9L7e6UhVrbzrXEJzjI9nAm6ReOaq/mpKW0IibW8xRhmzkWRY49MUoXf8v Mz5B15mbQxmTpnKJDyygMu+p2/MJtUvrfIP+Tz+/VLF6g0FjKYVHi0xMAPQTLKYNl5SHs+EmVMqQ +jXUB0COmhY7BMZgMoEez005ZXkV5K1PXLwe/Kx81sclBZFHYCImpS1sHy6AoOmK9m208gx2WDrm qXVJm+FJowKgA19NUI/0MUOWNTLcWstJUhu2FbMQQ4P4jkcn66Ra7Z1tC3SqXCQtySwjQfnqrPTi pMB9yhxBJO8KovIR67ytrm7pgi1n0kLQkoB1lMmQlSf0eZJt0g9lq8IeAEaAhVLK54kE4F22zLjU pmURAQQ66M8kP+zUglfLTVM5vP4UBC1AGrS4gXqrpHUzk6TqxATka9l3iIbkN4oNnRONZuR57G88 nlXqXiefDqD8qubC93yx3CxbQ0hdFcqgyxOYFkZYx7uYElmj1Dy873ZNVhaIeMxFQwjKopSrgm1+ 46S/X0pV+mBSCpNh74y9rOv2G+PjUQssaDXHUVLekEhPXIR3P+jALlJExuzSazo+2xDGBSkiIsNv aXJ441vNCLN27rXwFqWZniQBbG2zyOfc0E871UgENWpaDDQpG5IwifnRR7fptCepktyEbq/xP7D8 pRdV/PX47UO1h+Qv9hXVV1+WmZjULB6XJRpfM8lfnXr9kcRr/wTJIzz4wM/8x7/pEV2jL88PTwNq /tezP25o3EK87RfK6VL46f0Td+fyo/fg4nDZeRE0+ImzkeO+VGS3qLUEGQMYWz0DUKwlv9PJFkwC 6KAoSV8slVP8S/UmnX/svJJWOnPV3236DUpfsFsQR6GMTsBbkZmuijF/4OMhvN1sCnSUlQPTgoAD i6pFhV+61lhFHdgS9+pk1tjJBzNeL6dh4gyxoR3d/RlDYvlIc1Xaf0x8PND4bf1XOTWLm+ApEJU8 gXMjr+2x0zU4Ef9KBEbk/EQZgvA5xQ5WQMffBNcCOdzY0YKlcvoAVFMqqBM4EeUvnGQlrecSKJ90 CVMz02gjKpQUqyUMD7rvrYrO6nP/ttkJJSTUKcVblFi5G5iXkAaXeRzr/ZVDqhCCqv5IOD4w0Dpc feE2QXNQ/CYrVxB4mr/c0V1aRpN8A5qSkNfac3QwDycqh+Law8W2eQLWjFxcFkabNvUg/jgXQkMz fNmoKJaW5danenLzbpK8YgSIT+bdxhbFTNwUjsGpW6EAFh1RrAxa2/sWHymUZ4gzekSMuLAu6K3p g9oG+CmH8vzTLqUoXWWXE513iQ9jP7h84K1c6nyRu7LNwrTNfPN1DlTWK8nlJVJ0KfOiJ2EZyrTY Kf24/1FSNKsTRUfsYF9XfRlIBF4Pk9IDd97t7gEEtjA09KqQjO0/LZY0AuFVohhY6wCczALXWP4x lKUZY0YE+1y0U5J4EYO+370OhLLWBJQ4rJ/tEucNPxyt0VialmpYLVNVk+dIdwnMTliSJ7J6pVb5 lATtkrglO0uC587W8rA8XGBObiywelIPDEx//rBhGxtbJE6Gk8xkiU0dR8myoYsYNcCJv8/el2uN 1KoqPksIVXNDRgWU2X157/vt23mzEccB7ejl6ePfU7pBx1gc7SOq/1QXe048E2ftWWT82zvj+Ayj 8a8/ZN1tTQ9v13h8nW74z7dzs21LEvQByqMpgB1c4Gz5cEZFTnPxQm7vsL/9CCplqYtNE47XQtA+ xJ30u33XyaQPBR9t+vdETv1B9y0ofIoRIf5+hsUmhaZR1i7MUpQAU2MzIcWM5dSzav8NAsGZ+Dz1 Y9BtThR63LxtwnGnG2SDXihOam9fRkjvWUxxroTexnfK1uymeoyWoVrS7GkpUEB3JFpaYPN0On7k 290FywsLWncfqSsj+bW1Pv3Cb+LtYV0pfJtkfvnI+3JRuplRLcAUbfD9pnq4HedjUXm49fjz88eu J0+6KSKszRjVnm4NTxcRx0a1B5ggdYbCq0s4TV5OOJfH+Xu3vb0VH0cO997h6jNZANWZW/iuiVeU y8vi/cCNd+shNmaCWVhuSq50ckfuaOl5L1Ro+R6oMjBv0b8VYls4s9d/8NSDHVovJusFNsOc3eEA SdHauhWvlzgtCt3p6mg7aRlCKFjxRLdg0POpbGi8NypZD0yoB6wMY+cL6pD6gOJ7n5C/CcoBJFI+ ChjAp1AZjtaS3fMYI8+cN0cQ58ie2qCwmnGVXVDBil5cZ4xrvLagkHmEmJ31eTv4tUApWcpfrbdh KtOuoSJUp/Qe6fLcn/T+sbiOsqXF0eMSTyX8BKOY8xHWO3R4NLjWGDFQOpc8qOzG/M79pliXmgBc I4LjTxDlHvce+pE2nyBgUhRS2Lrlj9+nKVFt/jN410T+0o47eeE4jNM4GO0wbuidTzUkSq646QS+ z1yu0O1aEAkUBm3GQGX3AA5e9x5L9jUhGDKJLjicthmS+lUdf5EYg2dvBFN0xNybwEb4eWaX6ZGu IyEHxpBOnWw5yQTUjHl7UjCtgDIhVsGxMdbxY70SOJYY0BaFkaKRU/yLOKs0wIGMVP2I7pR+h6Bj 4arSpTRV39mKCt85jPGrophqNi5Q8DGk7qqQ6qv4Qo0YhIzNUDFFrUJJZIAvYCa9AW3bpbxbVT9C GcgYfqEMg6dUkKstZ4rbVoJ0FCpnqOJ0sJrsYF3SB/+7F20Pkr9eskKPqlqi3YpbouY0iwWkqNiF rgBmLsfvAq1LU5BRLCsCJQhUhNSqsNtVWlC9pITTTQMf0B/2NFMyPsY3/reZsItdLMBwxZdXdDDv VfQVC+yP5nR8VN4/mOAj3RPrWMohg+JomjnV5l71yyGyuhyXzOOSYCYjaKrxscfHliRUckt6MQoM 53+riCN1N0njefhbzucZUrPlTpYDNBRvQQmlOn5tNWqI4Lhxdbw6sfTYZtYSeq2d8uqDd9+Og7w0 E3CBQLJDtsnhM+s2L6ZoPjESKrXevl/RbolWYVKemnURXNB88ggtLAoqqkabmj9SrxFsh9u3vQQZ BMMMGi8SSldSXuwg/otHsIb5l7SzLuKHIq2cKc2dqNIsqxgCSpv2WxCRae10w/DM3jCTcXElmNND EiGihoZaBwwmM4vyzsk1CNbgLsshNRxQ9pOeuZFs9ZDtaiIGtibA/j3YHTCPHCAUICYlkedfglg6 hJgIc8NdUrMeX34PYx7bhlvvReYzsi8B6WpNn2QFLZsAzOn4KppAVq82DUAd7bP+4aOtI135shAU NLnMIhGLWm2kdMmNd5lziJ5jAOEVWQ/NEcfGMWtL39Fqe7qih3pfqjMiF+YezHGBYzK2Xw/h+jqo 801yf0wCesTNklGripM3FKhwybwv3HFPbIP3h+/WtF1bvgjipIPhiPyaUjHSDggHlfs/Jy5gH/tq bQuYjz/JTtB9fl6UjnS1GTgrMQqVqcCx3jsBx0yFEezbiAPZ76eMOgXdvDBuUghIobGcKgee1Uy+ 8RnqNYKruNqwKQxk4aQfKz32Slq1q2hEE4tLhiulRW1w9M8NFVS2dXqs1lmmAiQlsrlLhTEl+0PL oYMJV9FCstWhkHdaCmsFHrNb4Z+TYD/0Caqr1UDFQXBkSrGLg4oG8Z0uJJ1v0Ar0sMsHm4Jlgpgf M9mBkYrLppm56BsyT1oFbraDx3fv/s6UARoCnAQ7S5QZni+Xh7qvrEGAnxgixDvxvns00NxScM9F Eb0CVnOSf95dhm8PJ/GyJyR2yLrHXq/CKP5OftPaahpp03TW9Xtb+HRyi34b4Tb6AJhKbsEtZ8+w uQClN8r9rT+omYxjvhdwt9gydS+j6Y17KMy7zbjsehHk9jwo/dC9U2BmVy1kEnPtNvPAYrXxFmi8 qK3FPler6rhE/id3FObEzPeVcK20GXNMFWQnAd6Nu/fLqUpeDo7JZT8OTvFbl2kzXznulXyGVHtD r2Z3UvipRqiwR55u10q3suxOt9AlA7qnaXVy/rDzr3k5wWMuOC2GKCT7/zdi5OZ43y/jxn6u9Vdb DR/iOyBees2xk+XyAAdA4bi6Uw9dk2qYhlMHc0lvI4Av+9MRqA6CKBN+91hlZnL1UiNwSq90ZYvh GrCjIfi2GPKNbus7LjrtQfW8+P2faCWjSei6gOBT2h9FMsn1iTEzBmff3gnB/LTpSBDzbGtdH/LY 4oAoSbcRkb/ju1i2+kXCp3qX8EQXdGjLdWoIHJbK9Y8YROC+OzOKK6kxGH4bhstrxyhuEYIbW+wF p1iJy2L1TXT7jGVRpEWFCW1Z9M1aXOWv5YOIQRqd+c5sEEO6ZCduonTbtClDqeSUOuNlciPodZtc LhPWOBgN9n2lqF0I6unaLh9l2a6uiuKdT6IlC1b+u12LmdDBLPEaJRdXg+Jje/tpJL86pr4uLG8s BYnxUHjE+E3G3YyRpEzpqboVCAekPzRKoBQbed6cIb5JS5SKzQEfQJe+tTJSB+gwyMxoUGFFBTcV bm4pY5F3g8Wo3pKPYRRLATHqp12raKsALeLQJJ/ZNyUMDChHwfooINHWXpr3lBTZlIWkUKLH3qzM aX6/F/3UPWRFvyHUmnqHbQu5KeeB0F3acfnQ0G79UZqYdsTHF9uWbjmoqDYWs9h0jpR4T5xi9vqq jVQGyYrgPvhExDEXEIZJc6ipwPtxpu68A3QI6t2MMqQF/oA0wJKNnvdmiS9+Yr6ZtT32msuDyi09 eyB/WbpFrfsHQRfLdyUdLRdiTo8vBb6xQPZnv77vPazoFfNVJJA+vaWSR2tEw7Jb+tr47nSYxTS2 YafCGdWvJVln9eqMveaXUzJYd1uiDsztanki8Z+aPSOWVnf0P2YlkoGsi8Ua9Bqw+kIq6yY8NQ4r GfpdIWixXjV2qfck6IPNhgR68EjTqKDAsLHCOT2US3RLcyfHWPcmZ8X/hy0cN/ObcQpgpH6mrD4O YJ0uOwXnU3U5PcZUQcDOCCekB7+AJA3XAD9IZ2XyLEoMMtSzfS8rdUFlQFejlj8tVonrwffrMFiA Lz/Hm/yjNmSA/FwnWXWYW5BtN4W7wosnC57Afmgcz5lCI24lWrzxfTXIMkCEvDvk9su80Kc+2ihb bat+qFVyvTAhv+BsRgLfyqUL1JaLKdcly4MVTQcQFD2YOc1QBOk6Xk34HExxgYaF621LUuQ9yTeg o9EIY2GvzpojV3YQY6431+Y6KuvcS2Hn1SfyyjNlGfgIA1kyy6eTeHMj/egfYYYpMrgGWR2SyvJt tbjI/EfLrdyr3Azpv9UyewGdzgVydmDSfxH6olPMnAw83RkUgHL4JidaNHliQysHP8VsmnFoMcxG +8jtRIUOW33j2y+YdVoDJJ44WGTMfi4TTN7CNwkTbNJiP7JiRKUYLp/4wpIo1TwiWm89nbwx5AdY CINtD8jSbWpDJaenvy9xHhViJ0J7sVfYVtZpvO6p7M13pxLaiqkjHcU3wWiCjWdso96hJ4IYWuF+ P2AQIBqAgerC3Rhn3QZPzUqmpxssdoEOmo3NNdY4aBTH/13hm4aL8wq9OFOg+Molc59Zm6wxo+D7 lMalZ3pEgktblCcdtULfDrnDxJfKE/cWZA0sROKJ2nu0r736xAShLwcdW3MATf5lBieYrAy/XuxH wgD9dQNPN1ntKsyNU/vm3Ro+ty/zvS/k4m1n6SAPeGBqo4c5r7ufRxUgs19FRArJaDwQoxbkBB7l pyoslIct8rERugs06bV5yTNoCmG/RERJrpN239sfyFnbTMmud+rdO0ANoYTSPjv0CgxSY/X393TW Sd5ruSsZqeXavir32vskl1YVTgmRkSm0bwUrUW0xRtSFvFA0eVpLPRI1hgGWXLHEDFDGv+krW0CI UR2XaZlLSBC8yqvSV++MslAfduV43iZr8eJfDr18MyBuZjAmFxfpZGpJGKkRfhRyOg1rloK2+vLD RxLeobpqLuCHmymj5huZIoPhs5lvljddMvLU2OqiwIWcDW77ra0p2H+O90Q/ruKmyg0daAXeWfuo yzWQb9qEY4QvjxqHxyrunNJZXl1FhIenNdKbzFpQMjtolflKRwn4o8pFXjEOdrlu75fFQrB6pIKF +DObHsutzKnNe1d0NMQ1ZKPhpif/hsLdA/ypfeCK6y4r0/aW1EbQ2KenYLVZ7oV46dB4JF6AfOLd 702cOvaw02g2RxGl7uJdIlK8tX2F8dp3SSZHT82WGnk82BjxygpVLOlte1QoGhQ4F21WoHaNEL+V 4RUPVC41huV3Na+ZrADnxVtLbUJKLRFJSyQxLt72OrGY8Yo3ZPqXxbCZ90HWH2Vxnjepq6KpZGb0 WaMvXyGq7k8rT25YvG3okgXSCoGhRJHC8USnvyDT6FSRewn91T80p/aMW5b99s8gWCnls4gYEbzm k9fG6+EiVikzKXTAB5WXK07eLmrEueEJmi2TmYi8cqcuIZUNDFB8fBhWlX8P1F2jD9n6KCFRq0LE BC2UleOVZ39jlIe3Ljii6NQB0FMCdJwtWKhWgTV/trI/oSIDQPnnOoantCmn3teWwGF3COr3WQMf 1m8Ojfe0FoGF7vrSWlo2jytGrqoXP+llvCkUlLx5VhLX7anDOdEAtoL1kAF+7lbiZLRje18T2yIf CrPw1hERIgozoA8NZBahccQxGEkKftL8lYijXMVd9gZPo3eMMY5wmqr+vMiS5PGqxXfGm+fdBVhq HJnPapNMq2y8q+HSx5w/4FuZaoKNw+VWUHUTGKzzUWOvEi+UAvur6YYyiU+tCaflBjrMWghRsPVc QjevlwNRLW/SyRooy7Xfq2aIegKvGCM2tzHqgr09ub4JbBvbIZ6c5hw0oWpb1NlJzwygI99IkLdd RhUnQcelo4rCGk3M/FPZNADmPDy2PYPH66KmOfG5CXLCexBpMiQyMq+c/+c5Q8tqEsd5SwvoUNhV 6q63abFhnSSXsFrM9BXUWR/E50YeZfF6YchtirlR2PtC9iEhR64QfTU668JrR1s5fQ/dxboI5Uxd atjSvv4fUrviLTbs4K2y8rzsXruHz5smSXt/r9Nczzg8ngx6mezMFG7XrBPR+WiGAAvSr8rWGQvx LhAcZslm6LG9p2+j+2uM4EiK8LkFBBlnmvGKg6X8gbTYiFarfRw7RX1EF/e7gBcGApkfb+Gii27T 1sePzFTPPgejhXUMJyN02CYtKVrBMetC0ws5JNHnMOkZWP5ZmuvBMG6OHsudUZKGuWSZwdPUSYor bpceBc+hl+I6mFHtZ+O8x3icH5ES+H7xxzZZ5O1AV1DvaRB0qJlGk/dutcCcm5wKe5NmPWY14X+S IlhAMINj6ag6O9Y6/Z/p7YbhPxqZR/7KYq8VarHLEHSRu0LqJHSYFA7s13hvDwcorEInrRb4cQOF 3iL0fr6mY75JMLiQRWHMqNi49Y5blYxrs3uR0WVeGLhNqmuGv7xbzH32rQNzQHb1kybkFpgswEvX +HNftxR4mSLaQvCmvrv8MPU82RPfjPUXLdVhLtmix9Q4mYLxowPjCFgLjYAKm8it+BAlvuIZd9Vp z8UFZW842k2NcKlaEIHsf2J6hB9s0P0bzqHfrv3rWg04iH3Uohca6Z9vHOLWen0stGYjK+6lNe4B /l49S4Gt6CLrJvfH5XhnOzoUeUKHn/o/hAbdp3iID1HPYbZOngH9gOuGer3p4apc2Rb8aaPH7ZzK UrPlL2nE1K2lNA6GzKzTIR5DZkJ+CwMyt1lSkGwYmtMNT0tB1ullknOd5O2rnL4TnO9BXZJhzmI5 ovQvRXyvX+7zOU3MygjK42hfUKl4txw/rRzghVsyXYT+uxtoL86zCFj9wLSBV+bCMbVAB+hcumdK CjdakurRHOzI+aaukZYQhXqgZIoxH2LZ9mJzkg== `protect end_protected
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2785.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity BUFFER is end BUFFER; ENTITY c13s09b00x00p99n01i02785ent IS END c13s09b00x00p99n01i02785ent; ARCHITECTURE c13s09b00x00p99n01i02785arch OF c13s09b00x00p99n01i02785ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02785 - Reserved word BUFFER can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02785arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2785.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity BUFFER is end BUFFER; ENTITY c13s09b00x00p99n01i02785ent IS END c13s09b00x00p99n01i02785ent; ARCHITECTURE c13s09b00x00p99n01i02785arch OF c13s09b00x00p99n01i02785ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02785 - Reserved word BUFFER can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02785arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2785.vhd,v 1.2 2001-10-26 16:30:22 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity BUFFER is end BUFFER; ENTITY c13s09b00x00p99n01i02785ent IS END c13s09b00x00p99n01i02785ent; ARCHITECTURE c13s09b00x00p99n01i02785arch OF c13s09b00x00p99n01i02785ent IS BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c13s09b00x00p99n01i02785 - Reserved word BUFFER can not be used as an entity name." severity ERROR; wait; END PROCESS TESTING; END c13s09b00x00p99n01i02785arch;
-------------------------------------------------------------------------------- -- -- AM2901 Benchmark -- -- Source: AMD data book -- -- VHDL Benchmark author Indraneel Ghosh -- University Of California, Irvine, CA 92717 -- -- Developed on Jan 1, 1992 -- -- Modified by : Champaka Ramachandran -- -- Modified on : Sept 16, 1992 -- -- Verification Information: -- -- Verified By whom? Date Simulator -- -------- ------------ -------- ------------ -- Syntax yes Champaka Ramachandran 16 Sept,92 ZYCAD -- Functionality yes Champaka Ramachandran 16 Sept,92 ZYCAD -------------------------------------------------------------------------------- use work.types.all; use work.MVL7_functions.all; --some binary functions use work.synthesis_types.all; --hints for synthesis entity testbench is end; architecture A of testbench is component AM2901 port ( I : in MVL7_vector(8 downto 0); Aadd, Badd : in integer range 0 to 15; D : in MVL7_vector(3 downto 0); Y : out MVL7_vector(3 downto 0); RAM0, RAM3, Q0, Q3 : in MVL7; RAM0out, RAM3out, Q0out, Q3out : out MVL7; CLK : in clock; C0 : in MVL7; OEbar : in MVL7; C4, Gbar, Pbar, OVR, F3, F30 : out MVL7 ); end component; signal I : MVL7_vector(8 downto 0); signal Aadd, Badd : integer range 0 to 15; signal D : MVL7_vector(3 downto 0); signal Y : MVL7_vector(3 downto 0); signal RAM0, RAM3, Q0, Q3 : MVL7; signal RAM0out, RAM3out, Q0out, Q3out : MVL7; signal CLK : clock; signal C0 : MVL7; signal OEbar : MVL7; signal C4, Gbar, Pbar, OVR, F3, F30 : MVL7; for all : AM2901 use entity work.a2901(a2901); begin AM1 : AM2901 port map( I, Aadd, Badd, D, Y, RAM0, RAM3, Q0, Q3, RAM0out, RAM3out, Q0out, Q3out, CLK, C0, OEbar, C4, Gbar, Pbar, OVR, F3, F30 ); process begin -- ************************ -- * * -- * TEST VECTORS FOR ALU * -- * * -- ************************ -- ******** ADDITION R + S ******** -------------------------- clk <= '1'; -- Cycle No: 0 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 1 wait for 1 ns; I <= "001000110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 0 : < Y /= 0000 >" -- Vector No: 0 severity warning; assert (C4 = '0') report "Assert 1 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 2 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 3 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 4 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 5 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 6 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 2 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 3 wait for 1 ns; I <= "001000110"; D <= "0000"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0001") report "Assert 7 : < Y /= 0001 >" -- Vector No: 1 severity warning; assert (C4 = '0') report "Assert 8 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 9 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 10 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 11 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 12 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 13 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 4 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 5 wait for 1 ns; I <= "001000110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 14 : < Y /= 1111 >" -- Vector No: 2 severity warning; assert (C4 = '0') report "Assert 15 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 16 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 17 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 18 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 19 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 20 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 6 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 7 wait for 1 ns; I <= "001000110"; D <= "0000"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 21 : < Y /= 0000 >" -- Vector No: 3 severity warning; assert (C4 = '1') report "Assert 22 : < C4 /= 1 >" severity warning; assert (Gbar = '1') report "Assert 23 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 24 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 25 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 26 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 27 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 8 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 9 wait for 1 ns; I <= "001000110"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1110") report "Assert 28 : < Y /= 1110 >" -- Vector No: 4 severity warning; assert (C4 = '1') report "Assert 29 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 30 : < Gbar /= 0 >" severity warning; assert (Pbar = '0') report "Assert 31 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 32 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 33 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 34 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 10 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 11 wait for 1 ns; I <= "001000110"; D <= "1111"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 35 : < Y /= 1111 >" -- Vector No: 5 severity warning; assert (C4 = '1') report "Assert 36 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 37 : < Gbar /= 0 >" severity warning; assert (Pbar = '0') report "Assert 38 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 39 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 40 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 41 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 12 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 13 wait for 1 ns; I <= "001000110"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 42 : < Y /= 1111 >" -- Vector No: 6 severity warning; assert (C4 = '0') report "Assert 43 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 44 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 45 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 46 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 47 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 48 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 14 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 15 wait for 1 ns; I <= "001000110"; D <= "1111"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 49 : < Y /= 0000 >" -- Vector No: 7 severity warning; assert (C4 = '1') report "Assert 50 : < C4 /= 1 >" severity warning; assert (Gbar = '1') report "Assert 51 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 52 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 53 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 54 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 55 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 16 wait for 1 ns; I <= "000000111"; D <= "0001"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 17 wait for 1 ns; I <= "000000110"; D <= "0001"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0010") report "Assert 56 : < Y /= 0010 >" -- Vector No: 8 severity warning; assert (C4 = '0') report "Assert 57 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 58 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 59 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 60 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 61 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 62 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 18 wait for 1 ns; I <= "000000111"; D <= "0010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 19 wait for 1 ns; I <= "001000110"; D <= "0010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0100") report "Assert 63 : < Y /= 0100 >" -- Vector No: 9 severity warning; assert (C4 = '0') report "Assert 64 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 65 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 66 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 67 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 68 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 69 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 20 wait for 1 ns; I <= "000000111"; D <= "0100"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 21 wait for 1 ns; I <= "001000110"; D <= "0100"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1000") report "Assert 70 : < Y /= 1000 >" -- Vector No: 10 severity warning; assert (C4 = '0') report "Assert 71 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 72 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 73 : < Pbar /= 1 >" severity warning; assert (OVR = '1') report "Assert 74 : < OVR /= 1 >" severity warning; assert (F3 = '1') report "Assert 75 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 76 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 22 wait for 1 ns; I <= "000000111"; D <= "1000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 23 wait for 1 ns; I <= "001000110"; D <= "1000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 77 : < Y /= 0000 >" -- Vector No: 11 severity warning; assert (C4 = '1') report "Assert 78 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 79 : < Gbar /= 0 >" severity warning; assert (Pbar = '1') report "Assert 80 : < Pbar /= 1 >" severity warning; assert (OVR = '1') report "Assert 81 : < OVR /= 1 >" severity warning; assert (F3 = '0') report "Assert 82 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 83 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -- ******** SUBTRACTION S - R ******** -------------------------- clk <= '1'; -- Cycle No: 24 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 25 wait for 1 ns; I <= "001001110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 84 : < Y /= 1111 >" -- Vector No: 12 severity warning; assert (C4 = '0') report "Assert 85 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 86 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 87 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 88 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 89 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 90 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 26 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 27 wait for 1 ns; I <= "001001110"; D <= "0000"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 91 : < Y /= 0000 >" -- Vector No: 13 severity warning; assert (C4 = '1') report "Assert 92 : < C4 /= 1 >" severity warning; assert (Gbar = '1') report "Assert 93 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 94 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 95 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 96 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 97 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 28 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 29 wait for 1 ns; I <= "001001110"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 98 : < Y /= 0000 >" -- Vector No: 14 severity warning; assert (C4 = '0') report "Assert 99 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 100 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 101 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 102 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 103 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 104 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 30 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 31 wait for 1 ns; I <= "001001110"; D <= "1111"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0001") report "Assert 105 : < Y /= 0001 >" -- Vector No: 15 severity warning; assert (C4 = '0') report "Assert 106 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 107 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 108 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 109 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 110 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 111 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 32 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 33 wait for 1 ns; I <= "001001110"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 112 : < Y /= 1111 >" -- Vector No: 16 severity warning; assert (C4 = '0') report "Assert 113 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 114 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 115 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 116 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 117 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 118 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 34 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 35 wait for 1 ns; I <= "001001110"; D <= "1111"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 119 : < Y /= 0000 >" -- Vector No: 17 severity warning; assert (C4 = '1') report "Assert 120 : < C4 /= 1 >" severity warning; assert (Gbar = '1') report "Assert 121 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 122 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 123 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 124 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 125 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 36 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 37 wait for 1 ns; I <= "001001110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1110") report "Assert 126 : < Y /= 1110 >" -- Vector No: 18 severity warning; assert (C4 = '1') report "Assert 127 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 128 : < Gbar /= 0 >" severity warning; assert (Pbar = '0') report "Assert 129 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 130 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 131 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 132 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 38 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 39 wait for 1 ns; I <= "001001110"; D <= "0000"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 133 : < Y /= 1111 >" -- Vector No: 19 severity warning; assert (C4 = '1') report "Assert 134 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 135 : < Gbar /= 0 >" severity warning; assert (Pbar = '0') report "Assert 136 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 137 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 138 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 139 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 40 wait for 1 ns; I <= "000000111"; D <= "0001"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 41 wait for 1 ns; I <= "001001110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 140 : < Y /= 0000 >" -- Vector No: 20 severity warning; assert (C4 = '1') report "Assert 141 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 142 : < Gbar /= 0 >" severity warning; assert (Pbar = '0') report "Assert 143 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 144 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 145 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 146 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 42 wait for 1 ns; I <= "000000111"; D <= "0010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 43 wait for 1 ns; I <= "001001110"; D <= "0001"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0001") report "Assert 147 : < Y /= 0001 >" -- Vector No: 21 severity warning; assert (C4 = '1') report "Assert 148 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 149 : < Gbar /= 0 >" severity warning; assert (Pbar = '1') report "Assert 150 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 151 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 152 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 153 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 44 wait for 1 ns; I <= "000000111"; D <= "0100"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 45 wait for 1 ns; I <= "001001110"; D <= "0010"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0010") report "Assert 154 : < Y /= 0010 >" -- Vector No: 22 severity warning; assert (C4 = '1') report "Assert 155 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 156 : < Gbar /= 0 >" severity warning; assert (Pbar = '1') report "Assert 157 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 158 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 159 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 160 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 46 wait for 1 ns; I <= "000000111"; D <= "1000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 47 wait for 1 ns; I <= "001001110"; D <= "0100"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0100") report "Assert 161 : < Y /= 0100 >" -- Vector No: 23 severity warning; assert (C4 = '1') report "Assert 162 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 163 : < Gbar /= 0 >" severity warning; assert (Pbar = '1') report "Assert 164 : < Pbar /= 1 >" severity warning; assert (OVR = '1') report "Assert 165 : < OVR /= 1 >" severity warning; assert (F3 = '0') report "Assert 166 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 167 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** SUBTRACTION R - S ******** -------------------------- clk <= '1'; -- Cycle No: 48 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 49 wait for 1 ns; I <= "001010110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 168 : < Y /= 1111 >" -- Vector No: 24 severity warning; assert (C4 = '0') report "Assert 169 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 170 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 171 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 172 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 173 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 174 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 50 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 51 wait for 1 ns; I <= "001010110"; D <= "0000"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 175 : < Y /= 0000 >" -- Vector No: 25 severity warning; assert (C4 = '1') report "Assert 176 : < C4 /= 1 >" severity warning; assert (Gbar = '1') report "Assert 177 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 178 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 179 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 180 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 181 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 52 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 53 wait for 1 ns; I <= "001010110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 182 : < Y /= 0000 >" -- Vector No: 26 severity warning; assert (C4 = '0') report "Assert 183 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 184 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 185 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 186 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 187 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 188 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 54 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 55 wait for 1 ns; I <= "001010110"; D <= "0000"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0001") report "Assert 189 : < Y /= 0001 >" -- Vector No: 27 severity warning; assert (C4 = '0') report "Assert 190 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 191 : < Gbar /= 1 >" severity warning; assert (Pbar = '1') report "Assert 192 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 193 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 194 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 195 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 56 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 57 wait for 1 ns; I <= "001010110"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 196 : < Y /= 1111 >" -- Vector No: 28 severity warning; assert (C4 = '0') report "Assert 197 : < C4 /= 0 >" severity warning; assert (Gbar = '1') report "Assert 198 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 199 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 200 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 201 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 202 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 58 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 59 wait for 1 ns; I <= "001010110"; D <= "1111"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 203 : < Y /= 0000 >" -- Vector No: 29 severity warning; assert (C4 = '1') report "Assert 204 : < C4 /= 1 >" severity warning; assert (Gbar = '1') report "Assert 205 : < Gbar /= 1 >" severity warning; assert (Pbar = '0') report "Assert 206 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 207 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 208 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 209 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 60 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 61 wait for 1 ns; I <= "001010110"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1110") report "Assert 210 : < Y /= 1110 >" -- Vector No: 30 severity warning; assert (C4 = '1') report "Assert 211 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 212 : < Gbar /= 0 >" severity warning; assert (Pbar = '0') report "Assert 213 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 214 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 215 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 216 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 62 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 63 wait for 1 ns; I <= "001010110"; D <= "1111"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 217 : < Y /= 1111 >" -- Vector No: 31 severity warning; assert (C4 = '1') report "Assert 218 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 219 : < Gbar /= 0 >" severity warning; assert (Pbar = '0') report "Assert 220 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 221 : < OVR /= 0 >" severity warning; assert (F3 = '1') report "Assert 222 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 223 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 64 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 65 wait for 1 ns; I <= "001010110"; D <= "0001"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 224 : < Y /= 0000 >" -- Vector No: 32 severity warning; assert (C4 = '1') report "Assert 225 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 226 : < Gbar /= 0 >" severity warning; assert (Pbar = '0') report "Assert 227 : < Pbar /= 0 >" severity warning; assert (OVR = '0') report "Assert 228 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 229 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 230 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 66 wait for 1 ns; I <= "000000111"; D <= "0001"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 67 wait for 1 ns; I <= "001010110"; D <= "0010"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0001") report "Assert 231 : < Y /= 0001 >" -- Vector No: 33 severity warning; assert (C4 = '1') report "Assert 232 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 233 : < Gbar /= 0 >" severity warning; assert (Pbar = '1') report "Assert 234 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 235 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 236 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 237 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 68 wait for 1 ns; I <= "000000111"; D <= "0010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 69 wait for 1 ns; I <= "001010110"; D <= "0100"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0010") report "Assert 238 : < Y /= 0010 >" -- Vector No: 34 severity warning; assert (C4 = '1') report "Assert 239 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 240 : < Gbar /= 0 >" severity warning; assert (Pbar = '1') report "Assert 241 : < Pbar /= 1 >" severity warning; assert (OVR = '0') report "Assert 242 : < OVR /= 0 >" severity warning; assert (F3 = '0') report "Assert 243 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 244 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 70 wait for 1 ns; I <= "000000111"; D <= "0100"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 71 wait for 1 ns; I <= "001010110"; D <= "1000"; C0 <= '1'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0100") report "Assert 245 : < Y /= 0100 >" -- Vector No: 35 severity warning; assert (C4 = '1') report "Assert 246 : < C4 /= 1 >" severity warning; assert (Gbar = '0') report "Assert 247 : < Gbar /= 0 >" severity warning; assert (Pbar = '1') report "Assert 248 : < Pbar /= 1 >" severity warning; assert (OVR = '1') report "Assert 249 : < OVR /= 1 >" severity warning; assert (F3 = '0') report "Assert 250 : < F3 /= 0 >" severity warning; assert (F30 = '0') report "Assert 251 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** OR (R or S) ******** -------------------------- clk <= '1'; -- Cycle No: 72 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 73 wait for 1 ns; I <= "001011110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 252 : < Y /= 0000 >" -- Vector No: 36 severity warning; assert (F3 = '0') report "Assert 253 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 254 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 74 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 75 wait for 1 ns; I <= "001011110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 255 : < Y /= 1111 >" -- Vector No: 37 severity warning; assert (F3 = '1') report "Assert 256 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 257 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 76 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 77 wait for 1 ns; I <= "001011110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 258 : < Y /= 1111 >" -- Vector No: 38 severity warning; assert (F3 = '1') report "Assert 259 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 260 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 78 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 79 wait for 1 ns; I <= "001011110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 261 : < Y /= 1111 >" -- Vector No: 39 severity warning; assert (F3 = '1') report "Assert 262 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 263 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** AND (R and S) ******** -------------------------- clk <= '1'; -- Cycle No: 80 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 81 wait for 1 ns; I <= "001100110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 264 : < Y /= 0000 >" -- Vector No: 40 severity warning; assert (F3 = '0') report "Assert 265 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 266 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 82 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 83 wait for 1 ns; I <= "001100110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 267 : < Y /= 0000 >" -- Vector No: 41 severity warning; assert (F3 = '0') report "Assert 268 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 269 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 84 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 85 wait for 1 ns; I <= "001100110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 270 : < Y /= 1111 >" -- Vector No: 42 severity warning; assert (F3 = '1') report "Assert 271 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 272 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 86 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 87 wait for 1 ns; I <= "001100110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 273 : < Y /= 0000 >" -- Vector No: 43 severity warning; assert (F3 = '0') report "Assert 274 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 275 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -- ******** AND (not(R) and S) ******** -------------------------- clk <= '1'; -- Cycle No: 88 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 89 wait for 1 ns; I <= "001101110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 276 : < Y /= 0000 >" -- Vector No: 44 severity warning; assert (F3 = '0') report "Assert 277 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 278 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 90 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 91 wait for 1 ns; I <= "001101110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 279 : < Y /= 1111 >" -- Vector No: 45 severity warning; assert (F3 = '1') report "Assert 280 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 281 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 92 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 93 wait for 1 ns; I <= "001101110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 282 : < Y /= 0000 >" -- Vector No: 46 severity warning; assert (F3 = '0') report "Assert 283 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 284 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 94 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 95 wait for 1 ns; I <= "001101110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 285 : < Y /= 0000 >" -- Vector No: 47 severity warning; assert (F3 = '0') report "Assert 286 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 287 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -- ******** XOR (R xor S) ******** -------------------------- clk <= '1'; -- Cycle No: 96 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 97 wait for 1 ns; I <= "001110110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 288 : < Y /= 0000 >" -- Vector No: 48 severity warning; assert (F3 = '0') report "Assert 289 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 290 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 98 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 99 wait for 1 ns; I <= "001110110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 291 : < Y /= 1111 >" -- Vector No: 49 severity warning; assert (F3 = '1') report "Assert 292 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 293 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 100 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 101 wait for 1 ns; I <= "001110110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 294 : < Y /= 0000 >" -- Vector No: 50 severity warning; assert (F3 = '0') report "Assert 295 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 296 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 102 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 103 wait for 1 ns; I <= "001110110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 297 : < Y /= 1111 >" -- Vector No: 51 severity warning; assert (F3 = '1') report "Assert 298 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 299 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** XNOR (R xnor S) ******** -------------------------- clk <= '1'; -- Cycle No: 104 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 105 wait for 1 ns; I <= "001111110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 300 : < Y /= 1111 >" -- Vector No: 52 severity warning; assert (F3 = '1') report "Assert 301 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 302 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 106 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 107 wait for 1 ns; I <= "001111110"; D <= "0000"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 303 : < Y /= 0000 >" -- Vector No: 53 severity warning; assert (F3 = '0') report "Assert 304 : < F3 /= 0 >" severity warning; assert (F30 = '1') report "Assert 305 : < F30 /= 1 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 108 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 109 wait for 1 ns; I <= "001111110"; D <= "1111"; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 306 : < Y /= 1111 >" -- Vector No: 54 severity warning; assert (F3 = '1') report "Assert 307 : < F3 /= 1 >" severity warning; assert (F30 = '0') report "Assert 308 : < F30 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ************************ -- * * -- * TEST VECTORS FOR RAM * -- * * -- ************************ -- ******** WRITING 0's AND 1's IN RAM LOCATIONS ******** -------------------------- clk <= '1'; -- Cycle No: 110 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 111 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 309 : < Y /= 0000 >" -- Vector No: 55 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 112 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 113 wait for 1 ns; I <= "001000100"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 310 : < Y /= 0000 >" -- Vector No: 56 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 114 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 115 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 311 : < Y /= 1111 >" -- Vector No: 57 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 116 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 117 wait for 1 ns; I <= "001000100"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 312 : < Y /= 1111 >" -- Vector No: 58 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 118 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 119 wait for 1 ns; I <= "001000100"; Aadd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 313 : < Y /= 0000 >" -- Vector No: 59 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 120 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 121 wait for 1 ns; I <= "001000100"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 314 : < Y /= 0000 >" -- Vector No: 60 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 122 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 123 wait for 1 ns; I <= "001000100"; Aadd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 315 : < Y /= 1111 >" -- Vector No: 61 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 124 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 125 wait for 1 ns; I <= "001000100"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 316 : < Y /= 1111 >" -- Vector No: 62 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 126 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 2 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 127 wait for 1 ns; I <= "001000100"; Aadd <= 2 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 317 : < Y /= 0000 >" -- Vector No: 63 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 128 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 2 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 129 wait for 1 ns; I <= "001000100"; Badd <= 2 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 318 : < Y /= 0000 >" -- Vector No: 64 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 130 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 2 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 131 wait for 1 ns; I <= "001000100"; Aadd <= 2 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 319 : < Y /= 1111 >" -- Vector No: 65 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 132 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 2 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 133 wait for 1 ns; I <= "001000100"; Badd <= 2 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 320 : < Y /= 1111 >" -- Vector No: 66 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 134 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 3 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 135 wait for 1 ns; I <= "001000100"; Aadd <= 3 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 321 : < Y /= 0000 >" -- Vector No: 67 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 136 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 3 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 137 wait for 1 ns; I <= "001000100"; Badd <= 3 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 322 : < Y /= 0000 >" -- Vector No: 68 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 138 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 3 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 139 wait for 1 ns; I <= "001000100"; Aadd <= 3 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 323 : < Y /= 1111 >" -- Vector No: 69 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 140 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 3 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 141 wait for 1 ns; I <= "001000100"; Badd <= 3 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 324 : < Y /= 1111 >" -- Vector No: 70 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 142 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 4 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 143 wait for 1 ns; I <= "001000100"; Aadd <= 4 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 325 : < Y /= 0000 >" -- Vector No: 71 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 144 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 4 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 145 wait for 1 ns; I <= "001000100"; Badd <= 4 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 326 : < Y /= 0000 >" -- Vector No: 72 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 146 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 4 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 147 wait for 1 ns; I <= "001000100"; Aadd <= 4 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 327 : < Y /= 1111 >" -- Vector No: 73 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 148 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 4 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 149 wait for 1 ns; I <= "001000100"; Badd <= 4 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 328 : < Y /= 1111 >" -- Vector No: 74 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 150 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 5 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 151 wait for 1 ns; I <= "001000100"; Aadd <= 5 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 329 : < Y /= 0000 >" -- Vector No: 75 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 152 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 5 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 153 wait for 1 ns; I <= "001000100"; Badd <= 5 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 330 : < Y /= 0000 >" -- Vector No: 76 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 154 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 5 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 155 wait for 1 ns; I <= "001000100"; Aadd <= 5 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 331 : < Y /= 1111 >" -- Vector No: 77 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 156 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 5 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 157 wait for 1 ns; I <= "001000100"; Badd <= 5 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 332 : < Y /= 1111 >" -- Vector No: 78 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 158 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 6 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 159 wait for 1 ns; I <= "001000100"; Aadd <= 6 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 333 : < Y /= 0000 >" -- Vector No: 79 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 160 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 6 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 161 wait for 1 ns; I <= "001000100"; Badd <= 6 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 334 : < Y /= 0000 >" -- Vector No: 80 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 162 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 6 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 163 wait for 1 ns; I <= "001000100"; Aadd <= 6 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 335 : < Y /= 1111 >" -- Vector No: 81 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 164 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 6 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 165 wait for 1 ns; I <= "001000100"; Badd <= 6 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 336 : < Y /= 1111 >" -- Vector No: 82 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 166 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 7 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 167 wait for 1 ns; I <= "001000100"; Aadd <= 7 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 337 : < Y /= 0000 >" -- Vector No: 83 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 168 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 7 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 169 wait for 1 ns; I <= "001000100"; Badd <= 7 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 338 : < Y /= 0000 >" -- Vector No: 84 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 170 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 7 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 171 wait for 1 ns; I <= "001000100"; Aadd <= 7 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 339 : < Y /= 1111 >" -- Vector No: 85 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 172 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 7 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 173 wait for 1 ns; I <= "001000100"; Badd <= 7 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 340 : < Y /= 1111 >" -- Vector No: 86 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 174 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 8 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 175 wait for 1 ns; I <= "001000100"; Aadd <= 8 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 341 : < Y /= 0000 >" -- Vector No: 87 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 176 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 8 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 177 wait for 1 ns; I <= "001000100"; Badd <= 8 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 342 : < Y /= 0000 >" -- Vector No: 88 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 178 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 8 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 179 wait for 1 ns; I <= "001000100"; Aadd <= 8 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 343 : < Y /= 1111 >" -- Vector No: 89 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 180 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 8 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 181 wait for 1 ns; I <= "001000100"; Badd <= 8 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 344 : < Y /= 1111 >" -- Vector No: 90 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 182 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 9 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 183 wait for 1 ns; I <= "001000100"; Aadd <= 9 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 345 : < Y /= 0000 >" -- Vector No: 91 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 184 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 9 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 185 wait for 1 ns; I <= "001000100"; Badd <= 9 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 346 : < Y /= 0000 >" -- Vector No: 92 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 186 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 9 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 187 wait for 1 ns; I <= "001000100"; Aadd <= 9 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 347 : < Y /= 1111 >" -- Vector No: 93 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 188 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 9 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 189 wait for 1 ns; I <= "001000100"; Badd <= 9 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 348 : < Y /= 1111 >" -- Vector No: 94 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 190 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 10 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 191 wait for 1 ns; I <= "001000100"; Aadd <= 10 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 349 : < Y /= 0000 >" -- Vector No: 95 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 192 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 10 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 193 wait for 1 ns; I <= "001000100"; Badd <= 10 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 350 : < Y /= 0000 >" -- Vector No: 96 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 194 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 10 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 195 wait for 1 ns; I <= "001000100"; Aadd <= 10 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 351 : < Y /= 1111 >" -- Vector No: 97 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 196 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 10 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 197 wait for 1 ns; I <= "001000100"; Badd <= 10 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 352 : < Y /= 1111 >" -- Vector No: 98 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 198 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 11 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 199 wait for 1 ns; I <= "001000100"; Aadd <= 11 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 353 : < Y /= 0000 >" -- Vector No: 99 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 200 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 11 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 201 wait for 1 ns; I <= "001000100"; Badd <= 11 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 354 : < Y /= 0000 >" -- Vector No: 100 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 202 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 11 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 203 wait for 1 ns; I <= "001000100"; Aadd <= 11 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 355 : < Y /= 1111 >" -- Vector No: 101 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 204 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 11 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 205 wait for 1 ns; I <= "001000100"; Badd <= 11 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 356 : < Y /= 1111 >" -- Vector No: 102 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 206 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 12 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 207 wait for 1 ns; I <= "001000100"; Aadd <= 12 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 357 : < Y /= 0000 >" -- Vector No: 103 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 208 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 12 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 209 wait for 1 ns; I <= "001000100"; Badd <= 12 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 358 : < Y /= 0000 >" -- Vector No: 104 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 210 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 12 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 211 wait for 1 ns; I <= "001000100"; Aadd <= 12 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 359 : < Y /= 1111 >" -- Vector No: 105 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 212 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 12 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 213 wait for 1 ns; I <= "001000100"; Badd <= 12 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 360 : < Y /= 1111 >" -- Vector No: 106 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 214 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 13 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 215 wait for 1 ns; I <= "001000100"; Aadd <= 13 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 361 : < Y /= 0000 >" -- Vector No: 107 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 216 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 13 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 217 wait for 1 ns; I <= "001000100"; Badd <= 13 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 362 : < Y /= 0000 >" -- Vector No: 108 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 218 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 13 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 219 wait for 1 ns; I <= "001000100"; Aadd <= 13 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 363 : < Y /= 1111 >" -- Vector No: 109 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 220 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 13 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 221 wait for 1 ns; I <= "001000100"; Badd <= 13 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 364 : < Y /= 1111 >" -- Vector No: 110 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 222 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 14 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 223 wait for 1 ns; I <= "001000100"; Aadd <= 14 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 365 : < Y /= 0000 >" -- Vector No: 111 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 224 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 14 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 225 wait for 1 ns; I <= "001000100"; Badd <= 14 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 366 : < Y /= 0000 >" -- Vector No: 112 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 226 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 14 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 227 wait for 1 ns; I <= "001000100"; Aadd <= 14 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 367 : < Y /= 1111 >" -- Vector No: 113 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 228 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 14 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 229 wait for 1 ns; I <= "001000100"; Badd <= 14 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 368 : < Y /= 1111 >" -- Vector No: 114 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 230 wait for 1 ns; I <= "010000111"; D <= "0000"; Badd <= 15 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 231 wait for 1 ns; I <= "001000100"; Aadd <= 15 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 369 : < Y /= 0000 >" -- Vector No: 115 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 232 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 15 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 233 wait for 1 ns; I <= "001000100"; Badd <= 15 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 370 : < Y /= 0000 >" -- Vector No: 116 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 234 wait for 1 ns; I <= "010000111"; D <= "1111"; Badd <= 15 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 235 wait for 1 ns; I <= "001000100"; Aadd <= 15 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 371 : < Y /= 1111 >" -- Vector No: 117 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 236 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 15 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 237 wait for 1 ns; I <= "001000100"; Badd <= 15 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 372 : < Y /= 1111 >" -- Vector No: 118 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING RAM SHIFTERS ******** -------------------------- clk <= '1'; -- Cycle No: 238 wait for 1 ns; I <= "100000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; RAM0 <= 'Z'; RAM3 <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (RAM0out = '0') report "Assert 373 : < RAM0 /= 0 >" -- Vector No: 119 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 239 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1000") report "Assert 374 : < Y /= 1000 >" -- Vector No: 120 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 240 wait for 1 ns; I <= "100000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; RAM0 <= 'Z'; RAM3 <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (RAM0out = '1') report "Assert 375 : < RAM0 /= 1 >" -- Vector No: 121 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 241 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0111") report "Assert 376 : < Y /= 0111 >" -- Vector No: 122 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 242 wait for 1 ns; I <= "101000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; RAM0 <= 'Z'; RAM3 <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (RAM0out = '0') report "Assert 377 : < RAM0 /= 0 >" -- Vector No: 123 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 243 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1000") report "Assert 378 : < Y /= 1000 >" -- Vector No: 124 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 244 wait for 1 ns; I <= "101000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; RAM0 <= 'Z'; RAM3 <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (RAM0out = '1') report "Assert 379 : < RAM0 /= 1 >" -- Vector No: 125 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 245 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0111") report "Assert 380 : < Y /= 0111 >" -- Vector No: 126 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 246 wait for 1 ns; I <= "110000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; RAM0 <= '1'; RAM3 <= 'Z'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (RAM3out = '0') report "Assert 381 : < RAM3 /= 0 >" -- Vector No: 127 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 247 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0001") report "Assert 382 : < Y /= 0001 >" -- Vector No: 128 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 248 wait for 1 ns; I <= "110000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; RAM0 <= '0'; RAM3 <= 'Z'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (RAM3out = '1') report "Assert 383 : < RAM3 /= 1 >" -- Vector No: 129 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 249 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1110") report "Assert 384 : < Y /= 1110 >" -- Vector No: 130 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 250 wait for 1 ns; I <= "111000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; RAM0 <= '1'; RAM3 <= 'Z'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (RAM3out = '0') report "Assert 385 : < RAM3 /= 0 >" -- Vector No: 131 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 251 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0001") report "Assert 386 : < Y /= 0001 >" -- Vector No: 132 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 252 wait for 1 ns; I <= "111000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; RAM0 <= '0'; RAM3 <= 'Z'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (RAM3out = '1') report "Assert 387 : < RAM3 /= 1 >" -- Vector No: 133 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 253 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1110") report "Assert 388 : < Y /= 1110 >" -- Vector No: 134 severity warning; wait for 1 ns; -- ************************ -- ******************************* -- * * -- * TEST VECTORS FOR Q-REGISTER * -- * * -- ******************************* -- ******** WRITING 0's AND 1's ******** -------------------------- clk <= '1'; -- Cycle No: 254 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 255 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 389 : < Y /= 1111 >" -- Vector No: 135 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 256 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 257 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 390 : < Y /= 0000 >" -- Vector No: 136 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING Q-REGISTER SHIFTERS ******** -------------------------- clk <= '1'; -- Cycle No: 258 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 259 wait for 1 ns; I <= "100000111"; C0 <= '0'; OEbar <= '0'; Q0 <= 'Z'; Q3 <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Q0out = '0') report "Assert 391 : < Q0 /= 0 >" -- Vector No: 137 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 260 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1000") report "Assert 392 : < Y /= 1000 >" -- Vector No: 138 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 261 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 262 wait for 1 ns; I <= "100000111"; C0 <= '0'; OEbar <= '0'; Q0 <= 'Z'; Q3 <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Q0out = '1') report "Assert 393 : < Q0 /= 1 >" -- Vector No: 139 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 263 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0111") report "Assert 394 : < Y /= 0111 >" -- Vector No: 140 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 264 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 265 wait for 1 ns; I <= "110000111"; C0 <= '0'; OEbar <= '0'; Q0 <= '1'; Q3 <= 'Z'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Q3out = '0') report "Assert 395 : < Q3 /= 0 >" -- Vector No: 141 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 266 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0001") report "Assert 396 : < Y /= 0001 >" -- Vector No: 142 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 267 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 268 wait for 1 ns; I <= "110000111"; C0 <= '0'; OEbar <= '0'; Q0 <= '0'; Q3 <= 'Z'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Q3out = '1') report "Assert 397 : < Q3 /= 1 >" -- Vector No: 143 severity warning; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 269 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1110") report "Assert 398 : < Y /= 1110 >" -- Vector No: 144 severity warning; wait for 1 ns; -- ************************ -- **************************************** -- * * -- * TEST VECTORS FOR ALU SOURCE-SELECTOR * -- * * -- **************************************** -- ******** TESTING FOR ALU SOURCE-SELECT ( R = A, S = Q) ******** -------------------------- clk <= '1'; -- Cycle No: 270 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 271 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 272 wait for 1 ns; I <= "001000000"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 399 : < Y /= 1111 >" -- Vector No: 145 severity warning; assert (C4 = '0') report "Assert 400 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 273 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 274 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 275 wait for 1 ns; I <= "001000000"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 401 : < Y /= 1111 >" -- Vector No: 146 severity warning; assert (C4 = '0') report "Assert 402 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** TESTING FOR ALU SOURCE-SELECT ( R = A, S = B) ******** -------------------------- clk <= '1'; -- Cycle No: 276 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 277 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 278 wait for 1 ns; I <= "001000001"; Aadd <= 0 ; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 403 : < Y /= 1111 >" -- Vector No: 147 severity warning; assert (C4 = '0') report "Assert 404 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 279 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 280 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 281 wait for 1 ns; I <= "001000001"; Aadd <= 0 ; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 405 : < Y /= 1111 >" -- Vector No: 148 severity warning; assert (C4 = '0') report "Assert 406 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** TESTING FOR ALU SOURCE-SELECT ( R = 0, S = Q) ******** -------------------------- clk <= '1'; -- Cycle No: 282 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 283 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 407 : < Y /= 0000 >" -- Vector No: 149 severity warning; assert (C4 = '0') report "Assert 408 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 284 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 285 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 409 : < Y /= 1111 >" -- Vector No: 150 severity warning; assert (C4 = '0') report "Assert 410 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** TESTING FOR ALU SOURCE-SELECT ( R = 0, S = B) ******** -------------------------- clk <= '1'; -- Cycle No: 286 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 287 wait for 1 ns; I <= "001000011"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 411 : < Y /= 0000 >" -- Vector No: 151 severity warning; assert (C4 = '0') report "Assert 412 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 288 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 289 wait for 1 ns; I <= "001000011"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 413 : < Y /= 1111 >" -- Vector No: 152 severity warning; assert (C4 = '0') report "Assert 414 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** TESTING FOR ALU SOURCE-SELECT ( R = 0, S = A) ******** -------------------------- clk <= '1'; -- Cycle No: 290 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 291 wait for 1 ns; I <= "001000100"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 415 : < Y /= 0000 >" -- Vector No: 153 severity warning; assert (C4 = '0') report "Assert 416 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 292 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 293 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 417 : < Y /= 1111 >" -- Vector No: 154 severity warning; assert (C4 = '0') report "Assert 418 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** TESTING FOR ALU SOURCE-SELECT ( R = D, S = A) ******** -------------------------- clk <= '1'; -- Cycle No: 294 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 295 wait for 1 ns; I <= "001000101"; D <= "1111"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 419 : < Y /= 1111 >" -- Vector No: 155 severity warning; assert (C4 = '0') report "Assert 420 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 296 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 297 wait for 1 ns; I <= "001000101"; D <= "0000"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 421 : < Y /= 1111 >" -- Vector No: 156 severity warning; assert (C4 = '0') report "Assert 422 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** TESTING FOR ALU SOURCE-SELECT ( R = D, S = Q) ******** -------------------------- clk <= '1'; -- Cycle No: 298 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 299 wait for 1 ns; I <= "001000110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 423 : < Y /= 1111 >" -- Vector No: 157 severity warning; assert (C4 = '0') report "Assert 424 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 300 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 301 wait for 1 ns; I <= "001000110"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 425 : < Y /= 1111 >" -- Vector No: 158 severity warning; assert (C4 = '0') report "Assert 426 : < C4 /= 0 >" severity warning; wait for 1 ns; -- ************************ -- ******** TESTING FOR ALU SOURCE-SELECT ( R = D, S = 0) ******** -------------------------- clk <= '1'; -- Cycle No: 302 wait for 1 ns; I <= "001000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 427 : < Y /= 0000 >" -- Vector No: 159 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 303 wait for 1 ns; I <= "001000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 428 : < Y /= 1111 >" -- Vector No: 160 severity warning; wait for 1 ns; -- ************************ -- ************************************ -- * * -- * TEST VECTORS FOR OUTPUT-SELECTOR * -- * * -- ************************************ -- ******** TESTING OUTPUT FOR (I8 I7 I6 = 000) ******** -------------------------- clk <= '1'; -- Cycle No: 304 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 429 : < Y /= 0000 >" -- Vector No: 161 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 305 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 430 : < Y /= 1111 >" -- Vector No: 162 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 306 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "ZZZZ") report "Assert 431 : < Y /= ZZZZ >" -- Vector No: 163 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING OUTPUT FOR (I8 I7 I6 = 001) ******** -------------------------- clk <= '1'; -- Cycle No: 307 wait for 1 ns; I <= "001000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 432 : < Y /= 0000 >" -- Vector No: 164 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 308 wait for 1 ns; I <= "001000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 433 : < Y /= 1111 >" -- Vector No: 165 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 309 wait for 1 ns; I <= "001000111"; D <= "1111"; C0 <= '0'; OEbar <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "ZZZZ") report "Assert 434 : < Y /= ZZZZ >" -- Vector No: 166 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING OUTPUT FOR (I8 I7 I6 = 010) ******** -------------------------- clk <= '1'; -- Cycle No: 310 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 311 wait for 1 ns; I <= "010000111"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 435 : < Y /= 0000 >" -- Vector No: 167 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 312 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 313 wait for 1 ns; I <= "010000111"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 436 : < Y /= 1111 >" -- Vector No: 168 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 314 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 315 wait for 1 ns; I <= "010000111"; Aadd <= 0 ; C0 <= '0'; OEbar <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "ZZZZ") report "Assert 437 : < Y /= ZZZZ >" -- Vector No: 169 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING OUTPUT FOR (I8 I7 I6 = 011) ******** -------------------------- clk <= '1'; -- Cycle No: 316 wait for 1 ns; I <= "011000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 438 : < Y /= 0000 >" -- Vector No: 170 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 317 wait for 1 ns; I <= "011000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 439 : < Y /= 1111 >" -- Vector No: 171 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 318 wait for 1 ns; I <= "011000111"; D <= "1111"; C0 <= '0'; OEbar <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "ZZZZ") report "Assert 440 : < Y /= ZZZZ >" -- Vector No: 172 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING OUTPUT FOR (I8 I7 I6 = 100) ******** -------------------------- clk <= '1'; -- Cycle No: 319 wait for 1 ns; I <= "100000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 441 : < Y /= 0000 >" -- Vector No: 173 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 320 wait for 1 ns; I <= "100000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 442 : < Y /= 1111 >" -- Vector No: 174 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 321 wait for 1 ns; I <= "100000111"; D <= "1111"; C0 <= '0'; OEbar <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "ZZZZ") report "Assert 443 : < Y /= ZZZZ >" -- Vector No: 175 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING OUTPUT FOR (I8 I7 I6 = 101) ******** -------------------------- clk <= '1'; -- Cycle No: 322 wait for 1 ns; I <= "101000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 444 : < Y /= 0000 >" -- Vector No: 176 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 323 wait for 1 ns; I <= "101000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 445 : < Y /= 1111 >" -- Vector No: 177 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 324 wait for 1 ns; I <= "101000111"; D <= "1111"; C0 <= '0'; OEbar <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "ZZZZ") report "Assert 446 : < Y /= ZZZZ >" -- Vector No: 178 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING OUTPUT FOR (I8 I7 I6 = 110) ******** -------------------------- clk <= '1'; -- Cycle No: 325 wait for 1 ns; I <= "110000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 447 : < Y /= 0000 >" -- Vector No: 179 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 326 wait for 1 ns; I <= "110000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 448 : < Y /= 1111 >" -- Vector No: 180 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 327 wait for 1 ns; I <= "110000111"; D <= "1111"; C0 <= '0'; OEbar <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "ZZZZ") report "Assert 449 : < Y /= ZZZZ >" -- Vector No: 181 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING OUTPUT FOR (I8 I7 I6 = 111) ******** -------------------------- clk <= '1'; -- Cycle No: 328 wait for 1 ns; I <= "111000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 450 : < Y /= 0000 >" -- Vector No: 182 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 329 wait for 1 ns; I <= "111000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 451 : < Y /= 1111 >" -- Vector No: 183 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 330 wait for 1 ns; I <= "111000111"; D <= "1111"; C0 <= '0'; OEbar <= '1'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "ZZZZ") report "Assert 452 : < Y /= ZZZZ >" -- Vector No: 184 severity warning; wait for 1 ns; -- ************************ -- ************************** -- * * -- * TEST VECTORS FOR PATHS * -- * * -- ************************** -- ******** TESTING PATHS ( "ALU-SOURCES" --> F --> Q ) ******** -- * * * * PATH TEST WITH ALU-SOURCE ( R = A , S = Q ) * * * * -------------------------- clk <= '1'; -- Cycle No: 331 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 332 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 333 wait for 1 ns; I <= "000011000"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 334 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 453 : < Y /= 0000 >" -- Vector No: 185 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 335 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 336 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 337 wait for 1 ns; I <= "000100000"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 338 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 454 : < Y /= 1111 >" -- Vector No: 186 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = A , S = B ) * * * * -------------------------- clk <= '1'; -- Cycle No: 339 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 340 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 341 wait for 1 ns; I <= "000011001"; Aadd <= 0 ; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 342 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 455 : < Y /= 0000 >" -- Vector No: 187 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 343 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 344 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 345 wait for 1 ns; I <= "000100001"; Aadd <= 0 ; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 346 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 456 : < Y /= 1111 >" -- Vector No: 188 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = 0 , S = Q ) * * * * -------------------------- clk <= '1'; -- Cycle No: 347 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 348 wait for 1 ns; I <= "000011010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 349 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 457 : < Y /= 0000 >" -- Vector No: 189 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 350 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 351 wait for 1 ns; I <= "000011010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 352 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 458 : < Y /= 1111 >" -- Vector No: 190 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = 0 , S = B ) * * * * -------------------------- clk <= '1'; -- Cycle No: 353 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 354 wait for 1 ns; I <= "000011011"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 355 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 459 : < Y /= 0000 >" -- Vector No: 191 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 356 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 357 wait for 1 ns; I <= "000011011"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 358 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 460 : < Y /= 1111 >" -- Vector No: 192 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = 0 , S = A ) * * * * -------------------------- clk <= '1'; -- Cycle No: 359 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 360 wait for 1 ns; I <= "000011100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 361 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 461 : < Y /= 0000 >" -- Vector No: 193 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 362 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 363 wait for 1 ns; I <= "000011100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 364 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 462 : < Y /= 1111 >" -- Vector No: 194 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = D , S = A ) * * * * -------------------------- clk <= '1'; -- Cycle No: 365 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 366 wait for 1 ns; I <= "000011101"; D <= "0000"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 367 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 463 : < Y /= 0000 >" -- Vector No: 195 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 368 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 369 wait for 1 ns; I <= "000100101"; D <= "1111"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 370 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 464 : < Y /= 1111 >" -- Vector No: 196 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = D , S = Q ) * * * * -------------------------- clk <= '1'; -- Cycle No: 371 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 372 wait for 1 ns; I <= "000011110"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 373 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 465 : < Y /= 0000 >" -- Vector No: 197 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 374 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 375 wait for 1 ns; I <= "000100110"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 376 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 466 : < Y /= 1111 >" -- Vector No: 198 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = D , S = 0 ) * * * * -------------------------- clk <= '1'; -- Cycle No: 377 wait for 1 ns; I <= "000011111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 378 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 467 : < Y /= 0000 >" -- Vector No: 199 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = D , S = 0 ) * * * * -------------------------- clk <= '1'; -- Cycle No: 379 wait for 1 ns; I <= "000011111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 380 wait for 1 ns; I <= "001000010"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 468 : < Y /= 1111 >" -- Vector No: 200 severity warning; wait for 1 ns; -- ************************ -- ******** TESTING PATHS ( "ALU-SOURCES" --> F --> B ) ******** -- * * * * PATH TEST WITH ALU-SOURCE ( R = A , S = Q ) * * * * -------------------------- clk <= '1'; -- Cycle No: 381 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 382 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 383 wait for 1 ns; I <= "011011000"; Aadd <= 0 ; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 384 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 469 : < Y /= 0000 >" -- Vector No: 201 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 385 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 386 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 387 wait for 1 ns; I <= "011100000"; Aadd <= 0 ; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 388 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 470 : < Y /= 1111 >" -- Vector No: 202 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = A , S = B ) * * * * -------------------------- clk <= '1'; -- Cycle No: 389 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 390 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 391 wait for 1 ns; I <= "011011001"; Aadd <= 1 ; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 392 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 471 : < Y /= 0000 >" -- Vector No: 203 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 393 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 394 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 1 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 395 wait for 1 ns; I <= "011100001"; Aadd <= 1 ; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 396 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 472 : < Y /= 1111 >" -- Vector No: 204 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = 0 , S = Q ) * * * * -------------------------- clk <= '1'; -- Cycle No: 397 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 398 wait for 1 ns; I <= "011011010"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 399 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 473 : < Y /= 0000 >" -- Vector No: 205 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 400 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 401 wait for 1 ns; I <= "011011010"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 402 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 474 : < Y /= 1111 >" -- Vector No: 206 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = 0 , S = B ) * * * * -------------------------- clk <= '1'; -- Cycle No: 403 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 404 wait for 1 ns; I <= "011011011"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 405 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 475 : < Y /= 0000 >" -- Vector No: 207 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 406 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 407 wait for 1 ns; I <= "011011011"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 408 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 476 : < Y /= 1111 >" -- Vector No: 208 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = 0 , S = A ) * * * * -------------------------- clk <= '1'; -- Cycle No: 409 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 410 wait for 1 ns; I <= "011011100"; Aadd <= 0 ; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 411 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 477 : < Y /= 0000 >" -- Vector No: 209 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 412 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 413 wait for 1 ns; I <= "011011100"; Aadd <= 0 ; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 414 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 478 : < Y /= 1111 >" -- Vector No: 210 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = D , S = A ) * * * * -------------------------- clk <= '1'; -- Cycle No: 415 wait for 1 ns; I <= "011000111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 416 wait for 1 ns; I <= "011011101"; D <= "0000"; Aadd <= 0 ; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 417 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 479 : < Y /= 0000 >" -- Vector No: 211 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 418 wait for 1 ns; I <= "011000111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 419 wait for 1 ns; I <= "011100101"; D <= "1111"; Aadd <= 0 ; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 420 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 480 : < Y /= 1111 >" -- Vector No: 212 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = D , S = Q ) * * * * -------------------------- clk <= '1'; -- Cycle No: 421 wait for 1 ns; I <= "000000111"; D <= "0000"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 422 wait for 1 ns; I <= "011011110"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 423 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 481 : < Y /= 0000 >" -- Vector No: 213 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 424 wait for 1 ns; I <= "000000111"; D <= "1111"; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 425 wait for 1 ns; I <= "011100110"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 426 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 482 : < Y /= 1111 >" -- Vector No: 214 severity warning; wait for 1 ns; -- ************************ -- * * * * PATH TEST WITH ALU-SOURCE ( R = D , S = 0 ) * * * * -------------------------- clk <= '1'; -- Cycle No: 427 wait for 1 ns; I <= "011011111"; D <= "0000"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 428 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "0000") report "Assert 483 : < Y /= 0000 >" -- Vector No: 215 severity warning; wait for 1 ns; -- ************************ -------------------------- clk <= '1'; -- Cycle No: 429 wait for 1 ns; I <= "011011111"; D <= "1111"; Badd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; wait for 1 ns; -------------------------- clk <= '1'; -- Cycle No: 430 wait for 1 ns; I <= "001000100"; Aadd <= 0 ; C0 <= '0'; OEbar <= '0'; wait for 4 ns; clk <= '0'; wait for 4 ns; assert (Y = "1111") report "Assert 484 : < Y /= 1111 >" -- Vector No: 216 severity warning; wait for 1 ns; -- ************************ -------------------------- report "Test PASS"; wait; end process; end A;
-- Copyright (C) 1991-2011 Altera Corporation -- This simulation model contains highly confidential and -- proprietary information of Altera and is being provided -- in accordance with and subject to the protections of the -- applicable Altera Program License Subscription Agreement -- which governs its use and disclosure. Your use of Altera -- Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, -- and any output files any of the foregoing (including device -- programming or simulation files), and any associated -- documentation or information are expressly subject to the -- terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other -- applicable license agreement, including, without limitation, -- that your use is for the sole purpose of simulating designs for -- use exclusively in logic devices manufactured by Altera and sold -- by Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. Altera products and -- services are protected under numerous U.S. and foreign patents, -- maskwork rights, copyrights and other intellectual property laws. -- Altera assumes no responsibility or liability arising out of the -- application or use of this simulation model. -- Quartus II 11.0 Build 157 04/27/2011 library IEEE; use IEEE.std_logic_1164.all; entity stratixv_hssi_gen3_pcie_hip is generic ( func_mode : string := "disable"; in_cvp_mode : string := "not_cvp_mode"; bonding_mode : string := "bond_disable"; prot_mode : string := "disabled_prot_mode"; pcie_spec_1p0_compliance : string := "spec_1p1"; vc_enable : string := "single_vc"; enable_slot_register : string := "false"; pcie_mode : string := "shared_mode"; bypass_cdc : string := "false"; enable_rx_reordering : string := "true"; enable_rx_buffer_checking : string := "false"; single_rx_detect_data : bit_vector := B"0000"; single_rx_detect : string := "single_rx_detect"; use_crc_forwarding : string := "false"; bypass_tl : string := "false"; gen123_lane_rate_mode : string := "gen1"; lane_mask : string := "x4"; disable_link_x2_support : string := "false"; national_inst_thru_enhance : string := "true"; hip_hard_reset : string := "enable"; dis_paritychk : string := "enable"; wrong_device_id : string := "disable"; data_pack_rx : string := "disable"; ast_width : string := "rx_tx_64"; rx_sop_ctrl : string := "boundary_64"; rx_ast_parity : string := "disable"; tx_ast_parity : string := "disable"; ltssm_1ms_timeout : string := "disable"; ltssm_freqlocked_check : string := "disable"; deskew_comma : string := "skp_eieos_deskw"; dl_tx_check_parity_edb : string := "disable"; tl_tx_check_parity_msg : string := "disable"; port_link_number_data : bit_vector := B"00000001"; port_link_number : string := "port_link_number"; device_number_data : bit_vector := B"00000"; device_number : string := "device_number"; bypass_clk_switch : string := "false"; core_clk_out_sel : string := "div_1"; core_clk_divider : string := "div_1"; core_clk_source : string := "pll_fixed_clk"; core_clk_sel : string := "pld_clk"; enable_ch0_pclk_out : string := "true"; enable_ch01_pclk_out : string := "pclk_ch0"; pipex1_debug_sel : string := "disable"; pclk_out_sel : string := "pclk"; vendor_id_data : bit_vector := B"1000101110010"; vendor_id : string := "vendor_id"; device_id_data : bit_vector := B"0000000000000001"; device_id : string := "device_id"; revision_id_data : bit_vector := B"00000001"; revision_id : string := "revision_id"; class_code_data : bit_vector := B"111111110000000000000000"; class_code : string := "class_code"; subsystem_vendor_id_data : bit_vector := B"0001000101110010"; subsystem_vendor_id : string := "subsystem_vendor_id"; subsystem_device_id_data : bit_vector := B"0000000000000001"; subsystem_device_id : string := "subsystem_device_id"; no_soft_reset : string := "false"; maximum_current_data : bit_vector := B"000"; maximum_current : string := "maximum_current"; d1_support : string := "false"; d2_support : string := "false"; d0_pme : string := "false"; d1_pme : string := "false"; d2_pme : string := "false"; d3_hot_pme : string := "false"; d3_cold_pme : string := "false"; use_aer : string := "false"; low_priority_vc : string := "single_vc"; vc_arbitration : string := "single_vc"; disable_snoop_packet : string := "false"; max_payload_size : string := "payload_512"; surprise_down_error_support : string := "false"; dll_active_report_support : string := "false"; extend_tag_field : string := "false"; endpoint_l0_latency_data : bit_vector := B"000"; endpoint_l0_latency : string := "endpoint_l0_latency"; endpoint_l1_latency_data : bit_vector := B"000"; endpoint_l1_latency : string := "endpoint_l1_latency"; indicator_data : bit_vector := B"111"; indicator : string := "indicator"; role_based_error_reporting : string := "false"; slot_power_scale_data : bit_vector := B"00"; slot_power_scale : string := "slot_power_scale"; max_link_width : string := "x4"; enable_l1_aspm : string := "false"; enable_l0s_aspm : string := "false"; l1_exit_latency_sameclock_data : bit_vector := B"000"; l1_exit_latency_sameclock : string := "l1_exit_latency_sameclock"; l1_exit_latency_diffclock_data : bit_vector := B"000"; l1_exit_latency_diffclock : string := "l1_exit_latency_diffclock"; hot_plug_support_data : bit_vector := B"0000000"; hot_plug_support : string := "hot_plug_support"; slot_power_limit_data : bit_vector := B"00000000"; slot_power_limit : string := "slot_power_limit"; slot_number_data : bit_vector := B"0000000000000"; slot_number : string := "slot_number"; diffclock_nfts_count_data : bit_vector := B"00000000"; diffclock_nfts_count : string := "diffclock_nfts_count"; sameclock_nfts_count_data : bit_vector := B"00000000"; sameclock_nfts_count : string := "sameclock_nfts_count"; completion_timeout : string := "abcd"; enable_completion_timeout_disable : string := "true"; extended_tag_reset : string := "false"; ecrc_check_capable : string := "true"; ecrc_gen_capable : string := "true"; no_command_completed : string := "true"; msi_multi_message_capable : string := "count_4"; msi_64bit_addressing_capable : string := "true"; msi_masking_capable : string := "false"; msi_support : string := "true"; interrupt_pin : string := "inta"; ena_ido_req : string := "false"; ena_ido_cpl : string := "false"; enable_function_msix_support : string := "true"; msix_table_size_data : bit_vector := B"00000000000"; msix_table_size : string := "msix_table_size"; msix_table_bir_data : bit_vector := B"000"; msix_table_bir : string := "msix_table_bir"; msix_table_offset_data : bit_vector := B"00000000000000000000000000000"; msix_table_offset : string := "msix_table_offset"; msix_pba_bir_data : bit_vector := B"000"; msix_pba_bir : string := "msix_pba_bir"; msix_pba_offset_data : bit_vector := B"00000000000000000000000000000"; msix_pba_offset : string := "msix_pba_offset"; bridge_port_vga_enable : string := "false"; bridge_port_ssid_support : string := "false"; ssvid_data : bit_vector := B"0000000000000000"; ssvid : string := "ssvid"; ssid_data : bit_vector := B"0000000000000000"; ssid : string := "ssid"; eie_before_nfts_count_data : bit_vector := B"0100"; eie_before_nfts_count : string := "eie_before_nfts_count"; gen2_diffclock_nfts_count_data : bit_vector := B"11111111"; gen2_diffclock_nfts_count : string := "gen2_diffclock_nfts_count"; gen2_sameclock_nfts_count_data : bit_vector := B"11111111"; gen2_sameclock_nfts_count : string := "gen2_sameclock_nfts_count"; deemphasis_enable : string := "false"; pcie_spec_version : string := "v2"; l0_exit_latency_sameclock_data : bit_vector := B"110"; l0_exit_latency_sameclock : string := "l0_exit_latency_sameclock"; l0_exit_latency_diffclock_data : bit_vector := B"110"; l0_exit_latency_diffclock : string := "l0_exit_latency_diffclock"; rx_ei_l0s : string := "disable"; l2_async_logic : string := "enable"; aspm_config_management : string := "true"; atomic_op_routing : string := "false"; atomic_op_completer_32bit : string := "false"; atomic_op_completer_64bit : string := "false"; cas_completer_128bit : string := "false"; ltr_mechanism : string := "false"; tph_completer : string := "false"; extended_format_field : string := "true"; atomic_malformed : string := "false"; flr_capability : string := "true"; enable_adapter_half_rate_mode : string := "false"; vc0_clk_enable : string := "true"; vc1_clk_enable : string := "false"; register_pipe_signals : string := "false"; bar0_io_space : string := "false"; bar0_64bit_mem_space : string := "true"; bar0_prefetchable : string := "true"; bar0_size_mask_data : bit_vector := B"1111111111111111111111111111"; bar0_size_mask : string := "bar0_size_mask"; bar1_io_space : string := "false"; bar1_64bit_mem_space : string := "false"; bar1_prefetchable : string := "false"; bar1_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar1_size_mask : string := "bar1_size_mask"; bar2_io_space : string := "false"; bar2_64bit_mem_space : string := "false"; bar2_prefetchable : string := "false"; bar2_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar2_size_mask : string := "bar2_size_mask"; bar3_io_space : string := "false"; bar3_64bit_mem_space : string := "false"; bar3_prefetchable : string := "false"; bar3_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar3_size_mask : string := "bar3_size_mask"; bar4_io_space : string := "false"; bar4_64bit_mem_space : string := "false"; bar4_prefetchable : string := "false"; bar4_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar4_size_mask : string := "bar4_size_mask"; bar5_io_space : string := "false"; bar5_64bit_mem_space : string := "false"; bar5_prefetchable : string := "false"; bar5_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar5_size_mask : string := "bar5_size_mask"; expansion_base_address_register_data : bit_vector := B"00000000000000000000000000000000"; expansion_base_address_register : string := "expansion_base_address_register"; io_window_addr_width : string := "window_32_bit"; prefetchable_mem_window_addr_width : string := "prefetch_32"; skp_os_gen3_count_data : bit_vector := B"00000000000"; skp_os_gen3_count : string := "skp_os_gen3_count"; rx_cdc_almost_empty_data : bit_vector := B"0000"; rx_cdc_almost_empty : string := "rx_cdc_almost_empty"; tx_cdc_almost_empty_data : bit_vector := B"0000"; tx_cdc_almost_empty : string := "tx_cdc_almost_empty"; rx_cdc_almost_full_data : bit_vector := B"0000"; rx_cdc_almost_full : string := "rx_cdc_almost_full"; tx_cdc_almost_full_data : bit_vector := B"0000"; tx_cdc_almost_full : string := "tx_cdc_almost_full"; rx_l0s_count_idl_data : bit_vector := B"00000000"; rx_l0s_count_idl : string := "rx_l0s_count_idl"; cdc_dummy_insert_limit_data : bit_vector := B"0000"; cdc_dummy_insert_limit : string := "cdc_dummy_insert_limit"; ei_delay_powerdown_count_data : bit_vector := B"00001010"; ei_delay_powerdown_count : string := "ei_delay_powerdown_count"; millisecond_cycle_count_data : bit_vector := B"00000000000000000000"; millisecond_cycle_count : string := "millisecond_cycle_count"; skp_os_schedule_count_data : bit_vector := B"00000000000"; skp_os_schedule_count : string := "skp_os_schedule_count"; fc_init_timer_data : bit_vector := B"10000000000"; fc_init_timer : string := "fc_init_timer"; l01_entry_latency_data : bit_vector := B"11111"; l01_entry_latency : string := "l01_entry_latency"; flow_control_update_count_data : bit_vector := B"11110"; flow_control_update_count : string := "flow_control_update_count"; flow_control_timeout_count_data : bit_vector := B"11001000"; flow_control_timeout_count : string := "flow_control_timeout_count"; vc0_rx_flow_ctrl_posted_header_data : bit_vector := B"00110010"; vc0_rx_flow_ctrl_posted_header : string := "vc0_rx_flow_ctrl_posted_header"; vc0_rx_flow_ctrl_posted_data_data : bit_vector := B"000101101000"; vc0_rx_flow_ctrl_posted_data : string := "vc0_rx_flow_ctrl_posted_data"; vc0_rx_flow_ctrl_nonposted_header_data : bit_vector := B"00110110"; vc0_rx_flow_ctrl_nonposted_header : string := "vc0_rx_flow_ctrl_nonposted_header"; vc0_rx_flow_ctrl_nonposted_data_data : bit_vector := B"00000000"; vc0_rx_flow_ctrl_nonposted_data : string := "vc0_rx_flow_ctrl_nonposted_data"; vc0_rx_flow_ctrl_compl_header_data : bit_vector := B"01110000"; vc0_rx_flow_ctrl_compl_header : string := "vc0_rx_flow_ctrl_compl_header"; vc0_rx_flow_ctrl_compl_data_data : bit_vector := B"000111000000"; vc0_rx_flow_ctrl_compl_data : string := "vc0_rx_flow_ctrl_compl_data"; rx_ptr0_posted_dpram_min_data : bit_vector := B"00000000000"; rx_ptr0_posted_dpram_min : string := "rx_ptr0_posted_dpram_min"; rx_ptr0_posted_dpram_max_data : bit_vector := B"00000000000"; rx_ptr0_posted_dpram_max : string := "rx_ptr0_posted_dpram_max"; rx_ptr0_nonposted_dpram_min_data : bit_vector := B"00000000000"; rx_ptr0_nonposted_dpram_min : string := "rx_ptr0_nonposted_dpram_min"; rx_ptr0_nonposted_dpram_max_data : bit_vector := B"00000000000"; rx_ptr0_nonposted_dpram_max : string := "rx_ptr0_nonposted_dpram_max"; retry_buffer_last_active_address_data : bit_vector := B"1111111111"; retry_buffer_last_active_address : string := "retry_buffer_last_active_address"; retry_buffer_memory_settings_data : bit_vector := B"000000000000000000000000000000"; retry_buffer_memory_settings : string := "retry_buffer_memory_settings"; vc0_rx_buffer_memory_settings_data : bit_vector := B"000000000000000000000000000000"; vc0_rx_buffer_memory_settings : string := "vc0_rx_buffer_memory_settings"; bist_memory_settings_data : bit_vector := B"000000000000000000000000000000000000000000000000000000000000000000000000000"; bist_memory_settings : string := "bist_memory_settings"; credit_buffer_allocation_aux : string := "balanced"; iei_enable_settings : string := "gen2_infei_infsd_gen1_infei_sd"; vsec_id_data : bit_vector := B"0001000101110010"; vsec_id : string := "vsec_id"; cvp_rate_sel : string := "full_rate"; hard_reset_bypass : string := "false"; cvp_data_compressed : string := "false"; cvp_data_encrypted : string := "false"; cvp_mode_reset : string := "false"; cvp_clk_reset : string := "false"; vsec_cap_data : bit_vector := B"0000"; vsec_cap : string := "vsec_cap"; jtag_id_data : bit_vector := B"00000000000000000000000000000000"; jtag_id : string := "jtag_id"; user_id_data : bit_vector := B"0000000000000000"; user_id : string := "user_id"; cseb_extend_pci : string := "false"; cseb_extend_pcie : string := "false"; cseb_cpl_status_during_cvp : string := "config_retry_status"; cseb_route_to_avl_rx_st : string := "cseb"; cseb_config_bypass : string := "disable"; cseb_cpl_tag_checking : string := "enable"; cseb_bar_match_checking : string := "enable"; cseb_min_error_checking : string := "false"; cseb_temp_busy_crs : string := "completer_abort"; cseb_disable_auto_crs : string := "false"; gen3_diffclock_nfts_count_data : bit_vector := B"10000000"; gen3_diffclock_nfts_count : string := "g3_diffclock_nfts_count"; gen3_sameclock_nfts_count_data : bit_vector := B"10000000"; gen3_sameclock_nfts_count : string := "g3_sameclock_nfts_count"; gen3_coeff_errchk : string := "enable"; gen3_paritychk : string := "enable"; gen3_coeff_delay_count_data : bit_vector := B"1111101"; gen3_coeff_delay_count : string := "g3_coeff_dly_count"; gen3_coeff_1_data : bit_vector := B"000000000000000000"; gen3_coeff_1 : string := "g3_coeff_1"; gen3_coeff_1_sel : string := "coeff_1"; gen3_coeff_1_preset_hint_data : bit_vector := B"000"; gen3_coeff_1_preset_hint : string := "g3_coeff_1_prst_hint"; gen3_coeff_1_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_1_nxtber_more : string := "g3_coeff_1_nxtber_more"; gen3_coeff_1_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_1_nxtber_less : string := "g3_coeff_1_nxtber_less"; gen3_coeff_1_reqber_data : bit_vector := B"00000"; gen3_coeff_1_reqber : string := "g3_coeff_1_reqber"; gen3_coeff_1_ber_meas_data : bit_vector := B"000000"; gen3_coeff_1_ber_meas : string := "g3_coeff_1_ber_meas"; gen3_coeff_2_data : bit_vector := B"000000000000000000"; gen3_coeff_2 : string := "g3_coeff_2"; gen3_coeff_2_sel : string := "coeff_2"; gen3_coeff_2_preset_hint_data : bit_vector := B"000"; gen3_coeff_2_preset_hint : string := "g3_coeff_2_prst_hint"; gen3_coeff_2_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_2_nxtber_more : string := "g3_coeff_2_nxtber_more"; gen3_coeff_2_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_2_nxtber_less : string := "g3_coeff_2_nxtber_less"; gen3_coeff_2_reqber_data : bit_vector := B"00000"; gen3_coeff_2_reqber : string := "g3_coeff_2_reqber"; gen3_coeff_2_ber_meas_data : bit_vector := B"000000"; gen3_coeff_2_ber_meas : string := "g3_coeff_1_ber_meas"; gen3_coeff_3_data : bit_vector := B"000000000000000000"; gen3_coeff_3 : string := "g3_coeff_3"; gen3_coeff_3_sel : string := "coeff_3"; gen3_coeff_3_preset_hint_data : bit_vector := B"000"; gen3_coeff_3_preset_hint : string := "g3_coeff_3_prst_hint"; gen3_coeff_3_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_3_nxtber_more : string := "g3_coeff_3_nxtber_more"; gen3_coeff_3_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_3_nxtber_less : string := "g3_coeff_3_nxtber_less"; gen3_coeff_3_reqber_data : bit_vector := B"00000"; gen3_coeff_3_reqber : string := "g3_coeff_3_reqber"; gen3_coeff_3_ber_meas_data : bit_vector := B"000000"; gen3_coeff_3_ber_meas : string := "g3_coeff_3_ber_meas"; gen3_coeff_4_data : bit_vector := B"000000000000000000"; gen3_coeff_4 : string := "g3_coeff_4"; gen3_coeff_4_sel : string := "coeff_4"; gen3_coeff_4_preset_hint_data : bit_vector := B"000"; gen3_coeff_4_preset_hint : string := "g3_coeff_4_prst_hint"; gen3_coeff_4_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_4_nxtber_more : string := "g3_coeff_4_nxtber_more"; gen3_coeff_4_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_4_nxtber_less : string := "g3_coeff_4_nxtber_less"; gen3_coeff_4_reqber_data : bit_vector := B"00000"; gen3_coeff_4_reqber : string := "g3_coeff_4_reqber"; gen3_coeff_4_ber_meas_data : bit_vector := B"000000"; gen3_coeff_4_ber_meas : string := "g3_coeff_4_ber_meas"; gen3_coeff_5_data : bit_vector := B"000000000000000000"; gen3_coeff_5 : string := "g3_coeff_5"; gen3_coeff_5_sel : string := "coeff_5"; gen3_coeff_5_preset_hint_data : bit_vector := B"000"; gen3_coeff_5_preset_hint : string := "g3_coeff_5_prst_hint"; gen3_coeff_5_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_5_nxtber_more : string := "g3_coeff_5_nxtber_more"; gen3_coeff_5_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_5_nxtber_less : string := "g3_coeff_5_nxtber_less"; gen3_coeff_5_reqber_data : bit_vector := B"00000"; gen3_coeff_5_reqber : string := "g3_coeff_5_reqber"; gen3_coeff_5_ber_meas_data : bit_vector := B"000000"; gen3_coeff_5_ber_meas : string := "g3_coeff_5_ber_meas"; gen3_coeff_6_data : bit_vector := B"000000000000000000"; gen3_coeff_6 : string := "g3_coeff_6"; gen3_coeff_6_sel : string := "coeff_6"; gen3_coeff_6_preset_hint_data : bit_vector := B"000"; gen3_coeff_6_preset_hint : string := "g3_coeff_6_prst_hint"; gen3_coeff_6_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_6_nxtber_more : string := "g3_coeff_6_nxtber_more"; gen3_coeff_6_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_6_nxtber_less : string := "g3_coeff_6_nxtber_less"; gen3_coeff_6_reqber_data : bit_vector := B"00000"; gen3_coeff_6_reqber : string := "g3_coeff_6_reqber"; gen3_coeff_6_ber_meas_data : bit_vector := B"000000"; gen3_coeff_6_ber_meas : string := "g3_coeff_6_ber_meas"; gen3_coeff_7_data : bit_vector := B"000000000000000000"; gen3_coeff_7 : string := "g3_coeff_7"; gen3_coeff_7_sel : string := "coeff_7"; gen3_coeff_7_preset_hint_data : bit_vector := B"000"; gen3_coeff_7_preset_hint : string := "g3_coeff_7_prst_hint"; gen3_coeff_7_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_7_nxtber_more : string := "g3_coeff_7_nxtber_more"; gen3_coeff_7_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_7_nxtber_less : string := "g3_coeff_7_nxtber_less"; gen3_coeff_7_reqber_data : bit_vector := B"00000"; gen3_coeff_7_reqber : string := "g3_coeff_7_reqber"; gen3_coeff_7_ber_meas_data : bit_vector := B"000000"; gen3_coeff_7_ber_meas : string := "g3_coeff_7_ber_meas"; gen3_coeff_8_data : bit_vector := B"000000000000000000"; gen3_coeff_8 : string := "g3_coeff_8"; gen3_coeff_8_sel : string := "coeff_8"; gen3_coeff_8_preset_hint_data : bit_vector := B"000"; gen3_coeff_8_preset_hint : string := "g3_coeff_8_prst_hint"; gen3_coeff_8_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_8_nxtber_more : string := "g3_coeff_8_nxtber_more"; gen3_coeff_8_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_8_nxtber_less : string := "g3_coeff_8_nxtber_less"; gen3_coeff_8_reqber_data : bit_vector := B"00000"; gen3_coeff_8_reqber : string := "g3_coeff_8_reqber"; gen3_coeff_8_ber_meas_data : bit_vector := B"000000"; gen3_coeff_8_ber_meas : string := "g3_coeff_8_ber_meas"; gen3_coeff_9_data : bit_vector := B"000000000000000000"; gen3_coeff_9 : string := "g3_coeff_9"; gen3_coeff_9_sel : string := "coeff_9"; gen3_coeff_9_preset_hint_data : bit_vector := B"000"; gen3_coeff_9_preset_hint : string := "g3_coeff_9_prst_hint"; gen3_coeff_9_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_9_nxtber_more : string := "g3_coeff_9_nxtber_more"; gen3_coeff_9_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_9_nxtber_less : string := "g3_coeff_9_nxtber_less"; gen3_coeff_9_reqber_data : bit_vector := B"00000"; gen3_coeff_9_reqber : string := "g3_coeff_9_reqber"; gen3_coeff_9_ber_meas_data : bit_vector := B"000000"; gen3_coeff_9_ber_meas : string := "g3_coeff_9_ber_meas"; gen3_coeff_10_data : bit_vector := B"000000000000000000"; gen3_coeff_10 : string := "g3_coeff_10"; gen3_coeff_10_sel : string := "coeff_10"; gen3_coeff_10_preset_hint_data : bit_vector := B"000"; gen3_coeff_10_preset_hint : string := "g3_coeff_10_prst_hint"; gen3_coeff_10_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_10_nxtber_more : string := "g3_coeff_10_nxtber_more"; gen3_coeff_10_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_10_nxtber_less : string := "g3_coeff_10_nxtber_less"; gen3_coeff_10_reqber_data : bit_vector := B"00000"; gen3_coeff_10_reqber : string := "g3_coeff_10_reqber"; gen3_coeff_10_ber_meas_data : bit_vector := B"000000"; gen3_coeff_10_ber_meas : string := "g3_coeff_10_ber_meas"; gen3_coeff_11_data : bit_vector := B"000000000000000000"; gen3_coeff_11 : string := "g3_coeff_11"; gen3_coeff_11_sel : string := "coeff_11"; gen3_coeff_11_preset_hint_data : bit_vector := B"000"; gen3_coeff_11_preset_hint : string := "g3_coeff_11_prst_hint"; gen3_coeff_11_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_11_nxtber_more : string := "g3_coeff_11_nxtber_more"; gen3_coeff_11_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_11_nxtber_less : string := "g3_coeff_11_nxtber_less"; gen3_coeff_11_reqber_data : bit_vector := B"00000"; gen3_coeff_11_reqber : string := "g3_coeff_11_reqber"; gen3_coeff_11_ber_meas_data : bit_vector := B"000000"; gen3_coeff_11_ber_meas : string := "g3_coeff_11_ber_meas"; gen3_coeff_12_data : bit_vector := B"000000000000000000"; gen3_coeff_12 : string := "g3_coeff_12"; gen3_coeff_12_sel : string := "coeff_12"; gen3_coeff_12_preset_hint_data : bit_vector := B"000"; gen3_coeff_12_preset_hint : string := "g3_coeff_12_prst_hint"; gen3_coeff_12_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_12_nxtber_more : string := "g3_coeff_12_nxtber_more"; gen3_coeff_12_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_12_nxtber_less : string := "g3_coeff_12_nxtber_less"; gen3_coeff_12_reqber_data : bit_vector := B"00000"; gen3_coeff_12_reqber : string := "g3_coeff_12_reqber"; gen3_coeff_12_ber_meas_data : bit_vector := B"000000"; gen3_coeff_12_ber_meas : string := "g3_coeff_12_ber_meas"; gen3_coeff_13_data : bit_vector := B"000000000000000000"; gen3_coeff_13 : string := "g3_coeff_13"; gen3_coeff_13_sel : string := "coeff_13"; gen3_coeff_13_preset_hint_data : bit_vector := B"000"; gen3_coeff_13_preset_hint : string := "g3_coeff_13_prst_hint"; gen3_coeff_13_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_13_nxtber_more : string := "g3_coeff_13_nxtber_more"; gen3_coeff_13_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_13_nxtber_less : string := "g3_coeff_13_nxtber_less"; gen3_coeff_13_reqber_data : bit_vector := B"00000"; gen3_coeff_13_reqber : string := "g3_coeff_13_reqber"; gen3_coeff_13_ber_meas_data : bit_vector := B"000000"; gen3_coeff_13_ber_meas : string := "g3_coeff_13_ber_meas"; gen3_coeff_14_data : bit_vector := B"000000000000000000"; gen3_coeff_14 : string := "g3_coeff_14"; gen3_coeff_14_sel : string := "coeff_14"; gen3_coeff_14_preset_hint_data : bit_vector := B"000"; gen3_coeff_14_preset_hint : string := "g3_coeff_14_prst_hint"; gen3_coeff_14_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_14_nxtber_more : string := "g3_coeff_14_nxtber_more"; gen3_coeff_14_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_14_nxtber_less : string := "g3_coeff_14_nxtber_less"; gen3_coeff_14_reqber_data : bit_vector := B"00000"; gen3_coeff_14_reqber : string := "g3_coeff_14_reqber"; gen3_coeff_14_ber_meas_data : bit_vector := B"000000"; gen3_coeff_14_ber_meas : string := "g3_coeff_14_ber_meas"; gen3_coeff_15_data : bit_vector := B"000000000000000000"; gen3_coeff_15 : string := "g3_coeff_15"; gen3_coeff_15_sel : string := "coeff_15"; gen3_coeff_15_preset_hint_data : bit_vector := B"000"; gen3_coeff_15_preset_hint : string := "g3_coeff_15_prst_hint"; gen3_coeff_15_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_15_nxtber_more : string := "g3_coeff_15_nxtber_more"; gen3_coeff_15_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_15_nxtber_less : string := "g3_coeff_15_nxtber_less"; gen3_coeff_15_reqber_data : bit_vector := B"00000"; gen3_coeff_15_reqber : string := "g3_coeff_15_reqber"; gen3_coeff_15_ber_meas_data : bit_vector := B"000000"; gen3_coeff_15_ber_meas : string := "g3_coeff_15_ber_meas"; gen3_coeff_16_data : bit_vector := B"000000000000000000"; gen3_coeff_16 : string := "g3_coeff_16"; gen3_coeff_16_sel : string := "coeff_16"; gen3_coeff_16_preset_hint_data : bit_vector := B"000"; gen3_coeff_16_preset_hint : string := "g3_coeff_16_prst_hint"; gen3_coeff_16_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_16_nxtber_more : string := "g3_coeff_16_nxtber_more"; gen3_coeff_16_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_16_nxtber_less : string := "g3_coeff_16_nxtber_less"; gen3_coeff_16_reqber_data : bit_vector := B"00000"; gen3_coeff_16_reqber : string := "g3_coeff_16_reqber"; gen3_coeff_16_ber_meas_data : bit_vector := B"000000"; gen3_coeff_16_ber_meas : string := "g3_coeff_16_ber_meas"; gen3_preset_coeff_1_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_1 : string := "g3_prst_coeff_1"; gen3_preset_coeff_2_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_2 : string := "g3_prst_coeff_2"; gen3_preset_coeff_3_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_3 : string := "g3_prst_coeff_3"; gen3_preset_coeff_4_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_4 : string := "g3_prst_coeff_4"; gen3_preset_coeff_5_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_5 : string := "g3_prst_coeff_5"; gen3_preset_coeff_6_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_6 : string := "g3_prst_coeff_6"; gen3_preset_coeff_7_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_7 : string := "g3_prst_coeff_7"; gen3_preset_coeff_8_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_8 : string := "g3_prst_coeff_8"; gen3_preset_coeff_9_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_9 : string := "g3_prst_coeff_9"; gen3_preset_coeff_10_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_10 : string := "g3_prst_coeff_10"; gen3_rxfreqlock_counter_data : bit_vector := "00000000000000000000"; gen3_rxfreqlock_counter : string := "g3_rxfreqlock_count"; rstctrl_pld_clr : string := "false";-- "false", "true". rstctrl_debug_en : string := "false";-- "false", "true". rstctrl_force_inactive_rst : string := "false";-- "false", "true". rstctrl_perst_enable : string := "level";-- "level", "neg_edge", "not_used". hrdrstctrl_en : string := "hrdrstctrl_dis";--"hrdrstctrl_dis", "hrdrstctrl_en". rstctrl_hip_ep : string := "hip_ep"; --"hip_ep", "hip_not_ep". rstctrl_hard_block_enable : string := "hard_rst_ctl";--"hard_rst_ctl", "pld_rst_ctl". rstctrl_rx_pma_rstb_inv : string := "false";--"false", "true". rstctrl_tx_pma_rstb_inv : string := "false";--"false", "true". rstctrl_rx_pcs_rst_n_inv : string := "false";--"false", "true". rstctrl_tx_pcs_rst_n_inv : string := "false";--"false", "true". rstctrl_altpe3_crst_n_inv : string := "false";--"false", "true". rstctrl_altpe3_srst_n_inv : string := "false";--"false", "true". rstctrl_altpe3_rst_n_inv : string := "false";--"false", "true". rstctrl_tx_pma_syncp_inv : string := "false";--"false", "true". rstctrl_1us_count_fref_clk : string := "rstctrl_1us_cnt";-- rstctrl_1us_count_fref_clk_value : bit_vector := B"00000000000000111111";-- rstctrl_1ms_count_fref_clk : string := "rstctrl_1ms_cnt";-- rstctrl_1ms_count_fref_clk_value : bit_vector := B"00001111010000100100";-- rstctrl_off_cal_done_select : string := "not_active";-- "ch0_sel", "ch01_sel", "ch0123_sel", "ch0123_5678_sel", "not_active". rstctrl_rx_pma_rstb_cmu_select : string := "not_active";-- "ch1cmu_sel", "ch4cmu_sel", "ch4_10cmu_sel", "not_active". rstctrl_rx_pll_freq_lock_select : string := "not_active";-- "ch0_sel", "ch01_sel", "ch0123_sel", "ch0123_5678_sel", "not_active", "ch0_phs_sel", "ch01_phs_sel", "ch0123_phs_sel", "ch0123_5678_phs_sel". rstctrl_mask_tx_pll_lock_select : string := "not_active";-- "ch1_sel", "ch4_sel", "ch4_10_sel", "not_active". rstctrl_rx_pll_lock_select : string := "not_active";-- "ch0_sel", "ch01_sel", "ch0123_sel", "ch0123_5678_sel", "not_active". rstctrl_perstn_select : string := "perstn_pin";-- "perstn_pin", "perstn_pld". rstctrl_tx_lc_pll_rstb_select : string := "not_active";-- "ch1_out", "ch7_out", "not_active". rstctrl_fref_clk_select : string := "ch0_sel";-- "ch0_sel", "ch1_sel", "ch2_sel", "ch3_sel", "ch4_sel", "ch5_sel", "ch6_sel", "ch7_sel", "ch8_sel", "ch9_sel", "ch10_sel", "ch11_sel". rstctrl_off_cal_en_select : string := "not_active";-- "ch0_out", "ch01_out", "ch0123_out", "ch0123_5678_out", "not_active". rstctrl_tx_pma_syncp_select : string := "not_active";-- "ch1_out", "ch4_out", "ch4_10_out", "not_active". rstctrl_rx_pcs_rst_n_select : string := "not_active";-- "ch0_out", "ch01_out", "ch0123_out", "ch012345678_out", "ch012345678_10_out", "not_active". rstctrl_tx_cmu_pll_lock_select : string := "not_active";-- "ch1_sel", "ch4_sel", "ch4_10_sel", "not_active". rstctrl_tx_pcs_rst_n_select : string := "not_active";-- "ch0_out", "ch01_out", "ch0123_out", "ch012345678_out", "ch012345678_10_out", "not_active". rstctrl_tx_lc_pll_lock_select : string := "not_active";-- "ch1_sel", "ch7_sel", "not_active". rstctrl_timer_a : string := "rstctrl_timer_a"; rstctrl_timer_a_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_a_value : bit_vector := B"00000001" ; rstctrl_timer_b : string := "rstctrl_timer_b"; rstctrl_timer_b_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_b_value : bit_vector := B"00000001"; rstctrl_timer_c : string := "rstctrl_timer_c"; rstctrl_timer_c_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_c_value : bit_vector := B"00000001"; rstctrl_timer_d : string := "rstctrl_timer_d"; rstctrl_timer_d_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_d_value : bit_vector := B"00000001"; rstctrl_timer_e : string := "rstctrl_timer_e"; rstctrl_timer_e_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_e_value : bit_vector := B"00000001"; rstctrl_timer_f : string := "rstctrl_timer_f"; rstctrl_timer_f_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_f_value : bit_vector := B"00000001"; rstctrl_timer_g : string := "rstctrl_timer_g"; rstctrl_timer_g_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_g_value : bit_vector := B"00000001"; rstctrl_timer_h : string := "rstctrl_timer_h"; rstctrl_timer_h_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_h_value : bit_vector := B"00000001"; rstctrl_timer_i : string := "rstctrl_timer_i"; rstctrl_timer_i_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_i_value : bit_vector := B"00000001"; rstctrl_timer_j : string := "rstctrl_timer_j"; rstctrl_timer_j_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_j_value : bit_vector := B"00000001" ); port ( dpriostatus : out std_logic_vector(15 downto 0); lmidout : out std_logic_vector(31 downto 0); lmiack : out std_logic_vector(0 downto 0); lmirden : in std_logic_vector(0 downto 0); lmiwren : in std_logic_vector(0 downto 0); lmiaddr : in std_logic_vector(11 downto 0); lmidin : in std_logic_vector(31 downto 0); flrreset : in std_logic_vector(0 downto 0); flrsts : out std_logic_vector(0 downto 0); resetstatus : out std_logic_vector(0 downto 0); l2exit : out std_logic_vector(0 downto 0); hotrstexit : out std_logic_vector(0 downto 0); hiphardreset : in std_logic_vector(0 downto 0); dlupexit : out std_logic_vector(0 downto 0); coreclkout : out std_logic_vector(0 downto 0); pldclk : in std_logic_vector(0 downto 0); pldsrst : in std_logic_vector(0 downto 0); pldrst : in std_logic_vector(0 downto 0); pclkch0 : in std_logic_vector(0 downto 0); pclkch1 : in std_logic_vector(0 downto 0); pclkcentral : in std_logic_vector(0 downto 0); pllfixedclkch0 : in std_logic_vector(0 downto 0); pllfixedclkch1 : in std_logic_vector(0 downto 0); pllfixedclkcentral : in std_logic_vector(0 downto 0); phyrst : in std_logic_vector(0 downto 0); physrst : in std_logic_vector(0 downto 0); coreclkin : in std_logic_vector(0 downto 0); corerst : in std_logic_vector(0 downto 0); corepor : in std_logic_vector(0 downto 0); corecrst : in std_logic_vector(0 downto 0); coresrst : in std_logic_vector(0 downto 0); swdnout : out std_logic_vector(6 downto 0); swupout : out std_logic_vector(2 downto 0); swdnin : in std_logic_vector(2 downto 0); swupin : in std_logic_vector(6 downto 0); swctmod : in std_logic_vector(1 downto 0); rxstdata : out std_logic_vector(255 downto 0); rxstparity : out std_logic_vector(31 downto 0); rxstbe : out std_logic_vector(31 downto 0); rxsterr : out std_logic_vector(3 downto 0); rxstsop : out std_logic_vector(3 downto 0); rxsteop : out std_logic_vector(3 downto 0); rxstempty : out std_logic_vector(1 downto 0); rxstvalid : out std_logic_vector(3 downto 0); rxstbardec1 : out std_logic_vector(7 downto 0); rxstbardec2 : out std_logic_vector(7 downto 0); rxstmask : in std_logic_vector(0 downto 0); rxstready : in std_logic_vector(0 downto 0); txstready : out std_logic_vector(0 downto 0); txcredfchipcons : out std_logic_vector(5 downto 0); txcredfcinfinite : out std_logic_vector(5 downto 0); txcredhdrfcp : out std_logic_vector(7 downto 0); txcreddatafcp : out std_logic_vector(11 downto 0); txcredhdrfcnp : out std_logic_vector(7 downto 0); txcreddatafcnp : out std_logic_vector(11 downto 0); txcredhdrfccp : out std_logic_vector(7 downto 0); txcreddatafccp : out std_logic_vector(11 downto 0); txstdata : in std_logic_vector(255 downto 0); txstparity : in std_logic_vector(31 downto 0); txsterr : in std_logic_vector(3 downto 0); txstsop : in std_logic_vector(3 downto 0); txsteop : in std_logic_vector(3 downto 0); txstempty : in std_logic_vector(1 downto 0); txstvalid : in std_logic_vector(0 downto 0); r2cuncecc : out std_logic_vector(0 downto 0); rxcorrecc : out std_logic_vector(0 downto 0); retryuncecc : out std_logic_vector(0 downto 0); retrycorrecc : out std_logic_vector(0 downto 0); rxparerr : out std_logic_vector(0 downto 0); txparerr : out std_logic_vector(1 downto 0); r2cparerr : out std_logic_vector(0 downto 0); pmetosr : out std_logic_vector(0 downto 0); pmetocr : in std_logic_vector(0 downto 0); pmevent : in std_logic_vector(0 downto 0); pmdata : in std_logic_vector(9 downto 0); pmauxpwr : in std_logic_vector(0 downto 0); tlcfgsts : out std_logic_vector(52 downto 0); tlcfgctl : out std_logic_vector(31 downto 0); tlcfgadd : out std_logic_vector(3 downto 0); appintaack : out std_logic_vector(0 downto 0); appintasts : in std_logic_vector(0 downto 0); intstatus : out std_logic_vector(3 downto 0); appmsiack : out std_logic_vector(0 downto 0); appmsireq : in std_logic_vector(0 downto 0); appmsitc : in std_logic_vector(2 downto 0); appmsinum : in std_logic_vector(4 downto 0); aermsinum : in std_logic_vector(4 downto 0); pexmsinum : in std_logic_vector(4 downto 0); hpgctrler : in std_logic_vector(4 downto 0); cfglink2csrpld : in std_logic_vector(12 downto 0); cfgprmbuspld : in std_logic_vector(7 downto 0); csebisshadow : out std_logic_vector(0 downto 0); csebwrdata : out std_logic_vector(31 downto 0); csebwrdataparity : out std_logic_vector(3 downto 0); csebbe : out std_logic_vector(3 downto 0); csebaddr : out std_logic_vector(32 downto 0); csebaddrparity : out std_logic_vector(4 downto 0); csebwren : out std_logic_vector(0 downto 0); csebrden : out std_logic_vector(0 downto 0); csebwrrespreq : out std_logic_vector(0 downto 0); csebrddata : in std_logic_vector(31 downto 0); csebrddataparity : in std_logic_vector(3 downto 0); csebwaitrequest : in std_logic_vector(0 downto 0); csebwrrespvalid : in std_logic_vector(0 downto 0); csebwrresponse : in std_logic_vector(4 downto 0); csebrdresponse : in std_logic_vector(4 downto 0); dlup : out std_logic_vector(0 downto 0); testouthip : out std_logic_vector(255 downto 0); testout1hip : out std_logic_vector(63 downto 0); ev1us : out std_logic_vector(0 downto 0); ev128ns : out std_logic_vector(0 downto 0); wakeoen : out std_logic_vector(0 downto 0); serrout : out std_logic_vector(0 downto 0); ltssmstate : out std_logic_vector(4 downto 0); laneact : out std_logic_vector(3 downto 0); currentspeed : out std_logic_vector(1 downto 0); slotclkcfg : in std_logic_vector(0 downto 0); mode : in std_logic_vector(1 downto 0); testinhip : in std_logic_vector(31 downto 0); testin1hip : in std_logic_vector(31 downto 0); cplpending : in std_logic_vector(0 downto 0); cplerr : in std_logic_vector(6 downto 0); appinterr : in std_logic_vector(1 downto 0); egressblkerr : in std_logic_vector(0 downto 0); pmexitd0ack : in std_logic_vector(0 downto 0); pmexitd0req : out std_logic_vector(0 downto 0); currentcoeff0 : out std_logic_vector(17 downto 0); currentcoeff1 : out std_logic_vector(17 downto 0); currentcoeff2 : out std_logic_vector(17 downto 0); currentcoeff3 : out std_logic_vector(17 downto 0); currentcoeff4 : out std_logic_vector(17 downto 0); currentcoeff5 : out std_logic_vector(17 downto 0); currentcoeff6 : out std_logic_vector(17 downto 0); currentcoeff7 : out std_logic_vector(17 downto 0); currentrxpreset0 : out std_logic_vector(2 downto 0); currentrxpreset1 : out std_logic_vector(2 downto 0); currentrxpreset2 : out std_logic_vector(2 downto 0); currentrxpreset3 : out std_logic_vector(2 downto 0); currentrxpreset4 : out std_logic_vector(2 downto 0); currentrxpreset5 : out std_logic_vector(2 downto 0); currentrxpreset6 : out std_logic_vector(2 downto 0); currentrxpreset7 : out std_logic_vector(2 downto 0); rate0 : out std_logic_vector(1 downto 0); rate1 : out std_logic_vector(1 downto 0); rate2 : out std_logic_vector(1 downto 0); rate3 : out std_logic_vector(1 downto 0); rate4 : out std_logic_vector(1 downto 0); rate5 : out std_logic_vector(1 downto 0); rate6 : out std_logic_vector(1 downto 0); rate7 : out std_logic_vector(1 downto 0); ratectrl : out std_logic_vector(1 downto 0); ratetiedtognd : out std_logic_vector(0 downto 0); eidleinfersel0 : out std_logic_vector(2 downto 0); eidleinfersel1 : out std_logic_vector(2 downto 0); eidleinfersel2 : out std_logic_vector(2 downto 0); eidleinfersel3 : out std_logic_vector(2 downto 0); eidleinfersel4 : out std_logic_vector(2 downto 0); eidleinfersel5 : out std_logic_vector(2 downto 0); eidleinfersel6 : out std_logic_vector(2 downto 0); eidleinfersel7 : out std_logic_vector(2 downto 0); txdata0 : out std_logic_vector(31 downto 0); txdatak0 : out std_logic_vector(3 downto 0); txdetectrx0 : out std_logic_vector(0 downto 0); txelecidle0 : out std_logic_vector(0 downto 0); txcompl0 : out std_logic_vector(0 downto 0); rxpolarity0 : out std_logic_vector(0 downto 0); powerdown0 : out std_logic_vector(1 downto 0); txdataskip0 : out std_logic_vector(0 downto 0); txblkst0 : out std_logic_vector(0 downto 0); txsynchd0 : out std_logic_vector(1 downto 0); txdeemph0 : out std_logic_vector(0 downto 0); txmargin0 : out std_logic_vector(2 downto 0); rxdata0 : in std_logic_vector(31 downto 0); rxdatak0 : in std_logic_vector(3 downto 0); rxvalid0 : in std_logic_vector(0 downto 0); phystatus0 : in std_logic_vector(0 downto 0); rxelecidle0 : in std_logic_vector(0 downto 0); rxstatus0 : in std_logic_vector(2 downto 0); rxdataskip0 : in std_logic_vector(0 downto 0); rxblkst0 : in std_logic_vector(0 downto 0); rxsynchd0 : in std_logic_vector(1 downto 0); rxfreqlocked0 : in std_logic_vector(0 downto 0); txdata1 : out std_logic_vector(31 downto 0); txdatak1 : out std_logic_vector(3 downto 0); txdetectrx1 : out std_logic_vector(0 downto 0); txelecidle1 : out std_logic_vector(0 downto 0); txcompl1 : out std_logic_vector(0 downto 0); rxpolarity1 : out std_logic_vector(0 downto 0); powerdown1 : out std_logic_vector(1 downto 0); txdataskip1 : out std_logic_vector(0 downto 0); txblkst1 : out std_logic_vector(0 downto 0); txsynchd1 : out std_logic_vector(1 downto 0); txdeemph1 : out std_logic_vector(0 downto 0); txmargin1 : out std_logic_vector(2 downto 0); rxdata1 : in std_logic_vector(31 downto 0); rxdatak1 : in std_logic_vector(3 downto 0); rxvalid1 : in std_logic_vector(0 downto 0); phystatus1 : in std_logic_vector(0 downto 0); rxelecidle1 : in std_logic_vector(0 downto 0); rxstatus1 : in std_logic_vector(2 downto 0); rxdataskip1 : in std_logic_vector(0 downto 0); rxblkst1 : in std_logic_vector(0 downto 0); rxsynchd1 : in std_logic_vector(1 downto 0); rxfreqlocked1 : in std_logic_vector(0 downto 0); txdata2 : out std_logic_vector(31 downto 0); txdatak2 : out std_logic_vector(3 downto 0); txdetectrx2 : out std_logic_vector(0 downto 0); txelecidle2 : out std_logic_vector(0 downto 0); txcompl2 : out std_logic_vector(0 downto 0); rxpolarity2 : out std_logic_vector(0 downto 0); powerdown2 : out std_logic_vector(1 downto 0); txdataskip2 : out std_logic_vector(0 downto 0); txblkst2 : out std_logic_vector(0 downto 0); txsynchd2 : out std_logic_vector(1 downto 0); txdeemph2 : out std_logic_vector(0 downto 0); txmargin2 : out std_logic_vector(2 downto 0); rxdata2 : in std_logic_vector(31 downto 0); rxdatak2 : in std_logic_vector(3 downto 0); rxvalid2 : in std_logic_vector(0 downto 0); phystatus2 : in std_logic_vector(0 downto 0); rxelecidle2 : in std_logic_vector(0 downto 0); rxstatus2 : in std_logic_vector(2 downto 0); rxdataskip2 : in std_logic_vector(0 downto 0); rxblkst2 : in std_logic_vector(0 downto 0); rxsynchd2 : in std_logic_vector(1 downto 0); rxfreqlocked2 : in std_logic_vector(0 downto 0); txdata3 : out std_logic_vector(31 downto 0); txdatak3 : out std_logic_vector(3 downto 0); txdetectrx3 : out std_logic_vector(0 downto 0); txelecidle3 : out std_logic_vector(0 downto 0); txcompl3 : out std_logic_vector(0 downto 0); rxpolarity3 : out std_logic_vector(0 downto 0); powerdown3 : out std_logic_vector(1 downto 0); txdataskip3 : out std_logic_vector(0 downto 0); txblkst3 : out std_logic_vector(0 downto 0); txsynchd3 : out std_logic_vector(1 downto 0); txdeemph3 : out std_logic_vector(0 downto 0); txmargin3 : out std_logic_vector(2 downto 0); rxdata3 : in std_logic_vector(31 downto 0); rxdatak3 : in std_logic_vector(3 downto 0); rxvalid3 : in std_logic_vector(0 downto 0); phystatus3 : in std_logic_vector(0 downto 0); rxelecidle3 : in std_logic_vector(0 downto 0); rxstatus3 : in std_logic_vector(2 downto 0); rxdataskip3 : in std_logic_vector(0 downto 0); rxblkst3 : in std_logic_vector(0 downto 0); rxsynchd3 : in std_logic_vector(1 downto 0); rxfreqlocked3 : in std_logic_vector(0 downto 0); txdata4 : out std_logic_vector(31 downto 0); txdatak4 : out std_logic_vector(3 downto 0); txdetectrx4 : out std_logic_vector(0 downto 0); txelecidle4 : out std_logic_vector(0 downto 0); txcompl4 : out std_logic_vector(0 downto 0); rxpolarity4 : out std_logic_vector(0 downto 0); powerdown4 : out std_logic_vector(1 downto 0); txdataskip4 : out std_logic_vector(0 downto 0); txblkst4 : out std_logic_vector(0 downto 0); txsynchd4 : out std_logic_vector(1 downto 0); txdeemph4 : out std_logic_vector(0 downto 0); txmargin4 : out std_logic_vector(2 downto 0); rxdata4 : in std_logic_vector(31 downto 0); rxdatak4 : in std_logic_vector(3 downto 0); rxvalid4 : in std_logic_vector(0 downto 0); phystatus4 : in std_logic_vector(0 downto 0); rxelecidle4 : in std_logic_vector(0 downto 0); rxstatus4 : in std_logic_vector(2 downto 0); rxdataskip4 : in std_logic_vector(0 downto 0); rxblkst4 : in std_logic_vector(0 downto 0); rxsynchd4 : in std_logic_vector(1 downto 0); rxfreqlocked4 : in std_logic_vector(0 downto 0); txdata5 : out std_logic_vector(31 downto 0); txdatak5 : out std_logic_vector(3 downto 0); txdetectrx5 : out std_logic_vector(0 downto 0); txelecidle5 : out std_logic_vector(0 downto 0); txcompl5 : out std_logic_vector(0 downto 0); rxpolarity5 : out std_logic_vector(0 downto 0); powerdown5 : out std_logic_vector(1 downto 0); txdataskip5 : out std_logic_vector(0 downto 0); txblkst5 : out std_logic_vector(0 downto 0); txsynchd5 : out std_logic_vector(1 downto 0); txdeemph5 : out std_logic_vector(0 downto 0); txmargin5 : out std_logic_vector(2 downto 0); rxdata5 : in std_logic_vector(31 downto 0); rxdatak5 : in std_logic_vector(3 downto 0); rxvalid5 : in std_logic_vector(0 downto 0); phystatus5 : in std_logic_vector(0 downto 0); rxelecidle5 : in std_logic_vector(0 downto 0); rxstatus5 : in std_logic_vector(2 downto 0); rxdataskip5 : in std_logic_vector(0 downto 0); rxblkst5 : in std_logic_vector(0 downto 0); rxsynchd5 : in std_logic_vector(1 downto 0); rxfreqlocked5 : in std_logic_vector(0 downto 0); txdata6 : out std_logic_vector(31 downto 0); txdatak6 : out std_logic_vector(3 downto 0); txdetectrx6 : out std_logic_vector(0 downto 0); txelecidle6 : out std_logic_vector(0 downto 0); txcompl6 : out std_logic_vector(0 downto 0); rxpolarity6 : out std_logic_vector(0 downto 0); powerdown6 : out std_logic_vector(1 downto 0); txdataskip6 : out std_logic_vector(0 downto 0); txblkst6 : out std_logic_vector(0 downto 0); txsynchd6 : out std_logic_vector(1 downto 0); txdeemph6 : out std_logic_vector(0 downto 0); txmargin6 : out std_logic_vector(2 downto 0); rxdata6 : in std_logic_vector(31 downto 0); rxdatak6 : in std_logic_vector(3 downto 0); rxvalid6 : in std_logic_vector(0 downto 0); phystatus6 : in std_logic_vector(0 downto 0); rxelecidle6 : in std_logic_vector(0 downto 0); rxstatus6 : in std_logic_vector(2 downto 0); rxdataskip6 : in std_logic_vector(0 downto 0); rxblkst6 : in std_logic_vector(0 downto 0); rxsynchd6 : in std_logic_vector(1 downto 0); rxfreqlocked6 : in std_logic_vector(0 downto 0); txdata7 : out std_logic_vector(31 downto 0); txdatak7 : out std_logic_vector(3 downto 0); txdetectrx7 : out std_logic_vector(0 downto 0); txelecidle7 : out std_logic_vector(0 downto 0); txcompl7 : out std_logic_vector(0 downto 0); rxpolarity7 : out std_logic_vector(0 downto 0); powerdown7 : out std_logic_vector(1 downto 0); txdataskip7 : out std_logic_vector(0 downto 0); txblkst7 : out std_logic_vector(0 downto 0); txsynchd7 : out std_logic_vector(1 downto 0); txdeemph7 : out std_logic_vector(0 downto 0); txmargin7 : out std_logic_vector(2 downto 0); rxdata7 : in std_logic_vector(31 downto 0); rxdatak7 : in std_logic_vector(3 downto 0); rxvalid7 : in std_logic_vector(0 downto 0); phystatus7 : in std_logic_vector(0 downto 0); rxelecidle7 : in std_logic_vector(0 downto 0); rxstatus7 : in std_logic_vector(2 downto 0); rxdataskip7 : in std_logic_vector(0 downto 0); rxblkst7 : in std_logic_vector(0 downto 0); rxsynchd7 : in std_logic_vector(1 downto 0); rxfreqlocked7 : in std_logic_vector(0 downto 0); dbgpipex1rx : in std_logic_vector(43 downto 0); memredsclk : in std_logic_vector(0 downto 0); memredenscan : in std_logic_vector(0 downto 0); memredscen : in std_logic_vector(0 downto 0); memredscin : in std_logic_vector(0 downto 0); memredscsel : in std_logic_vector(0 downto 0); memredscrst : in std_logic_vector(0 downto 0); memredscout : out std_logic_vector(0 downto 0); memregscanen : in std_logic_vector(0 downto 0); memregscanin : in std_logic_vector(0 downto 0); memhiptestenable : in std_logic_vector(0 downto 0); memregscanout : out std_logic_vector(0 downto 0); bisttesten : in std_logic_vector(0 downto 0); bistenrpl : in std_logic_vector(0 downto 0); bistscanin : in std_logic_vector(0 downto 0); bistscanen : in std_logic_vector(0 downto 0); bistenrcv : in std_logic_vector(0 downto 0); bistscanoutrpl : out std_logic_vector(0 downto 0); bistdonearpl : out std_logic_vector(0 downto 0); bistdonebrpl : out std_logic_vector(0 downto 0); bistpassrpl : out std_logic_vector(0 downto 0); derrrpl : out std_logic_vector(0 downto 0); derrcorextrpl : out std_logic_vector(0 downto 0); bistscanoutrcv : out std_logic_vector(0 downto 0); bistdonearcv : out std_logic_vector(0 downto 0); bistdonebrcv : out std_logic_vector(0 downto 0); bistpassrcv : out std_logic_vector(0 downto 0); derrcorextrcv : out std_logic_vector(0 downto 0); bistscanoutrcv1 : out std_logic_vector(0 downto 0); bistdonearcv1 : out std_logic_vector(0 downto 0); bistdonebrcv1 : out std_logic_vector(0 downto 0); bistpassrcv1 : out std_logic_vector(0 downto 0); derrcorextrcv1 : out std_logic_vector(0 downto 0); scanmoden : in std_logic_vector(0 downto 0); scanshiftn : in std_logic_vector(0 downto 0); nfrzdrv : in std_logic_vector(0 downto 0); frzreg : in std_logic_vector(0 downto 0); frzlogic : in std_logic_vector(0 downto 0); idrpl : in std_logic_vector(7 downto 0); idrcv : in std_logic_vector(7 downto 0); plniotri : in std_logic_vector(0 downto 0); entest : in std_logic_vector(0 downto 0); npor : in std_logic_vector(0 downto 0); usermode : in std_logic_vector(0 downto 0); cvpclk : out std_logic_vector(0 downto 0); cvpdata : out std_logic_vector(31 downto 0); cvpstartxfer : out std_logic_vector(0 downto 0); cvpconfig : out std_logic_vector(0 downto 0); cvpfullconfig : out std_logic_vector(0 downto 0); cvpconfigready : in std_logic_vector(0 downto 0); cvpen : in std_logic_vector(0 downto 0); cvpconfigerror : in std_logic_vector(0 downto 0); cvpconfigdone : in std_logic_vector(0 downto 0); pinperstn : in std_logic_vector(0 downto 0); pldperstn : in std_logic_vector(0 downto 0); iocsrrdydly : in std_logic_vector(0 downto 0); softaltpe3rstn : in std_logic_vector(0 downto 0); softaltpe3srstn : in std_logic_vector(0 downto 0); softaltpe3crstn : in std_logic_vector(0 downto 0); pldclrpmapcshipn : in std_logic_vector(0 downto 0); pldclrpcshipn : in std_logic_vector(0 downto 0); pldclrhipn : in std_logic_vector(0 downto 0); s0ch0emsiptieoff : out std_logic_vector(100 downto 0); s0ch1emsiptieoff : out std_logic_vector(100 downto 0); s0ch2emsiptieoff : out std_logic_vector(100 downto 0); s1ch0emsiptieoff : out std_logic_vector(100 downto 0); s1ch1emsiptieoff : out std_logic_vector(188 downto 0); s1ch2emsiptieoff : out std_logic_vector(100 downto 0); s2ch0emsiptieoff : out std_logic_vector(100 downto 0); s2ch1emsiptieoff : out std_logic_vector(100 downto 0); s2ch2emsiptieoff : out std_logic_vector(100 downto 0); s3ch0emsiptieoff : out std_logic_vector(188 downto 0); s3ch1emsiptieoff : out std_logic_vector(188 downto 0); s3ch2emsiptieoff : out std_logic_vector(188 downto 0); emsiptieofftop : out std_logic_vector(299 downto 0); emsiptieoffbot : out std_logic_vector(299 downto 0); txpcsrstn0 : out std_logic_vector(0 downto 0); rxpcsrstn0 : out std_logic_vector(0 downto 0); g3txpcsrstn0 : out std_logic_vector(0 downto 0); g3rxpcsrstn0 : out std_logic_vector(0 downto 0); txpmasyncp0 : out std_logic_vector(0 downto 0); rxpmarstb0 : out std_logic_vector(0 downto 0); txlcpllrstb0 : out std_logic_vector(0 downto 0); offcalen0 : out std_logic_vector(0 downto 0); frefclk0 : in std_logic_vector(0 downto 0); offcaldone0 : in std_logic_vector(0 downto 0); txlcplllock0 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock0 : in std_logic_vector(0 downto 0); rxpllphaselock0 : in std_logic_vector(0 downto 0); masktxplllock0 : in std_logic_vector(0 downto 0); txpcsrstn1 : out std_logic_vector(0 downto 0); rxpcsrstn1 : out std_logic_vector(0 downto 0); g3txpcsrstn1 : out std_logic_vector(0 downto 0); g3rxpcsrstn1 : out std_logic_vector(0 downto 0); txpmasyncp1 : out std_logic_vector(0 downto 0); rxpmarstb1 : out std_logic_vector(0 downto 0); txlcpllrstb1 : out std_logic_vector(0 downto 0); offcalen1 : out std_logic_vector(0 downto 0); frefclk1 : in std_logic_vector(0 downto 0); offcaldone1 : in std_logic_vector(0 downto 0); txlcplllock1 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock1 : in std_logic_vector(0 downto 0); rxpllphaselock1 : in std_logic_vector(0 downto 0); masktxplllock1 : in std_logic_vector(0 downto 0); txpcsrstn2 : out std_logic_vector(0 downto 0); rxpcsrstn2 : out std_logic_vector(0 downto 0); g3txpcsrstn2 : out std_logic_vector(0 downto 0); g3rxpcsrstn2 : out std_logic_vector(0 downto 0); txpmasyncp2 : out std_logic_vector(0 downto 0); rxpmarstb2 : out std_logic_vector(0 downto 0); txlcpllrstb2 : out std_logic_vector(0 downto 0); offcalen2 : out std_logic_vector(0 downto 0); frefclk2 : in std_logic_vector(0 downto 0); offcaldone2 : in std_logic_vector(0 downto 0); txlcplllock2 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock2 : in std_logic_vector(0 downto 0); rxpllphaselock2 : in std_logic_vector(0 downto 0); masktxplllock2 : in std_logic_vector(0 downto 0); txpcsrstn3 : out std_logic_vector(0 downto 0); rxpcsrstn3 : out std_logic_vector(0 downto 0); g3txpcsrstn3 : out std_logic_vector(0 downto 0); g3rxpcsrstn3 : out std_logic_vector(0 downto 0); txpmasyncp3 : out std_logic_vector(0 downto 0); rxpmarstb3 : out std_logic_vector(0 downto 0); txlcpllrstb3 : out std_logic_vector(0 downto 0); offcalen3 : out std_logic_vector(0 downto 0); frefclk3 : in std_logic_vector(0 downto 0); offcaldone3 : in std_logic_vector(0 downto 0); txlcplllock3 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock3 : in std_logic_vector(0 downto 0); rxpllphaselock3 : in std_logic_vector(0 downto 0); masktxplllock3 : in std_logic_vector(0 downto 0); txpcsrstn4 : out std_logic_vector(0 downto 0); rxpcsrstn4 : out std_logic_vector(0 downto 0); g3txpcsrstn4 : out std_logic_vector(0 downto 0); g3rxpcsrstn4 : out std_logic_vector(0 downto 0); txpmasyncp4 : out std_logic_vector(0 downto 0); rxpmarstb4 : out std_logic_vector(0 downto 0); txlcpllrstb4 : out std_logic_vector(0 downto 0); offcalen4 : out std_logic_vector(0 downto 0); frefclk4 : in std_logic_vector(0 downto 0); offcaldone4 : in std_logic_vector(0 downto 0); txlcplllock4 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock4 : in std_logic_vector(0 downto 0); rxpllphaselock4 : in std_logic_vector(0 downto 0); masktxplllock4 : in std_logic_vector(0 downto 0); txpcsrstn5 : out std_logic_vector(0 downto 0); rxpcsrstn5 : out std_logic_vector(0 downto 0); g3txpcsrstn5 : out std_logic_vector(0 downto 0); g3rxpcsrstn5 : out std_logic_vector(0 downto 0); txpmasyncp5 : out std_logic_vector(0 downto 0); rxpmarstb5 : out std_logic_vector(0 downto 0); txlcpllrstb5 : out std_logic_vector(0 downto 0); offcalen5 : out std_logic_vector(0 downto 0); frefclk5 : in std_logic_vector(0 downto 0); offcaldone5 : in std_logic_vector(0 downto 0); txlcplllock5 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock5 : in std_logic_vector(0 downto 0); rxpllphaselock5 : in std_logic_vector(0 downto 0); masktxplllock5 : in std_logic_vector(0 downto 0); txpcsrstn6 : out std_logic_vector(0 downto 0); rxpcsrstn6 : out std_logic_vector(0 downto 0); g3txpcsrstn6 : out std_logic_vector(0 downto 0); g3rxpcsrstn6 : out std_logic_vector(0 downto 0); txpmasyncp6 : out std_logic_vector(0 downto 0); rxpmarstb6 : out std_logic_vector(0 downto 0); txlcpllrstb6 : out std_logic_vector(0 downto 0); offcalen6 : out std_logic_vector(0 downto 0); frefclk6 : in std_logic_vector(0 downto 0); offcaldone6 : in std_logic_vector(0 downto 0); txlcplllock6 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock6 : in std_logic_vector(0 downto 0); rxpllphaselock6 : in std_logic_vector(0 downto 0); masktxplllock6 : in std_logic_vector(0 downto 0); txpcsrstn7 : out std_logic_vector(0 downto 0); rxpcsrstn7 : out std_logic_vector(0 downto 0); g3txpcsrstn7 : out std_logic_vector(0 downto 0); g3rxpcsrstn7 : out std_logic_vector(0 downto 0); txpmasyncp7 : out std_logic_vector(0 downto 0); rxpmarstb7 : out std_logic_vector(0 downto 0); txlcpllrstb7 : out std_logic_vector(0 downto 0); offcalen7 : out std_logic_vector(0 downto 0); frefclk7 : in std_logic_vector(0 downto 0); offcaldone7 : in std_logic_vector(0 downto 0); txlcplllock7 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock7 : in std_logic_vector(0 downto 0); rxpllphaselock7 : in std_logic_vector(0 downto 0); masktxplllock7 : in std_logic_vector(0 downto 0); txpcsrstn8 : out std_logic_vector(0 downto 0); rxpcsrstn8 : out std_logic_vector(0 downto 0); g3txpcsrstn8 : out std_logic_vector(0 downto 0); g3rxpcsrstn8 : out std_logic_vector(0 downto 0); txpmasyncp8 : out std_logic_vector(0 downto 0); rxpmarstb8 : out std_logic_vector(0 downto 0); txlcpllrstb8 : out std_logic_vector(0 downto 0); offcalen8 : out std_logic_vector(0 downto 0); frefclk8 : in std_logic_vector(0 downto 0); offcaldone8 : in std_logic_vector(0 downto 0); txlcplllock8 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock8 : in std_logic_vector(0 downto 0); rxpllphaselock8 : in std_logic_vector(0 downto 0); masktxplllock8 : in std_logic_vector(0 downto 0); txpcsrstn9 : out std_logic_vector(0 downto 0); rxpcsrstn9 : out std_logic_vector(0 downto 0); g3txpcsrstn9 : out std_logic_vector(0 downto 0); g3rxpcsrstn9 : out std_logic_vector(0 downto 0); txpmasyncp9 : out std_logic_vector(0 downto 0); rxpmarstb9 : out std_logic_vector(0 downto 0); txlcpllrstb9 : out std_logic_vector(0 downto 0); offcalen9 : out std_logic_vector(0 downto 0); frefclk9 : in std_logic_vector(0 downto 0); offcaldone9 : in std_logic_vector(0 downto 0); txlcplllock9 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock9 : in std_logic_vector(0 downto 0); rxpllphaselock9 : in std_logic_vector(0 downto 0); masktxplllock9 : in std_logic_vector(0 downto 0); txpcsrstn10 : out std_logic_vector(0 downto 0); rxpcsrstn10 : out std_logic_vector(0 downto 0); g3txpcsrstn10 : out std_logic_vector(0 downto 0); g3rxpcsrstn10 : out std_logic_vector(0 downto 0); txpmasyncp10 : out std_logic_vector(0 downto 0); rxpmarstb10 : out std_logic_vector(0 downto 0); txlcpllrstb10 : out std_logic_vector(0 downto 0); offcalen10 : out std_logic_vector(0 downto 0); frefclk10 : in std_logic_vector(0 downto 0); offcaldone10 : in std_logic_vector(0 downto 0); txlcplllock10 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock10 : in std_logic_vector(0 downto 0); rxpllphaselock10 : in std_logic_vector(0 downto 0); masktxplllock10 : in std_logic_vector(0 downto 0); txpcsrstn11 : out std_logic_vector(0 downto 0); rxpcsrstn11 : out std_logic_vector(0 downto 0); g3txpcsrstn11 : out std_logic_vector(0 downto 0); g3rxpcsrstn11 : out std_logic_vector(0 downto 0); txpmasyncp11 : out std_logic_vector(0 downto 0); rxpmarstb11 : out std_logic_vector(0 downto 0); txlcpllrstb11 : out std_logic_vector(0 downto 0); offcalen11 : out std_logic_vector(0 downto 0); frefclk11 : in std_logic_vector(0 downto 0); offcaldone11 : in std_logic_vector(0 downto 0); txlcplllock11 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock11 : in std_logic_vector(0 downto 0); rxpllphaselock11 : in std_logic_vector(0 downto 0); masktxplllock11 : in std_logic_vector(0 downto 0); reservedin : in std_logic_vector(31 downto 0); reservedclkin : in std_logic_vector(0 downto 0); reservedout : out std_logic_vector(31 downto 0); reservedclkout : out std_logic_vector(0 downto 0) ); end stratixv_hssi_gen3_pcie_hip; architecture behavior of stratixv_hssi_gen3_pcie_hip is component stratixv_hssi_gen3_pcie_hip_encrypted generic ( func_mode : string := "disable"; in_cvp_mode : string := "not_cvp_mode"; bonding_mode : string := "bond_disable"; prot_mode : string := "disabled_prot_mode"; pcie_spec_1p0_compliance : string := "spec_1p1"; vc_enable : string := "single_vc"; enable_slot_register : string := "false"; pcie_mode : string := "shared_mode"; bypass_cdc : string := "false"; enable_rx_reordering : string := "true"; enable_rx_buffer_checking : string := "false"; single_rx_detect_data : bit_vector := B"0000"; single_rx_detect : string := "single_rx_detect"; use_crc_forwarding : string := "false"; bypass_tl : string := "false"; gen123_lane_rate_mode : string := "gen1"; lane_mask : string := "x4"; disable_link_x2_support : string := "false"; national_inst_thru_enhance : string := "true"; hip_hard_reset : string := "enable"; dis_paritychk : string := "enable"; wrong_device_id : string := "disable"; data_pack_rx : string := "disable"; ast_width : string := "rx_tx_64"; rx_sop_ctrl : string := "boundary_64"; rx_ast_parity : string := "disable"; tx_ast_parity : string := "disable"; ltssm_1ms_timeout : string := "disable"; ltssm_freqlocked_check : string := "disable"; deskew_comma : string := "skp_eieos_deskw"; dl_tx_check_parity_edb : string := "disable"; tl_tx_check_parity_msg : string := "disable"; port_link_number_data : bit_vector := B"00000001"; port_link_number : string := "port_link_number"; device_number_data : bit_vector := B"00000"; device_number : string := "device_number"; bypass_clk_switch : string := "false"; core_clk_out_sel : string := "div_1"; core_clk_divider : string := "div_1"; core_clk_source : string := "pll_fixed_clk"; core_clk_sel : string := "pld_clk"; enable_ch0_pclk_out : string := "true"; enable_ch01_pclk_out : string := "pclk_ch0"; pipex1_debug_sel : string := "disable"; pclk_out_sel : string := "pclk"; vendor_id_data : bit_vector := B"1000101110010"; vendor_id : string := "vendor_id"; device_id_data : bit_vector := B"0000000000000001"; device_id : string := "device_id"; revision_id_data : bit_vector := B"00000001"; revision_id : string := "revision_id"; class_code_data : bit_vector := B"111111110000000000000000"; class_code : string := "class_code"; subsystem_vendor_id_data : bit_vector := B"0001000101110010"; subsystem_vendor_id : string := "subsystem_vendor_id"; subsystem_device_id_data : bit_vector := B"0000000000000001"; subsystem_device_id : string := "subsystem_device_id"; no_soft_reset : string := "false"; maximum_current_data : bit_vector := B"000"; maximum_current : string := "maximum_current"; d1_support : string := "false"; d2_support : string := "false"; d0_pme : string := "false"; d1_pme : string := "false"; d2_pme : string := "false"; d3_hot_pme : string := "false"; d3_cold_pme : string := "false"; use_aer : string := "false"; low_priority_vc : string := "single_vc"; vc_arbitration : string := "single_vc"; disable_snoop_packet : string := "false"; max_payload_size : string := "payload_512"; surprise_down_error_support : string := "false"; dll_active_report_support : string := "false"; extend_tag_field : string := "false"; endpoint_l0_latency_data : bit_vector := B"000"; endpoint_l0_latency : string := "endpoint_l0_latency"; endpoint_l1_latency_data : bit_vector := B"000"; endpoint_l1_latency : string := "endpoint_l1_latency"; indicator_data : bit_vector := B"111"; indicator : string := "indicator"; role_based_error_reporting : string := "false"; slot_power_scale_data : bit_vector := B"00"; slot_power_scale : string := "slot_power_scale"; max_link_width : string := "x4"; enable_l1_aspm : string := "false"; enable_l0s_aspm : string := "false"; l1_exit_latency_sameclock_data : bit_vector := B"000"; l1_exit_latency_sameclock : string := "l1_exit_latency_sameclock"; l1_exit_latency_diffclock_data : bit_vector := B"000"; l1_exit_latency_diffclock : string := "l1_exit_latency_diffclock"; hot_plug_support_data : bit_vector := B"0000000"; hot_plug_support : string := "hot_plug_support"; slot_power_limit_data : bit_vector := B"00000000"; slot_power_limit : string := "slot_power_limit"; slot_number_data : bit_vector := B"0000000000000"; slot_number : string := "slot_number"; diffclock_nfts_count_data : bit_vector := B"00000000"; diffclock_nfts_count : string := "diffclock_nfts_count"; sameclock_nfts_count_data : bit_vector := B"00000000"; sameclock_nfts_count : string := "sameclock_nfts_count"; completion_timeout : string := "abcd"; enable_completion_timeout_disable : string := "true"; extended_tag_reset : string := "false"; ecrc_check_capable : string := "true"; ecrc_gen_capable : string := "true"; no_command_completed : string := "true"; msi_multi_message_capable : string := "count_4"; msi_64bit_addressing_capable : string := "true"; msi_masking_capable : string := "false"; msi_support : string := "true"; interrupt_pin : string := "inta"; ena_ido_req : string := "false"; ena_ido_cpl : string := "false"; enable_function_msix_support : string := "true"; msix_table_size_data : bit_vector := B"00000000000"; msix_table_size : string := "msix_table_size"; msix_table_bir_data : bit_vector := B"000"; msix_table_bir : string := "msix_table_bir"; msix_table_offset_data : bit_vector := B"00000000000000000000000000000"; msix_table_offset : string := "msix_table_offset"; msix_pba_bir_data : bit_vector := B"000"; msix_pba_bir : string := "msix_pba_bir"; msix_pba_offset_data : bit_vector := B"00000000000000000000000000000"; msix_pba_offset : string := "msix_pba_offset"; bridge_port_vga_enable : string := "false"; bridge_port_ssid_support : string := "false"; ssvid_data : bit_vector := B"0000000000000000"; ssvid : string := "ssvid"; ssid_data : bit_vector := B"0000000000000000"; ssid : string := "ssid"; eie_before_nfts_count_data : bit_vector := B"0100"; eie_before_nfts_count : string := "eie_before_nfts_count"; gen2_diffclock_nfts_count_data : bit_vector := B"11111111"; gen2_diffclock_nfts_count : string := "gen2_diffclock_nfts_count"; gen2_sameclock_nfts_count_data : bit_vector := B"11111111"; gen2_sameclock_nfts_count : string := "gen2_sameclock_nfts_count"; deemphasis_enable : string := "false"; pcie_spec_version : string := "v2"; l0_exit_latency_sameclock_data : bit_vector := B"110"; l0_exit_latency_sameclock : string := "l0_exit_latency_sameclock"; l0_exit_latency_diffclock_data : bit_vector := B"110"; l0_exit_latency_diffclock : string := "l0_exit_latency_diffclock"; rx_ei_l0s : string := "disable"; l2_async_logic : string := "enable"; aspm_config_management : string := "true"; atomic_op_routing : string := "false"; atomic_op_completer_32bit : string := "false"; atomic_op_completer_64bit : string := "false"; cas_completer_128bit : string := "false"; ltr_mechanism : string := "false"; tph_completer : string := "false"; extended_format_field : string := "true"; atomic_malformed : string := "false"; flr_capability : string := "true"; enable_adapter_half_rate_mode : string := "false"; vc0_clk_enable : string := "true"; vc1_clk_enable : string := "false"; register_pipe_signals : string := "false"; bar0_io_space : string := "false"; bar0_64bit_mem_space : string := "true"; bar0_prefetchable : string := "true"; bar0_size_mask_data : bit_vector := B"1111111111111111111111111111"; bar0_size_mask : string := "bar0_size_mask"; bar1_io_space : string := "false"; bar1_64bit_mem_space : string := "false"; bar1_prefetchable : string := "false"; bar1_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar1_size_mask : string := "bar1_size_mask"; bar2_io_space : string := "false"; bar2_64bit_mem_space : string := "false"; bar2_prefetchable : string := "false"; bar2_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar2_size_mask : string := "bar2_size_mask"; bar3_io_space : string := "false"; bar3_64bit_mem_space : string := "false"; bar3_prefetchable : string := "false"; bar3_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar3_size_mask : string := "bar3_size_mask"; bar4_io_space : string := "false"; bar4_64bit_mem_space : string := "false"; bar4_prefetchable : string := "false"; bar4_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar4_size_mask : string := "bar4_size_mask"; bar5_io_space : string := "false"; bar5_64bit_mem_space : string := "false"; bar5_prefetchable : string := "false"; bar5_size_mask_data : bit_vector := B"0000000000000000000000000000"; bar5_size_mask : string := "bar5_size_mask"; expansion_base_address_register_data : bit_vector := B"00000000000000000000000000000000"; expansion_base_address_register : string := "expansion_base_address_register"; io_window_addr_width : string := "window_32_bit"; prefetchable_mem_window_addr_width : string := "prefetch_32"; skp_os_gen3_count_data : bit_vector := B"00000000000"; skp_os_gen3_count : string := "skp_os_gen3_count"; rx_cdc_almost_empty_data : bit_vector := B"0000"; rx_cdc_almost_empty : string := "rx_cdc_almost_empty"; tx_cdc_almost_empty_data : bit_vector := B"0000"; tx_cdc_almost_empty : string := "tx_cdc_almost_empty"; rx_cdc_almost_full_data : bit_vector := B"0000"; rx_cdc_almost_full : string := "rx_cdc_almost_full"; tx_cdc_almost_full_data : bit_vector := B"0000"; tx_cdc_almost_full : string := "tx_cdc_almost_full"; rx_l0s_count_idl_data : bit_vector := B"00000000"; rx_l0s_count_idl : string := "rx_l0s_count_idl"; cdc_dummy_insert_limit_data : bit_vector := B"0000"; cdc_dummy_insert_limit : string := "cdc_dummy_insert_limit"; ei_delay_powerdown_count_data : bit_vector := B"00001010"; ei_delay_powerdown_count : string := "ei_delay_powerdown_count"; millisecond_cycle_count_data : bit_vector := B"00000000000000000000"; millisecond_cycle_count : string := "millisecond_cycle_count"; skp_os_schedule_count_data : bit_vector := B"00000000000"; skp_os_schedule_count : string := "skp_os_schedule_count"; fc_init_timer_data : bit_vector := B"10000000000"; fc_init_timer : string := "fc_init_timer"; l01_entry_latency_data : bit_vector := B"11111"; l01_entry_latency : string := "l01_entry_latency"; flow_control_update_count_data : bit_vector := B"11110"; flow_control_update_count : string := "flow_control_update_count"; flow_control_timeout_count_data : bit_vector := B"11001000"; flow_control_timeout_count : string := "flow_control_timeout_count"; vc0_rx_flow_ctrl_posted_header_data : bit_vector := B"00110010"; vc0_rx_flow_ctrl_posted_header : string := "vc0_rx_flow_ctrl_posted_header"; vc0_rx_flow_ctrl_posted_data_data : bit_vector := B"000101101000"; vc0_rx_flow_ctrl_posted_data : string := "vc0_rx_flow_ctrl_posted_data"; vc0_rx_flow_ctrl_nonposted_header_data : bit_vector := B"00110110"; vc0_rx_flow_ctrl_nonposted_header : string := "vc0_rx_flow_ctrl_nonposted_header"; vc0_rx_flow_ctrl_nonposted_data_data : bit_vector := B"00000000"; vc0_rx_flow_ctrl_nonposted_data : string := "vc0_rx_flow_ctrl_nonposted_data"; vc0_rx_flow_ctrl_compl_header_data : bit_vector := B"01110000"; vc0_rx_flow_ctrl_compl_header : string := "vc0_rx_flow_ctrl_compl_header"; vc0_rx_flow_ctrl_compl_data_data : bit_vector := B"000111000000"; vc0_rx_flow_ctrl_compl_data : string := "vc0_rx_flow_ctrl_compl_data"; rx_ptr0_posted_dpram_min_data : bit_vector := B"00000000000"; rx_ptr0_posted_dpram_min : string := "rx_ptr0_posted_dpram_min"; rx_ptr0_posted_dpram_max_data : bit_vector := B"00000000000"; rx_ptr0_posted_dpram_max : string := "rx_ptr0_posted_dpram_max"; rx_ptr0_nonposted_dpram_min_data : bit_vector := B"00000000000"; rx_ptr0_nonposted_dpram_min : string := "rx_ptr0_nonposted_dpram_min"; rx_ptr0_nonposted_dpram_max_data : bit_vector := B"00000000000"; rx_ptr0_nonposted_dpram_max : string := "rx_ptr0_nonposted_dpram_max"; retry_buffer_last_active_address_data : bit_vector := B"1111111111"; retry_buffer_last_active_address : string := "retry_buffer_last_active_address"; retry_buffer_memory_settings_data : bit_vector := B"000000000000000000000000000000"; retry_buffer_memory_settings : string := "retry_buffer_memory_settings"; vc0_rx_buffer_memory_settings_data : bit_vector := B"000000000000000000000000000000"; vc0_rx_buffer_memory_settings : string := "vc0_rx_buffer_memory_settings"; bist_memory_settings_data : bit_vector := B"000000000000000000000000000000000000000000000000000000000000000000000000000"; bist_memory_settings : string := "bist_memory_settings"; credit_buffer_allocation_aux : string := "balanced"; iei_enable_settings : string := "gen2_infei_infsd_gen1_infei_sd"; vsec_id_data : bit_vector := B"0001000101110010"; vsec_id : string := "vsec_id"; cvp_rate_sel : string := "full_rate"; hard_reset_bypass : string := "false"; cvp_data_compressed : string := "false"; cvp_data_encrypted : string := "false"; cvp_mode_reset : string := "false"; cvp_clk_reset : string := "false"; vsec_cap_data : bit_vector := B"0000"; vsec_cap : string := "vsec_cap"; jtag_id_data : bit_vector := B"00000000000000000000000000000000"; jtag_id : string := "jtag_id"; user_id_data : bit_vector := B"0000000000000000"; user_id : string := "user_id"; cseb_extend_pci : string := "false"; cseb_extend_pcie : string := "false"; cseb_cpl_status_during_cvp : string := "config_retry_status"; cseb_route_to_avl_rx_st : string := "cseb"; cseb_config_bypass : string := "disable"; cseb_cpl_tag_checking : string := "enable"; cseb_bar_match_checking : string := "enable"; cseb_min_error_checking : string := "false"; cseb_temp_busy_crs : string := "completer_abort"; cseb_disable_auto_crs : string := "false"; gen3_diffclock_nfts_count_data : bit_vector := B"10000000"; gen3_diffclock_nfts_count : string := "g3_diffclock_nfts_count"; gen3_sameclock_nfts_count_data : bit_vector := B"10000000"; gen3_sameclock_nfts_count : string := "g3_sameclock_nfts_count"; gen3_coeff_errchk : string := "enable"; gen3_paritychk : string := "enable"; gen3_coeff_delay_count_data : bit_vector := B"1111101"; gen3_coeff_delay_count : string := "g3_coeff_dly_count"; gen3_coeff_1_data : bit_vector := B"000000000000000000"; gen3_coeff_1 : string := "g3_coeff_1"; gen3_coeff_1_sel : string := "coeff_1"; gen3_coeff_1_preset_hint_data : bit_vector := B"000"; gen3_coeff_1_preset_hint : string := "g3_coeff_1_prst_hint"; gen3_coeff_1_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_1_nxtber_more : string := "g3_coeff_1_nxtber_more"; gen3_coeff_1_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_1_nxtber_less : string := "g3_coeff_1_nxtber_less"; gen3_coeff_1_reqber_data : bit_vector := B"00000"; gen3_coeff_1_reqber : string := "g3_coeff_1_reqber"; gen3_coeff_1_ber_meas_data : bit_vector := B"000000"; gen3_coeff_1_ber_meas : string := "g3_coeff_1_ber_meas"; gen3_coeff_2_data : bit_vector := B"000000000000000000"; gen3_coeff_2 : string := "g3_coeff_2"; gen3_coeff_2_sel : string := "coeff_2"; gen3_coeff_2_preset_hint_data : bit_vector := B"000"; gen3_coeff_2_preset_hint : string := "g3_coeff_2_prst_hint"; gen3_coeff_2_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_2_nxtber_more : string := "g3_coeff_2_nxtber_more"; gen3_coeff_2_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_2_nxtber_less : string := "g3_coeff_2_nxtber_less"; gen3_coeff_2_reqber_data : bit_vector := B"00000"; gen3_coeff_2_reqber : string := "g3_coeff_2_reqber"; gen3_coeff_2_ber_meas_data : bit_vector := B"000000"; gen3_coeff_2_ber_meas : string := "g3_coeff_1_ber_meas"; gen3_coeff_3_data : bit_vector := B"000000000000000000"; gen3_coeff_3 : string := "g3_coeff_3"; gen3_coeff_3_sel : string := "coeff_3"; gen3_coeff_3_preset_hint_data : bit_vector := B"000"; gen3_coeff_3_preset_hint : string := "g3_coeff_3_prst_hint"; gen3_coeff_3_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_3_nxtber_more : string := "g3_coeff_3_nxtber_more"; gen3_coeff_3_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_3_nxtber_less : string := "g3_coeff_3_nxtber_less"; gen3_coeff_3_reqber_data : bit_vector := B"00000"; gen3_coeff_3_reqber : string := "g3_coeff_3_reqber"; gen3_coeff_3_ber_meas_data : bit_vector := B"000000"; gen3_coeff_3_ber_meas : string := "g3_coeff_3_ber_meas"; gen3_coeff_4_data : bit_vector := B"000000000000000000"; gen3_coeff_4 : string := "g3_coeff_4"; gen3_coeff_4_sel : string := "coeff_4"; gen3_coeff_4_preset_hint_data : bit_vector := B"000"; gen3_coeff_4_preset_hint : string := "g3_coeff_4_prst_hint"; gen3_coeff_4_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_4_nxtber_more : string := "g3_coeff_4_nxtber_more"; gen3_coeff_4_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_4_nxtber_less : string := "g3_coeff_4_nxtber_less"; gen3_coeff_4_reqber_data : bit_vector := B"00000"; gen3_coeff_4_reqber : string := "g3_coeff_4_reqber"; gen3_coeff_4_ber_meas_data : bit_vector := B"000000"; gen3_coeff_4_ber_meas : string := "g3_coeff_4_ber_meas"; gen3_coeff_5_data : bit_vector := B"000000000000000000"; gen3_coeff_5 : string := "g3_coeff_5"; gen3_coeff_5_sel : string := "coeff_5"; gen3_coeff_5_preset_hint_data : bit_vector := B"000"; gen3_coeff_5_preset_hint : string := "g3_coeff_5_prst_hint"; gen3_coeff_5_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_5_nxtber_more : string := "g3_coeff_5_nxtber_more"; gen3_coeff_5_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_5_nxtber_less : string := "g3_coeff_5_nxtber_less"; gen3_coeff_5_reqber_data : bit_vector := B"00000"; gen3_coeff_5_reqber : string := "g3_coeff_5_reqber"; gen3_coeff_5_ber_meas_data : bit_vector := B"000000"; gen3_coeff_5_ber_meas : string := "g3_coeff_5_ber_meas"; gen3_coeff_6_data : bit_vector := B"000000000000000000"; gen3_coeff_6 : string := "g3_coeff_6"; gen3_coeff_6_sel : string := "coeff_6"; gen3_coeff_6_preset_hint_data : bit_vector := B"000"; gen3_coeff_6_preset_hint : string := "g3_coeff_6_prst_hint"; gen3_coeff_6_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_6_nxtber_more : string := "g3_coeff_6_nxtber_more"; gen3_coeff_6_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_6_nxtber_less : string := "g3_coeff_6_nxtber_less"; gen3_coeff_6_reqber_data : bit_vector := B"00000"; gen3_coeff_6_reqber : string := "g3_coeff_6_reqber"; gen3_coeff_6_ber_meas_data : bit_vector := B"000000"; gen3_coeff_6_ber_meas : string := "g3_coeff_6_ber_meas"; gen3_coeff_7_data : bit_vector := B"000000000000000000"; gen3_coeff_7 : string := "g3_coeff_7"; gen3_coeff_7_sel : string := "coeff_7"; gen3_coeff_7_preset_hint_data : bit_vector := B"000"; gen3_coeff_7_preset_hint : string := "g3_coeff_7_prst_hint"; gen3_coeff_7_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_7_nxtber_more : string := "g3_coeff_7_nxtber_more"; gen3_coeff_7_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_7_nxtber_less : string := "g3_coeff_7_nxtber_less"; gen3_coeff_7_reqber_data : bit_vector := B"00000"; gen3_coeff_7_reqber : string := "g3_coeff_7_reqber"; gen3_coeff_7_ber_meas_data : bit_vector := B"000000"; gen3_coeff_7_ber_meas : string := "g3_coeff_7_ber_meas"; gen3_coeff_8_data : bit_vector := B"000000000000000000"; gen3_coeff_8 : string := "g3_coeff_8"; gen3_coeff_8_sel : string := "coeff_8"; gen3_coeff_8_preset_hint_data : bit_vector := B"000"; gen3_coeff_8_preset_hint : string := "g3_coeff_8_prst_hint"; gen3_coeff_8_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_8_nxtber_more : string := "g3_coeff_8_nxtber_more"; gen3_coeff_8_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_8_nxtber_less : string := "g3_coeff_8_nxtber_less"; gen3_coeff_8_reqber_data : bit_vector := B"00000"; gen3_coeff_8_reqber : string := "g3_coeff_8_reqber"; gen3_coeff_8_ber_meas_data : bit_vector := B"000000"; gen3_coeff_8_ber_meas : string := "g3_coeff_8_ber_meas"; gen3_coeff_9_data : bit_vector := B"000000000000000000"; gen3_coeff_9 : string := "g3_coeff_9"; gen3_coeff_9_sel : string := "coeff_9"; gen3_coeff_9_preset_hint_data : bit_vector := B"000"; gen3_coeff_9_preset_hint : string := "g3_coeff_9_prst_hint"; gen3_coeff_9_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_9_nxtber_more : string := "g3_coeff_9_nxtber_more"; gen3_coeff_9_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_9_nxtber_less : string := "g3_coeff_9_nxtber_less"; gen3_coeff_9_reqber_data : bit_vector := B"00000"; gen3_coeff_9_reqber : string := "g3_coeff_9_reqber"; gen3_coeff_9_ber_meas_data : bit_vector := B"000000"; gen3_coeff_9_ber_meas : string := "g3_coeff_9_ber_meas"; gen3_coeff_10_data : bit_vector := B"000000000000000000"; gen3_coeff_10 : string := "g3_coeff_10"; gen3_coeff_10_sel : string := "coeff_10"; gen3_coeff_10_preset_hint_data : bit_vector := B"000"; gen3_coeff_10_preset_hint : string := "g3_coeff_10_prst_hint"; gen3_coeff_10_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_10_nxtber_more : string := "g3_coeff_10_nxtber_more"; gen3_coeff_10_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_10_nxtber_less : string := "g3_coeff_10_nxtber_less"; gen3_coeff_10_reqber_data : bit_vector := B"00000"; gen3_coeff_10_reqber : string := "g3_coeff_10_reqber"; gen3_coeff_10_ber_meas_data : bit_vector := B"000000"; gen3_coeff_10_ber_meas : string := "g3_coeff_10_ber_meas"; gen3_coeff_11_data : bit_vector := B"000000000000000000"; gen3_coeff_11 : string := "g3_coeff_11"; gen3_coeff_11_sel : string := "coeff_11"; gen3_coeff_11_preset_hint_data : bit_vector := B"000"; gen3_coeff_11_preset_hint : string := "g3_coeff_11_prst_hint"; gen3_coeff_11_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_11_nxtber_more : string := "g3_coeff_11_nxtber_more"; gen3_coeff_11_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_11_nxtber_less : string := "g3_coeff_11_nxtber_less"; gen3_coeff_11_reqber_data : bit_vector := B"00000"; gen3_coeff_11_reqber : string := "g3_coeff_11_reqber"; gen3_coeff_11_ber_meas_data : bit_vector := B"000000"; gen3_coeff_11_ber_meas : string := "g3_coeff_11_ber_meas"; gen3_coeff_12_data : bit_vector := B"000000000000000000"; gen3_coeff_12 : string := "g3_coeff_12"; gen3_coeff_12_sel : string := "coeff_12"; gen3_coeff_12_preset_hint_data : bit_vector := B"000"; gen3_coeff_12_preset_hint : string := "g3_coeff_12_prst_hint"; gen3_coeff_12_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_12_nxtber_more : string := "g3_coeff_12_nxtber_more"; gen3_coeff_12_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_12_nxtber_less : string := "g3_coeff_12_nxtber_less"; gen3_coeff_12_reqber_data : bit_vector := B"00000"; gen3_coeff_12_reqber : string := "g3_coeff_12_reqber"; gen3_coeff_12_ber_meas_data : bit_vector := B"000000"; gen3_coeff_12_ber_meas : string := "g3_coeff_12_ber_meas"; gen3_coeff_13_data : bit_vector := B"000000000000000000"; gen3_coeff_13 : string := "g3_coeff_13"; gen3_coeff_13_sel : string := "coeff_13"; gen3_coeff_13_preset_hint_data : bit_vector := B"000"; gen3_coeff_13_preset_hint : string := "g3_coeff_13_prst_hint"; gen3_coeff_13_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_13_nxtber_more : string := "g3_coeff_13_nxtber_more"; gen3_coeff_13_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_13_nxtber_less : string := "g3_coeff_13_nxtber_less"; gen3_coeff_13_reqber_data : bit_vector := B"00000"; gen3_coeff_13_reqber : string := "g3_coeff_13_reqber"; gen3_coeff_13_ber_meas_data : bit_vector := B"000000"; gen3_coeff_13_ber_meas : string := "g3_coeff_13_ber_meas"; gen3_coeff_14_data : bit_vector := B"000000000000000000"; gen3_coeff_14 : string := "g3_coeff_14"; gen3_coeff_14_sel : string := "coeff_14"; gen3_coeff_14_preset_hint_data : bit_vector := B"000"; gen3_coeff_14_preset_hint : string := "g3_coeff_14_prst_hint"; gen3_coeff_14_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_14_nxtber_more : string := "g3_coeff_14_nxtber_more"; gen3_coeff_14_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_14_nxtber_less : string := "g3_coeff_14_nxtber_less"; gen3_coeff_14_reqber_data : bit_vector := B"00000"; gen3_coeff_14_reqber : string := "g3_coeff_14_reqber"; gen3_coeff_14_ber_meas_data : bit_vector := B"000000"; gen3_coeff_14_ber_meas : string := "g3_coeff_14_ber_meas"; gen3_coeff_15_data : bit_vector := B"000000000000000000"; gen3_coeff_15 : string := "g3_coeff_15"; gen3_coeff_15_sel : string := "coeff_15"; gen3_coeff_15_preset_hint_data : bit_vector := B"000"; gen3_coeff_15_preset_hint : string := "g3_coeff_15_prst_hint"; gen3_coeff_15_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_15_nxtber_more : string := "g3_coeff_15_nxtber_more"; gen3_coeff_15_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_15_nxtber_less : string := "g3_coeff_15_nxtber_less"; gen3_coeff_15_reqber_data : bit_vector := B"00000"; gen3_coeff_15_reqber : string := "g3_coeff_15_reqber"; gen3_coeff_15_ber_meas_data : bit_vector := B"000000"; gen3_coeff_15_ber_meas : string := "g3_coeff_15_ber_meas"; gen3_coeff_16_data : bit_vector := B"000000000000000000"; gen3_coeff_16 : string := "g3_coeff_16"; gen3_coeff_16_sel : string := "coeff_16"; gen3_coeff_16_preset_hint_data : bit_vector := B"000"; gen3_coeff_16_preset_hint : string := "g3_coeff_16_prst_hint"; gen3_coeff_16_nxtber_more_ptr : bit_vector := B"0000"; gen3_coeff_16_nxtber_more : string := "g3_coeff_16_nxtber_more"; gen3_coeff_16_nxtber_less_ptr : bit_vector := B"0000"; gen3_coeff_16_nxtber_less : string := "g3_coeff_16_nxtber_less"; gen3_coeff_16_reqber_data : bit_vector := B"00000"; gen3_coeff_16_reqber : string := "g3_coeff_16_reqber"; gen3_coeff_16_ber_meas_data : bit_vector := B"000000"; gen3_coeff_16_ber_meas : string := "g3_coeff_16_ber_meas"; gen3_preset_coeff_1_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_1 : string := "g3_prst_coeff_1"; gen3_preset_coeff_2_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_2 : string := "g3_prst_coeff_2"; gen3_preset_coeff_3_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_3 : string := "g3_prst_coeff_3"; gen3_preset_coeff_4_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_4 : string := "g3_prst_coeff_4"; gen3_preset_coeff_5_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_5 : string := "g3_prst_coeff_5"; gen3_preset_coeff_6_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_6 : string := "g3_prst_coeff_6"; gen3_preset_coeff_7_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_7 : string := "g3_prst_coeff_7"; gen3_preset_coeff_8_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_8 : string := "g3_prst_coeff_8"; gen3_preset_coeff_9_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_9 : string := "g3_prst_coeff_9"; gen3_preset_coeff_10_data : bit_vector := B"000000000000000000"; gen3_preset_coeff_10 : string := "g3_prst_coeff_10"; gen3_rxfreqlock_counter_data : bit_vector := "00000000000000000000"; gen3_rxfreqlock_counter : string := "g3_rxfreqlock_count"; rstctrl_pld_clr : string := "false";-- "false", "true". rstctrl_debug_en : string := "false";-- "false", "true". rstctrl_force_inactive_rst : string := "false";-- "false", "true". rstctrl_perst_enable : string := "level";-- "level", "neg_edge", "not_used". hrdrstctrl_en : string := "hrdrstctrl_dis";--"hrdrstctrl_dis", "hrdrstctrl_en". rstctrl_hip_ep : string := "hip_ep"; --"hip_ep", "hip_not_ep". rstctrl_hard_block_enable : string := "hard_rst_ctl";--"hard_rst_ctl", "pld_rst_ctl". rstctrl_rx_pma_rstb_inv : string := "false";--"false", "true". rstctrl_tx_pma_rstb_inv : string := "false";--"false", "true". rstctrl_rx_pcs_rst_n_inv : string := "false";--"false", "true". rstctrl_tx_pcs_rst_n_inv : string := "false";--"false", "true". rstctrl_altpe3_crst_n_inv : string := "false";--"false", "true". rstctrl_altpe3_srst_n_inv : string := "false";--"false", "true". rstctrl_altpe3_rst_n_inv : string := "false";--"false", "true". rstctrl_tx_pma_syncp_inv : string := "false";--"false", "true". rstctrl_1us_count_fref_clk : string := "rstctrl_1us_cnt";-- rstctrl_1us_count_fref_clk_value : bit_vector := B"00000000000000111111";-- rstctrl_1ms_count_fref_clk : string := "rstctrl_1ms_cnt";-- rstctrl_1ms_count_fref_clk_value : bit_vector := B"00001111010000100100";-- rstctrl_off_cal_done_select : string := "not_active";-- "ch0_sel", "ch01_sel", "ch0123_sel", "ch0123_5678_sel", "not_active". rstctrl_rx_pma_rstb_cmu_select : string := "not_active";-- "ch1cmu_sel", "ch4cmu_sel", "ch4_10cmu_sel", "not_active". rstctrl_rx_pll_freq_lock_select : string := "not_active";-- "ch0_sel", "ch01_sel", "ch0123_sel", "ch0123_5678_sel", "not_active", "ch0_phs_sel", "ch01_phs_sel", "ch0123_phs_sel", "ch0123_5678_phs_sel". rstctrl_mask_tx_pll_lock_select : string := "not_active";-- "ch1_sel", "ch4_sel", "ch4_10_sel", "not_active". rstctrl_rx_pll_lock_select : string := "not_active";-- "ch0_sel", "ch01_sel", "ch0123_sel", "ch0123_5678_sel", "not_active". rstctrl_perstn_select : string := "perstn_pin";-- "perstn_pin", "perstn_pld". rstctrl_tx_lc_pll_rstb_select : string := "not_active";-- "ch1_out", "ch7_out", "not_active". rstctrl_fref_clk_select : string := "not_active";-- "ch0_sel", "ch1_sel", "ch2_sel", "ch3_sel", "ch4_sel", "ch5_sel", "ch6_sel", "ch7_sel", "ch8_sel", "ch9_sel", "ch10_sel", "ch11_sel". rstctrl_off_cal_en_select : string := "not_active";-- "ch0_out", "ch01_out", "ch0123_out", "ch0123_5678_out", "not_active". rstctrl_tx_pma_syncp_select : string := "not_active";-- "ch1_out", "ch4_out", "ch4_10_out", "not_active". rstctrl_rx_pcs_rst_n_select : string := "not_active";-- "ch0_out", "ch01_out", "ch0123_out", "ch012345678_out", "ch012345678_10_out", "not_active". rstctrl_tx_cmu_pll_lock_select : string := "not_active";-- "ch1_sel", "ch4_sel", "ch4_10_sel", "not_active". rstctrl_tx_pcs_rst_n_select : string := "not_active";-- "ch0_out", "ch01_out", "ch0123_out", "ch012345678_out", "ch012345678_10_out", "not_active". rstctrl_tx_lc_pll_lock_select : string := "not_active";-- "ch1_sel", "ch7_sel", "not_active". rstctrl_timer_a : string := "rstctrl_timer_a"; rstctrl_timer_a_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_a_value : bit_vector := B"00000001" ; rstctrl_timer_b : string := "rstctrl_timer_b"; rstctrl_timer_b_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_b_value : bit_vector := B"00000001"; rstctrl_timer_c : string := "rstctrl_timer_c"; rstctrl_timer_c_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_c_value : bit_vector := B"00000001"; rstctrl_timer_d : string := "rstctrl_timer_d"; rstctrl_timer_d_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_d_value : bit_vector := B"00000001"; rstctrl_timer_e : string := "rstctrl_timer_e"; rstctrl_timer_e_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_e_value : bit_vector := B"00000001"; rstctrl_timer_f : string := "rstctrl_timer_f"; rstctrl_timer_f_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_f_value : bit_vector := B"00000001"; rstctrl_timer_g : string := "rstctrl_timer_g"; rstctrl_timer_g_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_g_value : bit_vector := B"00000001"; rstctrl_timer_h : string := "rstctrl_timer_h"; rstctrl_timer_h_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_h_value : bit_vector := B"00000001"; rstctrl_timer_i : string := "rstctrl_timer_i"; rstctrl_timer_i_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_i_value : bit_vector := B"00000001"; rstctrl_timer_j : string := "rstctrl_timer_j"; rstctrl_timer_j_type : string := "milli_secs";--possible values are: "not_enabled", "milli_secs", "micro_secs", "fref_cycles" rstctrl_timer_j_value : bit_vector := B"00000001" ); port ( dpriostatus : out std_logic_vector(15 downto 0); lmidout : out std_logic_vector(31 downto 0); lmiack : out std_logic_vector(0 downto 0); lmirden : in std_logic_vector(0 downto 0); lmiwren : in std_logic_vector(0 downto 0); lmiaddr : in std_logic_vector(11 downto 0); lmidin : in std_logic_vector(31 downto 0); flrreset : in std_logic_vector(0 downto 0); flrsts : out std_logic_vector(0 downto 0); resetstatus : out std_logic_vector(0 downto 0); l2exit : out std_logic_vector(0 downto 0); hotrstexit : out std_logic_vector(0 downto 0); hiphardreset : in std_logic_vector(0 downto 0); dlupexit : out std_logic_vector(0 downto 0); coreclkout : out std_logic_vector(0 downto 0); pldclk : in std_logic_vector(0 downto 0); pldsrst : in std_logic_vector(0 downto 0); pldrst : in std_logic_vector(0 downto 0); pclkch0 : in std_logic_vector(0 downto 0); pclkch1 : in std_logic_vector(0 downto 0); pclkcentral : in std_logic_vector(0 downto 0); pllfixedclkch0 : in std_logic_vector(0 downto 0); pllfixedclkch1 : in std_logic_vector(0 downto 0); pllfixedclkcentral : in std_logic_vector(0 downto 0); phyrst : in std_logic_vector(0 downto 0); physrst : in std_logic_vector(0 downto 0); coreclkin : in std_logic_vector(0 downto 0); corerst : in std_logic_vector(0 downto 0); corepor : in std_logic_vector(0 downto 0); corecrst : in std_logic_vector(0 downto 0); coresrst : in std_logic_vector(0 downto 0); swdnout : out std_logic_vector(6 downto 0); swupout : out std_logic_vector(2 downto 0); swdnin : in std_logic_vector(2 downto 0); swupin : in std_logic_vector(6 downto 0); swctmod : in std_logic_vector(1 downto 0); rxstdata : out std_logic_vector(255 downto 0); rxstparity : out std_logic_vector(31 downto 0); rxstbe : out std_logic_vector(31 downto 0); rxsterr : out std_logic_vector(3 downto 0); rxstsop : out std_logic_vector(3 downto 0); rxsteop : out std_logic_vector(3 downto 0); rxstempty : out std_logic_vector(1 downto 0); rxstvalid : out std_logic_vector(3 downto 0); rxstbardec1 : out std_logic_vector(7 downto 0); rxstbardec2 : out std_logic_vector(7 downto 0); rxstmask : in std_logic_vector(0 downto 0); rxstready : in std_logic_vector(0 downto 0); txstready : out std_logic_vector(0 downto 0); txcredfchipcons : out std_logic_vector(5 downto 0); txcredfcinfinite : out std_logic_vector(5 downto 0); txcredhdrfcp : out std_logic_vector(7 downto 0); txcreddatafcp : out std_logic_vector(11 downto 0); txcredhdrfcnp : out std_logic_vector(7 downto 0); txcreddatafcnp : out std_logic_vector(11 downto 0); txcredhdrfccp : out std_logic_vector(7 downto 0); txcreddatafccp : out std_logic_vector(11 downto 0); txstdata : in std_logic_vector(255 downto 0); txstparity : in std_logic_vector(31 downto 0); txsterr : in std_logic_vector(3 downto 0); txstsop : in std_logic_vector(3 downto 0); txsteop : in std_logic_vector(3 downto 0); txstempty : in std_logic_vector(1 downto 0); txstvalid : in std_logic_vector(0 downto 0); r2cuncecc : out std_logic_vector(0 downto 0); rxcorrecc : out std_logic_vector(0 downto 0); retryuncecc : out std_logic_vector(0 downto 0); retrycorrecc : out std_logic_vector(0 downto 0); rxparerr : out std_logic_vector(0 downto 0); txparerr : out std_logic_vector(1 downto 0); r2cparerr : out std_logic_vector(0 downto 0); pmetosr : out std_logic_vector(0 downto 0); pmetocr : in std_logic_vector(0 downto 0); pmevent : in std_logic_vector(0 downto 0); pmdata : in std_logic_vector(9 downto 0); pmauxpwr : in std_logic_vector(0 downto 0); tlcfgsts : out std_logic_vector(52 downto 0); tlcfgctl : out std_logic_vector(31 downto 0); tlcfgadd : out std_logic_vector(3 downto 0); appintaack : out std_logic_vector(0 downto 0); appintasts : in std_logic_vector(0 downto 0); intstatus : out std_logic_vector(3 downto 0); appmsiack : out std_logic_vector(0 downto 0); appmsireq : in std_logic_vector(0 downto 0); appmsitc : in std_logic_vector(2 downto 0); appmsinum : in std_logic_vector(4 downto 0); aermsinum : in std_logic_vector(4 downto 0); pexmsinum : in std_logic_vector(4 downto 0); hpgctrler : in std_logic_vector(4 downto 0); cfglink2csrpld : in std_logic_vector(12 downto 0); cfgprmbuspld : in std_logic_vector(7 downto 0); csebisshadow : out std_logic_vector(0 downto 0); csebwrdata : out std_logic_vector(31 downto 0); csebwrdataparity : out std_logic_vector(3 downto 0); csebbe : out std_logic_vector(3 downto 0); csebaddr : out std_logic_vector(32 downto 0); csebaddrparity : out std_logic_vector(4 downto 0); csebwren : out std_logic_vector(0 downto 0); csebrden : out std_logic_vector(0 downto 0); csebwrrespreq : out std_logic_vector(0 downto 0); csebrddata : in std_logic_vector(31 downto 0); csebrddataparity : in std_logic_vector(3 downto 0); csebwaitrequest : in std_logic_vector(0 downto 0); csebwrrespvalid : in std_logic_vector(0 downto 0); csebwrresponse : in std_logic_vector(4 downto 0); csebrdresponse : in std_logic_vector(4 downto 0); dlup : out std_logic_vector(0 downto 0); testouthip : out std_logic_vector(255 downto 0); testout1hip : out std_logic_vector(63 downto 0); ev1us : out std_logic_vector(0 downto 0); ev128ns : out std_logic_vector(0 downto 0); wakeoen : out std_logic_vector(0 downto 0); serrout : out std_logic_vector(0 downto 0); ltssmstate : out std_logic_vector(4 downto 0); laneact : out std_logic_vector(3 downto 0); currentspeed : out std_logic_vector(1 downto 0); slotclkcfg : in std_logic_vector(0 downto 0); mode : in std_logic_vector(1 downto 0); testinhip : in std_logic_vector(31 downto 0); testin1hip : in std_logic_vector(31 downto 0); cplpending : in std_logic_vector(0 downto 0); cplerr : in std_logic_vector(6 downto 0); appinterr : in std_logic_vector(1 downto 0); egressblkerr : in std_logic_vector(0 downto 0); pmexitd0ack : in std_logic_vector(0 downto 0); pmexitd0req : out std_logic_vector(0 downto 0); currentcoeff0 : out std_logic_vector(17 downto 0); currentcoeff1 : out std_logic_vector(17 downto 0); currentcoeff2 : out std_logic_vector(17 downto 0); currentcoeff3 : out std_logic_vector(17 downto 0); currentcoeff4 : out std_logic_vector(17 downto 0); currentcoeff5 : out std_logic_vector(17 downto 0); currentcoeff6 : out std_logic_vector(17 downto 0); currentcoeff7 : out std_logic_vector(17 downto 0); currentrxpreset0 : out std_logic_vector(2 downto 0); currentrxpreset1 : out std_logic_vector(2 downto 0); currentrxpreset2 : out std_logic_vector(2 downto 0); currentrxpreset3 : out std_logic_vector(2 downto 0); currentrxpreset4 : out std_logic_vector(2 downto 0); currentrxpreset5 : out std_logic_vector(2 downto 0); currentrxpreset6 : out std_logic_vector(2 downto 0); currentrxpreset7 : out std_logic_vector(2 downto 0); rate0 : out std_logic_vector(1 downto 0); rate1 : out std_logic_vector(1 downto 0); rate2 : out std_logic_vector(1 downto 0); rate3 : out std_logic_vector(1 downto 0); rate4 : out std_logic_vector(1 downto 0); rate5 : out std_logic_vector(1 downto 0); rate6 : out std_logic_vector(1 downto 0); rate7 : out std_logic_vector(1 downto 0); ratectrl : out std_logic_vector(1 downto 0); ratetiedtognd : out std_logic_vector(0 downto 0); eidleinfersel0 : out std_logic_vector(2 downto 0); eidleinfersel1 : out std_logic_vector(2 downto 0); eidleinfersel2 : out std_logic_vector(2 downto 0); eidleinfersel3 : out std_logic_vector(2 downto 0); eidleinfersel4 : out std_logic_vector(2 downto 0); eidleinfersel5 : out std_logic_vector(2 downto 0); eidleinfersel6 : out std_logic_vector(2 downto 0); eidleinfersel7 : out std_logic_vector(2 downto 0); txdata0 : out std_logic_vector(31 downto 0); txdatak0 : out std_logic_vector(3 downto 0); txdetectrx0 : out std_logic_vector(0 downto 0); txelecidle0 : out std_logic_vector(0 downto 0); txcompl0 : out std_logic_vector(0 downto 0); rxpolarity0 : out std_logic_vector(0 downto 0); powerdown0 : out std_logic_vector(1 downto 0); txdataskip0 : out std_logic_vector(0 downto 0); txblkst0 : out std_logic_vector(0 downto 0); txsynchd0 : out std_logic_vector(1 downto 0); txdeemph0 : out std_logic_vector(0 downto 0); txmargin0 : out std_logic_vector(2 downto 0); rxdata0 : in std_logic_vector(31 downto 0); rxdatak0 : in std_logic_vector(3 downto 0); rxvalid0 : in std_logic_vector(0 downto 0); phystatus0 : in std_logic_vector(0 downto 0); rxelecidle0 : in std_logic_vector(0 downto 0); rxstatus0 : in std_logic_vector(2 downto 0); rxdataskip0 : in std_logic_vector(0 downto 0); rxblkst0 : in std_logic_vector(0 downto 0); rxsynchd0 : in std_logic_vector(1 downto 0); rxfreqlocked0 : in std_logic_vector(0 downto 0); txdata1 : out std_logic_vector(31 downto 0); txdatak1 : out std_logic_vector(3 downto 0); txdetectrx1 : out std_logic_vector(0 downto 0); txelecidle1 : out std_logic_vector(0 downto 0); txcompl1 : out std_logic_vector(0 downto 0); rxpolarity1 : out std_logic_vector(0 downto 0); powerdown1 : out std_logic_vector(1 downto 0); txdataskip1 : out std_logic_vector(0 downto 0); txblkst1 : out std_logic_vector(0 downto 0); txsynchd1 : out std_logic_vector(1 downto 0); txdeemph1 : out std_logic_vector(0 downto 0); txmargin1 : out std_logic_vector(2 downto 0); rxdata1 : in std_logic_vector(31 downto 0); rxdatak1 : in std_logic_vector(3 downto 0); rxvalid1 : in std_logic_vector(0 downto 0); phystatus1 : in std_logic_vector(0 downto 0); rxelecidle1 : in std_logic_vector(0 downto 0); rxstatus1 : in std_logic_vector(2 downto 0); rxdataskip1 : in std_logic_vector(0 downto 0); rxblkst1 : in std_logic_vector(0 downto 0); rxsynchd1 : in std_logic_vector(1 downto 0); rxfreqlocked1 : in std_logic_vector(0 downto 0); txdata2 : out std_logic_vector(31 downto 0); txdatak2 : out std_logic_vector(3 downto 0); txdetectrx2 : out std_logic_vector(0 downto 0); txelecidle2 : out std_logic_vector(0 downto 0); txcompl2 : out std_logic_vector(0 downto 0); rxpolarity2 : out std_logic_vector(0 downto 0); powerdown2 : out std_logic_vector(1 downto 0); txdataskip2 : out std_logic_vector(0 downto 0); txblkst2 : out std_logic_vector(0 downto 0); txsynchd2 : out std_logic_vector(1 downto 0); txdeemph2 : out std_logic_vector(0 downto 0); txmargin2 : out std_logic_vector(2 downto 0); rxdata2 : in std_logic_vector(31 downto 0); rxdatak2 : in std_logic_vector(3 downto 0); rxvalid2 : in std_logic_vector(0 downto 0); phystatus2 : in std_logic_vector(0 downto 0); rxelecidle2 : in std_logic_vector(0 downto 0); rxstatus2 : in std_logic_vector(2 downto 0); rxdataskip2 : in std_logic_vector(0 downto 0); rxblkst2 : in std_logic_vector(0 downto 0); rxsynchd2 : in std_logic_vector(1 downto 0); rxfreqlocked2 : in std_logic_vector(0 downto 0); txdata3 : out std_logic_vector(31 downto 0); txdatak3 : out std_logic_vector(3 downto 0); txdetectrx3 : out std_logic_vector(0 downto 0); txelecidle3 : out std_logic_vector(0 downto 0); txcompl3 : out std_logic_vector(0 downto 0); rxpolarity3 : out std_logic_vector(0 downto 0); powerdown3 : out std_logic_vector(1 downto 0); txdataskip3 : out std_logic_vector(0 downto 0); txblkst3 : out std_logic_vector(0 downto 0); txsynchd3 : out std_logic_vector(1 downto 0); txdeemph3 : out std_logic_vector(0 downto 0); txmargin3 : out std_logic_vector(2 downto 0); rxdata3 : in std_logic_vector(31 downto 0); rxdatak3 : in std_logic_vector(3 downto 0); rxvalid3 : in std_logic_vector(0 downto 0); phystatus3 : in std_logic_vector(0 downto 0); rxelecidle3 : in std_logic_vector(0 downto 0); rxstatus3 : in std_logic_vector(2 downto 0); rxdataskip3 : in std_logic_vector(0 downto 0); rxblkst3 : in std_logic_vector(0 downto 0); rxsynchd3 : in std_logic_vector(1 downto 0); rxfreqlocked3 : in std_logic_vector(0 downto 0); txdata4 : out std_logic_vector(31 downto 0); txdatak4 : out std_logic_vector(3 downto 0); txdetectrx4 : out std_logic_vector(0 downto 0); txelecidle4 : out std_logic_vector(0 downto 0); txcompl4 : out std_logic_vector(0 downto 0); rxpolarity4 : out std_logic_vector(0 downto 0); powerdown4 : out std_logic_vector(1 downto 0); txdataskip4 : out std_logic_vector(0 downto 0); txblkst4 : out std_logic_vector(0 downto 0); txsynchd4 : out std_logic_vector(1 downto 0); txdeemph4 : out std_logic_vector(0 downto 0); txmargin4 : out std_logic_vector(2 downto 0); rxdata4 : in std_logic_vector(31 downto 0); rxdatak4 : in std_logic_vector(3 downto 0); rxvalid4 : in std_logic_vector(0 downto 0); phystatus4 : in std_logic_vector(0 downto 0); rxelecidle4 : in std_logic_vector(0 downto 0); rxstatus4 : in std_logic_vector(2 downto 0); rxdataskip4 : in std_logic_vector(0 downto 0); rxblkst4 : in std_logic_vector(0 downto 0); rxsynchd4 : in std_logic_vector(1 downto 0); rxfreqlocked4 : in std_logic_vector(0 downto 0); txdata5 : out std_logic_vector(31 downto 0); txdatak5 : out std_logic_vector(3 downto 0); txdetectrx5 : out std_logic_vector(0 downto 0); txelecidle5 : out std_logic_vector(0 downto 0); txcompl5 : out std_logic_vector(0 downto 0); rxpolarity5 : out std_logic_vector(0 downto 0); powerdown5 : out std_logic_vector(1 downto 0); txdataskip5 : out std_logic_vector(0 downto 0); txblkst5 : out std_logic_vector(0 downto 0); txsynchd5 : out std_logic_vector(1 downto 0); txdeemph5 : out std_logic_vector(0 downto 0); txmargin5 : out std_logic_vector(2 downto 0); rxdata5 : in std_logic_vector(31 downto 0); rxdatak5 : in std_logic_vector(3 downto 0); rxvalid5 : in std_logic_vector(0 downto 0); phystatus5 : in std_logic_vector(0 downto 0); rxelecidle5 : in std_logic_vector(0 downto 0); rxstatus5 : in std_logic_vector(2 downto 0); rxdataskip5 : in std_logic_vector(0 downto 0); rxblkst5 : in std_logic_vector(0 downto 0); rxsynchd5 : in std_logic_vector(1 downto 0); rxfreqlocked5 : in std_logic_vector(0 downto 0); txdata6 : out std_logic_vector(31 downto 0); txdatak6 : out std_logic_vector(3 downto 0); txdetectrx6 : out std_logic_vector(0 downto 0); txelecidle6 : out std_logic_vector(0 downto 0); txcompl6 : out std_logic_vector(0 downto 0); rxpolarity6 : out std_logic_vector(0 downto 0); powerdown6 : out std_logic_vector(1 downto 0); txdataskip6 : out std_logic_vector(0 downto 0); txblkst6 : out std_logic_vector(0 downto 0); txsynchd6 : out std_logic_vector(1 downto 0); txdeemph6 : out std_logic_vector(0 downto 0); txmargin6 : out std_logic_vector(2 downto 0); rxdata6 : in std_logic_vector(31 downto 0); rxdatak6 : in std_logic_vector(3 downto 0); rxvalid6 : in std_logic_vector(0 downto 0); phystatus6 : in std_logic_vector(0 downto 0); rxelecidle6 : in std_logic_vector(0 downto 0); rxstatus6 : in std_logic_vector(2 downto 0); rxdataskip6 : in std_logic_vector(0 downto 0); rxblkst6 : in std_logic_vector(0 downto 0); rxsynchd6 : in std_logic_vector(1 downto 0); rxfreqlocked6 : in std_logic_vector(0 downto 0); txdata7 : out std_logic_vector(31 downto 0); txdatak7 : out std_logic_vector(3 downto 0); txdetectrx7 : out std_logic_vector(0 downto 0); txelecidle7 : out std_logic_vector(0 downto 0); txcompl7 : out std_logic_vector(0 downto 0); rxpolarity7 : out std_logic_vector(0 downto 0); powerdown7 : out std_logic_vector(1 downto 0); txdataskip7 : out std_logic_vector(0 downto 0); txblkst7 : out std_logic_vector(0 downto 0); txsynchd7 : out std_logic_vector(1 downto 0); txdeemph7 : out std_logic_vector(0 downto 0); txmargin7 : out std_logic_vector(2 downto 0); rxdata7 : in std_logic_vector(31 downto 0); rxdatak7 : in std_logic_vector(3 downto 0); rxvalid7 : in std_logic_vector(0 downto 0); phystatus7 : in std_logic_vector(0 downto 0); rxelecidle7 : in std_logic_vector(0 downto 0); rxstatus7 : in std_logic_vector(2 downto 0); rxdataskip7 : in std_logic_vector(0 downto 0); rxblkst7 : in std_logic_vector(0 downto 0); rxsynchd7 : in std_logic_vector(1 downto 0); rxfreqlocked7 : in std_logic_vector(0 downto 0); dbgpipex1rx : in std_logic_vector(43 downto 0); memredsclk : in std_logic_vector(0 downto 0); memredenscan : in std_logic_vector(0 downto 0); memredscen : in std_logic_vector(0 downto 0); memredscin : in std_logic_vector(0 downto 0); memredscsel : in std_logic_vector(0 downto 0); memredscrst : in std_logic_vector(0 downto 0); memredscout : out std_logic_vector(0 downto 0); memregscanen : in std_logic_vector(0 downto 0); memregscanin : in std_logic_vector(0 downto 0); memhiptestenable : in std_logic_vector(0 downto 0); memregscanout : out std_logic_vector(0 downto 0); bisttesten : in std_logic_vector(0 downto 0); bistenrpl : in std_logic_vector(0 downto 0); bistscanin : in std_logic_vector(0 downto 0); bistscanen : in std_logic_vector(0 downto 0); bistenrcv : in std_logic_vector(0 downto 0); bistscanoutrpl : out std_logic_vector(0 downto 0); bistdonearpl : out std_logic_vector(0 downto 0); bistdonebrpl : out std_logic_vector(0 downto 0); bistpassrpl : out std_logic_vector(0 downto 0); derrrpl : out std_logic_vector(0 downto 0); derrcorextrpl : out std_logic_vector(0 downto 0); bistscanoutrcv : out std_logic_vector(0 downto 0); bistdonearcv : out std_logic_vector(0 downto 0); bistdonebrcv : out std_logic_vector(0 downto 0); bistpassrcv : out std_logic_vector(0 downto 0); derrcorextrcv : out std_logic_vector(0 downto 0); bistscanoutrcv1 : out std_logic_vector(0 downto 0); bistdonearcv1 : out std_logic_vector(0 downto 0); bistdonebrcv1 : out std_logic_vector(0 downto 0); bistpassrcv1 : out std_logic_vector(0 downto 0); derrcorextrcv1 : out std_logic_vector(0 downto 0); scanmoden : in std_logic_vector(0 downto 0); scanshiftn : in std_logic_vector(0 downto 0); nfrzdrv : in std_logic_vector(0 downto 0); frzreg : in std_logic_vector(0 downto 0); frzlogic : in std_logic_vector(0 downto 0); idrpl : in std_logic_vector(7 downto 0); idrcv : in std_logic_vector(7 downto 0); plniotri : in std_logic_vector(0 downto 0); entest : in std_logic_vector(0 downto 0); npor : in std_logic_vector(0 downto 0); usermode : in std_logic_vector(0 downto 0); cvpclk : out std_logic_vector(0 downto 0); cvpdata : out std_logic_vector(31 downto 0); cvpstartxfer : out std_logic_vector(0 downto 0); cvpconfig : out std_logic_vector(0 downto 0); cvpfullconfig : out std_logic_vector(0 downto 0); cvpconfigready : in std_logic_vector(0 downto 0); cvpen : in std_logic_vector(0 downto 0); cvpconfigerror : in std_logic_vector(0 downto 0); cvpconfigdone : in std_logic_vector(0 downto 0); pinperstn : in std_logic_vector(0 downto 0); pldperstn : in std_logic_vector(0 downto 0); iocsrrdydly : in std_logic_vector(0 downto 0); softaltpe3rstn : in std_logic_vector(0 downto 0); softaltpe3srstn : in std_logic_vector(0 downto 0); softaltpe3crstn : in std_logic_vector(0 downto 0); pldclrpmapcshipn : in std_logic_vector(0 downto 0); pldclrpcshipn : in std_logic_vector(0 downto 0); pldclrhipn : in std_logic_vector(0 downto 0); s0ch0emsiptieoff : out std_logic_vector(100 downto 0); s0ch1emsiptieoff : out std_logic_vector(100 downto 0); s0ch2emsiptieoff : out std_logic_vector(100 downto 0); s1ch0emsiptieoff : out std_logic_vector(100 downto 0); s1ch1emsiptieoff : out std_logic_vector(188 downto 0); s1ch2emsiptieoff : out std_logic_vector(100 downto 0); s2ch0emsiptieoff : out std_logic_vector(100 downto 0); s2ch1emsiptieoff : out std_logic_vector(100 downto 0); s2ch2emsiptieoff : out std_logic_vector(100 downto 0); s3ch0emsiptieoff : out std_logic_vector(188 downto 0); s3ch1emsiptieoff : out std_logic_vector(188 downto 0); s3ch2emsiptieoff : out std_logic_vector(188 downto 0); emsiptieofftop : out std_logic_vector(299 downto 0); emsiptieoffbot : out std_logic_vector(299 downto 0); txpcsrstn0 : out std_logic_vector(0 downto 0); rxpcsrstn0 : out std_logic_vector(0 downto 0); g3txpcsrstn0 : out std_logic_vector(0 downto 0); g3rxpcsrstn0 : out std_logic_vector(0 downto 0); txpmasyncp0 : out std_logic_vector(0 downto 0); rxpmarstb0 : out std_logic_vector(0 downto 0); txlcpllrstb0 : out std_logic_vector(0 downto 0); offcalen0 : out std_logic_vector(0 downto 0); frefclk0 : in std_logic_vector(0 downto 0); offcaldone0 : in std_logic_vector(0 downto 0); txlcplllock0 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock0 : in std_logic_vector(0 downto 0); rxpllphaselock0 : in std_logic_vector(0 downto 0); masktxplllock0 : in std_logic_vector(0 downto 0); txpcsrstn1 : out std_logic_vector(0 downto 0); rxpcsrstn1 : out std_logic_vector(0 downto 0); g3txpcsrstn1 : out std_logic_vector(0 downto 0); g3rxpcsrstn1 : out std_logic_vector(0 downto 0); txpmasyncp1 : out std_logic_vector(0 downto 0); rxpmarstb1 : out std_logic_vector(0 downto 0); txlcpllrstb1 : out std_logic_vector(0 downto 0); offcalen1 : out std_logic_vector(0 downto 0); frefclk1 : in std_logic_vector(0 downto 0); offcaldone1 : in std_logic_vector(0 downto 0); txlcplllock1 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock1 : in std_logic_vector(0 downto 0); rxpllphaselock1 : in std_logic_vector(0 downto 0); masktxplllock1 : in std_logic_vector(0 downto 0); txpcsrstn2 : out std_logic_vector(0 downto 0); rxpcsrstn2 : out std_logic_vector(0 downto 0); g3txpcsrstn2 : out std_logic_vector(0 downto 0); g3rxpcsrstn2 : out std_logic_vector(0 downto 0); txpmasyncp2 : out std_logic_vector(0 downto 0); rxpmarstb2 : out std_logic_vector(0 downto 0); txlcpllrstb2 : out std_logic_vector(0 downto 0); offcalen2 : out std_logic_vector(0 downto 0); frefclk2 : in std_logic_vector(0 downto 0); offcaldone2 : in std_logic_vector(0 downto 0); txlcplllock2 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock2 : in std_logic_vector(0 downto 0); rxpllphaselock2 : in std_logic_vector(0 downto 0); masktxplllock2 : in std_logic_vector(0 downto 0); txpcsrstn3 : out std_logic_vector(0 downto 0); rxpcsrstn3 : out std_logic_vector(0 downto 0); g3txpcsrstn3 : out std_logic_vector(0 downto 0); g3rxpcsrstn3 : out std_logic_vector(0 downto 0); txpmasyncp3 : out std_logic_vector(0 downto 0); rxpmarstb3 : out std_logic_vector(0 downto 0); txlcpllrstb3 : out std_logic_vector(0 downto 0); offcalen3 : out std_logic_vector(0 downto 0); frefclk3 : in std_logic_vector(0 downto 0); offcaldone3 : in std_logic_vector(0 downto 0); txlcplllock3 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock3 : in std_logic_vector(0 downto 0); rxpllphaselock3 : in std_logic_vector(0 downto 0); masktxplllock3 : in std_logic_vector(0 downto 0); txpcsrstn4 : out std_logic_vector(0 downto 0); rxpcsrstn4 : out std_logic_vector(0 downto 0); g3txpcsrstn4 : out std_logic_vector(0 downto 0); g3rxpcsrstn4 : out std_logic_vector(0 downto 0); txpmasyncp4 : out std_logic_vector(0 downto 0); rxpmarstb4 : out std_logic_vector(0 downto 0); txlcpllrstb4 : out std_logic_vector(0 downto 0); offcalen4 : out std_logic_vector(0 downto 0); frefclk4 : in std_logic_vector(0 downto 0); offcaldone4 : in std_logic_vector(0 downto 0); txlcplllock4 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock4 : in std_logic_vector(0 downto 0); rxpllphaselock4 : in std_logic_vector(0 downto 0); masktxplllock4 : in std_logic_vector(0 downto 0); txpcsrstn5 : out std_logic_vector(0 downto 0); rxpcsrstn5 : out std_logic_vector(0 downto 0); g3txpcsrstn5 : out std_logic_vector(0 downto 0); g3rxpcsrstn5 : out std_logic_vector(0 downto 0); txpmasyncp5 : out std_logic_vector(0 downto 0); rxpmarstb5 : out std_logic_vector(0 downto 0); txlcpllrstb5 : out std_logic_vector(0 downto 0); offcalen5 : out std_logic_vector(0 downto 0); frefclk5 : in std_logic_vector(0 downto 0); offcaldone5 : in std_logic_vector(0 downto 0); txlcplllock5 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock5 : in std_logic_vector(0 downto 0); rxpllphaselock5 : in std_logic_vector(0 downto 0); masktxplllock5 : in std_logic_vector(0 downto 0); txpcsrstn6 : out std_logic_vector(0 downto 0); rxpcsrstn6 : out std_logic_vector(0 downto 0); g3txpcsrstn6 : out std_logic_vector(0 downto 0); g3rxpcsrstn6 : out std_logic_vector(0 downto 0); txpmasyncp6 : out std_logic_vector(0 downto 0); rxpmarstb6 : out std_logic_vector(0 downto 0); txlcpllrstb6 : out std_logic_vector(0 downto 0); offcalen6 : out std_logic_vector(0 downto 0); frefclk6 : in std_logic_vector(0 downto 0); offcaldone6 : in std_logic_vector(0 downto 0); txlcplllock6 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock6 : in std_logic_vector(0 downto 0); rxpllphaselock6 : in std_logic_vector(0 downto 0); masktxplllock6 : in std_logic_vector(0 downto 0); txpcsrstn7 : out std_logic_vector(0 downto 0); rxpcsrstn7 : out std_logic_vector(0 downto 0); g3txpcsrstn7 : out std_logic_vector(0 downto 0); g3rxpcsrstn7 : out std_logic_vector(0 downto 0); txpmasyncp7 : out std_logic_vector(0 downto 0); rxpmarstb7 : out std_logic_vector(0 downto 0); txlcpllrstb7 : out std_logic_vector(0 downto 0); offcalen7 : out std_logic_vector(0 downto 0); frefclk7 : in std_logic_vector(0 downto 0); offcaldone7 : in std_logic_vector(0 downto 0); txlcplllock7 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock7 : in std_logic_vector(0 downto 0); rxpllphaselock7 : in std_logic_vector(0 downto 0); masktxplllock7 : in std_logic_vector(0 downto 0); txpcsrstn8 : out std_logic_vector(0 downto 0); rxpcsrstn8 : out std_logic_vector(0 downto 0); g3txpcsrstn8 : out std_logic_vector(0 downto 0); g3rxpcsrstn8 : out std_logic_vector(0 downto 0); txpmasyncp8 : out std_logic_vector(0 downto 0); rxpmarstb8 : out std_logic_vector(0 downto 0); txlcpllrstb8 : out std_logic_vector(0 downto 0); offcalen8 : out std_logic_vector(0 downto 0); frefclk8 : in std_logic_vector(0 downto 0); offcaldone8 : in std_logic_vector(0 downto 0); txlcplllock8 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock8 : in std_logic_vector(0 downto 0); rxpllphaselock8 : in std_logic_vector(0 downto 0); masktxplllock8 : in std_logic_vector(0 downto 0); txpcsrstn9 : out std_logic_vector(0 downto 0); rxpcsrstn9 : out std_logic_vector(0 downto 0); g3txpcsrstn9 : out std_logic_vector(0 downto 0); g3rxpcsrstn9 : out std_logic_vector(0 downto 0); txpmasyncp9 : out std_logic_vector(0 downto 0); rxpmarstb9 : out std_logic_vector(0 downto 0); txlcpllrstb9 : out std_logic_vector(0 downto 0); offcalen9 : out std_logic_vector(0 downto 0); frefclk9 : in std_logic_vector(0 downto 0); offcaldone9 : in std_logic_vector(0 downto 0); txlcplllock9 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock9 : in std_logic_vector(0 downto 0); rxpllphaselock9 : in std_logic_vector(0 downto 0); masktxplllock9 : in std_logic_vector(0 downto 0); txpcsrstn10 : out std_logic_vector(0 downto 0); rxpcsrstn10 : out std_logic_vector(0 downto 0); g3txpcsrstn10 : out std_logic_vector(0 downto 0); g3rxpcsrstn10 : out std_logic_vector(0 downto 0); txpmasyncp10 : out std_logic_vector(0 downto 0); rxpmarstb10 : out std_logic_vector(0 downto 0); txlcpllrstb10 : out std_logic_vector(0 downto 0); offcalen10 : out std_logic_vector(0 downto 0); frefclk10 : in std_logic_vector(0 downto 0); offcaldone10 : in std_logic_vector(0 downto 0); txlcplllock10 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock10 : in std_logic_vector(0 downto 0); rxpllphaselock10 : in std_logic_vector(0 downto 0); masktxplllock10 : in std_logic_vector(0 downto 0); txpcsrstn11 : out std_logic_vector(0 downto 0); rxpcsrstn11 : out std_logic_vector(0 downto 0); g3txpcsrstn11 : out std_logic_vector(0 downto 0); g3rxpcsrstn11 : out std_logic_vector(0 downto 0); txpmasyncp11 : out std_logic_vector(0 downto 0); rxpmarstb11 : out std_logic_vector(0 downto 0); txlcpllrstb11 : out std_logic_vector(0 downto 0); offcalen11 : out std_logic_vector(0 downto 0); frefclk11 : in std_logic_vector(0 downto 0); offcaldone11 : in std_logic_vector(0 downto 0); txlcplllock11 : in std_logic_vector(0 downto 0); rxfreqtxcmuplllock11 : in std_logic_vector(0 downto 0); rxpllphaselock11 : in std_logic_vector(0 downto 0); masktxplllock11 : in std_logic_vector(0 downto 0); reservedin : in std_logic_vector(31 downto 0); reservedclkin : in std_logic_vector(0 downto 0); reservedout : out std_logic_vector(31 downto 0); reservedclkout : out std_logic_vector(0 downto 0) ); end component; begin inst : stratixv_hssi_gen3_pcie_hip_encrypted generic map ( func_mode => func_mode, in_cvp_mode => in_cvp_mode, bonding_mode => bonding_mode, prot_mode => prot_mode, pcie_spec_1p0_compliance => pcie_spec_1p0_compliance, vc_enable => vc_enable, enable_slot_register => enable_slot_register, pcie_mode => pcie_mode, bypass_cdc => bypass_cdc, enable_rx_reordering => enable_rx_reordering, enable_rx_buffer_checking => enable_rx_buffer_checking, single_rx_detect_data => single_rx_detect_data, single_rx_detect => single_rx_detect, use_crc_forwarding => use_crc_forwarding, bypass_tl => bypass_tl, gen123_lane_rate_mode => gen123_lane_rate_mode, lane_mask => lane_mask, disable_link_x2_support => disable_link_x2_support, national_inst_thru_enhance => national_inst_thru_enhance, hip_hard_reset => hip_hard_reset, dis_paritychk => dis_paritychk, wrong_device_id => wrong_device_id, data_pack_rx => data_pack_rx, ast_width => ast_width, rx_sop_ctrl => rx_sop_ctrl, rx_ast_parity => rx_ast_parity, tx_ast_parity => tx_ast_parity, ltssm_1ms_timeout => ltssm_1ms_timeout, ltssm_freqlocked_check => ltssm_freqlocked_check, deskew_comma => deskew_comma, dl_tx_check_parity_edb => dl_tx_check_parity_edb, tl_tx_check_parity_msg => tl_tx_check_parity_msg, port_link_number_data => port_link_number_data, port_link_number => port_link_number, device_number_data => device_number_data, device_number => device_number, bypass_clk_switch => bypass_clk_switch, core_clk_out_sel => core_clk_out_sel, core_clk_divider => core_clk_divider, core_clk_source => core_clk_source, core_clk_sel => core_clk_sel, enable_ch0_pclk_out => enable_ch0_pclk_out, enable_ch01_pclk_out => enable_ch01_pclk_out, pipex1_debug_sel => pipex1_debug_sel, pclk_out_sel => pclk_out_sel, vendor_id_data => vendor_id_data, vendor_id => vendor_id, device_id_data => device_id_data, device_id => device_id, revision_id_data => revision_id_data, revision_id => revision_id, class_code_data => class_code_data, class_code => class_code, subsystem_vendor_id_data => subsystem_vendor_id_data, subsystem_vendor_id => subsystem_vendor_id, subsystem_device_id_data => subsystem_device_id_data, subsystem_device_id => subsystem_device_id, no_soft_reset => no_soft_reset, maximum_current_data => maximum_current_data, maximum_current => maximum_current, d1_support => d1_support, d2_support => d2_support, d0_pme => d0_pme, d1_pme => d1_pme, d2_pme => d2_pme, d3_hot_pme => d3_hot_pme, d3_cold_pme => d3_cold_pme, use_aer => use_aer, low_priority_vc => low_priority_vc, vc_arbitration => vc_arbitration, disable_snoop_packet => disable_snoop_packet, max_payload_size => max_payload_size, surprise_down_error_support => surprise_down_error_support, dll_active_report_support => dll_active_report_support, extend_tag_field => extend_tag_field, endpoint_l0_latency_data => endpoint_l0_latency_data, endpoint_l0_latency => endpoint_l0_latency, endpoint_l1_latency_data => endpoint_l1_latency_data, endpoint_l1_latency => endpoint_l1_latency, indicator_data => indicator_data, indicator => indicator, role_based_error_reporting => role_based_error_reporting, slot_power_scale_data => slot_power_scale_data, slot_power_scale => slot_power_scale, max_link_width => max_link_width, enable_l1_aspm => enable_l1_aspm, enable_l0s_aspm => enable_l0s_aspm, l1_exit_latency_sameclock_data => l1_exit_latency_sameclock_data, l1_exit_latency_sameclock => l1_exit_latency_sameclock, l1_exit_latency_diffclock_data => l1_exit_latency_diffclock_data, l1_exit_latency_diffclock => l1_exit_latency_diffclock, hot_plug_support_data => hot_plug_support_data, hot_plug_support => hot_plug_support, slot_power_limit_data => slot_power_limit_data, slot_power_limit => slot_power_limit, slot_number_data => slot_number_data, slot_number => slot_number, diffclock_nfts_count_data => diffclock_nfts_count_data, diffclock_nfts_count => diffclock_nfts_count, sameclock_nfts_count_data => sameclock_nfts_count_data, sameclock_nfts_count => sameclock_nfts_count, completion_timeout => completion_timeout, enable_completion_timeout_disable => enable_completion_timeout_disable, extended_tag_reset => extended_tag_reset, ecrc_check_capable => ecrc_check_capable, ecrc_gen_capable => ecrc_gen_capable, no_command_completed => no_command_completed, msi_multi_message_capable => msi_multi_message_capable, msi_64bit_addressing_capable => msi_64bit_addressing_capable, msi_masking_capable => msi_masking_capable, msi_support => msi_support, interrupt_pin => interrupt_pin, ena_ido_req => ena_ido_req, ena_ido_cpl => ena_ido_cpl, enable_function_msix_support => enable_function_msix_support, msix_table_size_data => msix_table_size_data, msix_table_size => msix_table_size, msix_table_bir_data => msix_table_bir_data, msix_table_bir => msix_table_bir, msix_table_offset_data => msix_table_offset_data, msix_table_offset => msix_table_offset, msix_pba_bir_data => msix_pba_bir_data, msix_pba_bir => msix_pba_bir, msix_pba_offset_data => msix_pba_offset_data, msix_pba_offset => msix_pba_offset, bridge_port_vga_enable => bridge_port_vga_enable, bridge_port_ssid_support => bridge_port_ssid_support, ssvid_data => ssvid_data, ssvid => ssvid, ssid_data => ssid_data, ssid => ssid, eie_before_nfts_count_data => eie_before_nfts_count_data, eie_before_nfts_count => eie_before_nfts_count, gen2_diffclock_nfts_count_data => gen2_diffclock_nfts_count_data, gen2_diffclock_nfts_count => gen2_diffclock_nfts_count, gen2_sameclock_nfts_count_data => gen2_sameclock_nfts_count_data, gen2_sameclock_nfts_count => gen2_sameclock_nfts_count, deemphasis_enable => deemphasis_enable, pcie_spec_version => pcie_spec_version, l0_exit_latency_sameclock_data => l0_exit_latency_sameclock_data, l0_exit_latency_sameclock => l0_exit_latency_sameclock, l0_exit_latency_diffclock_data => l0_exit_latency_diffclock_data, l0_exit_latency_diffclock => l0_exit_latency_diffclock, rx_ei_l0s => rx_ei_l0s, l2_async_logic => l2_async_logic, aspm_config_management => aspm_config_management, atomic_op_routing => atomic_op_routing, atomic_op_completer_32bit => atomic_op_completer_32bit, atomic_op_completer_64bit => atomic_op_completer_64bit, cas_completer_128bit => cas_completer_128bit, ltr_mechanism => ltr_mechanism, tph_completer => tph_completer, extended_format_field => extended_format_field, atomic_malformed => atomic_malformed, flr_capability => flr_capability, enable_adapter_half_rate_mode => enable_adapter_half_rate_mode, vc0_clk_enable => vc0_clk_enable, vc1_clk_enable => vc1_clk_enable, register_pipe_signals => register_pipe_signals, bar0_io_space => bar0_io_space, bar0_64bit_mem_space => bar0_64bit_mem_space, bar0_prefetchable => bar0_prefetchable, bar0_size_mask_data => bar0_size_mask_data, bar0_size_mask => bar0_size_mask, bar1_io_space => bar1_io_space, bar1_64bit_mem_space => bar1_64bit_mem_space, bar1_prefetchable => bar1_prefetchable, bar1_size_mask_data => bar1_size_mask_data, bar1_size_mask => bar1_size_mask, bar2_io_space => bar2_io_space, bar2_64bit_mem_space => bar2_64bit_mem_space, bar2_prefetchable => bar2_prefetchable, bar2_size_mask_data => bar2_size_mask_data, bar2_size_mask => bar2_size_mask, bar3_io_space => bar3_io_space, bar3_64bit_mem_space => bar3_64bit_mem_space, bar3_prefetchable => bar3_prefetchable, bar3_size_mask_data => bar3_size_mask_data, bar3_size_mask => bar3_size_mask, bar4_io_space => bar4_io_space, bar4_64bit_mem_space => bar4_64bit_mem_space, bar4_prefetchable => bar4_prefetchable, bar4_size_mask_data => bar4_size_mask_data, bar4_size_mask => bar4_size_mask, bar5_io_space => bar5_io_space, bar5_64bit_mem_space => bar5_64bit_mem_space, bar5_prefetchable => bar5_prefetchable, bar5_size_mask_data => bar5_size_mask_data, bar5_size_mask => bar5_size_mask, expansion_base_address_register_data => expansion_base_address_register_data, expansion_base_address_register => expansion_base_address_register, io_window_addr_width => io_window_addr_width, prefetchable_mem_window_addr_width => prefetchable_mem_window_addr_width, skp_os_gen3_count_data => skp_os_gen3_count_data, skp_os_gen3_count => skp_os_gen3_count, rx_cdc_almost_empty_data => rx_cdc_almost_empty_data, rx_cdc_almost_empty => rx_cdc_almost_empty, tx_cdc_almost_empty_data => tx_cdc_almost_empty_data, tx_cdc_almost_empty => tx_cdc_almost_empty, rx_cdc_almost_full_data => rx_cdc_almost_full_data, rx_cdc_almost_full => rx_cdc_almost_full, tx_cdc_almost_full_data => tx_cdc_almost_full_data, tx_cdc_almost_full => tx_cdc_almost_full, rx_l0s_count_idl_data => rx_l0s_count_idl_data, rx_l0s_count_idl => rx_l0s_count_idl, cdc_dummy_insert_limit_data => cdc_dummy_insert_limit_data, cdc_dummy_insert_limit => cdc_dummy_insert_limit, ei_delay_powerdown_count_data => ei_delay_powerdown_count_data, ei_delay_powerdown_count => ei_delay_powerdown_count, millisecond_cycle_count_data => millisecond_cycle_count_data, millisecond_cycle_count => millisecond_cycle_count, skp_os_schedule_count_data => skp_os_schedule_count_data, skp_os_schedule_count => skp_os_schedule_count, fc_init_timer_data => fc_init_timer_data, fc_init_timer => fc_init_timer, l01_entry_latency_data => l01_entry_latency_data, l01_entry_latency => l01_entry_latency, flow_control_update_count_data => flow_control_update_count_data, flow_control_update_count => flow_control_update_count, flow_control_timeout_count_data => flow_control_timeout_count_data, flow_control_timeout_count => flow_control_timeout_count, vc0_rx_flow_ctrl_posted_header_data => vc0_rx_flow_ctrl_posted_header_data, vc0_rx_flow_ctrl_posted_header => vc0_rx_flow_ctrl_posted_header, vc0_rx_flow_ctrl_posted_data_data => vc0_rx_flow_ctrl_posted_data_data, vc0_rx_flow_ctrl_posted_data => vc0_rx_flow_ctrl_posted_data, vc0_rx_flow_ctrl_nonposted_header_data => vc0_rx_flow_ctrl_nonposted_header_data, vc0_rx_flow_ctrl_nonposted_header => vc0_rx_flow_ctrl_nonposted_header, vc0_rx_flow_ctrl_nonposted_data_data => vc0_rx_flow_ctrl_nonposted_data_data, vc0_rx_flow_ctrl_nonposted_data => vc0_rx_flow_ctrl_nonposted_data, vc0_rx_flow_ctrl_compl_header_data => vc0_rx_flow_ctrl_compl_header_data, vc0_rx_flow_ctrl_compl_header => vc0_rx_flow_ctrl_compl_header, vc0_rx_flow_ctrl_compl_data_data => vc0_rx_flow_ctrl_compl_data_data, vc0_rx_flow_ctrl_compl_data => vc0_rx_flow_ctrl_compl_data, rx_ptr0_posted_dpram_min_data => rx_ptr0_posted_dpram_min_data, rx_ptr0_posted_dpram_min => rx_ptr0_posted_dpram_min, rx_ptr0_posted_dpram_max_data => rx_ptr0_posted_dpram_max_data, rx_ptr0_posted_dpram_max => rx_ptr0_posted_dpram_max, rx_ptr0_nonposted_dpram_min_data => rx_ptr0_nonposted_dpram_min_data, rx_ptr0_nonposted_dpram_min => rx_ptr0_nonposted_dpram_min, rx_ptr0_nonposted_dpram_max_data => rx_ptr0_nonposted_dpram_max_data, rx_ptr0_nonposted_dpram_max => rx_ptr0_nonposted_dpram_max, retry_buffer_last_active_address_data => retry_buffer_last_active_address_data, retry_buffer_last_active_address => retry_buffer_last_active_address, retry_buffer_memory_settings_data => retry_buffer_memory_settings_data, retry_buffer_memory_settings => retry_buffer_memory_settings, vc0_rx_buffer_memory_settings_data => vc0_rx_buffer_memory_settings_data, vc0_rx_buffer_memory_settings => vc0_rx_buffer_memory_settings, bist_memory_settings_data => bist_memory_settings_data, bist_memory_settings => bist_memory_settings, credit_buffer_allocation_aux => credit_buffer_allocation_aux, iei_enable_settings => iei_enable_settings, vsec_id_data => vsec_id_data, vsec_id => vsec_id, cvp_rate_sel => cvp_rate_sel, hard_reset_bypass => hard_reset_bypass, cvp_data_compressed => cvp_data_compressed, cvp_data_encrypted => cvp_data_encrypted, cvp_mode_reset => cvp_mode_reset, cvp_clk_reset => cvp_clk_reset, vsec_cap_data => vsec_cap_data, vsec_cap => vsec_cap, jtag_id_data => jtag_id_data, jtag_id => jtag_id, user_id_data => user_id_data, user_id => user_id, cseb_extend_pci => cseb_extend_pci, cseb_extend_pcie => cseb_extend_pcie, cseb_cpl_status_during_cvp => cseb_cpl_status_during_cvp, cseb_route_to_avl_rx_st => cseb_route_to_avl_rx_st, cseb_config_bypass => cseb_config_bypass, cseb_cpl_tag_checking => cseb_cpl_tag_checking, cseb_bar_match_checking => cseb_bar_match_checking, cseb_min_error_checking => cseb_min_error_checking, cseb_temp_busy_crs => cseb_temp_busy_crs, cseb_disable_auto_crs => cseb_disable_auto_crs, gen3_diffclock_nfts_count_data => gen3_diffclock_nfts_count_data, gen3_diffclock_nfts_count => gen3_diffclock_nfts_count, gen3_sameclock_nfts_count_data => gen3_sameclock_nfts_count_data, gen3_sameclock_nfts_count => gen3_sameclock_nfts_count, gen3_coeff_errchk => gen3_coeff_errchk, gen3_paritychk => gen3_paritychk, gen3_coeff_delay_count_data => gen3_coeff_delay_count_data, gen3_coeff_delay_count => gen3_coeff_delay_count, gen3_coeff_1_data => gen3_coeff_1_data, gen3_coeff_1 => gen3_coeff_1, gen3_coeff_1_sel => gen3_coeff_1_sel, gen3_coeff_1_preset_hint_data => gen3_coeff_1_preset_hint_data, gen3_coeff_1_preset_hint => gen3_coeff_1_preset_hint, gen3_coeff_1_nxtber_more_ptr => gen3_coeff_1_nxtber_more_ptr, gen3_coeff_1_nxtber_more => gen3_coeff_1_nxtber_more, gen3_coeff_1_nxtber_less_ptr => gen3_coeff_1_nxtber_less_ptr, gen3_coeff_1_nxtber_less => gen3_coeff_1_nxtber_less, gen3_coeff_1_reqber_data => gen3_coeff_1_reqber_data, gen3_coeff_1_reqber => gen3_coeff_1_reqber, gen3_coeff_1_ber_meas_data => gen3_coeff_1_ber_meas_data, gen3_coeff_1_ber_meas => gen3_coeff_1_ber_meas, gen3_coeff_2_data => gen3_coeff_2_data, gen3_coeff_2 => gen3_coeff_2, gen3_coeff_2_sel => gen3_coeff_2_sel, gen3_coeff_2_preset_hint_data => gen3_coeff_2_preset_hint_data, gen3_coeff_2_preset_hint => gen3_coeff_2_preset_hint, gen3_coeff_2_nxtber_more_ptr => gen3_coeff_2_nxtber_more_ptr, gen3_coeff_2_nxtber_more => gen3_coeff_2_nxtber_more, gen3_coeff_2_nxtber_less_ptr => gen3_coeff_2_nxtber_less_ptr, gen3_coeff_2_nxtber_less => gen3_coeff_2_nxtber_less, gen3_coeff_2_reqber_data => gen3_coeff_2_reqber_data, gen3_coeff_2_reqber => gen3_coeff_2_reqber, gen3_coeff_2_ber_meas_data => gen3_coeff_2_ber_meas_data, gen3_coeff_2_ber_meas => gen3_coeff_2_ber_meas, gen3_coeff_3_data => gen3_coeff_3_data, gen3_coeff_3 => gen3_coeff_3, gen3_coeff_3_sel => gen3_coeff_3_sel, gen3_coeff_3_preset_hint_data => gen3_coeff_3_preset_hint_data, gen3_coeff_3_preset_hint => gen3_coeff_3_preset_hint, gen3_coeff_3_nxtber_more_ptr => gen3_coeff_3_nxtber_more_ptr, gen3_coeff_3_nxtber_more => gen3_coeff_3_nxtber_more, gen3_coeff_3_nxtber_less_ptr => gen3_coeff_3_nxtber_less_ptr, gen3_coeff_3_nxtber_less => gen3_coeff_3_nxtber_less, gen3_coeff_3_reqber_data => gen3_coeff_3_reqber_data, gen3_coeff_3_reqber => gen3_coeff_3_reqber, gen3_coeff_3_ber_meas_data => gen3_coeff_3_ber_meas_data, gen3_coeff_3_ber_meas => gen3_coeff_3_ber_meas, gen3_coeff_4_data => gen3_coeff_4_data, gen3_coeff_4 => gen3_coeff_4, gen3_coeff_4_sel => gen3_coeff_4_sel, gen3_coeff_4_preset_hint_data => gen3_coeff_4_preset_hint_data, gen3_coeff_4_preset_hint => gen3_coeff_4_preset_hint, gen3_coeff_4_nxtber_more_ptr => gen3_coeff_4_nxtber_more_ptr, gen3_coeff_4_nxtber_more => gen3_coeff_4_nxtber_more, gen3_coeff_4_nxtber_less_ptr => gen3_coeff_4_nxtber_less_ptr, gen3_coeff_4_nxtber_less => gen3_coeff_4_nxtber_less, gen3_coeff_4_reqber_data => gen3_coeff_4_reqber_data, gen3_coeff_4_reqber => gen3_coeff_4_reqber, gen3_coeff_4_ber_meas_data => gen3_coeff_4_ber_meas_data, gen3_coeff_4_ber_meas => gen3_coeff_4_ber_meas, gen3_coeff_5_data => gen3_coeff_5_data, gen3_coeff_5 => gen3_coeff_5, gen3_coeff_5_sel => gen3_coeff_5_sel, gen3_coeff_5_preset_hint_data => gen3_coeff_5_preset_hint_data, gen3_coeff_5_preset_hint => gen3_coeff_5_preset_hint, gen3_coeff_5_nxtber_more_ptr => gen3_coeff_5_nxtber_more_ptr, gen3_coeff_5_nxtber_more => gen3_coeff_5_nxtber_more, gen3_coeff_5_nxtber_less_ptr => gen3_coeff_5_nxtber_less_ptr, gen3_coeff_5_nxtber_less => gen3_coeff_5_nxtber_less, gen3_coeff_5_reqber_data => gen3_coeff_5_reqber_data, gen3_coeff_5_reqber => gen3_coeff_5_reqber, gen3_coeff_5_ber_meas_data => gen3_coeff_5_ber_meas_data, gen3_coeff_5_ber_meas => gen3_coeff_5_ber_meas, gen3_coeff_6_data => gen3_coeff_6_data, gen3_coeff_6 => gen3_coeff_6, gen3_coeff_6_sel => gen3_coeff_6_sel, gen3_coeff_6_preset_hint_data => gen3_coeff_6_preset_hint_data, gen3_coeff_6_preset_hint => gen3_coeff_6_preset_hint, gen3_coeff_6_nxtber_more_ptr => gen3_coeff_6_nxtber_more_ptr, gen3_coeff_6_nxtber_more => gen3_coeff_6_nxtber_more, gen3_coeff_6_nxtber_less_ptr => gen3_coeff_6_nxtber_less_ptr, gen3_coeff_6_nxtber_less => gen3_coeff_6_nxtber_less, gen3_coeff_6_reqber_data => gen3_coeff_6_reqber_data, gen3_coeff_6_reqber => gen3_coeff_6_reqber, gen3_coeff_6_ber_meas_data => gen3_coeff_6_ber_meas_data, gen3_coeff_6_ber_meas => gen3_coeff_6_ber_meas, gen3_coeff_7_data => gen3_coeff_7_data, gen3_coeff_7 => gen3_coeff_7, gen3_coeff_7_sel => gen3_coeff_7_sel, gen3_coeff_7_preset_hint_data => gen3_coeff_7_preset_hint_data, gen3_coeff_7_preset_hint => gen3_coeff_7_preset_hint, gen3_coeff_7_nxtber_more_ptr => gen3_coeff_7_nxtber_more_ptr, gen3_coeff_7_nxtber_more => gen3_coeff_7_nxtber_more, gen3_coeff_7_nxtber_less_ptr => gen3_coeff_7_nxtber_less_ptr, gen3_coeff_7_nxtber_less => gen3_coeff_7_nxtber_less, gen3_coeff_7_reqber_data => gen3_coeff_7_reqber_data, gen3_coeff_7_reqber => gen3_coeff_7_reqber, gen3_coeff_7_ber_meas_data => gen3_coeff_7_ber_meas_data, gen3_coeff_7_ber_meas => gen3_coeff_7_ber_meas, gen3_coeff_8_data => gen3_coeff_8_data, gen3_coeff_8 => gen3_coeff_8, gen3_coeff_8_sel => gen3_coeff_8_sel, gen3_coeff_8_preset_hint_data => gen3_coeff_8_preset_hint_data, gen3_coeff_8_preset_hint => gen3_coeff_8_preset_hint, gen3_coeff_8_nxtber_more_ptr => gen3_coeff_8_nxtber_more_ptr, gen3_coeff_8_nxtber_more => gen3_coeff_8_nxtber_more, gen3_coeff_8_nxtber_less_ptr => gen3_coeff_8_nxtber_less_ptr, gen3_coeff_8_nxtber_less => gen3_coeff_8_nxtber_less, gen3_coeff_8_reqber_data => gen3_coeff_8_reqber_data, gen3_coeff_8_reqber => gen3_coeff_8_reqber, gen3_coeff_8_ber_meas_data => gen3_coeff_8_ber_meas_data, gen3_coeff_8_ber_meas => gen3_coeff_8_ber_meas, gen3_coeff_9_data => gen3_coeff_9_data, gen3_coeff_9 => gen3_coeff_9, gen3_coeff_9_sel => gen3_coeff_9_sel, gen3_coeff_9_preset_hint_data => gen3_coeff_9_preset_hint_data, gen3_coeff_9_preset_hint => gen3_coeff_9_preset_hint, gen3_coeff_9_nxtber_more_ptr => gen3_coeff_9_nxtber_more_ptr, gen3_coeff_9_nxtber_more => gen3_coeff_9_nxtber_more, gen3_coeff_9_nxtber_less_ptr => gen3_coeff_9_nxtber_less_ptr, gen3_coeff_9_nxtber_less => gen3_coeff_9_nxtber_less, gen3_coeff_9_reqber_data => gen3_coeff_9_reqber_data, gen3_coeff_9_reqber => gen3_coeff_9_reqber, gen3_coeff_9_ber_meas_data => gen3_coeff_9_ber_meas_data, gen3_coeff_9_ber_meas => gen3_coeff_9_ber_meas, gen3_coeff_10_data => gen3_coeff_10_data, gen3_coeff_10 => gen3_coeff_10, gen3_coeff_10_sel => gen3_coeff_10_sel, gen3_coeff_10_preset_hint_data => gen3_coeff_10_preset_hint_data, gen3_coeff_10_preset_hint => gen3_coeff_10_preset_hint, gen3_coeff_10_nxtber_more_ptr => gen3_coeff_10_nxtber_more_ptr, gen3_coeff_10_nxtber_more => gen3_coeff_10_nxtber_more, gen3_coeff_10_nxtber_less_ptr => gen3_coeff_10_nxtber_less_ptr, gen3_coeff_10_nxtber_less => gen3_coeff_10_nxtber_less, gen3_coeff_10_reqber_data => gen3_coeff_10_reqber_data, gen3_coeff_10_reqber => gen3_coeff_10_reqber, gen3_coeff_10_ber_meas_data => gen3_coeff_10_ber_meas_data, gen3_coeff_10_ber_meas => gen3_coeff_10_ber_meas, gen3_coeff_11_data => gen3_coeff_11_data, gen3_coeff_11 => gen3_coeff_11, gen3_coeff_11_sel => gen3_coeff_11_sel, gen3_coeff_11_preset_hint_data => gen3_coeff_11_preset_hint_data, gen3_coeff_11_preset_hint => gen3_coeff_11_preset_hint, gen3_coeff_11_nxtber_more_ptr => gen3_coeff_11_nxtber_more_ptr, gen3_coeff_11_nxtber_more => gen3_coeff_11_nxtber_more, gen3_coeff_11_nxtber_less_ptr => gen3_coeff_11_nxtber_less_ptr, gen3_coeff_11_nxtber_less => gen3_coeff_11_nxtber_less, gen3_coeff_11_reqber_data => gen3_coeff_11_reqber_data, gen3_coeff_11_reqber => gen3_coeff_11_reqber, gen3_coeff_11_ber_meas_data => gen3_coeff_11_ber_meas_data, gen3_coeff_11_ber_meas => gen3_coeff_11_ber_meas, gen3_coeff_12_data => gen3_coeff_12_data, gen3_coeff_12 => gen3_coeff_12, gen3_coeff_12_sel => gen3_coeff_12_sel, gen3_coeff_12_preset_hint_data => gen3_coeff_12_preset_hint_data, gen3_coeff_12_preset_hint => gen3_coeff_12_preset_hint, gen3_coeff_12_nxtber_more_ptr => gen3_coeff_12_nxtber_more_ptr, gen3_coeff_12_nxtber_more => gen3_coeff_12_nxtber_more, gen3_coeff_12_nxtber_less_ptr => gen3_coeff_12_nxtber_less_ptr, gen3_coeff_12_nxtber_less => gen3_coeff_12_nxtber_less, gen3_coeff_12_reqber_data => gen3_coeff_12_reqber_data, gen3_coeff_12_reqber => gen3_coeff_12_reqber, gen3_coeff_12_ber_meas_data => gen3_coeff_12_ber_meas_data, gen3_coeff_12_ber_meas => gen3_coeff_12_ber_meas, gen3_coeff_13_data => gen3_coeff_13_data, gen3_coeff_13 => gen3_coeff_13, gen3_coeff_13_sel => gen3_coeff_13_sel, gen3_coeff_13_preset_hint_data => gen3_coeff_13_preset_hint_data, gen3_coeff_13_preset_hint => gen3_coeff_13_preset_hint, gen3_coeff_13_nxtber_more_ptr => gen3_coeff_13_nxtber_more_ptr, gen3_coeff_13_nxtber_more => gen3_coeff_13_nxtber_more, gen3_coeff_13_nxtber_less_ptr => gen3_coeff_13_nxtber_less_ptr, gen3_coeff_13_nxtber_less => gen3_coeff_13_nxtber_less, gen3_coeff_13_reqber_data => gen3_coeff_13_reqber_data, gen3_coeff_13_reqber => gen3_coeff_13_reqber, gen3_coeff_13_ber_meas_data => gen3_coeff_13_ber_meas_data, gen3_coeff_13_ber_meas => gen3_coeff_13_ber_meas, gen3_coeff_14_data => gen3_coeff_14_data, gen3_coeff_14 => gen3_coeff_14, gen3_coeff_14_sel => gen3_coeff_14_sel, gen3_coeff_14_preset_hint_data => gen3_coeff_14_preset_hint_data, gen3_coeff_14_preset_hint => gen3_coeff_14_preset_hint, gen3_coeff_14_nxtber_more_ptr => gen3_coeff_14_nxtber_more_ptr, gen3_coeff_14_nxtber_more => gen3_coeff_14_nxtber_more, gen3_coeff_14_nxtber_less_ptr => gen3_coeff_14_nxtber_less_ptr, gen3_coeff_14_nxtber_less => gen3_coeff_14_nxtber_less, gen3_coeff_14_reqber_data => gen3_coeff_14_reqber_data, gen3_coeff_14_reqber => gen3_coeff_14_reqber, gen3_coeff_14_ber_meas_data => gen3_coeff_14_ber_meas_data, gen3_coeff_14_ber_meas => gen3_coeff_14_ber_meas, gen3_coeff_15_data => gen3_coeff_15_data, gen3_coeff_15 => gen3_coeff_15, gen3_coeff_15_sel => gen3_coeff_15_sel, gen3_coeff_15_preset_hint_data => gen3_coeff_15_preset_hint_data, gen3_coeff_15_preset_hint => gen3_coeff_15_preset_hint, gen3_coeff_15_nxtber_more_ptr => gen3_coeff_15_nxtber_more_ptr, gen3_coeff_15_nxtber_more => gen3_coeff_15_nxtber_more, gen3_coeff_15_nxtber_less_ptr => gen3_coeff_15_nxtber_less_ptr, gen3_coeff_15_nxtber_less => gen3_coeff_15_nxtber_less, gen3_coeff_15_reqber_data => gen3_coeff_15_reqber_data, gen3_coeff_15_reqber => gen3_coeff_15_reqber, gen3_coeff_15_ber_meas_data => gen3_coeff_15_ber_meas_data, gen3_coeff_15_ber_meas => gen3_coeff_15_ber_meas, gen3_coeff_16_data => gen3_coeff_16_data, gen3_coeff_16 => gen3_coeff_16, gen3_coeff_16_sel => gen3_coeff_16_sel, gen3_coeff_16_preset_hint_data => gen3_coeff_16_preset_hint_data, gen3_coeff_16_preset_hint => gen3_coeff_16_preset_hint, gen3_coeff_16_nxtber_more_ptr => gen3_coeff_16_nxtber_more_ptr, gen3_coeff_16_nxtber_more => gen3_coeff_16_nxtber_more, gen3_coeff_16_nxtber_less_ptr => gen3_coeff_16_nxtber_less_ptr, gen3_coeff_16_nxtber_less => gen3_coeff_16_nxtber_less, gen3_coeff_16_reqber_data => gen3_coeff_16_reqber_data, gen3_coeff_16_reqber => gen3_coeff_16_reqber, gen3_coeff_16_ber_meas_data => gen3_coeff_16_ber_meas_data, gen3_coeff_16_ber_meas => gen3_coeff_16_ber_meas, gen3_preset_coeff_1_data => gen3_preset_coeff_1_data, gen3_preset_coeff_1 => gen3_preset_coeff_1, gen3_preset_coeff_2_data => gen3_preset_coeff_2_data, gen3_preset_coeff_2 => gen3_preset_coeff_2, gen3_preset_coeff_3_data => gen3_preset_coeff_3_data, gen3_preset_coeff_3 => gen3_preset_coeff_3, gen3_preset_coeff_4_data => gen3_preset_coeff_4_data, gen3_preset_coeff_4 => gen3_preset_coeff_4, gen3_preset_coeff_5_data => gen3_preset_coeff_5_data, gen3_preset_coeff_5 => gen3_preset_coeff_5, gen3_preset_coeff_6_data => gen3_preset_coeff_6_data, gen3_preset_coeff_6 => gen3_preset_coeff_6, gen3_preset_coeff_7_data => gen3_preset_coeff_7_data, gen3_preset_coeff_7 => gen3_preset_coeff_7, gen3_preset_coeff_8_data => gen3_preset_coeff_8_data, gen3_preset_coeff_8 => gen3_preset_coeff_8, gen3_preset_coeff_9_data => gen3_preset_coeff_9_data, gen3_preset_coeff_9 => gen3_preset_coeff_9, gen3_preset_coeff_10_data => gen3_preset_coeff_10_data, gen3_preset_coeff_10 => gen3_preset_coeff_10, gen3_rxfreqlock_counter_data => gen3_rxfreqlock_counter_data, gen3_rxfreqlock_counter => gen3_rxfreqlock_counter, rstctrl_pld_clr => rstctrl_pld_clr , rstctrl_debug_en => rstctrl_debug_en , rstctrl_force_inactive_rst => rstctrl_force_inactive_rst , rstctrl_perst_enable => rstctrl_perst_enable , hrdrstctrl_en => hrdrstctrl_en , rstctrl_hip_ep => rstctrl_hip_ep , rstctrl_hard_block_enable => rstctrl_hard_block_enable , rstctrl_rx_pma_rstb_inv => rstctrl_rx_pma_rstb_inv , rstctrl_tx_pma_rstb_inv => rstctrl_tx_pma_rstb_inv , rstctrl_rx_pcs_rst_n_inv => rstctrl_rx_pcs_rst_n_inv , rstctrl_tx_pcs_rst_n_inv => rstctrl_tx_pcs_rst_n_inv , rstctrl_altpe3_crst_n_inv => rstctrl_altpe3_crst_n_inv , rstctrl_altpe3_srst_n_inv => rstctrl_altpe3_srst_n_inv , rstctrl_altpe3_rst_n_inv => rstctrl_altpe3_rst_n_inv , rstctrl_tx_pma_syncp_inv => rstctrl_tx_pma_syncp_inv , rstctrl_1us_count_fref_clk => rstctrl_1us_count_fref_clk , rstctrl_1us_count_fref_clk_value => rstctrl_1us_count_fref_clk_value , rstctrl_1ms_count_fref_clk => rstctrl_1ms_count_fref_clk , rstctrl_1ms_count_fref_clk_value => rstctrl_1ms_count_fref_clk_value , rstctrl_off_cal_done_select => rstctrl_off_cal_done_select , rstctrl_rx_pma_rstb_cmu_select => rstctrl_rx_pma_rstb_cmu_select , rstctrl_rx_pll_freq_lock_select => rstctrl_rx_pll_freq_lock_select , rstctrl_mask_tx_pll_lock_select => rstctrl_mask_tx_pll_lock_select , rstctrl_rx_pll_lock_select => rstctrl_rx_pll_lock_select , rstctrl_perstn_select => rstctrl_perstn_select , rstctrl_tx_lc_pll_rstb_select => rstctrl_tx_lc_pll_rstb_select , rstctrl_fref_clk_select => rstctrl_fref_clk_select , rstctrl_off_cal_en_select => rstctrl_off_cal_en_select , rstctrl_tx_pma_syncp_select => rstctrl_tx_pma_syncp_select , rstctrl_rx_pcs_rst_n_select => rstctrl_rx_pcs_rst_n_select , rstctrl_tx_cmu_pll_lock_select => rstctrl_tx_cmu_pll_lock_select , rstctrl_tx_pcs_rst_n_select => rstctrl_tx_pcs_rst_n_select , rstctrl_tx_lc_pll_lock_select => rstctrl_tx_lc_pll_lock_select , rstctrl_timer_a => rstctrl_timer_a , rstctrl_timer_a_type => rstctrl_timer_a_type , rstctrl_timer_a_value => rstctrl_timer_a_value , rstctrl_timer_b => rstctrl_timer_b , rstctrl_timer_b_type => rstctrl_timer_b_type , rstctrl_timer_b_value => rstctrl_timer_b_value , rstctrl_timer_c => rstctrl_timer_c , rstctrl_timer_c_type => rstctrl_timer_c_type , rstctrl_timer_c_value => rstctrl_timer_c_value , rstctrl_timer_d => rstctrl_timer_d , rstctrl_timer_d_type => rstctrl_timer_d_type , rstctrl_timer_d_value => rstctrl_timer_d_value , rstctrl_timer_e => rstctrl_timer_e , rstctrl_timer_e_type => rstctrl_timer_e_type , rstctrl_timer_e_value => rstctrl_timer_e_value , rstctrl_timer_f => rstctrl_timer_f , rstctrl_timer_f_type => rstctrl_timer_f_type , rstctrl_timer_f_value => rstctrl_timer_f_value , rstctrl_timer_g => rstctrl_timer_g , rstctrl_timer_g_type => rstctrl_timer_g_type , rstctrl_timer_g_value => rstctrl_timer_g_value , rstctrl_timer_h => rstctrl_timer_h , rstctrl_timer_h_type => rstctrl_timer_h_type , rstctrl_timer_h_value => rstctrl_timer_h_value , rstctrl_timer_i => rstctrl_timer_i , rstctrl_timer_i_type => rstctrl_timer_i_type , rstctrl_timer_i_value => rstctrl_timer_i_value , rstctrl_timer_j => rstctrl_timer_j , rstctrl_timer_j_type => rstctrl_timer_j_type , rstctrl_timer_j_value => rstctrl_timer_j_value ) port map ( dpriostatus => dpriostatus, lmidout => lmidout, lmiack => lmiack, lmirden => lmirden, lmiwren => lmiwren, lmiaddr => lmiaddr, lmidin => lmidin, flrreset => flrreset, flrsts => flrsts, resetstatus => resetstatus, l2exit => l2exit, hotrstexit => hotrstexit, hiphardreset => hiphardreset, dlupexit => dlupexit, coreclkout => coreclkout, pldclk => pldclk, pldsrst => pldsrst, pldrst => pldrst, pclkch0 => pclkch0, pclkch1 => pclkch1, pclkcentral => pclkcentral, pllfixedclkch0 => pllfixedclkch0, pllfixedclkch1 => pllfixedclkch1, pllfixedclkcentral => pllfixedclkcentral, phyrst => phyrst, physrst => physrst, coreclkin => coreclkin, corerst => corerst, corepor => corepor, corecrst => corecrst, coresrst => coresrst, swdnout => swdnout, swupout => swupout, swdnin => swdnin, swupin => swupin, swctmod => swctmod, rxstdata => rxstdata, rxstparity => rxstparity, rxstbe => rxstbe, rxsterr => rxsterr, rxstsop => rxstsop, rxsteop => rxsteop, rxstempty => rxstempty, rxstvalid => rxstvalid, rxstbardec1 => rxstbardec1, rxstbardec2 => rxstbardec2, rxstmask => rxstmask, rxstready => rxstready, txstready => txstready, txcredfchipcons => txcredfchipcons, txcredfcinfinite => txcredfcinfinite, txcredhdrfcp => txcredhdrfcp, txcreddatafcp => txcreddatafcp, txcredhdrfcnp => txcredhdrfcnp, txcreddatafcnp => txcreddatafcnp, txcredhdrfccp => txcredhdrfccp, txcreddatafccp => txcreddatafccp, txstdata => txstdata, txstparity => txstparity, txsterr => txsterr, txstsop => txstsop, txsteop => txsteop, txstempty => txstempty, txstvalid => txstvalid, r2cuncecc => r2cuncecc, rxcorrecc => rxcorrecc, retryuncecc => retryuncecc, retrycorrecc => retrycorrecc, rxparerr => rxparerr, txparerr => txparerr, r2cparerr => r2cparerr, pmetosr => pmetosr, pmetocr => pmetocr, pmevent => pmevent, pmdata => pmdata, pmauxpwr => pmauxpwr, tlcfgsts => tlcfgsts, tlcfgctl => tlcfgctl, tlcfgadd => tlcfgadd, appintaack => appintaack, appintasts => appintasts, intstatus => intstatus, appmsiack => appmsiack, appmsireq => appmsireq, appmsitc => appmsitc, appmsinum => appmsinum, aermsinum => aermsinum, pexmsinum => pexmsinum, hpgctrler => hpgctrler, cfglink2csrpld => cfglink2csrpld, cfgprmbuspld => cfgprmbuspld, csebisshadow => csebisshadow, csebwrdata => csebwrdata, csebwrdataparity => csebwrdataparity, csebbe => csebbe, csebaddr => csebaddr, csebaddrparity => csebaddrparity, csebwren => csebwren, csebrden => csebrden, csebwrrespreq => csebwrrespreq, csebrddata => csebrddata, csebrddataparity => csebrddataparity, csebwaitrequest => csebwaitrequest, csebwrrespvalid => csebwrrespvalid, csebwrresponse => csebwrresponse, csebrdresponse => csebrdresponse, dlup => dlup, testouthip => testouthip, testout1hip => testout1hip, ev1us => ev1us, ev128ns => ev128ns, wakeoen => wakeoen, serrout => serrout, ltssmstate => ltssmstate, laneact => laneact, currentspeed => currentspeed, slotclkcfg => slotclkcfg, mode => mode, testinhip => testinhip, testin1hip => testin1hip, cplpending => cplpending, cplerr => cplerr, appinterr => appinterr, egressblkerr => egressblkerr, pmexitd0ack => pmexitd0ack, pmexitd0req => pmexitd0req, currentcoeff0 => currentcoeff0, currentcoeff1 => currentcoeff1, currentcoeff2 => currentcoeff2, currentcoeff3 => currentcoeff3, currentcoeff4 => currentcoeff4, currentcoeff5 => currentcoeff5, currentcoeff6 => currentcoeff6, currentcoeff7 => currentcoeff7, currentrxpreset0 => currentrxpreset0, currentrxpreset1 => currentrxpreset1, currentrxpreset2 => currentrxpreset2, currentrxpreset3 => currentrxpreset3, currentrxpreset4 => currentrxpreset4, currentrxpreset5 => currentrxpreset5, currentrxpreset6 => currentrxpreset6, currentrxpreset7 => currentrxpreset7, rate0 => rate0, rate1 => rate1, rate2 => rate2, rate3 => rate3, rate4 => rate4, rate5 => rate5, rate6 => rate6, rate7 => rate7, ratectrl => ratectrl, ratetiedtognd => ratetiedtognd, eidleinfersel0 => eidleinfersel0, eidleinfersel1 => eidleinfersel1, eidleinfersel2 => eidleinfersel2, eidleinfersel3 => eidleinfersel3, eidleinfersel4 => eidleinfersel4, eidleinfersel5 => eidleinfersel5, eidleinfersel6 => eidleinfersel6, eidleinfersel7 => eidleinfersel7, txdata0 => txdata0, txdatak0 => txdatak0, txdetectrx0 => txdetectrx0, txelecidle0 => txelecidle0, txcompl0 => txcompl0, rxpolarity0 => rxpolarity0, powerdown0 => powerdown0, txdataskip0 => txdataskip0, txblkst0 => txblkst0, txsynchd0 => txsynchd0, txdeemph0 => txdeemph0, txmargin0 => txmargin0, rxdata0 => rxdata0, rxdatak0 => rxdatak0, rxvalid0 => rxvalid0, phystatus0 => phystatus0, rxelecidle0 => rxelecidle0, rxstatus0 => rxstatus0, rxdataskip0 => rxdataskip0, rxblkst0 => rxblkst0, rxsynchd0 => rxsynchd0, rxfreqlocked0 => rxfreqlocked0, txdata1 => txdata1, txdatak1 => txdatak1, txdetectrx1 => txdetectrx1, txelecidle1 => txelecidle1, txcompl1 => txcompl1, rxpolarity1 => rxpolarity1, powerdown1 => powerdown1, txdataskip1 => txdataskip1, txblkst1 => txblkst1, txsynchd1 => txsynchd1, txdeemph1 => txdeemph1, txmargin1 => txmargin1, rxdata1 => rxdata1, rxdatak1 => rxdatak1, rxvalid1 => rxvalid1, phystatus1 => phystatus1, rxelecidle1 => rxelecidle1, rxstatus1 => rxstatus1, rxdataskip1 => rxdataskip1, rxblkst1 => rxblkst1, rxsynchd1 => rxsynchd1, rxfreqlocked1 => rxfreqlocked1, txdata2 => txdata2, txdatak2 => txdatak2, txdetectrx2 => txdetectrx2, txelecidle2 => txelecidle2, txcompl2 => txcompl2, rxpolarity2 => rxpolarity2, powerdown2 => powerdown2, txdataskip2 => txdataskip2, txblkst2 => txblkst2, txsynchd2 => txsynchd2, txdeemph2 => txdeemph2, txmargin2 => txmargin2, rxdata2 => rxdata2, rxdatak2 => rxdatak2, rxvalid2 => rxvalid2, phystatus2 => phystatus2, rxelecidle2 => rxelecidle2, rxstatus2 => rxstatus2, rxdataskip2 => rxdataskip2, rxblkst2 => rxblkst2, rxsynchd2 => rxsynchd2, rxfreqlocked2 => rxfreqlocked2, txdata3 => txdata3, txdatak3 => txdatak3, txdetectrx3 => txdetectrx3, txelecidle3 => txelecidle3, txcompl3 => txcompl3, rxpolarity3 => rxpolarity3, powerdown3 => powerdown3, txdataskip3 => txdataskip3, txblkst3 => txblkst3, txsynchd3 => txsynchd3, txdeemph3 => txdeemph3, txmargin3 => txmargin3, rxdata3 => rxdata3, rxdatak3 => rxdatak3, rxvalid3 => rxvalid3, phystatus3 => phystatus3, rxelecidle3 => rxelecidle3, rxstatus3 => rxstatus3, rxdataskip3 => rxdataskip3, rxblkst3 => rxblkst3, rxsynchd3 => rxsynchd3, rxfreqlocked3 => rxfreqlocked3, txdata4 => txdata4, txdatak4 => txdatak4, txdetectrx4 => txdetectrx4, txelecidle4 => txelecidle4, txcompl4 => txcompl4, rxpolarity4 => rxpolarity4, powerdown4 => powerdown4, txdataskip4 => txdataskip4, txblkst4 => txblkst4, txsynchd4 => txsynchd4, txdeemph4 => txdeemph4, txmargin4 => txmargin4, rxdata4 => rxdata4, rxdatak4 => rxdatak4, rxvalid4 => rxvalid4, phystatus4 => phystatus4, rxelecidle4 => rxelecidle4, rxstatus4 => rxstatus4, rxdataskip4 => rxdataskip4, rxblkst4 => rxblkst4, rxsynchd4 => rxsynchd4, rxfreqlocked4 => rxfreqlocked4, txdata5 => txdata5, txdatak5 => txdatak5, txdetectrx5 => txdetectrx5, txelecidle5 => txelecidle5, txcompl5 => txcompl5, rxpolarity5 => rxpolarity5, powerdown5 => powerdown5, txdataskip5 => txdataskip5, txblkst5 => txblkst5, txsynchd5 => txsynchd5, txdeemph5 => txdeemph5, txmargin5 => txmargin5, rxdata5 => rxdata5, rxdatak5 => rxdatak5, rxvalid5 => rxvalid5, phystatus5 => phystatus5, rxelecidle5 => rxelecidle5, rxstatus5 => rxstatus5, rxdataskip5 => rxdataskip5, rxblkst5 => rxblkst5, rxsynchd5 => rxsynchd5, rxfreqlocked5 => rxfreqlocked5, txdata6 => txdata6, txdatak6 => txdatak6, txdetectrx6 => txdetectrx6, txelecidle6 => txelecidle6, txcompl6 => txcompl6, rxpolarity6 => rxpolarity6, powerdown6 => powerdown6, txdataskip6 => txdataskip6, txblkst6 => txblkst6, txsynchd6 => txsynchd6, txdeemph6 => txdeemph6, txmargin6 => txmargin6, rxdata6 => rxdata6, rxdatak6 => rxdatak6, rxvalid6 => rxvalid6, phystatus6 => phystatus6, rxelecidle6 => rxelecidle6, rxstatus6 => rxstatus6, rxdataskip6 => rxdataskip6, rxblkst6 => rxblkst6, rxsynchd6 => rxsynchd6, rxfreqlocked6 => rxfreqlocked6, txdata7 => txdata7, txdatak7 => txdatak7, txdetectrx7 => txdetectrx7, txelecidle7 => txelecidle7, txcompl7 => txcompl7, rxpolarity7 => rxpolarity7, powerdown7 => powerdown7, txdataskip7 => txdataskip7, txblkst7 => txblkst7, txsynchd7 => txsynchd7, txdeemph7 => txdeemph7, txmargin7 => txmargin7, rxdata7 => rxdata7, rxdatak7 => rxdatak7, rxvalid7 => rxvalid7, phystatus7 => phystatus7, rxelecidle7 => rxelecidle7, rxstatus7 => rxstatus7, rxdataskip7 => rxdataskip7, rxblkst7 => rxblkst7, rxsynchd7 => rxsynchd7, rxfreqlocked7 => rxfreqlocked7, dbgpipex1rx => dbgpipex1rx, memredsclk => memredsclk, memredenscan => memredenscan, memredscen => memredscen, memredscin => memredscin, memredscsel => memredscsel, memredscrst => memredscrst, memredscout => memredscout, memregscanen => memregscanen, memregscanin => memregscanin, memhiptestenable => memhiptestenable, memregscanout => memregscanout, bisttesten => bisttesten, bistenrpl => bistenrpl, bistscanin => bistscanin, bistscanen => bistscanen, bistenrcv => bistenrcv, bistscanoutrpl => bistscanoutrpl, bistdonearpl => bistdonearpl, bistdonebrpl => bistdonebrpl, bistpassrpl => bistpassrpl, derrrpl => derrrpl, derrcorextrpl => derrcorextrpl, bistscanoutrcv => bistscanoutrcv, bistdonearcv => bistdonearcv, bistdonebrcv => bistdonebrcv, bistpassrcv => bistpassrcv, derrcorextrcv => derrcorextrcv, bistscanoutrcv1 => bistscanoutrcv1, bistdonearcv1 => bistdonearcv1, bistdonebrcv1 => bistdonebrcv1, bistpassrcv1 => bistpassrcv1, derrcorextrcv1 => derrcorextrcv1, scanmoden => scanmoden, scanshiftn => scanshiftn, nfrzdrv => nfrzdrv, frzreg => frzreg, frzlogic => frzlogic, idrpl => idrpl, idrcv => idrcv, plniotri => plniotri, entest => entest, npor => npor, usermode => usermode, cvpclk => cvpclk, cvpdata => cvpdata, cvpstartxfer => cvpstartxfer, cvpconfig => cvpconfig, cvpfullconfig => cvpfullconfig, cvpconfigready => cvpconfigready, cvpen => cvpen, cvpconfigerror => cvpconfigerror, cvpconfigdone => cvpconfigdone, pinperstn => pinperstn, pldperstn => pldperstn, iocsrrdydly => iocsrrdydly, softaltpe3rstn => softaltpe3rstn, softaltpe3srstn => softaltpe3srstn, softaltpe3crstn => softaltpe3crstn, pldclrpmapcshipn => pldclrpmapcshipn, pldclrpcshipn => pldclrpcshipn, pldclrhipn => pldclrhipn, s0ch0emsiptieoff => s0ch0emsiptieoff, s0ch1emsiptieoff => s0ch1emsiptieoff, s0ch2emsiptieoff => s0ch2emsiptieoff, s1ch0emsiptieoff => s1ch0emsiptieoff, s1ch1emsiptieoff => s1ch1emsiptieoff, s1ch2emsiptieoff => s1ch2emsiptieoff, s2ch0emsiptieoff => s2ch0emsiptieoff, s2ch1emsiptieoff => s2ch1emsiptieoff, s2ch2emsiptieoff => s2ch2emsiptieoff, s3ch0emsiptieoff => s3ch0emsiptieoff, s3ch1emsiptieoff => s3ch1emsiptieoff, s3ch2emsiptieoff => s3ch2emsiptieoff, emsiptieofftop => emsiptieofftop, emsiptieoffbot => emsiptieoffbot, txpcsrstn0 => txpcsrstn0 , rxpcsrstn0 => rxpcsrstn0 , g3txpcsrstn0 => g3txpcsrstn0 , g3rxpcsrstn0 => g3rxpcsrstn0 , txpmasyncp0 => txpmasyncp0 , rxpmarstb0 => rxpmarstb0 , txlcpllrstb0 => txlcpllrstb0 , offcalen0 => offcalen0 , frefclk0 => frefclk0 , offcaldone0 => offcaldone0 , txlcplllock0 => txlcplllock0 , rxfreqtxcmuplllock0 => rxfreqtxcmuplllock0 , rxpllphaselock0 => rxpllphaselock0 , masktxplllock0 => masktxplllock0 , txpcsrstn1 => txpcsrstn1 , rxpcsrstn1 => rxpcsrstn1 , g3txpcsrstn1 => g3txpcsrstn1 , g3rxpcsrstn1 => g3rxpcsrstn1 , txpmasyncp1 => txpmasyncp1 , rxpmarstb1 => rxpmarstb1 , txlcpllrstb1 => txlcpllrstb1 , offcalen1 => offcalen1 , frefclk1 => frefclk1 , offcaldone1 => offcaldone1 , txlcplllock1 => txlcplllock1 , rxfreqtxcmuplllock1 => rxfreqtxcmuplllock1 , rxpllphaselock1 => rxpllphaselock1 , masktxplllock1 => masktxplllock1 , txpcsrstn2 => txpcsrstn2 , rxpcsrstn2 => rxpcsrstn2 , g3txpcsrstn2 => g3txpcsrstn2 , g3rxpcsrstn2 => g3rxpcsrstn2 , txpmasyncp2 => txpmasyncp2 , rxpmarstb2 => rxpmarstb2 , txlcpllrstb2 => txlcpllrstb2 , offcalen2 => offcalen2 , frefclk2 => frefclk2 , offcaldone2 => offcaldone2 , txlcplllock2 => txlcplllock2 , rxfreqtxcmuplllock2 => rxfreqtxcmuplllock2 , rxpllphaselock2 => rxpllphaselock2 , masktxplllock2 => masktxplllock2 , txpcsrstn3 => txpcsrstn3 , rxpcsrstn3 => rxpcsrstn3 , g3txpcsrstn3 => g3txpcsrstn3 , g3rxpcsrstn3 => g3rxpcsrstn3 , txpmasyncp3 => txpmasyncp3 , rxpmarstb3 => rxpmarstb3 , txlcpllrstb3 => txlcpllrstb3 , offcalen3 => offcalen3 , frefclk3 => frefclk3 , offcaldone3 => offcaldone3 , txlcplllock3 => txlcplllock3 , rxfreqtxcmuplllock3 => rxfreqtxcmuplllock3 , rxpllphaselock3 => rxpllphaselock3 , masktxplllock3 => masktxplllock3 , txpcsrstn4 => txpcsrstn4 , rxpcsrstn4 => rxpcsrstn4 , g3txpcsrstn4 => g3txpcsrstn4 , g3rxpcsrstn4 => g3rxpcsrstn4 , txpmasyncp4 => txpmasyncp4 , rxpmarstb4 => rxpmarstb4 , txlcpllrstb4 => txlcpllrstb4 , offcalen4 => offcalen4 , frefclk4 => frefclk4 , offcaldone4 => offcaldone4 , txlcplllock4 => txlcplllock4 , rxfreqtxcmuplllock4 => rxfreqtxcmuplllock4 , rxpllphaselock4 => rxpllphaselock4 , masktxplllock4 => masktxplllock4 , txpcsrstn5 => txpcsrstn5 , rxpcsrstn5 => rxpcsrstn5 , g3txpcsrstn5 => g3txpcsrstn5 , g3rxpcsrstn5 => g3rxpcsrstn5 , txpmasyncp5 => txpmasyncp5 , rxpmarstb5 => rxpmarstb5 , txlcpllrstb5 => txlcpllrstb5 , offcalen5 => offcalen5 , frefclk5 => frefclk5 , offcaldone5 => offcaldone5 , txlcplllock5 => txlcplllock5 , rxfreqtxcmuplllock5 => rxfreqtxcmuplllock5 , rxpllphaselock5 => rxpllphaselock5 , masktxplllock5 => masktxplllock5 , txpcsrstn6 => txpcsrstn6 , rxpcsrstn6 => rxpcsrstn6 , g3txpcsrstn6 => g3txpcsrstn6 , g3rxpcsrstn6 => g3rxpcsrstn6 , txpmasyncp6 => txpmasyncp6 , rxpmarstb6 => rxpmarstb6 , txlcpllrstb6 => txlcpllrstb6 , offcalen6 => offcalen6 , frefclk6 => frefclk6 , offcaldone6 => offcaldone6 , txlcplllock6 => txlcplllock6 , rxfreqtxcmuplllock6 => rxfreqtxcmuplllock6 , rxpllphaselock6 => rxpllphaselock6 , masktxplllock6 => masktxplllock6 , txpcsrstn7 => txpcsrstn7 , rxpcsrstn7 => rxpcsrstn7 , g3txpcsrstn7 => g3txpcsrstn7 , g3rxpcsrstn7 => g3rxpcsrstn7 , txpmasyncp7 => txpmasyncp7 , rxpmarstb7 => rxpmarstb7 , txlcpllrstb7 => txlcpllrstb7 , offcalen7 => offcalen7 , frefclk7 => frefclk7 , offcaldone7 => offcaldone7 , txlcplllock7 => txlcplllock7 , rxfreqtxcmuplllock7 => rxfreqtxcmuplllock7 , rxpllphaselock7 => rxpllphaselock7 , masktxplllock7 => masktxplllock7 , txpcsrstn8 => txpcsrstn8 , rxpcsrstn8 => rxpcsrstn8 , g3txpcsrstn8 => g3txpcsrstn8 , g3rxpcsrstn8 => g3rxpcsrstn8 , txpmasyncp8 => txpmasyncp8 , rxpmarstb8 => rxpmarstb8 , txlcpllrstb8 => txlcpllrstb8 , offcalen8 => offcalen8 , frefclk8 => frefclk8 , offcaldone8 => offcaldone8 , txlcplllock8 => txlcplllock8 , rxfreqtxcmuplllock8 => rxfreqtxcmuplllock8 , rxpllphaselock8 => rxpllphaselock8 , masktxplllock8 => masktxplllock8 , txpcsrstn9 => txpcsrstn9 , rxpcsrstn9 => rxpcsrstn9 , g3txpcsrstn9 => g3txpcsrstn9 , g3rxpcsrstn9 => g3rxpcsrstn9 , txpmasyncp9 => txpmasyncp9 , rxpmarstb9 => rxpmarstb9 , txlcpllrstb9 => txlcpllrstb9 , offcalen9 => offcalen9 , frefclk9 => frefclk9 , offcaldone9 => offcaldone9 , txlcplllock9 => txlcplllock9 , rxfreqtxcmuplllock9 => rxfreqtxcmuplllock9 , rxpllphaselock9 => rxpllphaselock9 , masktxplllock9 => masktxplllock9 , txpcsrstn10 => txpcsrstn10 , rxpcsrstn10 => rxpcsrstn10 , g3txpcsrstn10 => g3txpcsrstn10 , g3rxpcsrstn10 => g3rxpcsrstn10 , txpmasyncp10 => txpmasyncp10 , rxpmarstb10 => rxpmarstb10 , txlcpllrstb10 => txlcpllrstb10 , offcalen10 => offcalen10 , frefclk10 => frefclk10 , offcaldone10 => offcaldone10 , txlcplllock10 => txlcplllock10 , rxfreqtxcmuplllock10 => rxfreqtxcmuplllock10 , rxpllphaselock10 => rxpllphaselock10 , masktxplllock10 => masktxplllock10 , txpcsrstn11 => txpcsrstn11 , rxpcsrstn11 => rxpcsrstn11 , g3txpcsrstn11 => g3txpcsrstn11 , g3rxpcsrstn11 => g3rxpcsrstn11 , txpmasyncp11 => txpmasyncp11 , rxpmarstb11 => rxpmarstb11 , txlcpllrstb11 => txlcpllrstb11 , offcalen11 => offcalen11 , frefclk11 => frefclk11 , offcaldone11 => offcaldone11 , txlcplllock11 => txlcplllock11 , rxfreqtxcmuplllock11 => rxfreqtxcmuplllock11 , rxpllphaselock11 => rxpllphaselock11 , masktxplllock11 => masktxplllock11 , reservedin => reservedin, reservedclkin => reservedclkin, reservedout => reservedout, reservedclkout => reservedclkout ); end behavior;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_13_fg_13_12.vhd,v 1.2 2001-10-26 16:29:35 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- configuration controller_with_timing of controller is for structural for state_reg : reg use entity work.reg(gate_level) generic map ( t_setup => 200 ps, t_hold => 150 ps, t_pd => 150 ps, width => width ); end for; -- . . . end for; end configuration controller_with_timing;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_13_fg_13_12.vhd,v 1.2 2001-10-26 16:29:35 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- configuration controller_with_timing of controller is for structural for state_reg : reg use entity work.reg(gate_level) generic map ( t_setup => 200 ps, t_hold => 150 ps, t_pd => 150 ps, width => width ); end for; -- . . . end for; end configuration controller_with_timing;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_13_fg_13_12.vhd,v 1.2 2001-10-26 16:29:35 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- configuration controller_with_timing of controller is for structural for state_reg : reg use entity work.reg(gate_level) generic map ( t_setup => 200 ps, t_hold => 150 ps, t_pd => 150 ps, width => width ); end for; -- . . . end for; end configuration controller_with_timing;
library IEEE; use IEEE.std_logic_1164.all; -- Alunos: Adan Pereira Gomes e Wesley Mayk Gama Luz entity regNbits is generic (N: positive := 5); port ( clock, reset, enable: in std_logic; data: in std_logic_vector((N - 1) downto 0); Q: out std_logic_vector((N - 1) downto 0) ); end entity; architecture circuito of regNbits is begin REG : process (clock, reset) begin if reset = '0' then Q <= (others => '0'); elsif rising_edge(clock) then if enable = '1' then Q <= data; end if; end if; end process; end architecture;
-- ------------------------------------------------------------- -- -- Entity Declaration for inst_ad_e -- -- Generated -- by: wig -- on: Wed Aug 18 12:41:45 2004 -- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../constant.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_ad_e-e.vhd,v 1.3 2004/08/18 10:47:03 wig Exp $ -- $Date: 2004/08/18 10:47:03 $ -- $Log: inst_ad_e-e.vhd,v $ -- Revision 1.3 2004/08/18 10:47:03 wig -- reworked some testcases -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.45 2004/08/09 15:48:14 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.32 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/enty -- -- -- Start of Generated Entity inst_ad_e -- entity inst_ad_e is -- Generics: -- No Generated Generics for Entity inst_ad_e -- Generated Port Declaration: -- No Generated Port for Entity inst_ad_e end inst_ad_e; -- -- End of Generated Entity inst_ad_e -- -- --!End of Entity/ies -- --------------------------------------------------------------
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0; USE proc_sys_reset_v5_0.proc_sys_reset; ENTITY cpu_rst_M_AXI_GP1_ACLK_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END cpu_rst_M_AXI_GP1_ACLK_100M_0; ARCHITECTURE cpu_rst_M_AXI_GP1_ACLK_100M_0_arch OF cpu_rst_M_AXI_GP1_ACLK_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF cpu_rst_M_AXI_GP1_ACLK_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END cpu_rst_M_AXI_GP1_ACLK_100M_0_arch;
library ieee; use ieee.std_logic_1164.all; entity foo is end entity; architecture fum of foo is constant A: std_logic_vector (7 downto 0) := X"04"; function slv_image(inp: std_logic_vector) return string is variable image_str: string (1 to inp'length); alias input_str: std_logic_vector (1 to inp'length) is inp; begin for i in input_str'range loop image_str(i) := character'VALUE(std_ulogic'IMAGE(input_str(i))); end loop; return image_str; end; begin SOME_LABEL: process begin wait for 1 ns; if A <= "00001011" then -- if A <= std_logic_vector'("00001011") then report "A = " & slv_image(A) ; end if; wait; end process; end architecture;
library ieee; use ieee.std_logic_1164.all; entity foo is end entity; architecture fum of foo is constant A: std_logic_vector (7 downto 0) := X"04"; function slv_image(inp: std_logic_vector) return string is variable image_str: string (1 to inp'length); alias input_str: std_logic_vector (1 to inp'length) is inp; begin for i in input_str'range loop image_str(i) := character'VALUE(std_ulogic'IMAGE(input_str(i))); end loop; return image_str; end; begin SOME_LABEL: process begin wait for 1 ns; if A <= "00001011" then -- if A <= std_logic_vector'("00001011") then report "A = " & slv_image(A) ; end if; wait; end process; end architecture;
library ieee; use ieee.std_logic_1164.all; entity foo is end entity; architecture fum of foo is constant A: std_logic_vector (7 downto 0) := X"04"; function slv_image(inp: std_logic_vector) return string is variable image_str: string (1 to inp'length); alias input_str: std_logic_vector (1 to inp'length) is inp; begin for i in input_str'range loop image_str(i) := character'VALUE(std_ulogic'IMAGE(input_str(i))); end loop; return image_str; end; begin SOME_LABEL: process begin wait for 1 ns; if A <= "00001011" then -- if A <= std_logic_vector'("00001011") then report "A = " & slv_image(A) ; end if; wait; end process; end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use work.vga_lib.all; entity VGA_sync_gen is port ( clk,rst : in std_logic; Hcount,Vcount : out std_logic_vector(COUNT_WIDTH-1 downto 0); Horiz_Sync,Vert_Sync,Video_on : out std_logic ); end VGA_sync_gen; architecture bhv of VGA_sync_gen is signal Hcount_temp, Vcount_temp : unsigned(COUNT_WIDTH-1 downto 0); begin Hcount <= std_logic_vector(Hcount_temp); Vcount <= std_logic_vector(Vcount_temp); process(clk,rst) begin if(rst = '1') then Hcount_temp <= (others => '0'); Vcount_temp <= (others => '0'); elsif(rising_edge(clk)) then if(Hcount_temp = H_MAX) then Hcount_temp <= (others => '0'); else Hcount_temp <= Hcount_temp + 1; end if; if(Vcount_temp = V_MAX) then Vcount_temp <= (others => '0'); elsif(Hcount_temp = H_VERT_INC) then Vcount_temp <= Vcount_temp + 1; end if; end if; end process; process(Hcount_temp,Vcount_temp) begin if(Hcount_temp >= HSYNC_BEGIN and Hcount_temp <= HSYNC_END) then Horiz_Sync <= '0'; --active low else Horiz_Sync <= '1'; end if; if(Vcount_temp >= VSYNC_BEGIN and Vcount_temp <= VSYNC_END) then Vert_Sync <= '0'; else Vert_Sync <= '1'; end if; if((Hcount_temp >= 0 and Hcount_temp <= H_DISPLAY_END) and (Vcount_temp >= 0 and Vcount_temp <= V_DISPLAY_END)) then Video_on <= '1'; --this one is active high else Video_on <= '0'; end if; end process; end bhv;
------------------------------------------------------------------- -- System Generator version 11.1.00 VHDL source file. -- -- Copyright(C) 2008 by Xilinx, Inc. All rights reserved. This -- text/file contains proprietary, confidential information of Xilinx, -- Inc., is distributed under license from Xilinx, Inc., and may be used, -- copied and/or disclosed only pursuant to the terms of a valid license -- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use -- this text/file solely for design, simulation, implementation and -- creation of design files limited to Xilinx devices or technologies. -- Use with non-Xilinx devices or technologies is expressly prohibited -- and immediately terminates your license unless covered by a separate -- agreement. -- -- Xilinx is providing this design, code, or information "as is" solely -- for use in developing programs and solutions for Xilinx devices. By -- providing this design, code, or information as one possible -- implementation of this feature, application or standard, Xilinx is -- making no representation that this implementation is free from any -- claims of infringement. You are responsible for obtaining any rights -- you may require for your implementation. Xilinx expressly disclaims -- any warranty whatsoever with respect to the adequacy of the -- implementation, including but not limited to warranties of -- merchantability or fitness for a particular purpose. -- -- Xilinx products are not intended for use in life support appliances, -- devices, or systems. Use in such applications is expressly prohibited. -- -- Any modifications that are made to the source code are done at the user's -- sole risk and will be unsupported. -- -- This copyright and support notice must be retained as part of this -- text at all times. (c) Copyright 1995-2007 Xilinx, Inc. All rights -- reserved. ------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity plbaddrpref is generic ( C_BASEADDR : std_logic_vector(31 downto 0) := X"80000000"; C_HIGHADDR : std_logic_vector(31 downto 0) := X"8000FFFF"; C_SPLB_DWIDTH : integer range 32 to 128 := 32; C_SPLB_NATIVE_DWIDTH : integer range 32 to 32 := 32 ); port ( addrpref : out std_logic_vector(20-1 downto 0); sl_rddbus : out std_logic_vector(0 to C_SPLB_DWIDTH-1); plb_wrdbus : in std_logic_vector(0 to C_SPLB_DWIDTH-1); sgsl_rddbus : in std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1); sgplb_wrdbus : out std_logic_vector(0 to C_SPLB_NATIVE_DWIDTH-1) ); end plbaddrpref; architecture behavior of plbaddrpref is signal sl_rddbus_i : std_logic_vector(0 to C_SPLB_DWIDTH-1); begin addrpref <= C_BASEADDR(32-1 downto 12); ------------------------------------------------------------------------------- -- Mux/Steer data/be's correctly for connect 32-bit slave to 128-bit plb ------------------------------------------------------------------------------- GEN_128_TO_32_SLAVE : if C_SPLB_NATIVE_DWIDTH = 32 and C_SPLB_DWIDTH = 128 generate begin ----------------------------------------------------------------------- -- Map lower rd data to each quarter of the plb slave read bus ----------------------------------------------------------------------- sl_rddbus_i(0 to 31) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); sl_rddbus_i(32 to 63) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); sl_rddbus_i(64 to 95) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); sl_rddbus_i(96 to 127) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); end generate GEN_128_TO_32_SLAVE; ------------------------------------------------------------------------------- -- Mux/Steer data/be's correctly for connect 32-bit slave to 64-bit plb ------------------------------------------------------------------------------- GEN_64_TO_32_SLAVE : if C_SPLB_NATIVE_DWIDTH = 32 and C_SPLB_DWIDTH = 64 generate begin --------------------------------------------------------------------------- -- Map lower rd data to upper and lower halves of plb slave read bus --------------------------------------------------------------------------- sl_rddbus_i(0 to 31) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); sl_rddbus_i(32 to 63) <= sgsl_rddbus(0 to C_SPLB_NATIVE_DWIDTH-1); end generate GEN_64_TO_32_SLAVE; ------------------------------------------------------------------------------- -- IPIF DWidth = PLB DWidth -- If IPIF Slave Data width is equal to the PLB Bus Data Width -- Then BE and Read Data Bus map directly to eachother. ------------------------------------------------------------------------------- GEN_FOR_EQUAL_SLAVE : if C_SPLB_NATIVE_DWIDTH = C_SPLB_DWIDTH generate sl_rddbus_i <= sgsl_rddbus; end generate GEN_FOR_EQUAL_SLAVE; sl_rddbus <= sl_rddbus_i; sgplb_wrdbus <= plb_wrdbus(0 to C_SPLB_NATIVE_DWIDTH-1); end behavior; library IEEE; use IEEE.std_logic_1164.all; use work.conv_pkg.all; entity sg_xsvi_fanout_plbw is generic ( C_BASEADDR: std_logic_vector(31 downto 0) := X"80000000"; C_HIGHADDR: std_logic_vector(31 downto 0) := X"80000FFF"; C_SPLB_AWIDTH: integer := 0; C_SPLB_DWIDTH: integer := 0; C_SPLB_MID_WIDTH: integer := 0; C_SPLB_NATIVE_DWIDTH: integer := 0; C_SPLB_NUM_MASTERS: integer := 0; C_SPLB_SUPPORT_BURSTS: integer := 0 ); port ( active_video_i: in std_logic; hblank_i: in std_logic; hsync_i: in std_logic; plb_abus: in std_logic_vector(0 to 31); plb_pavalid: in std_logic; plb_rnw: in std_logic; plb_wrdbus: in std_logic_vector(0 to C_SPLB_DWIDTH-1); splb_clk: in std_logic; splb_rst: in std_logic; sysgen_clk: in std_logic; vblank_i: in std_logic; video_data_i: in std_logic_vector(0 to 23); vsync_i: in std_logic; active_video_o: out std_logic; hblank_o: out std_logic; hsync_o: out std_logic; sl_addrack: out std_logic; sl_rdcomp: out std_logic; sl_rddack: out std_logic; sl_rddbus: out std_logic_vector(0 to C_SPLB_DWIDTH-1); sl_wait: out std_logic; sl_wrcomp: out std_logic; sl_wrdack: out std_logic; vblank_o: out std_logic; video_data_o: out std_logic_vector(0 to 23); vsync_o: out std_logic ); end sg_xsvi_fanout_plbw; architecture structural of sg_xsvi_fanout_plbw is signal active_video_i_x0: std_logic; signal active_video_o_x0: std_logic; signal clk: std_logic; signal hblank_i_x0: std_logic; signal hblank_o_x0: std_logic; signal hsync_i_x0: std_logic; signal hsync_o_x0: std_logic; signal plb_abus_x0: std_logic_vector(31 downto 0); signal plb_pavalid_x0: std_logic; signal plb_rnw_x0: std_logic; signal plbaddrpref_addrpref_net: std_logic_vector(19 downto 0); signal plbaddrpref_plb_wrdbus_net: std_logic_vector(C_SPLB_DWIDTH-1 downto 0); signal plbaddrpref_sgplb_wrdbus_net: std_logic_vector(31 downto 0); signal plbaddrpref_sgsl_rddbus_net: std_logic_vector(31 downto 0); signal plbaddrpref_sl_rddbus_net: std_logic_vector(C_SPLB_DWIDTH-1 downto 0); signal sl_addrack_x0: std_logic; signal sl_rdcomp_x0: std_logic; signal sl_rddack_x0: std_logic; signal sl_wait_x0: std_logic; signal sl_wrcomp_x0: std_logic; signal sl_wrdack_x0: std_logic; signal splb_rst_x0: std_logic; signal vblank_i_x0: std_logic; signal vblank_o_x0: std_logic; signal video_data_i_x0: std_logic_vector(23 downto 0); signal video_data_o_x0: std_logic_vector(23 downto 0); signal vsync_i_x0: std_logic; signal vsync_o_x0: std_logic; signal xps_clk: std_logic; begin active_video_i_x0 <= active_video_i; hblank_i_x0 <= hblank_i; hsync_i_x0 <= hsync_i; plb_abus_x0 <= plb_abus; plb_pavalid_x0 <= plb_pavalid; plb_rnw_x0 <= plb_rnw; plbaddrpref_plb_wrdbus_net <= plb_wrdbus; xps_clk <= splb_clk; splb_rst_x0 <= splb_rst; clk <= sysgen_clk; vblank_i_x0 <= vblank_i; video_data_i_x0 <= video_data_i; vsync_i_x0 <= vsync_i; active_video_o <= active_video_o_x0; hblank_o <= hblank_o_x0; hsync_o <= hsync_o_x0; sl_addrack <= sl_addrack_x0; sl_rdcomp <= sl_rdcomp_x0; sl_rddack <= sl_rddack_x0; sl_rddbus <= plbaddrpref_sl_rddbus_net; sl_wait <= sl_wait_x0; sl_wrcomp <= sl_wrcomp_x0; sl_wrdack <= sl_wrdack_x0; vblank_o <= vblank_o_x0; video_data_o <= video_data_o_x0; vsync_o <= vsync_o_x0; plbaddrpref_x0: entity work.plbaddrpref generic map ( C_BASEADDR => C_BASEADDR, C_HIGHADDR => C_HIGHADDR, C_SPLB_DWIDTH => C_SPLB_DWIDTH, C_SPLB_NATIVE_DWIDTH => C_SPLB_NATIVE_DWIDTH ) port map ( plb_wrdbus => plbaddrpref_plb_wrdbus_net, sgsl_rddbus => plbaddrpref_sgsl_rddbus_net, addrpref => plbaddrpref_addrpref_net, sgplb_wrdbus => plbaddrpref_sgplb_wrdbus_net, sl_rddbus => plbaddrpref_sl_rddbus_net ); sysgen_dut: entity work.sg_xsvi_fanout_cw port map ( active_video_i => active_video_i_x0, clk => clk, hblank_i => hblank_i_x0, hsync_i => hsync_i_x0, plb_abus => plb_abus_x0, plb_pavalid => plb_pavalid_x0, plb_rnw => plb_rnw_x0, plb_wrdbus => plbaddrpref_sgplb_wrdbus_net, sg_plb_addrpref => plbaddrpref_addrpref_net, splb_rst => splb_rst_x0, vblank_i => vblank_i_x0, video_data_i => video_data_i_x0, vsync_i => vsync_i_x0, xps_clk => xps_clk, active_video_o => active_video_o_x0, hblank_o => hblank_o_x0, hsync_o => hsync_o_x0, sl_addrack => sl_addrack_x0, sl_rdcomp => sl_rdcomp_x0, sl_rddack => sl_rddack_x0, sl_rddbus => plbaddrpref_sgsl_rddbus_net, sl_wait => sl_wait_x0, sl_wrcomp => sl_wrcomp_x0, sl_wrdack => sl_wrdack_x0, vblank_o => vblank_o_x0, video_data_o => video_data_o_x0, vsync_o => vsync_o_x0 ); end structural;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2006, Gideon's Logic Architectures -- ------------------------------------------------------------------------------- -- Title : Floppy Parameter memory ------------------------------------------------------------------------------- -- File : floppy.vhd -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: This module implements the emulator of the floppy drive. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity floppy_param_mem is port ( clock : in std_logic; reset : in std_logic; cpu_write : in std_logic; cpu_ram_en : in std_logic; cpu_addr : in std_logic_vector(10 downto 0); cpu_wdata : in std_logic_vector(7 downto 0); cpu_rdata : out std_logic_vector(7 downto 0); track : in std_logic_vector(6 downto 0); bit_time : out unsigned(8 downto 0); track_start : out std_logic_vector(25 downto 0); max_offset : out std_logic_vector(13 downto 0) ); end floppy_param_mem; architecture gideon of floppy_param_mem is signal toggle : std_logic; signal param_addr : std_logic_vector(8 downto 0); signal param_data : std_logic_vector(31 downto 0); begin ram: RAMB16_S9_S36 port map ( CLKA => clock, SSRA => reset, ENA => cpu_ram_en, WEA => cpu_write, ADDRA => cpu_addr, DIA => cpu_wdata, DIPA => "0", DOA => cpu_rdata, DOPA => open, CLKB => clock, SSRB => reset, ENB => '1', WEB => '0', ADDRB => param_addr, DIB => X"00000000", DIPB => X"0", DOB(31 downto 24) => param_data(7 downto 0), -- CPU writes big endian, bram is little endian DOB(23 downto 16) => param_data(15 downto 8), DOB(15 downto 8) => param_data(23 downto 16), DOB(7 downto 0) => param_data(31 downto 24), DOPB => open ); param_addr <= '0' & track & toggle; process(clock) begin if rising_edge(clock) then if toggle='1' then -- even addresses (one clock later) track_start <= param_data(track_start'range); else max_offset <= param_data(max_offset'range); bit_time <= unsigned(param_data(bit_time'high+16 downto 16)); end if; if reset='1' then toggle <= '0'; else toggle <= not toggle; end if; end if; end process; end gideon;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 05.07.2017 16:36:50 -- Design Name: -- Module Name: fsm_dds_wrapper - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity fsm_dds_wrapper is Port ( clock : in STD_LOGIC; reset_n : in STD_LOGIC; valid_in : in STD_LOGIC; count_hit : in STD_LOGIC; valid_in_out : out STD_LOGIC; reset_n_all : out STD_LOGIC; done : out STD_LOGIC); end fsm_dds_wrapper; architecture Behavioral of fsm_dds_wrapper is type state is (idle, reset_dds, waiting_for_count_hit, op_done); signal current_state, next_state : state := idle; begin registro_stato : process(clock, reset_n, next_state) begin if(reset_n = '0') then current_state <= idle; elsif(rising_edge(clock)) then current_state <= next_state; end if; end process; fsm_next_state : process(current_state, reset_n, valid_in, count_hit) begin case current_state is when idle => if(valid_in = '1') then next_state <= reset_dds; else next_state <= idle; end if; when reset_dds => next_state <= waiting_for_count_hit; when waiting_for_count_hit => if(count_hit = '1') then next_state <= op_done; else next_state <= waiting_for_count_hit; end if; when op_done => if(valid_in = '1') then next_state <= reset_dds; else next_state <= op_done; end if; end case; end process; fsm_output : process(current_state) begin valid_in_out <= '0'; reset_n_all <= '1'; done <= '0'; case current_state is when idle => reset_n_all <= '0'; when reset_dds => valid_in_out <= '1'; reset_n_all <= '0'; when waiting_for_count_hit => when op_done => reset_n_all <= '0'; done <= '1'; end case; end process; end Behavioral;
-------------------------------------------------------------------------------- -- Copyright (c) 2019 David Banks -- -- based on work by Alan Daly. Copyright(c) 2009. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / -- \ \ \/ -- \ \ -- / / Filename : AtomFpga_Core -- /___/ /\ Timestamp : 02/03/2013 06:17:50 -- \ \ / \ -- \___\/\___\ -- --Design Name: Atomic_top.vhf library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity AtomFpga_Core is generic ( CImplCpu65c02 : boolean := false; CImplDebugger : boolean := false; CImplSDDOS : boolean; CImplAtoMMC2 : boolean; CImplGraphicsExt : boolean; CImplSoftChar : boolean; CImplSID : boolean; CImplVGA80x40 : boolean; CImplHWScrolling : boolean; CImplMouse : boolean; CImplUart : boolean; CImplDoubleVideo : boolean; CImplRamRomNone : boolean; CImplRamRomPhill : boolean; CImplRamRomAtom2015 : boolean; CImplRamRomSchakelKaart : boolean; CImplVIA : boolean := true; CImplProfilingCounters : boolean := false; MainClockSpeed : integer; DefaultBaud : integer ); port ( -- Clocking clk_vga : in std_logic; -- nominally 25.175MHz VGA clock clk_main : in std_logic; -- clock for the main system clk_avr : in std_logic; -- clock for the AtoMMC AVR clk_avr_debug : in std_logic; -- clock for the Debugger AVR clk_dac : in std_logic; -- fast clock for the 1-bit DAC clk_32M00 : in std_logic; -- fixed clock, used for SID and casette -- Keyboard/mouse kbd_pa : out std_logic_vector(3 downto 0); kbd_pb : in std_logic_vector(7 downto 0) := (others => '1'); kbd_pc : in std_logic_vector(6 downto 6) := (others => '1'); ps2_clk : in std_logic; ps2_data : in std_logic; ps2_mouse_clk : inout std_logic; ps2_mouse_data : inout std_logic; -- Resets powerup_reset_n : in std_logic := '1'; -- power up reset only (optional) ext_reset_n : in std_logic := '1'; -- external bus reset (optional) int_reset_n : out std_logic; -- internal bus reset (e.g. PS/2 break) -- Video red : out std_logic_vector (2 downto 0); green : out std_logic_vector (2 downto 0); blue : out std_logic_vector (2 downto 0); vsync : out std_logic; hsync : out std_logic; blank : out std_logic; -- External 6502 bus interface phi2 : out std_logic; sync : out std_logic; rnw : out std_logic; addr : out std_logic_vector(15 downto 0); rdy : in std_logic := '1'; so : in std_logic := '1'; irq_n : in std_logic := '1'; nmi_n : in std_logic := '1'; -- External Bus/Ram/Rom interface ExternBus : out std_logic; ExternCE : out std_logic; ExternWE : out std_logic; ExternA : out std_logic_vector (18 downto 0); ExternDin : out std_logic_vector (7 downto 0); ExternDout : in std_logic_vector (7 downto 0); -- Audio sid_audio_d : out std_logic_vector (17 downto 0); sid_audio : out std_logic; atom_audio : out std_logic; -- SD Card SDMISO : in std_logic; SDSS : out std_logic; SDCLK : out std_logic; SDMOSI : out std_logic; -- Serial uart_RxD : in std_logic; uart_TxD : out std_logic; avr_RxD : in std_logic; avr_TxD : out std_logic; -- Cassette cas_in : in std_logic := '0'; cas_out : out std_logic; -- Misc LED1 : out std_logic; LED2 : out std_logic; charSet : in std_logic; Joystick1 : in std_logic_vector (7 downto 0) := (others => '1'); Joystick2 : in std_logic_vector (7 downto 0) := (others => '1') ); end AtomFpga_Core; architecture BEHAVIORAL of AtomFpga_Core is function InitBFFE_Atom2015 return std_logic_vector is begin if CImplAtoMMC2 then -- Use OS ROM Bank 0 (contains AVR AtoMMC) return x"00"; else -- Use OS ROM Bank 1 (contains PIC AtoMMC) return x"08"; end if; end function; ------------------------------------------------- -- Clocks and enables ------------------------------------------------- signal clk_counter : std_logic_vector(4 downto 0); signal cpu_cycle : std_logic; signal cpu_clken : std_logic; signal pia_clken : std_logic; signal sample_data : std_logic; ------------------------------------------------- -- CPU signals ------------------------------------------------- signal powerup_reset_n_sync : std_logic; signal ext_reset_n_sync : std_logic; signal RSTn : std_logic; signal cpu_R_W_n : std_logic; signal not_cpu_R_W_n : std_logic; signal cpu_addr : std_logic_vector (15 downto 0); signal cpu_din : std_logic_vector (7 downto 0); signal cpu_dout : std_logic_vector (7 downto 0); signal cpu_IRQ_n : std_logic; signal cpu_NMI_n : std_logic; signal ExternDin1 : std_logic_vector (7 downto 0); signal ExternDout1 : std_logic_vector (7 downto 0); --------------------------------------------------- -- VDG signals --------------------------------------------------- signal vdg_fs_n : std_logic; signal vdg_an_g : std_logic; signal vdg_gm : std_logic_vector(2 downto 0); signal vdg_css : std_logic; signal vdg_red : std_logic; signal vdg_green1 : std_logic; signal vdg_green0 : std_logic; signal vdg_blue : std_logic; signal vdg_hsync : std_logic; signal vdg_vsync : std_logic; signal vdg_blank : std_logic; ---------------------------------------------------- -- Device enables ---------------------------------------------------- signal mc6522_enable : std_logic; signal i8255_enable : std_logic; signal ext_ramrom_enable : std_logic; signal ext_bus_enable : std_logic; signal video_ram_enable : std_logic; signal reg_enable : std_logic; signal sid_enable : std_logic; signal uart_enable : std_logic; signal counter_enable : std_logic; signal gated_we : std_logic; signal video_ram_we : std_logic; signal reg_we : std_logic; signal sid_we : std_logic; signal uart_we : std_logic; ---------------------------------------------------- -- External data ---------------------------------------------------- signal extern_data : std_logic_vector(7 downto 0); signal godil_data : std_logic_vector(7 downto 0); ---------------------------------------------------- -- 6522 signals ---------------------------------------------------- signal via4_clken : std_logic; signal via1_clken : std_logic; signal mc6522_data : std_logic_vector(7 downto 0); signal mc6522_irq : std_logic; signal mc6522_ca1 : std_logic; signal mc6522_ca2 : std_logic; signal mc6522_cb1 : std_logic; signal mc6522_cb2 : std_logic; signal mc6522_porta : std_logic_vector(7 downto 0); signal mc6522_portb : std_logic_vector(7 downto 0); ---------------------------------------------------- -- 8255 signals ---------------------------------------------------- signal i8255_pa_data : std_logic_vector(7 downto 0); signal i8255_pb_idata : std_logic_vector(7 downto 0); signal i8255_pc_data : std_logic_vector(7 downto 0); signal i8255_pc_idata : std_logic_vector(7 downto 0); signal i8255_data : std_logic_vector(7 downto 0); signal i8255_rd : std_logic; signal ps2dataout : std_logic_vector(5 downto 0); signal key_shift : std_logic; signal key_ctrl : std_logic; signal key_repeat : std_logic; signal key_break : std_logic; signal key_escape : std_logic; signal key_turbo : std_logic_vector(1 downto 0); signal cas_divider : std_logic_vector(15 downto 0); signal cas_tone : std_logic; signal turbo : std_logic_vector(1 downto 0); signal turbo_synced : std_logic_vector(1 downto 0); ---------------------------------------------------- -- AtoMMC signals ---------------------------------------------------- signal pl8_enable : std_logic; signal pl8_data : std_logic_vector (7 downto 0); signal uart_escape : std_logic; signal uart_break : std_logic; signal nARD : std_logic; signal nAWR : std_logic; signal AVRA0 : std_logic; signal AVRInt : std_logic; signal AVRDataIn : std_logic_vector (7 downto 0); signal AVRDataOut : std_logic_vector (7 downto 0); signal ioport : std_logic_vector (7 downto 0); signal LED1n : std_logic; signal LED2n : std_logic; signal avr_TxD_debugger : std_logic; signal avr_TxD_atommc : std_logic; ---------------------------------------------------- -- Profiling Counter Signals ---------------------------------------------------- signal p_counter_ctrl : std_logic_vector (7 downto 0); signal p_counter_data : std_logic_vector (7 downto 0); signal p_divider_latch : std_logic_vector (31 downto 0); signal p_divider_counter : std_logic_vector (31 downto 0); signal p_profile_counter : std_logic_vector (31 downto 0); signal p_reset : std_logic; signal p_pause : std_logic; signal p_reset_last : std_logic; -------------------------------------------------------------------- -- here it begin :) -------------------------------------------------------------------- begin --------------------------------------------------------------------- -- 6502 CPU (using a wrapper module --------------------------------------------------------------------- cpu : entity work.CpuWrapper generic map ( CImplDebugger => CImplDebugger, CImplCpu65c02 => CImplCpu65c02 ) port map ( clk_main => clk_main, clk_avr => clk_avr_debug, cpu_clken => cpu_clken, IRQ_n => cpu_IRQ_n, NMI_n => nmi_n, RST_n => RSTn, PRST_n => powerup_reset_n, SO => So, RDY => Rdy, Din => cpu_din, Dout => cpu_dout, R_W_n => cpu_R_W_n, Sync => Sync, Addr => cpu_addr, avr_RxD => avr_RxD, avr_TxD => avr_TxD_debugger ); not_cpu_R_W_n <= not cpu_R_W_n; cpu_IRQ_n <= irq_n and mc6522_irq when CImplVIA else irq_n; cpu_NMI_n <= nmi_n; avr_TxD <= avr_TxD_debugger and avr_TxD_atommc; -- reset logic process(clk_main) begin if rising_edge(clk_main) then powerup_reset_n_sync <= powerup_reset_n; ext_reset_n_sync <= ext_reset_n; RSTn <= key_break and powerup_reset_n_sync and ext_reset_n_sync; int_reset_n <= key_break; end if; end process; -- write enables gated_we <= not_cpu_R_W_n; uart_we <= gated_we; video_ram_we <= gated_we and video_ram_enable; reg_we <= gated_we; sid_we <= gated_we; -- external bus rnw <= cpu_R_W_n; addr <= cpu_addr; --------------------------------------------------------------------- -- Atom GODIL Video adapter --------------------------------------------------------------------- Inst_AtomGodilVideo : entity work.AtomGodilVideo generic map ( CImplGraphicsExt => CImplGraphicsExt, CImplSoftChar => CImplSoftChar, CImplSID => CImplSID, CImplVGA80x40 => CImplVGA80x40, CImplHWScrolling => CImplHWScrolling, CImplMouse => CImplMouse, CImplUart => CImplUart, CImplDoubleVideo => CImplDoubleVideo, MainClockSpeed => MainClockSpeed, DefaultBaud => DefaultBaud ) port map ( clock_vga => clk_vga, clock_main => clk_main, clock_sid_32Mhz => clk_32M00, clock_sid_dac => clk_dac, reset => not RSTn, reset_vid => '0', din => cpu_dout, dout => godil_data, addr => cpu_addr(12 downto 0), CSS => vdg_css, AG => vdg_an_g, GM => vdg_gm, nFS => vdg_fs_n, ram_we => video_ram_we, reg_cs => reg_enable, reg_we => reg_we, sid_cs => sid_enable, sid_we => sid_we, sid_audio => sid_audio, sid_audio_d => sid_audio_d, PS2_CLK => ps2_mouse_clk, PS2_DATA => ps2_mouse_data, uart_cs => uart_enable, uart_we => uart_we, uart_RxD => uart_RxD, uart_TxD => uart_TxD, uart_escape => uart_escape, uart_break => uart_break, final_red => vdg_red, final_green1 => vdg_green1, final_green0 => vdg_green0, final_blue => vdg_blue, final_vsync => vdg_vsync, final_hsync => vdg_hsync, final_blank => vdg_blank, charSet => charSet ); -- external ouputs red(2 downto 0) <= vdg_red & vdg_red & vdg_red; green(2 downto 0) <= vdg_green1 & vdg_green0 & vdg_green0; blue(2 downto 0) <= vdg_blue & vdg_blue & vdg_blue; vsync <= vdg_vsync; hsync <= vdg_hsync; blank <= vdg_blank; --------------------------------------------------------------------- -- 8255 PIA --------------------------------------------------------------------- pia : entity work.I82C55 port map( I_ADDR => cpu_addr(1 downto 0), -- A1-A0 I_DATA => cpu_dout, -- D7-D0 O_DATA => i8255_data, CS_H => i8255_enable, WR_L => cpu_R_W_n, O_PA => i8255_pa_data, I_PB => i8255_pb_idata, I_PC => i8255_pc_idata(7 downto 4), O_PC => i8255_pc_data(3 downto 0), RESET => RSTn, ENA => pia_clken, CLK => clk_main); -- Port A -- bits 7..4 (output) determine the 6847 graphics mode -- bits 3..0 (output) drive the keyboard matrix vdg_gm <= i8255_pa_data(7 downto 5) when RSTn='1' else "000"; vdg_an_g <= i8255_pa_data(4) when RSTn='1' else '0'; kbd_pa <= i8255_pa_data(3 downto 0); -- Port B -- bits 7..0 (input) read the keyboard matrix i8255_pb_idata <= (key_shift & key_ctrl & ps2dataout) and (kbd_pb); -- Port C -- bit 7 (input) FS from the 6847 -- bit 6 (input) Repeat from the keyboard matrix -- bit 5 (input) Cassette input -- bit 4 (input) 2.4KHz tone input -- bit 3 (output) CSS to the 6847 -- bit 2 (output) Audio -- bit 1 (output) Enable 2.4KHz tone to casette output -- bit 0 (output) Cassette output vdg_css <= i8255_pc_data(3) when RSTn='1' else '0'; atom_audio <= i8255_pc_data(2); i8255_pc_idata <= vdg_fs_n & (key_repeat and kbd_pc(6)) & cas_in & cas_tone & i8255_pc_data (3 downto 0); -- Cassette divider -- 32 MHz / 2 / 13 / 16 / 16 = 4807 Hz process(clk_32M00) begin if rising_edge(clk_32M00) then if cas_divider = 0 then cas_divider <= x"19FF"; cas_tone <= not cas_tone; else cas_divider <= cas_divider - 1; end if; end if; end process; -- this is a direct translation of the logic in the atom -- (two NAND gates and an inverter) cas_out <= not(not((not cas_tone) and i8255_pc_data(1)) and i8255_pc_data(0)); --------------------------------------------------------------------- -- PS/2 Keyboard Emulation --------------------------------------------------------------------- input : entity work.keyboard port map( CLOCK => clk_main, nRESET => powerup_reset_n_sync, CLKEN_1MHZ => cpu_clken, PS2_CLK => ps2_clk, PS2_DATA => ps2_data, KEYOUT => ps2dataout, ROW => i8255_pa_data(3 downto 0), ESC_IN => uart_escape, BREAK_IN => uart_break, SHIFT_OUT => key_shift, CTRL_OUT => key_ctrl, REPEAT_OUT => key_repeat, BREAK_OUT => key_break, TURBO => key_turbo, ESC_OUT => key_escape, Joystick1 => Joystick1, Joystick2 => Joystick2 ); --------------------------------------------------------------------- -- 6522 VIA --------------------------------------------------------------------- Inst_via: if (CImplVIA) generate via : entity work.M6522 port map( I_RS => cpu_addr(3 downto 0), I_DATA => cpu_dout, O_DATA => mc6522_data(7 downto 0), I_RW_L => cpu_R_W_n, I_CS1 => mc6522_enable, I_CS2_L => '0', O_IRQ_L => mc6522_irq, I_CA1 => mc6522_ca1, I_CA2 => mc6522_ca2, O_CA2 => mc6522_ca2, I_PA => mc6522_porta(7 downto 0), O_PA => mc6522_porta(7 downto 0), I_CB1 => mc6522_cb1, O_CB1 => mc6522_cb1, I_CB2 => mc6522_cb2, O_CB2 => mc6522_cb2, I_PB => mc6522_portb(7 downto 0), O_PB => mc6522_portb(7 downto 0), RESET_L => RSTn, I_P2_H => via1_clken, ENA_4 => via4_clken, CLK => clk_main); mc6522_ca1 <= '1'; end generate; -------------------------------------------------------- -- SDDOS -------------------------------------------------------- Inst_spi: if (CImplSDDOS) generate Inst_spi_comp : entity work.SPI_Port port map ( nRST => RSTn, clk => clk_main, enable => pl8_enable, nwe => cpu_R_W_n, address => cpu_addr(2 downto 0), datain => cpu_dout, dataout => pl8_data, MISO => SDMISO, MOSI => SDMOSI, NSS => SDSS, SPICLK => SDCLK ); LED1 <= '0'; LED2 <= '0'; end generate; -------------------------------------------------------- -- AtomMMC -------------------------------------------------------- Inst_atommc2: if (CImplAtoMMC2) generate Inst_AVR8: entity work.AVR8 generic map( CDATAMEMSIZE => 4096, CPROGMEMSIZE => 9216, FILENAME => "avr_progmem_atommc2.data", CImplPORTA => TRUE, CImplPORTB => TRUE, CImplPORTD => TRUE, CImplPORTE => TRUE, CImplUART => TRUE, CImplSPI => TRUE, CImplExtIRQ => TRUE ) port map( clk16M => clk_avr, nrst => RSTn, portain => AVRDataOut, portaout => AVRDataIn, portbin(0) => '0', portbin(1) => '0', portbin(2) => '0', portbin(3) => '0', portbin(4) => AVRInt, portbin(5) => '0', portbin(6) => '0', portbin(7) => '0', portbout(0) => nARD, portbout(1) => nAWR, portbout(2) => open, portbout(3) => AVRA0, portbout(4) => open, portbout(5) => open, portbout(6) => LED1n, portbout(7) => LED2n, portdin => (others => '0'), portdout(0) => open, portdout(1) => open, portdout(2) => open, portdout(3) => open, portdout(4) => SDSS, portdout(5) => open, portdout(6) => open, portdout(7) => open, -- FUDLR portein => ioport, porteout => open, spi_mosio => SDMOSI, spi_scko => SDCLK, spi_misoi => SDMISO, rxd => avr_RxD, txd => avr_TxD_atommc ); ioport <= "111" & Joystick1(5) & Joystick1(0) & Joystick1(1) & Joystick1(2) & Joystick1(3); Inst_AtomPL8: entity work.AtomPL8 port map( clk => clk_main, enable => pl8_enable, nRST => RSTn, RW => cpu_R_W_n, Addr => cpu_addr(2 downto 0), DataIn => cpu_dout, DataOut => pl8_data, AVRDataIn => AVRDataIn, AVRDataOut => AVRDataOut, nARD => nARD, nAWR => nAWR, AVRA0 => AVRA0, AVRINTOut => AVRInt, AtomIORDOut => open, AtomIOWROut => open ); LED1 <= not LED1n; LED2 <= not LED2n; end generate; Inst_not_atommc2: if not CImplAtoMMC2 generate avr_TxD_atommc <= '1'; end generate; --------------------------------------------------------------------- -- No SD Filesystem --------------------------------------------------------------------- Inst_no_atommc2: if ((not CImplSDDOS) and (not CImplAtoMMC2)) generate SDCLK <= '1'; SDSS <= '1'; SDMOSI <= '1'; LED1 <= '0'; LED2 <= '0'; end generate; --------------------------------------------------------------------- -- Ram Rom board functionality --------------------------------------------------------------------- process(clk_main) begin if rising_edge(clk_main) then if sample_data = '1' then ExternDout1 <= ExternDout; end if; end if; end process; Inst_RamRomNone: if (CImplRamRomNone) generate Inst_RamRomNone_comp: entity work.RamRom_None port map( clock => clk_main, reset_n => RSTn, -- signals from/to 6502 cpu_addr => cpu_addr, cpu_we => not_cpu_R_W_n, cpu_dout => cpu_dout, cpu_din => extern_data, -- signals from/to external memory system ExternCE => ExternCE, ExternWE => ExternWE, ExternA => ExternA, ExternDin => ExternDin1, ExternDout => ExternDout1 ); turbo <= key_turbo; end generate; Inst_RamRomPhill: if (CImplRamRomPhill) generate Inst_RamRomPhill_comp: entity work.RamRom_Phill port map( clock => clk_main, reset_n => RSTn, -- signals from/to 6502 cpu_addr => cpu_addr, cpu_we => not_cpu_R_W_n, cpu_dout => cpu_dout, cpu_din => extern_data, -- signals from/to external memory system ExternCE => ExternCE, ExternWE => ExternWE, ExternA => ExternA, ExternDin => ExternDin1, ExternDout => ExternDout1 ); turbo <= key_turbo; end generate; Inst_RamRomAtom2015: if (CImplRamRomAtom2015) generate Inst_RamRomAtom2015_comp: entity work.RamRom_Atom2015 generic map( InitBFFE => InitBFFE_Atom2015, InitBFFF => x"00" ) port map( clock => clk_main, reset_n => RSTn, -- signals from/to 6502 cpu_addr => cpu_addr, cpu_we => not_cpu_R_W_n, cpu_dout => cpu_dout, cpu_din => extern_data, -- signals from/to external memory system ExternCE => ExternCE, ExternWE => ExternWE, ExternA => ExternA, ExternDin => ExternDin1, ExternDout => ExternDout1, -- turbo mode control turbo_in => key_turbo, turbo_out => turbo ); end generate; Inst_RamRomSchakelKaart: if (CImplRamRomSchakelKaart) generate Inst_RamRomSchakelKaart_comp: entity work.RamRom_SchakelKaart port map( clock => clk_main, reset_n => RSTn, -- signals from/to 6502 cpu_addr => cpu_addr, cpu_we => not_cpu_R_W_n, cpu_dout => cpu_dout, cpu_din => extern_data, -- signals from/to external memory system ExternCE => ExternCE, ExternWE => ExternWE, ExternA => ExternA, ExternDin => ExternDin1, ExternDout => ExternDout1 ); turbo <= key_turbo; end generate; ExternDin <= ExternDin1 when cpu_R_W_n = '0' else cpu_din; --------------------------------------------------------------------- -- Profiling Counters --------------------------------------------------------------------- Inst_ProfilingCounters: if (CImplProfilingCounters) generate p_reset <= p_counter_ctrl(0); p_pause <= p_counter_ctrl(1); process(clk_main) begin if rising_edge(clk_main) then -- Detect falling edge of reset p_reset_last <= p_reset; if (p_reset_last = '1' and p_reset = '0') or (p_pause = '0' and p_divider_counter = 0) then -- Reload the divider on falling edge of reset, or when it reaches 0 p_divider_counter <= p_divider_latch - 1; elsif p_pause = '0' then -- Otherwise decrent divider if not paused p_divider_counter <= p_divider_counter - 1; end if; -- Clock main counter when divider reaches 0 if p_divider_counter = 0 and p_reset = '0' and p_pause = '0' then p_profile_counter <= p_profile_counter + 1; end if; -- CPU Writes to Counter Registers if cpu_clken = '1' and counter_enable = '1' and cpu_R_W_n = '0' then case cpu_addr(3 downto 0) is when x"0" => p_counter_ctrl <= cpu_dout; when x"4" => p_divider_latch(7 downto 0) <= cpu_dout; when x"5" => p_divider_latch(15 downto 8) <= cpu_dout; when x"6" => p_divider_latch(23 downto 16) <= cpu_dout; when x"7" => p_divider_latch(31 downto 24) <= cpu_dout; when x"8" => p_profile_counter(7 downto 0) <= cpu_dout; when x"9" => p_profile_counter(15 downto 8) <= cpu_dout; when x"A" => p_profile_counter(23 downto 16) <= cpu_dout; when x"B" => p_profile_counter(31 downto 24) <= cpu_dout; when others => null; end case; end if; -- CPU Reads from Counter Registers case cpu_addr(3 downto 0) is when x"0" => p_counter_data <= p_counter_ctrl; when x"4" => p_counter_data <= p_divider_latch(7 downto 0); when x"5" => p_counter_data <= p_divider_latch(15 downto 8); when x"6" => p_counter_data <= p_divider_latch(23 downto 16); when x"7" => p_counter_data <= p_divider_latch(31 downto 24); when x"8" => p_counter_data <= p_profile_counter(7 downto 0); when x"9" => p_counter_data <= p_profile_counter(15 downto 8); when x"A" => p_counter_data <= p_profile_counter(23 downto 16); when x"B" => p_counter_data <= p_profile_counter(31 downto 24); when others => p_counter_data <= x"00"; end case; end if; end process; end generate; --------------------------------------------------------------------- -- Device enables --------------------------------------------------------------------- process(cpu_addr) begin -- All regions normally de-selected mc6522_enable <= '0'; i8255_enable <= '0'; video_ram_enable <= '0'; sid_enable <= '0'; pl8_enable <= '0'; reg_enable <= '0'; uart_enable <= '0'; counter_enable <= '0'; ext_ramrom_enable <= '0'; ext_bus_enable <= '0'; case cpu_addr(15 downto 12) is when x"0" => ext_ramrom_enable <= '1'; -- 0x0000 -- 0x03ff is RAM when x"1" => ext_ramrom_enable <= '1'; when x"2" => ext_ramrom_enable <= '1'; when x"3" => ext_ramrom_enable <= '1'; when x"4" => ext_ramrom_enable <= '1'; when x"5" => ext_ramrom_enable <= '1'; when x"6" => ext_ramrom_enable <= '1'; when x"7" => ext_ramrom_enable <= '1'; when x"8" => video_ram_enable <= '1'; -- 0x8000 -- 0x9fff is RAM when x"9" => video_ram_enable <= '1'; when x"A" => ext_ramrom_enable <= '1'; when x"B" => if cpu_addr(11 downto 4) = x"00" then -- 0xB00x 8255 PIA i8255_enable <= '1'; elsif cpu_addr(11 downto 4) = x"40" then -- 0xB40x AtoMMC/SPI if CImplSDDOS or CImplAtoMMC2 then pl8_enable <= '1'; else ext_bus_enable <= '1'; end if; elsif cpu_addr(11 downto 4) = x"80" then -- 0xB80x 6522 VIA if CImplVIA then mc6522_enable <= '1'; else ext_bus_enable <= '1'; end if; elsif cpu_addr(11 downto 4) = x"DA" then -- 0xBDAx Profiling Counters if CImplProfilingCounters then counter_enable <= '1'; else ext_bus_enable <= '1'; end if; elsif cpu_addr(11 downto 4) = x"DB" then -- 0xBDBx UART if CImplUart then uart_enable <= '1'; else ext_bus_enable <= '1'; end if; elsif cpu_addr(11 downto 5) & '0' = x"DC" then -- 0xBDCx, 0xBDDx SID if CImplSID then sid_enable <= '1'; else ext_bus_enable <= '1'; end if; elsif cpu_addr(11 downto 5) & '0' = x"DE" then -- 0xBDEx, 0xBDFx GODIL Registers reg_enable <= '1'; elsif cpu_addr(11 downto 2) & "00"= x"FFC" then -- 0xBFFC-BFFF RomLatch ext_ramrom_enable <= '1'; elsif cpu_addr(11 downto 7) /= "11011" then -- any non-mapped 0xBxxx address is deemed external ext_bus_enable <= '1'; -- apart from 0xBD80-0xBDFF which are deemed reserved end if; when x"C" => ext_ramrom_enable <= '1'; when x"D" => ext_ramrom_enable <= '1'; when x"E" => ext_ramrom_enable <= '1'; when x"F" => ext_ramrom_enable <= '1'; when others => null; end case; end process; -- External bus enable ExternBus <= ext_bus_enable; --------------------------------------------------------------------- -- CPU data input multiplexor --------------------------------------------------------------------- cpu_din <= godil_data when video_ram_enable = '1' else i8255_data when i8255_enable = '1' else mc6522_data when mc6522_enable = '1' else godil_data when sid_enable = '1' and CImplSID else godil_data when uart_enable = '1' and CImplUart else godil_data when reg_enable = '1' else -- TODO add CImpl constraint pl8_data when pl8_enable = '1' and CImplSDDOS else pl8_data when pl8_enable = '1' and CImplAtoMMC2 else extern_data when ext_ramrom_enable = '1' else extern_data when ext_bus_enable = '1' else p_counter_data when counter_enable = '1' and CImplProfilingCounters else x"f1"; -- un-decoded locations -------------------------------------------------------- -- Clock enable generator -------------------------------------------------------- process(clk_main) variable mask4 : std_logic_vector(4 downto 0); variable limit : integer; variable phi2l : integer; variable phi2h : integer; variable sampl : integer; begin -- Don't include reset here, so 6502 continues to be clocked during reset if rising_edge(clk_main) then -- Counter: -- main_clock = 32MHz -- 1MHz 0..31 -- 2MHz 0..15 -- 4MHz 0..7 -- 8MHz 0..3 -- main_clock = 16MHz -- 1MHz 0..15 -- 2MHz 0..7 -- 4MHz 0..3 -- 8MHz not supported -- Work out optimal timing -- mask4 - mask to give a 4x speed clock -- limit - maximum value of clk_counter so it wraps at 1MHz -- phi2l - when phi2 should go low -- phi2h - when phi2 should go high -- sample - when sample_data should asserted -- none of the variables are stateful if (MainClockSpeed = 32000000) then -- 32MHz case (turbo_synced) is when "11" => mask4 := "00000"; limit := 3; phi2l := 3; phi2h := 1; sampl := 2; -- 8MHz when "10" => mask4 := "00001"; limit := 7; phi2l := 7; phi2h := 3; sampl := 6; -- 4MHz when "01" => mask4 := "00011"; limit := 15; phi2l := 15; phi2h := 7; sampl := 14; -- 2MHz when others => mask4 := "00111"; limit := 31; phi2l := 31; phi2h := 15; sampl := 30; -- 1MHz end case; else -- 16MHz case (turbo_synced) is when "10" => mask4 := "00000"; limit := 3; phi2l := 3; phi2h := 1; sampl := 2; -- 4MHz when "01" => mask4 := "00001"; limit := 7; phi2l := 7; phi2h := 3; sampl := 6; -- 2MHz when others => mask4 := "00011"; limit := 15; phi2l := 15; phi2h := 7; sampl := 14; -- 1MHz end case; end if; if clk_counter = limit then turbo_synced <= turbo; -- only change the timing at the end of the cycle clk_counter <= (others => '0'); else clk_counter <= clk_counter + 1; end if; -- Assert cpu_clken in cycle 0 if clk_counter = limit then cpu_clken <= '1'; else cpu_clken <= '0'; end if; -- Assert pia_clken in anti-phase with cpu_clken if clk_counter = phi2h then pia_clken <= '1'; else pia_clken <= '0'; end if; -- Assert via1_clken in cycle 0 if clk_counter = limit then via1_clken <= '1'; else via1_clken <= '0'; end if; -- Assert via4 at 4x the rate of via1 if (clk_counter and mask4) = (std_logic_vector(to_unsigned(limit,5)) and mask4) then via4_clken <= '1'; else via4_clken <= '0'; end if; -- Assert phi2 at the specified times if clk_counter = phi2h then phi2 <= '1'; elsif clk_counter = phi2l then phi2 <= '0'; end if; -- Assert sample_data at the specified time if clk_counter = sampl then sample_data <= '1'; else sample_data <= '0'; end if; end if; end process; end BEHAVIORAL;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:23:52 10/22/2015 -- Design Name: -- Module Name: control_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity control_unit is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; hlt : in STD_LOGIC; exe : out STD_LOGIC; pc_en : out STD_LOGIC; op_en : out STD_LOGIC; mem_en : out STD_LOGIC); end control_unit; architecture Behavioral of control_unit is -- Intermediate Signals -- signal cycle_counter : std_logic_vector( 2 downto 0 ); signal received_hlt : std_logic; begin process( clk ) begin if reset = '1' then cycle_counter <= "001"; exe <= '0'; op_en <= '1'; mem_en <= '0'; pc_en <= '1'; received_hlt <= '0'; elsif received_hlt = '0' then if hlt = '1' then cycle_counter <= "000"; exe <= '0'; op_en <= '0'; mem_en <= '0'; pc_en <= '0'; received_hlt <= '1'; elsif rising_edge(clk) then -- Cycle 1 -- -- OP code -- if cycle_counter = "000" then exe <= '0'; op_en <= '1'; mem_en <= '0'; pc_en <= '1'; -- Cycle 2, 3, 4 -- -- Address Writing -- elsif cycle_counter = "001" or cycle_counter = "010" or cycle_counter = "011" then exe <= '0'; op_en <= '0'; mem_en <= '1'; pc_en <= '1'; -- Cycles 5 -- -- Execute -- elsif cycle_counter = "100" then exe <= '0'; op_en <= '0'; mem_en <= '1'; pc_en <= '1'; -- Cycles 6 -- -- Execute -- elsif cycle_counter = "101" then exe <= '1'; op_en <= '0'; mem_en <= '0'; pc_en <= '0'; end if; if cycle_counter = "101" then cycle_counter <= "000"; else cycle_counter <= cycle_counter + '1'; end if; else end if; else cycle_counter <= "000"; exe <= '0'; op_en <= '0'; mem_en <= '0'; pc_en <= '0'; end if; end process; end Behavioral;
-------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Description: -- -- -- -- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- entity Generic_Equalizer_Debugger is port(clk : in std_logic; reset : in std_logic; input : in std_logic_vector(15 downto 0); output : out std_logic_vector(15 downto 0)); end Generic_Equalizer_Debugger; -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- architecture behaviour of Generic_Equalizer_Debugger is begin Generic_Equalizer : entity work.Generic_Equalizer generic map(NO_SECTIONS => 9, INPUT_WIDTH => 16, INPUT_FRACT => 14, OUTPUT_WIDTH => 16, OUTPUT_FRACT => 14, SCALE_WIDTH => 16, SCALE_FRACT => (14,14,14,14,14,14,14,14,14,14), INTERNAL_WIDTH => 16, INTERNAL_FRACT => 14, COEFF_WIDTH_B => 16, COEFF_FRACT_B => (14,14,14,14,14,14,14,14,14), COEFF_WIDTH_A => 16, COEFF_FRACT_A => (14,14,14,14,14,14,14,14,14)) port map(clk => clk, reset => reset, x => input, scale => (x"4000" & x"4001" & x"4002" & x"4003" & x"4004" & x"4005" & x"4006" & x"4007" & x"4008" & x"4009"), b0 => (x"4010" & x"4011" & x"4012" & x"4013" & x"4014" & x"4015" & x"4016" & x"4017" & x"4018"), b1 => (x"4020" & x"0021" & x"0022" & x"0023" & x"0024" & x"0025" & x"0026" & x"0027" & x"0028"), b2 => (x"4030" & x"0031" & x"0032" & x"0033" & x"0034" & x"0035" & x"0036" & x"0037" & x"0038"), a1 => (x"4040" & x"0041" & x"0042" & x"0043" & x"0044" & x"0045" & x"0046" & x"0047" & x"0048"), a2 => (x"4050" & x"0051" & x"0052" & x"0053" & x"0054" & x"0055" & x"0056" & x"0057" & x"0058"), y => output); end architecture;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_pkg.vhd -- -- Description: -- This is the demo testbench package file for fifo_generator_v8.4 core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_arith.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE fg_tb_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME; ------------------------ FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER; ------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector; ------------------------ COMPONENT fg_tb_rng IS GENERIC (WIDTH : integer := 8; SEED : integer := 3); PORT ( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; ENABLE : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_dgen IS GENERIC ( C_DIN_WIDTH : INTEGER := 32; C_DOUT_WIDTH : INTEGER := 32; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT ( RESET : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; PRC_WR_EN : IN STD_LOGIC; FULL : IN STD_LOGIC; WR_EN : OUT STD_LOGIC; WR_DATA : OUT STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_dverif IS GENERIC( C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_USE_EMBEDDED_REG : INTEGER := 0; C_CH_TYPE : INTEGER := 0; TB_SEED : INTEGER := 2 ); PORT( RESET : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; PRC_RD_EN : IN STD_LOGIC; EMPTY : IN STD_LOGIC; DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); RD_EN : OUT STD_LOGIC; DOUT_CHK : OUT STD_LOGIC ); END COMPONENT; ------------------------ COMPONENT fg_tb_pctrl IS GENERIC( AXI_CHANNEL : STRING := "NONE"; C_APPLICATION_TYPE : INTEGER := 0; C_DIN_WIDTH : INTEGER := 0; C_DOUT_WIDTH : INTEGER := 0; C_WR_PNTR_WIDTH : INTEGER := 0; C_RD_PNTR_WIDTH : INTEGER := 0; C_CH_TYPE : INTEGER := 0; FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 2; TB_SEED : INTEGER := 2 ); PORT( RESET_WR : IN STD_LOGIC; RESET_RD : IN STD_LOGIC; WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; FULL : IN STD_LOGIC; EMPTY : IN STD_LOGIC; ALMOST_FULL : IN STD_LOGIC; ALMOST_EMPTY : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR(C_DIN_WIDTH-1 DOWNTO 0); DATA_OUT : IN STD_LOGIC_VECTOR(C_DOUT_WIDTH-1 DOWNTO 0); DOUT_CHK : IN STD_LOGIC; PRC_WR_EN : OUT STD_LOGIC; PRC_RD_EN : OUT STD_LOGIC; RESET_EN : OUT STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT fg_tb_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( WR_CLK : IN STD_LOGIC; RD_CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; ------------------------ COMPONENT pcie_data_rec_fifo_top IS PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; WR_DATA_COUNT : OUT std_logic_vector(11-1 DOWNTO 0); RD_DATA_COUNT : OUT std_logic_vector(10-1 DOWNTO 0); ALMOST_FULL : OUT std_logic; ALMOST_EMPTY : OUT std_logic; RST : IN std_logic; PROG_FULL : OUT std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(128-1 DOWNTO 0); DOUT : OUT std_logic_vector(256-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); END COMPONENT; ------------------------ END fg_tb_pkg; PACKAGE BODY fg_tb_pkg IS FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC; false_case : STD_LOGIC) RETURN STD_LOGIC IS VARIABLE retval : STD_LOGIC := '0'; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : TIME; false_case : TIME) RETURN TIME IS VARIABLE retval : TIME := 0 ps; BEGIN IF condition=false THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; ------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 1; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ------------------------------------------------------------------------------ -- hexstr_to_std_logic_vec -- This function converts a hex string to a std_logic_vector ------------------------------------------------------------------------------ FUNCTION hexstr_to_std_logic_vec( arg1 : string; size : integer ) RETURN std_logic_vector IS VARIABLE result : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0'); VARIABLE bin : std_logic_vector(3 DOWNTO 0); VARIABLE index : integer := 0; BEGIN FOR i IN arg1'reverse_range LOOP CASE arg1(i) IS WHEN '0' => bin := (OTHERS => '0'); WHEN '1' => bin := (0 => '1', OTHERS => '0'); WHEN '2' => bin := (1 => '1', OTHERS => '0'); WHEN '3' => bin := (0 => '1', 1 => '1', OTHERS => '0'); WHEN '4' => bin := (2 => '1', OTHERS => '0'); WHEN '5' => bin := (0 => '1', 2 => '1', OTHERS => '0'); WHEN '6' => bin := (1 => '1', 2 => '1', OTHERS => '0'); WHEN '7' => bin := (3 => '0', OTHERS => '1'); WHEN '8' => bin := (3 => '1', OTHERS => '0'); WHEN '9' => bin := (0 => '1', 3 => '1', OTHERS => '0'); WHEN 'A' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'a' => bin := (0 => '0', 2 => '0', OTHERS => '1'); WHEN 'B' => bin := (2 => '0', OTHERS => '1'); WHEN 'b' => bin := (2 => '0', OTHERS => '1'); WHEN 'C' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'c' => bin := (0 => '0', 1 => '0', OTHERS => '1'); WHEN 'D' => bin := (1 => '0', OTHERS => '1'); WHEN 'd' => bin := (1 => '0', OTHERS => '1'); WHEN 'E' => bin := (0 => '0', OTHERS => '1'); WHEN 'e' => bin := (0 => '0', OTHERS => '1'); WHEN 'F' => bin := (OTHERS => '1'); WHEN 'f' => bin := (OTHERS => '1'); WHEN OTHERS => FOR j IN 0 TO 3 LOOP bin(j) := 'X'; END LOOP; END CASE; FOR j IN 0 TO 3 LOOP IF (index*4)+j < size THEN result((index*4)+j) := bin(j); END IF; END LOOP; index := index + 1; END LOOP; RETURN result; END hexstr_to_std_logic_vec; END fg_tb_pkg;
entity for1 is end; architecture behav of for1 is begin process begin for i in 1 to 10 loop report "hello"; wait for 1 ns; end loop; report "SUCCESS"; wait; end process; end behav;
entity for1 is end; architecture behav of for1 is begin process begin for i in 1 to 10 loop report "hello"; wait for 1 ns; end loop; report "SUCCESS"; wait; end process; end behav;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Uqfmhhe32U+grK02JBnFtloD9R75vrZwSRuZPqR1VnqBr7XmHZ9tkHJS1sJOLfG8Zd+796XYlEPr esn8WdZgAg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SQ2JFvkiP/6Sc73sJ0iKdnS6VCowgR1u3Z45BvJSh4oM6G9yLO60+MTHZ6334rXMreWy0IuTJVfx YsKGLRIp1v29jn1JqL5X4+K/1XG0/oDdQD8qut3QXA/Sr4fQEfjJUYYMqnTvQt0dKUWt9V0hhst/ 25yj0qy5VOBC8jSzi6s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WJ/I+yHH8FvqqFy1co+ttqZkj2uaQDeZQb5hC+1bA3SuWwRCgvFumZLJdKX2yfrxkBeND8BSdhek yG43nJnIKHgmtCpSTXIqDmD/8cG7eahI2BTK48q7plbNzSW3CZdXSn4RL3CL2JQCZKc+m/is7KXO DSgsBSMWyLrYMnpfcolh2Lm4vV9btRLcaBz+68qYXExE1DCa6DpgDDy4qv0YETqlkq93dQ0ha/Rt H8A3DG32kmMl7yK6s9PMAc3GlAp7xwDBU0GPyJOd19glVdCAAIBSPFIBIQ2HHLdJSYL1Xp7anroL tgXNTs8HJ8Zv9Uh6cWotDFfPYSYyjwyUnbn+hA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p63c4l9Cue9D6FDOAkQMAJUj+Hg/3ruXtR6nWx6J6ktFuaeu2QHd/dww6hkLZbBhIyG0LXCXtPD8 y15+0t2dgRkquT+Kn7umI+RIxYI0YGMDxmxNW2oeJQyHBYUIcGC3Imia84h8pjT/V7z56Kr5XfgL xs5UhHtArBz0OhWEXuc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KUDZm+F3LxExF2Qe9dGMQ9l+lzR7Z9eJz2jl5fXRWxdXkwrIZi+J51Qb9ZqMTVb0RUwoV1+zCLYs XMnqhBhAPnrzL0y+uggoz92NQ2tDaAWGNPBYI+Zh6/HWnieo7Pi5qDrVLLqFq2b3vNyr5775+Kop x/zQq7CngRYoihhAXk5plZioD3eP/bJQRN37016KTYtXod+OZUGLS99HPEEyQITYf0pvagARKeEs kVnvueeuq2ktx6eWYJFRI/hTLJV8QXZZoPUMdS/Zxc8Fi/9yTVI86xCXA+ESM4U3OBGxLhJQLoWl vjV+YjEDbxzMzWDuHdg7cXYygWrc6k76gB+Slg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block v0jjqkX5YBHW1GSd4SbkWQ75fs1avkUdjZMYzmET2csXr7wGkNxlY/CAvt0ToFua6xyeTlywtDI0 F8p5j3umE2mwUDDCONVj3Aeuv6FWn8r/IOnMMeRWfmadS9Rm/Sh3R4OEHAE7U57WwgiHIKPK4cvX BpZdESmiW05dh1IBrBYs3/Qsm3n4sVT7ie8qFDk5cxNK8o/DUzsuiyqJehFyt38RW8389MHQ/XCz uq/8uEm0jA1tq4czQ18sWdjsNpEqf2SqsuKqHYKcBKFhTMGKUYnefg5U1+CK3wYpyrhg5wUsaD96 iUFx1wQXEl84ik3qRzq6hv/TSgziiiyWZ19vA4vAB+YQgQkUDcny0txE2c8106EOeyJ+Z0h7mHoa 9XKL2mqYVUs5iJ6V3GGOL8PCaCpa1DIeuTH0JnXrn4XuefgI14jhqn4NZfSrV4YlqymfPvAXpuw3 QuAwWd+a7XUGTUzlLsIz4Tvj3T1WfGe6VJYYROPN3WCwYfwjniEU1gEcU5MpesQGLXE0fBue8ylE t7JkUHJedskRxH/St8X1oI/ZBC+DT7ADw0GwZHaHCe442kKbaJNZpLtziwqAFz5Fefqu3hBHI7Wz 87Xrd2cvgbHCNKx0PASmNO9mAIqYnDP7QVqB5DO5hH2JjYaxUHclkqPXRXoEygnN7oxzvNa2/ng7 GBpquLb267I2ixn4JWa1i8Vih2MTHL+Wk+8H5/1OhAnNS2+fb/ysVQg0mEDdoKTMEkUD6xq5K7Ji NZZ3H7bd6wzXUXduzMZNlnx6B9AIOGXl8ph32Gzjbs6nhhjxBU0b+JlSvYKj1WzieOjxr0iufPTp 40E3qWeaLpKdS7rA83IRg/qZM8b27zbCVmLZXNNk32uI4Ptq7xyxaLCkZFUxOhz/EmPJFRwXUQRT LRpZ9XyebGE9jB0CFVEwDsrlSW8D2FMrUxLUUmdMhDZzrnpQBTU9xXPQFy+h38cZqAC61GupCKaa MjUh+JlYuVSOgdPieHuparWbVLbGgeQK722szQUTZ8rg5wKABwn0UTX4HilsVf+ySvw8wTIhfRwG +0XFUhAtJky5m+HGevma9DBipvAQ/mlGsrTctkUC1OpMZX5/4Ws1nWyYiwsRUeLHnOtemZT3Fdbw DOzRJIio2wWDQd96ELO82p/t8mjzBMYTT2nE8ZCqqCDm/6yl1G55DYclUZsafGRUqFkqiIOWYD7r zWolrEhAUVcPrpe5I+ZUD8ullGpoI1mhOqxRPECrOasCCl81dhLu7KJbEEpMIPJ6kGaH2MFg4SZ/ V95+XdEKvCzKLvpuoqwM2Xd8LDTl8yAwHZBodRB7wHl2ivSntGDG7IrdbU07K0faFKEuHUq/UOMt mb3ws2ps/bnMH4EWSOloUqiGtzl4cP89/5DsOLnT859LBERkywyVBjIIBmxIpV8MBKCTJKpQCbuo th3K7zMZ7NEcM3iatxsx0yrYGsCjiDRzFtscWqw/V+6VEy+YMjXO+eeFuCEug/kaPRlvzmSp3G7K EQ/MZiU6nrmP8POzhF5icPhUeSn/z9GtEj/Jaqw4s0yx5KHHJZnAg4lC4LoTpvu+L+P4nYlD23oy Ylb46sgwdOFO5jsXKmFP+zaSKmMSNg2ztz0z12+R056qryP0LLeMubDj29+Kaqy/wAhfuPr1mUGu QapJ62DMxS6ofMYIEEBOl5oUh2rp2cih3XLY3yXoQ+84Y6e9mjPZVwO/ChIgHDOdsWIwy9h6FwX1 se7zLsIRvi0wZKs1u4CnMwXj/fBTk2dM55NlHmZJ0uit434cOTHmC4o0i5aJ3HzzNUcnZX184i6R Bx9PThOxzsURZMwrD44WWD2teEJIJ6XK73ny3lRM6RxU5/vjOa4AXaVp+a69VDjcry3NGGRTofO/ VXnw4F4L8fcvBHJ0Wnu5f2kEbmM3N7B0oH2+CTl9OoqEzs0ahfu6xGFkpsDMg8m91LTN9LS1gFPh pUr/Lqq5rR0nOPXCzZkGWdAZEPM1ltM183BaorMMYhsa6vB+/DMUxjTFcB1ExsvP6YrP5DmqqF9L VKES2ui5SzOWa4pfaLDHgBkuEW6mwTYDNqaev/+SzN107jKE2AZCNezq+Ol4jbVCWtN47t1fCEXs +riHZ6I0uqAPOR0GYQ+WSBhfu+BP64lWHDByjaLyeHTt+2q2wHm2dxInOUtmR6cGyzEZR/IjGPox forFsj7Z86ePnMziDfFDLvCLPooYUOapI9W9O9r4gltX/JXWU+f4cqIOBsCQDjqHx/NdksPIocMd iunQy2mKZzb4nCEXAcP7fZvAIIuE34IOWty9xYyxvzmUJ52BUWo0JTrdwYXFfcA7ik3w9GTn91vo QB1WXVmZEge2sklcFm4Ncym0O6j9jQWufjujJUGCeEcVzpi2aeq/BzXuySgc8b+vcyXli0mEm/x/ WtERMzY1S38TMn1j6E2IbR2bIguKIQu9xc3APp8AKpQtt7hoyqCw2cpFS+DdR+g0MRdiaFjbIur3 3YqwTHvtoAkz0ftwvtFqjX3ydO4hsgW8TmhjazxYbYB2jj2ehRbL9ZwWGWfSFZ/iMGL2lpysZGdm 5CSXVOgwXsCqZKS6VK5m4O85XZI0zx4NW0F2Tg53XIX/xF0O4uSzha/U8V9oJhq4jEv4qxs/KZcO MxafCE8A9NaPzX8MKnT12iQIopjMX805JKCk/0ZyYqUih0B6fVSikLGnt7AkDAdsUMhL5+HjJDyg 09wcj0wnM/zBTMlbXMx0UFa4Zq06c2nMkpedL2GEbMGmRb1/G+EZIZTgc12nVr3cHBXYA9NUanLy 3oyOHvhO8ckKTrmH9RblZIZkrc5G98droz6EgI1W7Hy3kZKETTGPwfqAibNpEZyTkMdxl4fTpXaa r50s0aIOO8evLlJ5IgXvct8RHe6qHfHEJzB0CxKwUWd0wIQM+ZyWwrT9zz7Zb+1PDCj61msnRsZl iXW0mTaCo3S7jhmL+7DYLpIir4ZtUiWvgIqcLfCf2mpppeyakKwpFpaKGHX9DCiBtlVBvkmfXMVG YcQcRPt5/6lfN7qzPlgW1bH6DaEUwADR6CY4CoDAZRjHaze4fLI4vmTYIfjsBbp7+7WWHhGFGeHh QplV3e/hmRdUUyNyMSLCEc2+hP00aBgxGOD/tY7YJ8L+MVBxDSbbxhmKhQm9dEwWC+xy3Mudp00U QCMJiQzgtN8b94wV3RVWzYY9RBmMaFTLp4evr92JRq6sTUFQOjyTfjJi/InGqrDJQTfF198I2YNe SXgYczkgebsAzjTnKO+tJinUYt++nS+D+2i9KONrKv00/S/qeKuLjqbISB2RyxmgK501mO8rggqT 15aX+wuC1aOoUYN0kmh4N7oInbLI3iZTBezFR2ojy4A/Y6PJi0YrZtGWtvwoG+B3Yg1roT5Pbleo bgrpFT3/vycTemdsG/SDkc6Ojr/YMkoN8Psgx9SLlvG+WWzSwrBfYkFwltFHRdKGngidP55QAtnW K7p+tlwc6Vmvmosmf0ngme27CMTozu7ue1AEO+woGCo7YUfhuonVL3AMgc2OnyvuOIlZf4/QfWqr 9PX81MLrWGJA1YMpjT2PsOorbXLPPo7PLtnwYOt4jn7RwJjbWPfyY7+Ln0Xuh2vSpOiUrd15t/WS M9NW2ziKyFrECRLa6Qie3IfQXj9QpvRzbJ064AAuFNyrQCl04k0zQcunVeQaXGar71s/Zxw5RVnL FM+1VMnrdSRDyqRV7K3rZakudbkpMIzL/rS9yQkcK8ISIDO7fFuID0J9fgvqliORZ7jq8kM23Qph wEfg+pyA5qqkf7DSR7wqx+b+Gnq84uywN4YG1iB3jN/Who7HYZqRW4K7+5k6thmMThUVR0blOyhs zPSZY7/o2UC5s312JfUt6TW3Pn04NNQTIpQCpoWq3+kuOjuS5ct2UMvrvI3Ze6fIroUo7RZNGJrc pKhZykA2XCv6A6qlfvkKCwN+I1p+tQdm1toOV6ksfVe29UBrWUshVsSpl3du0c8j1onB/XDYgNgh ovYnrSZRBatNljj2pQHjMxqEOz8EsS4a2xKSe3bQfqvGOKxqnssk+vcWcZLkuSWel1bphs82MwpH uRYiJ4Y/64XPHwDp2UpR0VIIGlRdEljuTdg5IU5CjAMJ4kCFbEQ83Kl6xxyxpmK0vMQuGEz6LFaP GfJFd8fRJHyG7j1wzT4b6oMeffKOUGCfwh7PehyTaasPL9KM9RoCDERr5pfKr4+GNW5vcfMXKC4H qqBVDU48iMJ/XbdNJAHVaDVrFlUv/bk50Ec0wJ1sf/2ITb5Vqm+Rej0+SMkTDJsooh0AlNCY9e+6 8DSuQxUyQ81DbtvqREp6AnFJxBW651zShsDphaHQhWu24I1iKoDYVJ501uz+vbWNxo9CIl24kPk/ KbrAsKkir1AJvuGegZ6rkTS9Ey/CXM6C5o7x5RkrQfKOoMdWQl6tSfIk94sFacE3GGE2V5MinzAf xQLwzAnD7CJEWlNC+THQF1jJYkMdzl4hpZWSnFf+rqCtJhMsghTvgCBDxIFYQO8OzWtt9G7/kBQ7 QpCkbRsEkz/+oKRZjLf+I79PFckws4XOSARtv8ZxWc3ssis2uI3Wyucg6epjVeCGU46eJcBrVMKa oSO0m/TQyTyK11vvA6L0CPS6H9vcJCrwCQOIPExG1Cp3GbgHGppE8LZPk2hANCZmjCJLrU59/4lO 66MASBfoa+bqHT8KZC/Rr+S0CGU6w75e5KWbEjHRXjOVYU3ZIu9NM+6q5gb7zHt3sP+XmBJYVgBt Aqc+8sVX+0KQheLVSQyB+uHrUYlGMGwXWtr7Ukr3Hc92Xalrg3DJPfsCat/KM+09+VYxnzwuDlZJ ga10moUiJZCxgfMY0RhR9Wwinget2feUWk1MSODMFbY59mTL2xBb4b0WQFWIByHd/HlBIRKhg9Zl 2CaOEt+Kr1wsk4c/YhkgKZQwzvndvqNQixBhnSb/o3De+f6MxOH5ZnZqcyRd3NcrNa1Zsdd7rhpB x/nuTL+aPpzcxVIke9WMoqFhMgTnNbrSip+tRAjMK5j01XtQdEQtBBW7xLJ0Qb0qTPpUKZSae2yZ kIaLZIzx6KRV+CQlgyqbBZFvtglgFgBx4uoS983zdApYN/3y3eV4IuLo/ASrSl3bVfpuX8fNAN0c D+/IttJhXT5cGoObuzH/lme+1U0AUbC8vu5fhkih6H0xrFrG3DXPa9Rw+xvzt00zDde5dAabRHOF c7/iBgeTNhQ9aj4dsSzAvqqyZZC5/W+mUYCgpaAishpUzRtkfLXO8Zj8zad4oNUNU4ALGbzZpUaL 5LMoXCcknvRYFQOuxs5X/2+tzNe9RopDClURf5c441dy/elVyapyj0n5TpGJ++Pyl+WQN8jHoDZI tceXcBXAyRIabjnpqnAbSgOxs/pmLVxkTgf9EmlINEAJUHzXIS1s7FpPc/LP3mFgqn17RYCE2aZ4 mdUM4iBPgh85vjEKhUfWxEm6LJ55SlgmnW7RXPdX3eWUObVbzkR4g80OU2Sg3DF+xYrXTFvOvN0P HDUbJICtN3U5k6raJNVhM8s0588W0MjlbAW/KyDWnC1L+OgigrTOEMKA8K20ZugpfBX7bB3F8Zkl hqr/BWLoeuy6PiZbi5l2IGiYWfEs3R1QrGakB6+/nOzGzIMhBDuIzoRaq6S10B1bzziRi+ApFdo6 wflOGFoGRhalvRTAYUDThiZWnWwbAb0H9KKVsF9aU2yQzuCbQuyrNgQnFFHpLgHSh01KPTvx3tr0 XJrX8X1dNHfpMFv8blY08FOj+AKsWrMkBywmyCGgKpKsDK/66gpxgfvOvzkxu7+kMkO0MouRRYlE lJw+i20UvjGqTk/tUIcTuI8cNgAy5nsWAztbGV46vRwx82L/tb3jG0jCyGXAAwxl9XJq1vtPzlMg kaT+y7foB+kHcj+l644ex+jIEOfJtXtzrml4Mmd7B+l5lEHn4W/ILuS1+2nsk9O4zxeItXBPeJGn 7cXwby0T/ZFbZl7oAugeam3LRzP8N9KwmmEMkVsux2vSAj6glRRMfuL7tefUoryHfRC4ph34IFm/ yvdmMUt6kBoSdt8JvziIbc8AktqhnhuSaIyowL2bp3Ebnur9EDbpdTlnCV28uLPFyVTkS8MTiaJk N/Q6bT2Nsx4PdrIULKaDOimoLiccpdBmrLbioWhYhyB0A0prIGd24k6L02ItmezTMIu/OkSpiSiQ 28Dkkr5kxHFQ7WEOLLi3GK2J2oh18hJ7LGwE5HpljVTFvPHI+APqxla/YhHSsyLwO+FvYlxWyjWU IaWeatFGN1+v59DsXlCAp49xV68cDmSkWNmYbvmrokB8dxCZKONiIV0Ia2oq8oWca6cCNKJpGTK6 rQgDY1LxF9GvQihJ96dBjIBI9V7Iv8XABJCvrpPU4h1a5PRyJYWpfrNRPm14eJGfZ2mPEbYEl1kr ozi0TCd1Ko6XPh7z2McPykTARK5/Zr0PV/UcuNoGcjw4TOBxnBKKlk3XHCStHtCjBHVjAWuAHaR4 qngI3LucbdAvn3x/nDWAvE9/iClYg9s9m9FXlHnD6HIFPmTbXQnrCRpFJTvHDJHok26ChfKde/8P MPupIE2BNq6IWXn10VTK2wS5TNhbhVwZ+jUbfHMxUc7lk1589ij8pcvjZTBxFqA+63dXcZs78Hpj 7yhJxYTCSbizZ8pdox/TRhp3o/yfhnCHizmq8f/qT8HXikg+vkSy8Mj6qAzcMAKRQOgvYgp0EsZl HbDoD6T/qYTEXVVKTJrRxfViGNteVLAKYD8l6QKu+m1r8DaHKrTKH1zJRF1+br+cLE2Kgp4uPBXD RbVpjCkyUHymdjuQsmxFVzgUAZdeYCBYWTHl8s9c0zvSI14GUbuZQd1vip2E5FNVh2hDxt1oBr00 qJiRCMIa3JBuGvTpDmaX9J1LRKriyXabFBY/rjLuap4igYv6FVGBA2qkTeaWHAqly7810G78HKAF BHNGgqa3xHaW6ZMxKqjNm3UBIwnOOjMJxF9YYIWdbjvU8ZKhB4BIU7BYOkcjdT8UokOSVO1jG2IA y8zT+TN7yToZ5J034wYB3DLQZX4FVfFlNszAT73l1iC2y0rd+XXJrlgiWgnrCz059XZsiKgBrJyv RHzYvCn9COKFBaupsO4y4iXU2x4+yDJRyb/5TqlMNHfqYLcsqba4TckGihwZs+VeQnXFvyjLBJsu Nj+WUjYKFkXa5pmIF5iwaSpoIM8ipfAEBws0LyWk2lzNK/QR/vVXkGlI2IA1khxK/HG+Qon+buBZ nFyvTNYwArz17HpjrYeVHzjBMLauT7OrkqtrbJ3LPQLSZCbTe9niUiH2ylsF0AR4lzGcqbaYkQfu ewTd8KEsC8TEnnTRj/An61TPfFLHMidAnkN++C5VVmBjNZH7+gd5cQC9vlzQhgQ4sSWwiioGsLrK b8KSmIGINJLBn850qFzEDKvD8e7Ngqxd1MsLLXZi+mHNCf8SPbsMD8w7EjMcjj0F3Lh2tj3oxAPC CLJoVdsmyUTTjpvTIHUPpxLU9BDsaU7kXV7pCyqyMDvNg5Pcj5MwsCmyf81A1TGU6Mc9pMymdoVj Bu/zqgDE+R/fcdg7NDFg72xKMIWEPjWsEHg14lZJlpVdamsRsLfcTNG3qmnb4T5xsjoIYNSC811i I9/4298hGxaV6HI8e9Re1k+cjmFCLoHQk0EmWss8ijyorDGCVoAWVmF73ojq9GST7pMlz8P5K8T6 QX5gT2xL4ySiGkeK55IdE36lTmocT5TDu39w0jr5hPWe4EBo+tQ+OjSKInCMv8gXxUi/hk4zrbip ayS0+Fj3nmv/CIKDjDwYLzia5msXAHrtg6UksG4vyJrnurh/GoqHSHd9/fzZIZCi72n3U14nL4EE LB7jOge048gslmsP7KWqXvPpR1kqKKC0y7xwn5fiGYNWa7fVtLypzpb1BqjvO5zC6IherFatQ6Jr gPMs8MTeLeTObkvSqg22DlCNSJOJfmwmL417v11hbbdn16MQUMozjJgBpks0J8EpB1IUVhOi1MFg frZhxx/xmiMyfGe1L6CoPF+3Ka3XJHoUv5dGVWk/cMun60Ip+mPukF3gW9FfK84phu4azRWo5EDY SfJWvgZ7v9W3HzZjZ1RX4O88bItGuvRGETKL1rT6Y3rjtqePKgedpbezSFdftIBl0OCZ2o/gm6wE dcNvAWoGASL0c3Vq2UvrWDeMnVXa+XXQ21e13nXuf7MPvZ9kGhBb52IMY2JbpE1/IEbXC02O4ijJ zlQIdxO46w6LO1Hi8a8CRVow6xE8hUOwkerJXc5eZfWs9NqAdluMr/dUu0PO7Mhsc23+8h7IQCWw lQ1GXsauQXSJgbJVSlRy9uWCZpzsH0yb7r+vMZelgkIZ1jEkOkRkNj967rhMFD8yrgGjP1NmcvbM 6kAH9wG5rYHPkIWWy47Q7UmxWTNXubMU8kntTpl6a/juZYO6PLoTztgLLa+R5K490GqeI/EpBszH saY1+3qYnn/j7vapOwdMZKgk4X78NRaCIqAZoZWS/Ruq9JdkItaUCZTO6oMGrWreKfy+gugKNn91 CS6qeO0soXim6nKgtUHECsjsjjOjtBpn30CW9rLBsJfz4YtW5BKpuT5OZZ1sMBOccDQcag1Er6XR UjShiTZRKponwgVO46yoldd0ZumB1aO9YwvQ6t3piEPSwX7M4dWGMrVlnt+38bBI2XadbUuDNXQX YsAYg1o1jJwNJdcZidw2J4DgWdYugQcFmmQ/AzP3q75yVuwO0uEC4oyRIvBHYHtKa5zDobZpVggb IeDSfea+LYAKYw0u8k9fGUX7P3YUwe7fpZnpzz9xc8DKWT6gnnMFY61G7Aus0/jMz5VlgUDNtG4y KHjbaFBMwg1ybUmdBJUm9eDKuoW4vslRsx3Ofr2po10RyP93rLXPZMnm6+UJs9kGTNk5TnjPrkJw mXRsGj8tKMIjVolbRege/W6Vu//zgSD58rsw23W9cA3WlY18CIomY1RZTLgiGXWWrOl2PB3Csm7v ie5PGph533jgUR34PEmmsiJQjtnJ61VfYt8VN/kQ3IzOUn40YOxD/tbVu4C1QS+bjuk1L3i78kJy 659I7MsA2QM6AY+Vd0B4UB9gdWxdtS614PMQN8qwC1+l1by3kcDYFtbZoPGtOmLVjQH7sjEOD3MI 9R5cUovdH9eVJnQEspljsT273GIjGFAWG2BLBl3N8YGxP0S2b8Gcsz1UZHU2klbW12Dc4qkSHESu GnhasQ2UVoUbdwsHBd0I31Br23exRiNc9GnBoE2G8FHoeiXjqCxRJtWg/tFK2VZbLGVMvK5H8p1+ HqI02+vkUatZW3K2QsE2GOtAy6cbrIWRiyFuaNlMk++H0xxKQ1i21n+jvoZZc2Uk+ZSCV+ppH1j/ +GzZ+39A7Wtcj5H3TTTeX86uNhCLgJK5FtaAkEkwNP983oJQuH09enJZqldp1G5dOl5ygxLtjLV5 FRTRPZNpfErJbXptNlBHbSM9rJvLuhHNRtIk8zAGfzm4r/pA/+twKmwUOmTrtVFjZjtDYqexwIpC TMJM9s9k/Rap5m+cNKE4TjzWTcJawS7a6RFd8yfsbyWTeQXU43cxwASOz3+W7Q221ltQrotQFXOs pjrma3h25xZRGK/NnIGvC9JhhzVleGvhwPQSJ9Ic5Oy91QiYkKgolaBBF7nUClRAD+/b1Ak5prg2 78rsN+uOKRXxyjDG7c9FbXpLFbWxPL11BVmkZHbnk5ZxwfrgH+Lq8K9aqtbQ//o6RtKJ5Xoe7xGr +IxEJHSLy/QwnRYf9o89z+oXjIAxSb8khWqTvmjaARl28u1YtvaDFaQcQM0Szf76QOavILGunWFP cG5zhUCYzCJxnlJI/flLPl3Yh6WCmRTIAKLK5JOA7CfQ2HIBIn2a4iZ4veyU/nexGaI36fqtKVt/ YA1szf34JyuuTo0MBhd6iuXzipzs44AaZfV+9GZDS/+S64Zau8PXaKjKN8BJq09/fB8rqU/y2Mz9 jDkrnT1LlcvFFFT3cWfT+zzurCceVapqqzoPVnfvN9/CKZvYM4xNrTTSBq4C2Cbsu6eet1VNI1LV Ou+b1ZAFXiGUPMuzl+hqFkCvuWsIoRRtbUUO6wRvP3H3DxFW+zRX0L3fuHdGvbIJofeKhKQuYvCH QpWCAJIoulk1TA91A9tI2ZmM+f5xpqw40CC/sdbVKh6apR/5fLmTVZn1OflATz6UtELJF9NN6+jh H76klqLStwTGyZRckvpEup+8Mm+hCofQPfzE8JjxdLoNY9FsZC1Nt9McJRPUweu4ODpIWn/w1wVj vkrVKkJLbQsAI6qXpEZDdh/FScwl84XEu2RFm+WCHm2MjumUEwctCYAbdOqogITlJbGX/HJRrQJ5 SaZQ5pbkKVHmw8lxJrNy9+1ytUGXb/k1wAqHF/ZfrSbSXh2hmDjrY+BJ5W02Vehh/0otHuIv2jD1 bTJ+VCRu7+lDnmf6LKcPnpY/hvd2Wny520nCAvgKdwQ8smtM7yfTSbQ3UFiqlaD/zILAXAQYBxZl Ll1dnlrA7lvTCz4bvNSvi/7CMeEWUHf90ZS8JBS6Sn2oMKakjDijosfOI30G8OpP9F5KKA1wAM0O 2UX2g29TaP+vcrsMUbgEBFWawsVP+d+rAXLEqMJIqlZLB+uwOWNHD0j3ZggdMiL9wTltRhcHzaIB qEJ072sg8yjYeQAaebRJ9PPj6XDJW3mkucpA633JaV557ucMihEZHnSbF+LnxuwZrlo5WllbfGgr WS16u4Mb52vJwzxXvqVRgLJ58qt7hmBzW0xc9kxTFOrT+TDiiNYTNXJEzmLI0nPgvAnVbLs68I95 s71didG61G2iNHvtmTthzXY3ej+mXM6U3631c06pfpPAoORKuu3Nqx9ddQvO/6Gczo/WburBNGP5 zgMPtQFaqvnov8LYbfLzjyh8JJ0g/ZelgYxM+S5B8NhxSk0eJc+RZ1jI6n5ihW3nf+fQ9/hgQ94y 9456Wbh1/qnCCj2drvjvNz/mN5fBvzuB4M1f/3b4+8SFEzgBiGLd6LP+rrezO7Wl/TfV37gCdwTY 7JNNuzfZKU/aZ7Nfjp7exUcuIAgautOpWxy6qgtJzVtdYIQ3lLWPe0YQnEvbAPEtGW/Rkc8eWmbX s/R/mt7BFNb/PGUjq2c6C9jDuhrvFeKa6Amd/Xf4clYp6a7NT/W8HSBGBCtRmdAmi6IPdWm8cIjz SNAFi1V5klt/AdkeTmjet7C2VUJb/Y+eP0rclLVgdBPLduiP6Egcu7PN5+yu6NNr+/0HgPE19TCm 6NW1HnLad3cR9w98djdN7/4nEzewssFlQ15iBGMQDKD83+I4Cntb0gMvmstA/Ov3/iXf4cOI/FtN n4D+lfyZXUp0kd+kHAR53eM82yXKZ4Y3K7UOTvepHO+54YzAaFJJ56u3+yE8cD1cVMHpnHogdkiX Z2ZrS1ttmlrZTEi1AWN+Exa3R4S1ti9nxllG0dEWB733dZnCcAp6r6ldDJwv41e0NE2WKjOKWBiY zWT7ntZNnJZPpOCqyfs06hDgRqCUDjwZvd+R4c0sXPDqSsqDBjV+22rKit/pmiEYaiC2adZQjdOr qBv1FYABwmA5L3hbXLyHpoqnVIR6LqJpvepzlzoSjgdLM+wWBU+RcK2lBVPKwVYISyIwPmlvpwe7 2YywefzEjybe5HI37S/slQj/acuMWz7nHoeRx15WemmX7t3wWj9bcIR9WLCSnNA0zhM2DCJHLKIM ZXedJyynaDz2E+w0uwqVmgTiyqwrBzPz33csqbBv+0CconbR7mszPh1sHFPaoKPxLtyaIux+pznB PRg7OMuX/bBlhlplPIXabGUnWNFs4QQ1IuiGSRR3ULHtpEl3Wu0S9gpQDuDEU0cZ5bf0E6zuU6Ud uStHDz4IIQiepaIV/kPfqlFJ1j00pc+/kEVOMxXazRKCMp8kOwbcMGlFig4opoYtdqD3t206dxb6 +BAJkunK4n99HQvWFr6OjXn1QfJnpb6yAfS3wVfW0DvrRDtnOsEQy9TZhQ11bulEWabl87u0KlsP h0n6p87Fzi1HGhSTQ73J1dXDhWyyD1nKSarXecPKRzUfSWHq5pUz/nqJxfgZHudXo8mgb8jlarZo cV2BNT5uFreguCMpSaMjdaxdld/UZ7tSCi1PyIAG1Cvh4Tv7c//+azi69BIb2gl/AjTht1lC5hXQ QjQh4r+C0Hy3k544tbA+IOAXagXOxhYzwyw0Hduq28beWwK5z+w/PPJ0ATNjjsVoafHZhWMjghmO F94kBDWdpJ4j+RtPQfMJPlLiNVqPjzi6VQ2l6iN8QwLY6MAIhk9wi4su2V4C5hqwBvO2SpggvqQ6 efjol97NLW+25UKeOfV65vFFNWVak1CoUGe6Bxl6kDiVEHh3bDCeawmSXUBfErERwq7cic3CK2jQ yV2YQG3SMXaZJodOmT6ShCMJLSHAW0zVxoArBlayjmSQlSptBQcVJOlcJfFudNu7z/pPE4QG5vz/ AsdFK9nSDfXX5kTPxvkUF2pGH1xngIWqqb2pKfZyg3d8y1Pg/i3c4O2vUbKRMxk5j220b2QMilKk EtWmQFs16PLli56G63E+ktc+8XJYDAdA9heuAsTSHWvNcqDZyv+Lc/kSx2UoQh9d4WvEIsywM/RS ginPfcC23sNPjLxMSzTZWtLVSSDPMgXx0W7SV8ZqFR4YqL8jcDUcy2uiNFUkkds+/67rDcjlzsSb tBzE/MllYBukRNEEuRwPWPl7ZsLZ9fOMlbCDInsUjBcp7eWVXBYdn0F1/1Yo51OdZej2KpGhYjTL dt9WIZ8GfOed4dtgaevdP++vVKj/aTlyBiZjhFMZqcRsEO3uT+5oOqXR3uzuIT3aednRzTvQAy3h CJPNdCKyeccln2yvLBD31KwTU4DOqPNYbrBQ3gbiNPczn1yKVJ45Z2/9+q4UEel5oOr3W9cNuB5U O+t5Ki9CajzEW5YneHoQAXu8RRl2dJQ3L7/zjuDyQaPnJ9Lg5Wa55bkDu9SWvAwpqEfehFjSHBql nfqq4oOxDFvHhf9rK733p486cTgBRxwk3u0Bncj/eD5hfnHm8LKIkUcr6eoRiOoy90JB48fcrZ8M BdkW54qdM8Xm7zfiPfNic7905uWGagYBiL/wEq2MCnAC1AwI9YV52RFa7SULAcaQ/T+f9X9cnwAA qGRNkFetGpQosY1ikhYi4SFo2fp+MhWx6eycZYStgr0s/Dw8JtpRnU28xQMNUSuMQs8c+ijNgpFh OgBKLR+FZR1NFRdz0WtdtJm9icgLZZG0IZdaWrNeki6kGesJ7x6CJ/WgNQG3viI7K1Lq1Sy9GZ8G rcNL8MfDfRAI4gCfPz0LZuu9zmA8XVYGEjDaSv1VVrkRKGJhxunp8DiIOXDhkekpYyThqdU/Uh7S OElkFi5G4alTP0oTWKXIIfEH0itCaqL5dYj7OEZIwQYvkUp9QiiBQw19oe0wVyfI09Z6jIP6ZzDs vRxoxIBM4g1WE/rmW+MslrrGHa5JZ8oJCQfSGKtlCpaBnX95Ii+eUoHKxqDbBA4S79F17PXt2zkH RJ0yci9n7MwLn0iG51tx8IdGOxMzmEdSYkbLpBK6Zr2frdM8eKlBxe5kbhCBSOHEisT0tl5znVLP mpAKQahoYXDglW6pTBo+9Gpab3MoBVepi6Q3KWmHFmVVzNyItwuWF1WhL3UPp3pgEiz6aH6kPhxv +a+JsreKyWziewL5Yic/9uLlqugwYhLaTPv+IQP7ysfmGEuS5D4Mvwb8fuwumsXYqvC3gkjeAqBz YhLYJyXN+5YHXK7XgHmS8NSDrjpCFsyN0xb6sH2h/8fY5gzpknInMQTQnuTTYBb946mEPVamycAH WtZ9oUXuI5XqqB/y2GU7kazOhFchEosNzL/c4hVqfzsTRNphoWi594TZ42LYI2ey+ret+FndjNsR Ogcp48dBYKU3vncV8CwApqE9xXcsRGKKJMHLsmkjnxc+lAiL4zEdR0x6PPsv3tujmgclUtBU+gHJ t9erAl8YN6Zp6GcXCYcDBrpPOV9rn1TpenkPo2qfm2/0LwMS8k52Flp6GAELCEv6px8uzD7CAYK1 NdgMgeJjRKsxG4kbFPOoXp1en6OIJuY03kNsCIE7Jp45B0n5XuT8UeXCQpjY1nrhMWb5yANjJ9f3 tXGeh29oRWPinytkNAc6URm2X6fzEADu7NsKu9ZWjN2T6p31oW8EeAicLnXSf841qENZkjorQAWT umq00NKSC3wgYSdNIaKzV4OqfE4k3fiuNLCNt5LVuO9xAt+3zVueRYrvatFhsx2BLURDp/l9JcEW 3MVPbptD2YSaqk054pSyTj8HeB2kaT8seUBEVtx3aM3eOQKutikEYvvunoWQ7AmZW+PAPheasXIf svmjZvqrOzY8OtMjzTjdetgOmr95v575uW5YneH81QaBV36J008xpnFICZK0Hv5WiCxHkjpy6dL9 7PsZzmE/fLnFMvzQ9EpZ7F5/+u15dkHSvIxv/D3XXqzV2uqKyPD25sVcvf4fSroyjlO2YNz//x37 l1sZFj1QnYZGDUVTVR4g/m8cb08isXK3bgclkTmul5V5sDV/BO5qBfau9yZRFWh0TS0BGv5um4mR 67+yf2qAVnLYdQ3Xtam60JBYgi9T+eSUsW8VX7RegkzdnEC4rZ/eh/NCm0JhagqRoVBOflUBpy1m MsOZTviL0eaWTGytxJv6JdlyVUPKcqmnRqe7J9FyfGm9Jh9qodyH9puRY0px0YrouKZVWyJkNFLh k/6fvsf2DAso+c89xEZT9207KfkSzzQ1QmnJrXYGtIZ7iD+qRoJNd/YmtMnOhufEaBbzvJCk/0re o1+Rx5LuRvtgCIFVrWqv8IboAjgGolKPTw160JMaSaxoKK21CPLuN6XEf8KaYv7t+uzI754JaA75 IDSyNF2NpidIsQjhHVa1gRQn/buJoISKuPHbTxS3HYQ4SGn2+Uu2bEWvn2Jg9V9x0fkh3XCmHU7H Ve2eRxuILE5bU29WbK7vFOxx+zSB131izl5Ar6H6b/D+xSj7/DhjthaJh2+5lstt21gM0kHa94LW 9HaOT8fJBAVH/nhQ20pn1ZUGZ1Z8GOIeVmhA7VgQYBqWzzpu1GmGuqJAVROnspt2h/eJHygerbel l7XjCfZFZUBbowOx2DA3ADzqAQ/ToMgfp72KVxCcJicS+z0KKOfwmQkNnrBA2AaohfFmw3lY/Xwt 7vETA5pqxrlFvZdk2zcjnT0cPNf1JKKenHc7G4oS65e2ZouJt75pHDbjR6SN0HC5R4FlZjlIcTqX xZcac1iwBlEOP4e0IF4ZM5OT2FpAP8btlnpmd6WO3Q3Q7Ggywlh8p6YFcE7S2NM3mHLkAccWBxgQ Q/uRGSJ2SuecOiNBq6UWx92662C/Ao/Mm88HkPhexbzqwUXWzxBBZ4nvAman+UF1JbqgnqCfvCNC zPmC3SacgQ6OeyAxGrv6ltAxyZ+MYYjulqWYcs7l0NDwFZ+KMnndBwOpReXQpA66cxFGZLeF8laK fRCJNcYkkZsIEJA3hyovPfRVY2FO5nhZvIhy87yDu4ECpcE9dt6L/AKPxDi3eiXnXzjcXNnofmjD mEIC03oHuz4uQyKE4JOkJz/lq6nhbj6N0l4vz/5W3DKAXSkEPI9qVLlCAzoap/AFHEnNj/M+FKsW OPtyCaimpu1mu+PM8plVv5MQh+fgxZYyHAqFzUh6Vx3RmDR5vmySsyixrpT48/bqrtbSBMsFBeG9 gb4xm9yB2tfByAU7MMEwfTrTXrsPRg8trXSabG1Mx7qzgLYeEksrovW1eF8QOopk5tGLa89aVZpP BexwLVRMhY7xdE7NpIQtwtHmE8nJaYku/g5z/Mz8SaHbbGpuQf+Yahvbf99bAjXL5EyckX4sCDgv Xi0EhmE0wrnJ3z5GAdSA/4j2ti4sIoufSxLDNEiwiFl6UJIdXu5U/GMrmJdAGbTBJqze7eUA/Cem 55bHuFwTnLzdydJqWO/oXeSPQZLpNGeKflGj9w38R8gdOnqhsY0syQIsXSovLfOjzR0Qonw33Z9u mL0GxuWYQXbceVyedX6EuGxmq2GJYWRvIDB86IPd6ePE9l43AeY8SiMSmncxt6F0qLsTcxV8N6i/ nBvl9Q5VAqSUMaaK5FkGRd9Ew+4ePyrEaNkwIa4BkvgGslFPan+g5IZGFQcF4fQMBS3TL0JH6H2L 3Ga54jeGfgHAllIJsMx/hsV5AUsQdus0k4kurwEU/kc266ZaUt+35kgNbol2NqRiI/NDhUeUMC0r yi8SqtCrSNpEzFIFlW/9FFbdF8sZuiDte4Q0hlqlKsWoi9zdwDX6KxGBOCuOha5Kks/5NwZnB3s1 3dKEoGZEbW9Jq9z4CXW3fwchOeIIWyzWBo/3TtzezDvNflMhaFR+dfN6Nu/a5p3CJEhFdhtcEcUd CIt0ZnwNormgoekfhZzmNWwHe4srrzXKallaqoUedOWC9u3tBCeCx8loP5PW7so1cGQh0biidAD4 /Nuw7SXkriLOrD1wwJ838QUnBDQMotqGUbJvdXKknK1V2+rmBMGEBGw4HVKU+vTAtul/SkC0gug1 8VssROFlmZOZnCQ7xUBf8zQNxHAMqJP7p4X9o1BlnTPQXCvHNUxKpfP+tf4559UvWL0npdGJFIcy lAnowB01rlbwXW8PjA5qDFCLwKY/kwOgxtzTLQcuxx0RTn+zcHlBUllw/J84EDvR7tkqLDBE4jhr oLnjMzZwkF68WaLCSy8GkT7wkw6YJSSBn75Xf4QjXHE5RJOQ1hjdWcF1rkeqgXXGavV+vDCzbsBy D9j5CtFWcFPCMHV9nQVeRYBssqQOc5igxWQw6ykDavbkdxmwDvP23/y0+LWicrOowcLh4y681XIn gTb6s7Ekg2yA4Y3X51zbRCq1vcmsq4sJDARsX1Mtj+Xzli2hMofuq5/ZDcehyKvYRTO1fw1w2FKe zCYH0DG6ZGSW9geiBUnluVahqDVEmuc6m+EDfbKUqrNqlK26OG8DgZqRMfFQX28rmF0GvdiNh1dD 1b0zcPkkRWccmF58sAUqaNnF2JwI8ZtPGVdkcFBlKamp51E/Kb1b4vxJkqg8tQCxYYj8+mT4/Sd5 dZ7C2zNUuQUj9NC3EerL6RwGY10+nhZmLDaL8KBwMobNAEKuqjl4TCZUIAuUdrhvcZa5V0r/lJAa NwojAiJafUZWVaIVEzShA8gL5QDNxrD5MV4XgUe2y7WlKx6UxJdXXTI3mvDXvpU2ZPU2NX3JGRdE CFOvHGQ5yxbkmbDD4/hIuEFITt5v3AGnC3/ZtIb+gBObg5b7JWXKMV/HJNS8ivKrnFrzNxFZhxkf aLpx3sbPNnZpiFpXwhdEH+6qseovG13LyfUmMzRtnx04ZtBaCZYIQwzgTJDDuJhICA4Zix97ljNc 85mRAFwcojrqG6p4xvaT+8tavFna6HF80T7Xi9z0Zug4O2+QjLz9cxF/eSwV8uXTERKqgZgqC4VN hJCvW+4FCFrh3NqRC9g2wdA4M0e5EUjRoDgvFse+bh4KH15bloVwzebQPShH61HaTxwLpSFbaS/g LDKmtZoE/RRzNUiX6/esaBrSbeoZGt4YSROQ9SW+pVssTU/Kl07hVR8W9m4FV3g7n46W5My+0z7t w56nTHknb4kMg6TNZqTCDfkw9xxO406k/R7hfBgUbFrBnLWS//Sw1q5D3ZBq7o0rHYMnzWzgmRgo fOfyCGhZBHrS48KG12sMDUPL6/nYY8kRohLd3+A/PjnMv8UU4iYMWjuQazp9en+i0JwnyE+1lzKP QH7w2pxtwxbdwmZ41eqkxAPPH98oBMC1sftdcaYQjyHuuYy5vIpmjnLwE+607x+Ggxyhn30OrvQG c2ClyRja/K5xi5bwfjcPbYg2EY/G4z7jb8PdBgIhAMLIang/7srjyOeagLY4O/OD5uKrSHiQuHfb VCa3vmGuHMJHA/+hHZeEYcYbacQnlaxGx3vRl6QUOrNLtJCxa2ODUefQVgTxAdhUVBQ/vrkkBot6 DpZ6WtNjdeNEPfjLpf2KHehRoe+fYIw3KJzrfJSRQpA2zp332wI4sy+X50X3c+luE55AAF0jx3gA 6C1xDYXh28Lk/AHzcj+WLKHNz1vmonr2pfKkWLv2D5txXtRDTEO8sB+xuMRnCr8K4Fd91zWpJBz3 Ytrs0UylEK/ZEH+Xcx6RyMulpUNnjfoPBOzkcbuzqb7AEr1NPmnlZ9lsq2OazHLvPBPa1hjdOpbW WbAzNVpITYE0zn7gbj92IQmaSZ9t574j1o0IVFfoD8w3nYXLrA2qvUQob3EV2NGGIru8xGTe272Y UcodFRTEQDHu4Q2CcZcE2WjcqFEmVswwAXDoiG2cBaNBND45BXz89rI0a8Tv3+SGQt5JzdlmVcQi vCrserlABtDFxmpVsUzcCcH5k6euijtODvfDb5BhrFqb/lkJ5MevTrnxnUydPWr2tKo7phs94ovI grzG7anaTSu0I8unjixuIxEaIFLHfOj3xLkJKov5TNzWRtdCT/5rFQiCbQuVUh2Rqfx4gVevSqv6 aoEPjpS8JxV4jUksdCb1AoQAvtFdlubS6bSORgMX9D7tkGjI/7nosnu6a3rEx/lqgtcTc6/AwKza y8ciNIsebxe+Qgu7Ywf6hQ9FIsZ6hF2JrqROfukov6GBgxgXLrRU8IH0YQ1enLjy+wdWIDHwWPl8 x2fmV7vUzQyBnfrI01m2u5pIA8CpTliscRxdqs9oZyonzOZuwGVqhXL6Q3Ke1rKvOwZtx+8vbVFG 7oTrpVuRneX1iy0acjrGpomlBb2bIOIPAh1kOBEEfpHZ/7wBIPhxwgDnDDRIlRjR67pU12DS/CSj ST7YKccZ2g3ezba8x3fEq+wHJ+Trg5WdRBbg6RNJmVybtTnrY1WhvRCK3n+hTiH+BUxiNkFd71dH vdFau5DNkT0Q/kfRIIWBDCE//xuDJijulUR2zp+/nHCfGSxyXYHxxRMVX85bJ7lHQs+bpOflXU9Q E22Mog1KYhW7ph7puwZxEo/DmgR5Mt/PQU9meIaX0YN+QByQnEl+QZZ6Ad/lPaYHEORkgJQXfK+X RAUTxoWZB2jfUy1ywV+dmw/3U2s25Mn7v9b1aoZZIVWJM+Gv+JOmF8N+K5T83PeCPgVszLI3UNYb 2/ihPvyONXEQZs7YA3asXPdMdG/o23n8z82oT2IFrJBCvObuI4H6EmVKNMOmxBk6IVyJkxAlt+bI U9bOgubhJEzEXHkZojAZBv1W9A7L0LVqWdQo1QQ02CyDeaBrFKiFq+y1GwGPT+3OuCx9nn7Tpuqu BzF99Y6Bd+xsekaFc8wPG6/JT3iyNNkCaEoMMTHmI12JCh5T/U3/2Kn4rqSs8vGIXcJkg7jDWu2h yEFaAFxm2iEvFDG1RO9/B1eOnfCo3MyjffaO59iptiOPVOmLXJwTuGi0xFd2bl4C3NmuTkZNgASY JxJ3B0bGTOw7WhCtDlY8Iw6TMcfPpCm8s69vFcq9DEbACmDYQf1DvNiwXRIXTOfWzckaDcK7tdLp RUqRfoPwP/nFYJwu2LJi+XLg2akcHfIqtjE1pu32l9dfPsZfRKnEKhDmJS9NSlVaaIXJTTlE+RJa nwi7wgtGEshdgwHUYpzu1gReTs5VDdtiQhPsTmi1MReJ0KJrhv7CQEpSuKrFYKkzfFwQZugIyTM4 OXyxyYYu0ToYK4WJKRUXsmvuaKBSMOLJqxHIC26fZL3+LiptCrtMOupAiqRRF3kUtmKFCxj0WiE3 PdF4LshMhtI1K/uK1tLkA+zciPWJQNwWHltELNrCUaelz8pvv/irfszKi5lnYFb+0JhdAoGKtdNH vqIgP7PjnxUHr42/D/GoxJESmStesvT9wxD6gjBVdELJSVIxhW6iUssQohrRgZkBnO7ciVddl+QX jrCiWW3NLWithM+OqHCm2YivAmKHXIQFtEmPRO2ci7mXGM6swQlCO1rxKq8DSbe7Mg09Suu8bGtd npVij9d4/N2myhgt7eLX7tzcvsFXgHj0qJz1fBZe5XnTMRqlyRCnH4fK/cWYsDjYwXsj8v2n1JWo 9gOz+eVlYzJdlkYdEoB7n8yWbhmemYg6pgv0B/9uIpibMSEQjpsEDXtNyHITn6gmyb6PsBRcNuhl sGfnR7p4TJfKl9HvN7u+3RKa9JKyXrSxX8EV2uf4gQeWukoC1w6n+W89bTBnZa40O9oknweW5SKW 5WRa4G8ohdYIdHVS9fmG1xOAdYrBnP75G8HppYY1p+M7EZolePNgMB55TS78XXt5uJ+umM8tVLuE uRVkYfQTHQirckIf0ONkRCHdnhqIJVXkdz1b5fMivJbhI4Iy5Inx24X0YDvXdPvizbrcs317t3vA 67NmvRY9S//q1VQLSrAUGIidlm24PA4csLE/IaOg/+KxUCQZPUB9VrRKe8f6BJityo2HU8w9KS0q DbKQilvZGVf0xI9LSB8XMdK4rubTqt2LghDfhhZZ83gaiY7wlKddpcATCxjGn/EJyqnUiQ9+CVJ0 HpmcFniAjaQVPlSu89yZSpjVJY7V8UqABr8FTnqmcirlU3yxBUxm+781gz/0JScRZcJm3AytHMcL CFBe0If3Imopyc/AnlZqaJ/veZEuIJk0rhmGV/iH25iedXRqXWO+LIFNWRBzlcTQkpLMYJMPK9qw Jn7mmcd410KcSx2c+7WyuqNrf4SGbCt3svwnosqwvIKhiBvZqWJHSQKEA9VMiBEovIgeA9bbgJp/ 4hH/K8pHc56KO1/+W89ERTrdLi5jUTF+KRK0En8+kR3e8wcZcokGPCFLno7J/Z53a23u0riQTiXV hOkfOxiV8+XMaiFqTtv17x+qk75sOrG/LK/TSv9ME3tabggE1N+9tiDDhfmEe8V6ZntA9zPNyiX5 nbg/AZ7K0U8Su1EegYhNB0kI0JlFC6qzu/xeKwVFph5LHMQEn+FhS9iaSWs6yFWzjnkZhc09TAva 1Mk2OH3Usa77Wml81LocaiVvBS4I67EWKARTlYvqC7dzq1+1IqAJTvAwoH7yuIP7VHy8vdlKwlHv R6jSnUGfDWQY82Fx4wZyFSM67JE19TuwoYJqyqqL4TkDIdPyby7lm0tdJCHmRVNQncadYoEfIVpm /EUlz7Hex2fOeCfQWh8Uj39BOAAwFDc68+jaKPnj/zR47o8F012gDcs8CDPVKjdhwWFd3Ah+VkxJ ffaPKEq7OkGuV+xBsrbtdgwsuiof8K2tUWhXn45GuRZaYaJmtEiesEE4Lyki0uSGsWHYn/6Pvg7E ORkcwOSS+K8EBXySvEdfu1DJ8bX6xHYUGb+UFl/WNT8wm1Tag8lfl0MDiztULU1UROqSaUFLYg7P C3CmaFoPwwaEGs2q/FzzEVK0R6OwqqURd3L0+aSETGhtO+UqDpSM5its7wQgP9msmSPSIPjRhfEK qkBJjXh5j8raoUjZnT0cmVgf8HNt3gT17v12CXLLI3SVeqv7JRNQePDjpdMWczXI7CkDanl5k9LM w/+5PGYlNESMqItU6KqMDZJ1DYt7w1kcqpj1AyF1PmNix49FQL0IIBts+HJxeiH+8k7QZOejFQZG NQ+2CtePqMgY3Gq0m3e45EMJIJZHNLYQc6JxWMYhXj5g8HiNePqz5kMg05iavGQchauLLPcYswcq 1zjqShd/tTeO3IqBMBcwpC45FpBbL4Ck2CAZDPTIjqN13871zize1gluXuhZBIBJmX2hkv88Q6na DrQjnq1uu2CDJEE3LKCL6GLeBZGF3B3wWcFI37LeqAPsT6iNnNcBx6QQLAUDMWfsuHyZoHIENl60 0PYEnqgimd9lxbIyjXXLDsxXqvbp0G9cQYuoxkZfPUi6X+QZjZSktP3xofXqKD4Kcvxc2rIQLRdG 90lpFfe0yl2sS8jArDSEn+dLIf2koMdTxhzPTq5vyXJVUwOc9Bv/BfHr/W2MQCCBEuTQaFSkxuOc /gq9ZMmkM6PXgTsm705ZnSX9qO0uetCJoa9mXVN4+UccW7lghr/QGMIKcG5z8veHLuAyo5w16bcM BQnZFE32Di5aOi1QktNBTJ3j+1ZPCAsLWb8Ebd3vkd1pcKQuroO+aXqJ4qkKdcOITeFpQT55K7nV /19EQObkr36mrMfLtkQMVVsLZHZEWqF1Oddt2pBh/lClmC+MQVnGvjpRTPcH51ZOMaXGmA84OAKX i0N0E1COpwk37UB95qcCfiEUA5ycf+pDTIQEnHfZGchIqPafW8iZqadl5+++8uyUHX4e7XQfSGwm soYVJt0ggmqVbz0TmBWRW3RVoeMKC/6sN71aRaotw2yjroNWbLx3SCqin1gILMz3VDdRtnInfnyF xlfOeOHcVQ2914Qx4/qVUSwVLCyLVFwaD8d1Me3lYaD8LnHsZxoFRs3dixFjOHC0IcQ29A3s6mJ4 PlJDE4RrCkniNeN4wtvlfl3KaqwvWpBS6YkPkqtt/qZZAFnpUnOd8xoVtCsiZsgOK7NoN5AI70+q 9CrhDiGG+4rwjobdtlJx+fxmSwxbkUgNW2enKeSf4z23wb7i2BjRHu8VRHad0lx4R+IfH3Pn5Gt3 o66vT6dW/486JyW2n0D9oal9s+RIYeI7axP91QLg2GMTQBSgx0Q4zlaWF8DBvjW+061Cg8w+1ZwT JgtPDYAeEMasQHRaxReVHkg0PtBMoc9mm7Jl5RYQLD5SBuk3qR0dq1cfcVZEuu5s5AeY9rIv1n1b Ti0W5eks6H515gLKy2t5hVJcj3xqB39ad3995D3pMl/OUJcOHkq6wHQvI3yKFsWMr/8IDi69vTZU 7IUIoZsilz6qXJEdRpJ+Wd0xHPgnkvi8Na0tRBIWUSCfP8cr7mAUwpkDRKwSL9SS0s4HS5H5Xrrr hKKs138nPohovbhCwRiCJBZ3+5LSiVmi6Lan4hEZy2zvYACwNCnDgi6QlwsdkdAXHqQI2mzXx9HI TxMVb6FVoGAeCIWpzcss6g/QDrkvtaZfIlNC4M1jJaI023UVRR71GkEgSOQtKHgV+JWGQakmPM9F oHTeaJFE6vjo0LNe5507FPT+7khzhDpwm7RjEmvt3YMjrsISJHuyL1Mdhjt9ssGYZIDy+lC8rCs8 FTfOKGAjFfqW5zTl900e6WsPq4cETnHhJ8a1btTb1+/ziFNlz8tlGFRM6y+kl1wVvDmyDASjhR4E 3lxCf5CEuTq2Jo9FptwpLM8Kns6QbgIqrtzY7yE5IGX0xV/Ewa/DZSHp8M7zXIRdNiCS30zYbFEX RvBVbb6chV/3LHq12zfnzxoPsLWxT3hKihRFU42qBDl3NKAnuV+OaylhmkyIEOH8EKUuL3R/5IGE jKmB3p7yoMXR/8wzazIXvK+zOP55djh7b8UK15yYHZfTe7qMgss2f7Kljueym4qxkNuoTyAQciUJ ZB6OfyzAhtTW0LeQ+0Jaohxw1R/XXo4salPkiqjl2bF/zFqM2FpzKkeoh4Bhn6GOkXKAaa7LBkch avTikfIvne1l7R7BdZLzKnOXVChP8oFqFHE22C86rQmY1m9v+b0mGZpUhh+VSz1UoiRUZih++zje eriQjEv3wmBQYcEyNH+et1erXbt0D4FRF+taXKUPQ0L1Pm7kn22VOC7QgztuTtjBjtljmBEeQo8A RVQQxiy79Wb5DbSwgWRIVEBivYz2ZC35DXd0OOUFUt9OjYoRZExRFkuH6p6P0LvndaJAuhJAPGra t36c/t08hgQCQ/fja1T4SpzhPUDYFKlCX25HYEPUkcilNZ8j+iu2ntN91h9V6525cYBl0T9pd9XG Vb/hWf+m1r4lrHj8pI7WiJdvQNktX8xDpBQ5WovEo1CF5H3IJ4HBGfn0TOo6ibSbxrIddsWyGRCn vNABznV38TQbjWSsfhFhRrw2pS2ZLYgyw+0fZLB8XXNwk5YCXmQ8fS26GCi+RGIVEXcLD06Dkb0K Gwt/ynV0p3eV6uBWLM0I5dOXyxGF0XFsRjGE6uRU4RAldoZiBrvzSimE9BSW6zvf3KdzwHtSLwAX SJp90SMbA+IwhLzYWljI97FifsuK+cyOwKbEJtXak/PHv2lWzjqtL9eHQRxBLgJGqhhgLqCo6QHQ m//ky4TXBOFrxnP8LSQQKGk7qg8fvGU0SCqvCOs0LTAoCBT+2Ftcb5LaIxZD3O5dTsq/Ey1D7O9b mKhTDLX/bL2hIHd+47PGtXOb02pNqy6LN+HR/4grhBMJ55d6CTJwovSWgzT/dpDVwFpR7UGyxP/q umj4ek6Gg/AYIxa8LxSo+dHTkPPTzcT0ApbUJi0p42GYWnwhoLq7qDUAM+Pzh/w6xTn2Vz2W5eXe fzmQWczZuOGuk/ehhpaKr+xc1jAPW4HhCa0iIQARidsTE5q42WZDAvOxDYToy+kFt/KbtNp0H7wI 4XhEEKp39ynO7l0vAXxc1Y96fSqjfyDsALX/0d5GG5BVb51arPFTkvhl+K6e4WSSryMauB3xxNQm +2h2mV5pZicCaTssRW7FjISICrelc+Yd/KDUOXRSeDPIy8qOSZeAl6j0edV2cjejUfYZv1eks6cu 2V6zlZR6TkwR3jRGDQ9///d2P+OfW/364Y0OuUBdx0u3/2sEg2tqYkOQ2tb7j8s4w26soHMRWIaK q/Pej6u4wlcg6JliM//URD8X4xwBRu5ZJER8mq1Cc6ExK46iI75HPvh4lyRBrmyUA4fJHqNA/kcq MJD9txMoYFCc6qTpLPyOFWdIssW4zesaY1TQn14aoJtxsVM6qC6ogE3SNBK/NEbu9R89EONvQoFI 9Rc4nnLLYF1gUEEDxz2p3brLlEEJNhIr4b2lt/ZCaprAOXCKlno4MQfcnZuSzZ5JdWu7x+G7Dkbo dlFuK35OdC8aC4fvnXzjdc4uEVboPfGoQhB3s6HBc0xWbrWBykgzOGOUqsB4vpZwNNvP/91WW34B NhTEOgPj4nqag9HPYHhqQOFq6FDXJVDEhxsij6+7SwB3Ea9u9dPrpCYHktJsCp6NSYNBsFHBWROQ MxmlbAwx95cI+IvafGsfJatL4YskkIE76wbY6xqN3szpQeXVoeNLmfzy47cPG+ssIZUD1z8dQaUt for6xbV7QzhoVI4BRi62nI0JYpmBmDBgRixN+4PePvrX+t75JCJUW2JAXT1zqWHeVvxqdX/yw2qW csokaNLFoOpUsxGSRlZrgNBSpG5lYQAWMGlWas+NJ+7mMwY/gcWplD2w6cF8AEP5iFgi6GJxcDpQ 8PQEc57WgKirzKustXFWmfrrLhf94oS6aYv0MK5uKX7kN3gyhyyl5mvJGccuvQ/jd9HmlwyU2jAV 9hXMYQ7wnnV7bhBXL0W3SJajoYSH+kDNRvII9ZiHVfNV1rjehK03moJeAEBwm9+ebYUQcvq/Qebz puMDcpm1XHbTt19fMSWhqMdZPBICVgHoN9LzGEOUX0rVRFS61XBeApihpuOJGLiwmZI3SxwRlvRs APE45a2iyMKI0/c00eJzTM1E9qodMdI5+EmkhsxMoh7PEq/o/9TjRNCP1j0UhgMn7T8A+aGIBtfA MdNRYNvXGbQO/178acZkSVtFzrT1SCHihdk63s/ChKQ0rsYDPVyNQzHnjuH3sv0blNPNyAc2O5+J 6kN1L+jYJ+Rp/WKYKcsn3O8HzKR1wKT80MRILHVhayhW2hCeJ3AI2IPytLrLXE7snbtD4WJkSgCF +McEDPubFMcncQHuPGlAvFSBerhGZwNJ9CaLnUpExS3Ib2hOODVtNF6c52PkAEPfw4xyT5PIFNrY SF9cghDrKdQwq9rERvYU0lWfacXAoeGJqZrCRk+5wd0KTdBmDzUtInc6pdLGbUMy7vGQ21E6s1fd VzYED2IgmvwHrBfT+3KnUualq4eTsJQBE9PNU8nKARcBfs6Mfj/5RLRiqZiIybpEj9x6VLsBVOOT GkRXQ9fP55INrpVHt7UENmqODClKt3dxcrGDOEOwT8KuYVJwSPqYVwnnA63PX7gAgJejMc5+52RM CWHrAgicHIlouOfMjfnvhoRgzIvpJ47k1YXcTUAdJN0qYn20liW6N6SUJVyzqegdL36ei3TWZAaC EzqI9B1JZ9vFsukS0h9eigwcMvfxlUvzuSxq/zomDbbEgE8m8siVtLLQdHgm10xGq05H7zcdzbX1 L3CM3bitdLVTD9djZcdHNvy7hF20OCSmx3xXP34H/XR3WxtkNm0d0peF6MKJng+oTGFjo04vvnrK kg6YBsJ5SWrD1Qra6AWojKISSd+TMHEMMbZRg6oNM4O1jrgYZb2jYDHo8i4VoCPgRWQXWXPHnQMj TM0p4SMjM0Igg/zapZq7wjGZ/gxx80F5B0k4UR6AfeoGfE0rMbnqyabLzNYj6DdKhXeogjhQlnMP JB5HE0oAxdDMLLme72FYI63XuwYs9LTqrKndr3hxw93Dkk+3Llb/MVuyXdGbIyeU+prCc5/iIf5i aVFjLC8tGPpAdTo55Bqnxixbumv5OKxElpATcjNlFk6VdBwbk80hKKqjqDGKjMy+PT6WwhZtcQ3o hsIkOln/jdLcgzSurENoZAb+k8k/sPPpwmFf/RPDD4njCePh6yiHFKvY9sgtWacI1j3XiP7N0RlW egp7dYeuCRUTVZ3mSnU3c+lgN6jKtP7ZMaH+E+DD0kMakC1LfJZ54QY7T/22eymjWKSnxM1+uY4N dEZfzlKgDGcdAhnrZK1m0YYhLLe0YIpgqztOaWYoEkysEhjbh4q5ueAje5r9VdpCnFGJtUHjP+6G vQCkdpv7xHtpM0j2rQrl89zcLzJ8w/dkKij+2URx/F1XvkaZnoeiVo31BZt5IdXusYiKRyG7pMCd YWUHpROTgQrxYzAamzv85HwBf/8HUOvw8e0LWTt6ssd5LGuXP2Wy06/22qOFU6YNc67AX8IXcB4b wc+AOhPElYki50i9fefvFoQb2gyIFopFRP6VbC6WaVdMyKHXz7waLiMNnyKUuAz1VQEZs/IyRAxE 372zk2y8JaojorloRGCVA9RcmhywMMzwWRck907CD1r2RSWUgxhasWvUnVgvr8Zg7HKj/miVMzRM aWQy1EMPGz8k+VzhArLP2E4aQ94GK9hS89vqHm+PtSoR8eoPezp4Edzz12ewToRuBYAP+NrXGP7g XOpPGs+pZbwSFvm94tRCRuqlGe2y+rq1uuWz3HNysNGU4H+Z+tyN3TMgQAxJ+19/+uVEyn9zF+yy HtyOus7M72t1IlMrR80O6fcx/BP3T2sMbPUhD2oGbKAQ65Vf2r4ZDYKwRwgJ2trbsJZMwO/ppY70 enqU5rbhOmTO4XNyTopXN0nfKWsovxs+hJ+TV52p70c7CD6zzlRDn6KdY7Cj4vtjQHmbGgwdLtAt wZR+unzPWnyyJ6J7s9k0wicgJLF47VWuAfLZa7RODdyTR1TSEu3cqEF5CwtNnxXQtUUXNrEh8fDP fALwnVIbCmmWAYzjhEYO2RlFCLvb0fiohfc2ZmQc7Pfo8BbJ2Xu152O2v0sxhfAac2IbRVlIGJF8 TANXG/Zmsfp2WgSJcWffsharzmMIgWlVbfZGWO3+bHHsfkvYIjWVOIwM4JEjY2nsTnp3FqssBgEy EFZkQw8GgZhV8hrrQPtDzdqDH7UyHeX4tOo1yH1Yad3PBiTjhHrKBnDbV69AWjTuoBnXUyt1KInA oBfyDdGbjdRcCgftG/JPM2eLplDmO6akUfmDPUj/9wucFPQZ89OdfuP/L5YR/F3o2x3/c5mBvwAX UDl/AND9uWnCHVzTau+wEEEIFpbkvXnu+PZ5s0GmhCUFhUU1ymH6f/sBVdR12CwdxZIXoENsEKG7 Dvuz9mGrSfqLfKwk4c/82Yv6YJP6ZnLpUbY0l3iurWKcjDpKmxa/t5BadX5xSzWuzr3lkNW6ftBf uzp/8QGDzSeTkDaFI9TSlYy/vabP7gYKL4GqP2kZDhMD8Z25lHdVHej+CNfFPInDZZPEZx2XQbdR nAAjv7rxB/i9N8/r27+Lk1u8Zt8PUSfE25Xlj66R7+qfpqMaGYXvjj18/WPCezRiO9JTlq5ggOai XvYHSa9N4CoYT0TLsIxvng4OsKRfbx3AZFo8R8031MySbGYI73qTRPjz0aliV8wS+vc4iyeM7PyV KrEsSkKZfXEbdd9Rp5iot4VAuYdUznfWHQbSys5+8Oi897n4DMr0gCA1PhgaiRVe5D+m10ktCulM mQYXirn+bt/hkuJDKKVnPGxqKdbF+O4DyJlMw7/didVfMW5Kq1CHoYxNq8T60QS48VvjLkaK13aF pD0pabM6hXTA3VOaaNFIAkDGsmHRMvpfr+ncnWmMtwjC4Cf1QZwJAFc1olWxa4byAX2z4XQdh96d V8jmG0P3XqbDcHqmVoC83+TkVcrHXAXBoM+GcElOmh3Q5P3OfbyAsmDnv9UyZ2zXiuBqWmwWAo+F FcpzmkO9QOG0VtZdYi5AImvQqoMDmryHtmvajqu5aGJiFJhxqD2f6zyGNZf0IaTZMJiadDMcLiBE 5+RNye8Rvk/jMTogAyB/m1D+t9gemrlFvZrqEZo0HUtzWiXrYRYki645OzTM9fE07pd7KxExF/IL cLawTuIPi4F6ANExuRnkoOkc/vStCU4LUX7R46l8Z8A2RdcjIu3fbLRFO60TJaZclRHWG3ruwMI0 PAOB9gv13hVaH91qpb/mZEpEGM0odwtelswzGiploBfXIkCnryw6Hs01iGZONYKtonWZkTLj6G+l 4eEuEL7Ar58USz90BRmLzdbrAYj7jVKGdhY235HueXU/kpOdAMXmvS1SvLBGLdvDB0SygYoJI8nN 3yOupiacvnVPMfGs/L3fmTuzs4Sa3/aPMXq9sizkZfMPHEYsapSSMIWaA4rBsRFlZKytzl6YRQv7 sx61XKH0cyZuAeSSR/F7TSNljf1ljXJDPMueVXV7qwILKeUkx1932LtP3gWMvKxiiCv1PhXPkrld GJasI/rVSgzAFf5nCEj1aL00YrZSkqpwdI/eM24fneXdoav2QTjxOxW8zbaW2LCcx1kkRfIWOpP3 M7yl4KmLWiUtIIIZWSG3nKuNwCKtjMyFxe2hSAZcAeeCXPv4m2FI4Phki70CcIJ0QAfHW+Wq07S/ V0mtiiIv26tEnK878sJ+w8V5jpSd54TKtMDmPp5kjXOD1g4JgzIQwb02zYprDXqUflmksE5wGqNz vxih5Huly44ho5deVw+9pAbB/bhSOYLKq+A3/aT0DKUynEXUKXm2RRuNqXADRKmIuQ36kPkujrWD z/pCO+LczedEFBeKDf5pzZCSzdXH3aawb4jfbLOfash811qqz/xa7x7FpGFRXVQdNjKucmVysTUm mhE1R548OliyNhhHwW6h4C0FxGqiamgnHzSoetWfHG4/Qg0F5hIcDxg2CnVyURryzweV66ebW76y 70afQ57N95z2n4tdJNDJzXnh/7jPv2B8LDV1oAn17fiQPzeN2976/Fz831KAPpq/17lb2WJrBOfd KLkgTr/KFhsySGWZQW9XDK12lXZGAW8Z6vv3OH+CcwQlWf7u2+I+6zvHGDDxSkoSFsDdXmNMjosO LKyPi/H7jR8aKmUk66zuiqdAD09HZUa8188/RO59OZIKq6scai3W8mxmL0F9wS9SfPcSQegFLuBi k3EuMPvxY6fNqUU0l6STv6hJPtkUVM27+0vFESWkDq8gSm5wLsvxcTN5jNZlEyO5fBNWE+vWCBFz IqVVsdTDiU0jJOp0/powgE01Bacn6CRa5gJvBxe2cBB27L2OmlKjdjE8L5od+W2iyShi33LW0CAr wnjuWaJODNJfAomb2nIl1d9SolVJr6k/ezC3ldbHyjgYX1q6zfUFXJLwMrqYT5eUZzhCuNJDm1+d aR6ZcZ+r9Kwzgt/R+0/lmJPOx3vKiF4j0neBWBj265QslY8J+EPmD3nFbvhKkK+2ldjV+M21Xpwg qvjRsMUjV5iJH+ayuQi72OhPU/h+zFSRNvQ8pDjLl3OxjoD/dC0LnI+0L0RCWbNctjJkqXxBkbVL CKs9jXd3Lt2ccPUj0CWvSwN2BWyriJNi5TZ8bX2VG1pPfYk0KwBgKWAWGSdlUKrdnmBABgPEpcSF d82PYDDwP9CT34GxKNIxmbMwrMxHN0Ahrp7o8DkswYMk0fxfXiTH+SirKisfIcqZB3YAEWRQrqZD bwfXiXKQdlFhnh6bVwct3BxnSJr0LQlNUvkFuGX8LQ6u+aWpX5hPFLal/Gxuth4FVxu/pqXJTAYn oOdvzUnUxdgy+X+ikciKgNZ3wR4xQ+AU15H+xqTXs5g5T1NwNoW/Qh1hwfMj4lHWyRvVSv2wrXsL BIHLVXekYlg1UfSVGkG9Gns82zcIWZXnjE7uMzAthkhnkVVbB86vbpFLzXfZ+PHEIXtSUb52C8NM KC0AWeD/xSdkedk+39kyAh3cMMYqm4YbP7ljs+npG3MSMS8jjA0Xbo6JZFQDpTPaJhqB1+y36LJx 2Mc/936L9CeT1Np4OGfuEZGwSSTEsmNSrsBFtnBHEIRsLNTPA3trOIIISSKcJq2P+YBL/+s1Bvp8 1G1WgyYMjJpVF8zZaO5iXMU7lfPsUAvoxn468uHkfKWNNcEJJJpI49xtlLUD5zJ+vDwcVPWUzOg0 Mq5tpLruvKwyi0vHqgtEBYB8joxsuE34JFriu5Wp+qfYCnil0XW9FqWdN2SYvrXUud6pQiOrpt1k HJZ1Hn6n3GfxPBO5PAgeaapJAogbY8oBHIGft71JbRwIYo2JE956tuAGjFuTfjg+6hhyfHZE8tEr 7OcS/hEwbdKvBXzez832DZtcArIM8Zgq4AlX5IdGrb38ogoeZkVP5pNjHNgmyXScG0MBmKNtNlei YXVO+kI+/5U13eArVvvU1ngAP/M5NQadqLG1e8nAI0Gcrg2c56XfADTHPKDPCQ8unStJpQVLHfGL 0ga/cEek4XEDTYVwE3a9AESKR365XqBgUjOz+KFsinLrB6kpkaOi8rOHy2UnbDGENIiws3UEW+R6 GkhbrNw73l/avELPjirc/SEUuvyDoW1GZZZQiNMGXISFH/7wJR/cZteZPZ31bBXoeqdVG7GOkVUe FUdOPkfmtxyAmOnUepQGlW/ip1p11wBkTgRS08bWrh3hgUxBCvD+TyasUu8ufv37DgXg/g3HyA1H NID1sieoC2oOndMvNUMk60Rycuhc1B5e4l3NC4ITYbLYaN6PL64LtcDgQY0fetHc14F82T+ctQrZ U802YcMS9xWnSIwPkq6Be1VQ3q71YOEsYJw0walIgIZTQ3ijf7RqkTmmf04uJUVNq7a2Ij0glhcw 9R4iF5kG4Pczp999VJaWLfzbHIqxSZcf7sLrcSU3rw4ligAsChi/+rSqYKWOBfCRQd0AynVt5Ncu NTDeW34m5ReH6nlVXrBYQo/VOY6gAUTdD5e4jUmLdnY48iVFSIuGPdQIcMRREpwpqR/5hlqrv/Wr 1HxYRrFhEszKn3lwehpHo9IaTFUnSDPcH/HG4WjmCbl2srVrpYiXpRGd1jFiTs1sAMkdbKlayOY/ lVlHBUax0D55yYfzegnDSf92qM4e2enCon+N+oXe3TKYFyyTEwIX/65F7KhxIqJg39XY2ykEEsWB hoeFeps7LxU0vl/1Hgzi1bqGG+vkYKb75ULzo4HVLVEJn2dhI1NLp4YG+lpQzfkJr1pBhi6LLgnr +JLITod9MSH0HYSZGBcLXOTZNu9nA11DCKXdqNhR64ryi0K9Z8GRzb/0CMxxXj1RopvjzZVO7gXe RKKRZ42lLslvK8C7PY1P1itGexYjL/rsAjUlz2Yn0o8r+h9/hzm0SiMNsEpU3DlCOJhs0wXzlfxu 7nP90QmjTGZkmlYZM9qlB/OnFxLOoYsWU5fDsiUQDJ9pIw67Fp8O5yQmU/KJPZrGIW9Jjf1bDd/T qqBcfJY1nmjlGqB/gFzaqIDqrrwVoJcJp7qkFR4FnvIzB4E79CrFpFf6UllMOxhzdi+iUhq7lQiQ xZSzjYHpm6UjvNBFqN1WTURdTdWZuyOku67YYNT8oCQP7WMypTmr0z1l3fnP38ATJLEpmkT5msSe uAZw7tNfclig5C//k72gRI17s0LwHXgZTqCKdolLc2yywMRTkrf0VD3npDQVx3MRhadU4yi0uNGk YQBcTdJ1yyvACydDH8UEKwdqMoJml5Qkdo0rWedDb1kk3iaziHnB+0nB2thXS3gpCQphDOlkiNJJ iGwfAvbjYxKogMKdqKJxio8zeEbhDtga280xKwWdLa88JCLPkEk/TRHj/IhA/jRS+/qd7CsXQMsm cS/im4Iwer5my9HEtNYIztnoYpfu1ZA5ZgXrBKmDY7C0dUBLQJ9jl5x7DbleoFwKqD4ohlfxMpEm TOSjjTDtctUeoy62eYAiGWI3byMGyJHgOVG75juQBfJUdNsga5Znom2EgIIYYefIQsTzKtRqY9KA AfeMZGkW/rSNsH+9eXWaJ9fTX1l9D5cld+AMUEq4lyC6QdO0L82NoIHaqW+VKGeeBbud21+eUBXb DaMU0D9iq63pyex8d3Y03PgDPSxaRptTas60YvXSeAb16maayu+ex2AqPDzGBBaHivVTXZZ0wwmC JKyotZQP9qMUSJXJUgOQ1wZ/9XyrICnZm43ZICLKDJNIhctA+Uz0ofFK9E3KcDtfaxegpIO4ObXc +srDEZYhZft3F+cK3cm5iMMuVhdCXO3Fs2gSg+OUxwdh/evJehInb4rIrMUX7JHos0GKNNLvC5tM ywo86e6nN11kbuRIlXMkLRw4rbvQg+suh1/ntdmqjx+iLLwTsUL1N1vJMwtqqERVmsuPaT0Ndmjr zu4bBNXOoMJxG6PMIYreqO/kttak0D74eapWDUDnNrLEKn1z25q80ixaWbHC1n5lRqM27AgRSSE/ Yx7vEzdwXBg0ASnrY7opLknLNeZz00tEgLJ5P4K5byJX7mN9J+MVCSEwBhinVUjrcifItP0JHknW 11SZLYddfzy4sdU94S3IzGgIdKOKGUS/pK/Ifq3rswFncZGLHdLNhtuBmLzU4bytgTVht5L6SLjN C4WdTcbPXyKvs+xAPau9e4T0UnUSlTZjY+3WXnSDDhZ9hbljiZlyc45TnWeHt+z9ct5QU3EH5RjB CS1Sz6MwtPz+ozaXwpNDb442TgDOB+yOBH8IuBLoK3PtZ7fSHZwBALmH1O1WCW1yrFB35nfcoc8x MCDq1CSDFGd66Lq/DUVEFtuw3KWuRU7njZlP0rF95sZ7nZBc2UlO6ZfRXsUyUQs1CJrpEZnIV5S/ ePGmX7vDsnPMFtFULF0Iom+oNmH7uHWZy7IAEMEs9SjfsIC1mCK/hy0lSe1rmk/cbAhguAnoyC/N NFRJ3CjJaa0x8vz472BWUKRwG4XRy5etyUkQ5fcFD5cJjyrveSS61psLOJo3Ad8voM6oXLAJnMMQ bTqFndprsIFXpSVC7P3HzD6dTFHtm/1vKuXxuaE3JT7yRw20dX6x4PuCivGiDgzM72+IuTwuFEMm urNTXYYX50BHJqVORPUjpHNcyJb+XD5b0qxTg46xUajipz1NwSMxN3A/dJNrrLfNj/1sUjfpfV9J AdYcUEwPsYNRJzcI72hSDs7edhLK/GnRwxdyikd6e8FpWe6I9+RMx07jd8nyTnnAvLB/pNeV4lSP SWTEi8hjrD9p9jWRD3xi1hEQnaby63QcRIoACBmb0l/dR5dGYmSYdV2xjNvVjW9JRb9X5uDev7K3 wMzYKOnnNxynYWPE80dbMwUXAjRAbebIZrLnYzTCWbn5K//dXvi+J/FS/J+OMKhJVrCrPoc5fVOZ LeYD0LA2ji8I6uJgCvVu5VXCZ+UFxZZQ0XXmOXgmEvGV8/BAjW83ld9pagEoeekRNp7XTEyrNjKD 4J1E5y8GybjZ582r5yk6MdPf1mazGl5M/lEjPhkuHvVZOG+ROeT+HmDQwi/mKin/GBcr1cJ+FBhD d9Y/1QXa0+FlVhGf0f3lNMQ/AFTNgraaHCssxESnlezTy8elMwkdSafeOB80sOLGC/o/S+CyLljG ix3BjkOAG2vB4BBz8XEjW9fVS9udDtYnf2j33tvqtpEWgsCaR7u0sJSBix639eqKppAeWee6XIsr IfoDymPpWPqrnMjLVJQj9HMb6TF82OPWudABxRB6fOzVCHjqKD5Zkdza5CQD6xuzqrVy/seRPGmB SbmRkaq7+cB+d3TKgSeONwbuSjzbQBIrhyAuD8e/PbTPvSskJkMkMJO+XT/FZlDPqte03m5i39Nx EVV77uIftvXrw7CXTSBlcWM8Ktk0qj9lrbW10TpGK/R7uni+Nkm9tgku3PcyIrxEqmPdbnBFy2+4 9wi37EMcazRrM7Xh46f2GQs+PkFW0avboCwWRBNTM2bgCzfTZgcqwP6YNQUmOwVd9kx/H6lPX4wV TODGAf8UUuM7lKFyM2z9QojbY0gQCf5E96DIpZKAkrTdT5+zADkIN+Px8zXhmrGDjUglEd4lEKz7 oZq9MmD/75Vd0CjOpbpJlf+J7eF8HzFEYS3vQpYGmdERtX0T4ZGM9uHMf8fpEHrtpu1RA1iSlKNJ fwwtuF5Yqa62fiohmcw3fFsrOkiYeiKSae847b7x6Wg1AwsMNjh4CTy+9dZ9EodQi3D8nvQKduE/ TR0a/z1D+xK5fmDWch6GPAJwHs6pmmtwXRQF8kJaVOpdJTxHOsNFMkpgXPFaufhtnwOrCnUJOJo/ JrlUhJysfhuhrSl3nuebAErq63Q5JExSUPXdY/aWA1UJGqm3fXSk3dq/zaQ9Od2zHDVE2EEMzjWe CUeiTrl/dghzi64I/40H9kpLMDAnUIlejHETFUY38y++NwyMn0qloe1VkOL8ll0sCSDNq+h6GEJS H7/NHnZEVxUhjomFjZIn12t4KLuzuNEt3vTYkX4mPv1kyzUvqhKo8kaFsP8M0jX2f5OJdW9uoGkH F3gJ5v7pr5BiKOIoeM3fSkCZO+9iQMFaEsJKgpbQSsTzrURTQtzY0kUuF31RSGS0MZLy1dqYomq3 vpv9ahZJ0md3qRrlVm9JFUn/C2wmSwETDqT9thAQnk2jcP6uf2fpUp9q3lTE9tdPG/AtIA5SZVYD eBkwJ4fNjOkQCGo1EvJsYCHebe3ByJgqW5dQF41VpVH1ZDZd1plgkZz70jfaQ5tdmR7TXhfe9+z+ PdyL9iT9PEz+isReqhP17xeCOwCKrcChP4fMhEPeT28NGfCHpSfCjeMQnGBnaYlZ2VKx/lAn4RoY LAA26LLTb/23p3dNuPBM4jrl3Wq0xpTac8kpWQQ+Oy2YiA3PkQm4pNtGG9DpCAhqAIT1kxTHsaA+ xr9aeWMpIuxPcfZkb1dsYa4a+Hc+y9QRBb7aUNyxB94wwJsNOzXtd1Hbz/Dj599N0rvdXzpro4/r Lar683pF3c3d3pjQN3CsjInT9ilm+czc+MhPcb2DlPuOuhlOAjg8pbbQU74uHMyX2e9FqC6njmDP /CdwdHKi3tklzFeO3v0kCVJN0lgnwaM8fTIfJRaBTtH6gLJDW2hkZgfXqPPPqP0u5rFeQkYxLAta 4Vw2lCs9rL7qxgyg2p2RpIAP5WLcXRg54VEM9zhi+mUMB7EpNjy+WV6CFMu4NOtYSeftp8yKXfnQ mbN/VM1pGfqMTwAJiBTseocXP3+otCqeDAfbzWsZNOxe35VOTToFp/imq6+gc6D4WE3OClwIy0XN xYTl0JmVhPWrgPxwxfkzf56qQgXy+pUrGzhf8RsSJQDWtdQ18t35trIZSCt6M9YkAMQJ+J25l6OT N90xsSY5f4A5B/uZqEZzx8NcCKmM4OMWuqW4DScDTkP5NUl7zR/wxjceFSSg3wJdH5v1j+ZP32fx GgbSmYr5rcJy43FRC9Wp4yVvXnjD7TfX8xz/lxHNErJRW6QsOmGhlVtST77DmxJ5ZTBzHpIpvYAl Lu7yxAD5MedyNmYWmxU/CK03EJkxdSug/V5hsA4/fxoxukR1OvvRmvWYwr1in0vOKAtoR3tpDs35 EKHyxyncxh0YY7NwWSUKbuhCV/ippzZ1HFVkjyp7VgSo9o3KdLC46yXw3Yalc6IXkYr+b8O68EQf 5iG3SZTMNU/AX1Hf8jvjHKtOYqIlHqhl3WyxAJFp9c87NvNKR/ZoxHcS3zmLqO3TEhu536uxmGub 18SXA043axJLnQUlZcxXFYvD4i605Fc0LHfLUNjapAGwfgu6e9nbm6G8HJCBMyRHNr7hNmVqD2A1 1vTxW34c1IXlffc0ZVDlnuhsJt1iItyBggzME9HrMcwXz3EvZkLuj2KNrG9klGLBBo9Dyjwduxs+ Tr029Zosro/tkE9siFgvm14eNLCXY/DHQqVzgKShgr8Gkbmw+iYCduFrEdOBGk6Ay5Fi6fleJC+8 VhJJVwvQk7ji4wC+VEN2gLUgPgc49eoXYUr5QqTNzym0VxTKb12HKCZh4+vORWXojQnHgtS2aoMS hdbCyYy23ppmLW43QKfPo+v9bjPYwwCrYf4hTvSnSQLoBv1pyDebQinROTEz6vy1YrMIzY69MYIk yfhFJZJa3jfne/5Z6kyZxHBwiAZPidP1ci1gFxDlypNIYWAeVSfWfeceIolze2f/i24raDftCdtk gvQZ5vCp7dh5daWlRByNS0KCWVL6cpHhQ7n9E+Yulfv0P7dws5bnn+cbznRuHZr4WZ+Zos4Ir+Jo nobV4o3MmAvMn6Yi5JShK2/LALT9K0ZiX4kRh3NlI84dII/YETUkMjtn2YrQ9lT0XT9C7WunUwEx fh40PvYsxEK/2NpV8r6ksO5cYjPXPYj/NhnNzvQWsCMs+HjQDib8YJ1rmd6TO/lueeSkrARvSZeb +20RVCKH1Bz7d7umY74XMngV3T1xBfOpC+CA/HHecA3GEoQkmROLt8tM6cQWMfq8ceyWKxlsutnS H1glSTK1eM+1D3QFZshDuyH+yA4vdxd1XZMztqYt634m356FO/Fv7YMm3lerK9+nzZzkuOERQOcK qIkJraKqr0dbghaWzaRnE9Ym37atrZXZrWHb10hqYfA+FSTVYD7BOF7gWtq698CWDczBK/Zqxhyi eaZMyKq/Qu6VB8atgL2+/BQMveamnaB+IcpciD3QGfmGrM0cJ6lK4JNtSlC7JTXPFYcVNmIfsaJW 7a0lHZlqZI3dUyT1lVWKs2Yr0xE7BiVZw4yfLn9ciSDAes8L0w1zr0D6ddWdTUv+SSVcnxTQ7bxI 3/MFSvwfRsxtlL8DhEFSqmiN0pvwY1UwqaZ3TCkUiagWrt8axTT/1HcXwYTYzZAueeaqGyKptbyk CWvB2ILR5j1hRBkolap25wpUjsjbNiXJUZAb6wYRynkdDZAMosH5rY9jJ3oZ9bRGwLc3Gt4sdbrS vRZCZNZRlWH6EpU1V3u4dcpdGzmdZINgc4dSdW/AGi9IKjwWZngXBn66tdRs/pc5caK1Y9PSAqv5 jb8hy2Rv8Tb2zn2p6c+OLmUqtw+iUZ3L6voUvRCNknP7bJ79mnu+FdVvigeereAkE12iTXjHCK6i MZV7nVR51Q0gFkPNNt0kU0dhEtfB1lZa0FKnWayfvWFPvbHDPnUjMScd3O/KrVKDlj3V3eDaLRGw kJ520QeUuhG0OqgR5pXJpiAtmSgReMvy155LfEctVPc3WHSnCvbPyYTZXutyjlyiBLYWPRD+k1M8 IMy+a0dxIRolTlQHo9M8acxc0w33tFwgw+eojQ3el6EYl+tym6cRLLEosWzRtlkpULN1WNOSB6QH bU+18CMPcRd8hceiPxdXowVheOYQx5Oy2oe6pPasNFns/IyyiWlylWg7x7d9CWlvkDA9TTFPO6xX wU21iMMitZsXmLP3r4yxUgBp2gUIuNPlhvQGVg8KK/Lr+Zd0YLcsOLhHlfahR1P9FobZs5UoFdGw OaqG2WfeF7w9rrIpmTe/pGF3LsydKpJUpc0kGEv5DadtuQk4UIFW3XvjFgUX16cwjzB2P//8L32j kvnogm2UFxIkF3SjjmBrtAPtFq5B4CYi5/6Vqqa9kMU+TdbF64elkOaimqXHUJhMcvtZI3rZIQ11 dZ4ej2b7Owu2AmvBRs5dRkqB+VtnRiUwdVhaoNkOuocH1Quy6EkviQf0ykkhunjWAxG45tOwroHK Uq/pf4xaQfDu0zjJlpu3L9vAc5CtyoLzoglHRTugtLLiOh3NCAGTvasNMAJlX5kI58fqpQNt52KH LjSVfKYSkPPJ8YqlFF0l9Mj/wiSDpPFi3ovhnnXGGZS/7/jeFE12bcnampHCbKJdBkJrhb8fCCkk OdjOEualTsiG6kZp24IzAZNgNlKWFglGkZbWOV6JHLKf7EI8yMgNVlOTB1iyJjwsADXsrxy7erti 6aAq/3mhqkbCTAKW1YU4qjFXj5Be1hlXh70KLVdVD3Bm7U29VPxv+tU6SJntg0/XwfxOxC6XgUCs nM7s6Ddvv6HfyqkXmbOGxjc5edhTuWjQHgo6sH/PUHZqtl2aVliSNaLRMvNf1mM/QBXkJ9tI2fmA 68+waHazpqqizSEqhxS4KUpHnq1elcbNC3URwApv5nSUzsp8K7gFIsrIJQqZ1M2Jtt3Sgl2zQ33A puDhd7Lv4YU2noX+VfuJfXhSNjG52ohJvvNLYNQVYaXYBoMICo94+zeKmlzpIuKD/P88mH142ScM ZvxLI6sHt80O2ZKraYL0zJC5AG9Ej8LjAwCx9rDjkE0cdwNG6+WkFREc2HPCXa5UtrQdKHZEvNl4 MR1agMTKLBN+Ok2OctOjYrihL3EwN8vvzBk/5Z4Pda+yXd2puELSd1j8Uho7hIe9BcSlyYu8KiXe vSCD6ilvK33751vHxbvXwhm6PlnQnQXpXYXZ3d6q2rYDsN3sYDUUSQWD05cbHX3qcPob9QKe9mVz vvttQo5TuY63V9pK6KAZs63pwfXGB/wBGdbILzSqJOAkFcS2yZpl9AdEeAA7zU4oHxFN2CkSkOg/ qFbfWWmBJeEX/KJc4CUaFz1oPGO6FVV7LP9O/rG1qCgNdE7dRsrcDCNwcHYjhi7S1pwC5h7aYsMd 639fzC/MoWTMRhtsswXEs2EBRbuWlH0PtqLedybB3l9bPtU9XrDHunZYzW43vufp0zKft1y9pUar xF+OU+161kDAmGFmdvC1QBNvbtlCSffhKWUsurDjoJyGU604G04bPN50aoQXNEdbm3Y5az8/hS6G /86CasqF86zyKhIBw/JUGO0ZTgXqlWicsFCJG5/8UwceI3PGgFbXPA7YrAc55/6yK0wPispDp5Se 193D649MD7AykLEeIG0RFv5jB4jPtSaUYjfbUHcu/oH3BEmHofe6BucTz4QRjfKSIXeBv9QGSxuP eJI4bd1Q4zE/ETGpPv+l7izKKg+4PENX4WZ3rB9fWm6/C1ocLGls7Arx+lF8bVNk1nOfeSPW/bnE UfdrMH6G7DL6C3t1uTl8iImXhCfscqMWl10FEsjSmUt7qwklZCviUQWANpZcMvH6ZrboFYV9JxzF +T7wVSnxcGQHHJrtgaAWC2ciYoq6ablbBMljpKqyEVGCi/yuBONAyn+Ap1avVtQ3sTQv24O48UqY RqJ3+ZCkyt/Xv6peR5FuGg/0KoTRyerkN1R+K4mjgNsuVEUMa1aHUBkpAaZVMyX0/+kjeMdkJV0d mon0a6P9SwRlcPKOzNfV8G2XW9gVhbLLhItaOP6DXAYW7Z6Vvomkchxq3CKCdogTHBnMYbf3B59y GA6ejchgzY6Mu4tLJSGApfuA58HYhNRXs0InArCAO5VSsqXvzFjGa/1P6d/tBpe+uUX8g59dY/Tn bRA1hnmdPfsKxkHldxZ+VYWj9eoABmXZngSPwbE6IPu7dBR2Xrfu4VoLDlpfgrH8uCdsq1BB6aec /bHOBpnrM1anNHBqoTXgaStZEqo1eM6HjajJPCC9iH6+ew3svPODmpovxEnjwTd+2EfYw1j/d+i8 VMZigxg2O3UGwjCFvwyoBif+elItZmHRs6oLg09NxfC+hZiY99pjmRzBZl+kYRsfKrZRaASToWkj 6QUQFm8Hmr2NymzN5U8CuE4LR25lQAbaY8FRie1pskwGOl+Jx4KkaQcitsloCshDkGHXBrXGAagT C0jNTH/R3J5jWYNYP80OXKdhLn1xFC50/xlqd+jZuKqVxY3Ip+leD8o9NQ+QKDZSxdcXnWJ1qpX3 zNrMj5XtpCzCPnqgnq4+ENdDdjeZvhn4ILGl6I99gqaPVLbHdJGUYf+z+hOwA3Ci/DD/SXMpjfdx p3UbP6eGrwqIVOWda24bTD/2QthOGHR631YLLdlCFCrYtIw8ApdsRUmQMCFypCmCIC6XXtCh6rM9 zm2MJlISJybghWM0X5e/mxt2CkAZeFqukug3Qr+9rdsbi4OfWMshXIA/jZB9nP7n+8ORIxo70TSg QFSMdtJRTvw8L4A6oZgEmcyBrn30Y+t4UbmHl7Oe6Ubez3hj4uaq1uf615at6ZqOIPkUfB4rw1Qg lzIkYSTyq5OGCcVDshf31tLnMU2dzbtHwEboyrYohcPRD6KsPqVoR4Rx+kTwKsrcfeLvQFZYVujd gpI8Janh2uVOyDA+/TMVHQ+xirV3NmSzGvmSYj3P/jozFV7eRasE6Z3MKoaC99M1PREl/CMYlhsG dGP5aKhPmCkV57WScW3/SXAvCxbeXbjUWKstzH3ifEl96epXWfZcw+xB5qD7ztPkcpVpxcKWK135 OpfiCAIGKVdft0WTP74qHRFdCRaiZrWbcTWJfo1e5ElMczZ/209BvyiY5GmpP0oczSgmgZg4Xr+z wa2K/eTJ3edK00HmRw/EQC8XNruXMPc3R8f+oBIu5MMCW293QVnNGsKoH4Pb+H8Dx0rlRP9elwwi Z25FsbuN86AvezU1iWGYdcqIVsWnv4+Mf8ts4+iuxij9O0Bw1pfOVEIsV9bmvFlPMmZXqJGbkpbT UbC/4smEoSum1jq2bxvXtawPKo27m0lvq4aGlj0HtJW8EImaiw6FkcYS9mAIyVX3nY+rhBPYM/Rl b+gnuqbML8iUHya8vMci4UuTslP/Yqpi/Lpop6YcF6lRu1yFeZY2s1z0pcQhM7H0S7UYOFcE7CD7 L36kRHS8tVGQMeMKbXHIN3JEtHjsABKBtRYVfmVzC3TG1HqHp/fqbQE2oS6ot0BLZod9VP9CpI1F 3j4IhckT3uMAGX20dPSZF7iZs3CFgiX3RaVEvsVpYVOubMkIouIOw8OTSGtoqNaZhPHrOg+HXH9M CVN7brCehHXaXwXGiNcLc15dVFPlS+UC1UN9CWMTW4vxgfyhv5uNnjwKaYfL26KMndnt6SiqirrB XSFiVbb0G7IgfRI67ZtLv090JKgzkV6PaWyMyRjb9jmdmOurxSPJaarZ27wNyrnotgEB1bFHL2ft 3ZtIQxC/OCskE0H1jUDIkGa/aTmpjSuIbc2nY5EP472raYjDEjJhdM6dUUFx8JxNiqu2PF83+is1 ICfNdZCGXWB6raNFvwm1Sy6qoBb4wPTo0Ytx8olrmYt/etyJXCTLN+8++yO4miHoIVHDEU6zXXUV CHXnkEOcAJLtEeJODxOZsoambKnK/tC6D/DRk+uLdIs46nbIMKj9iRN0U7kEpZqnzQX2iLXnKeJu 8udyw89ADaouSsG8xsu21gnYdDIfsw5xc7UPgKiY6nswhmU6h9z0pPh+Q1V0WkTEX9Z9QKTzYyNd fG3gaOhVtsz8uiJ39RGOIxWCngCyVuVDGy/Mvnqda4K08xGdDgrapAuIbxFQ4ZViohkaWOnUCSej PnuxN00a2p9ievCnDs2b3tYyTMUdh6MfE0u3fQSCT5oxA7o3yFDa+Ze4Oi4ygsY0pP7NV8ezEZ/M ox4Z0mt5OKNKstJ4YytWvSIWZYYEldvgdndd5I64NJ4B7Of1uLqAc4x3pXesteqRog5lImg+5RwE NhbLWr3CYs/yl91gDqwvh+N4c2SEXdmb1Zv+BlnuP8UDQ7dHCyO++bjxCCaenyNe05o3MSy/ZXzJ fS3vowJFA1yFBV83auiRQ4s0gmYkOQ/Pz5Zk/BanTdxPEN3d/A3CtITPmfIuKFZurSaTF6YxA+6X tqG62qOSy+6aQ8A1yKTRCEc9Gm1OmsKx/GLMJzAv8OU2xAglYHIQDC46dIpIAq++vuSTwZbW+pU3 +Blk1KF/BXKkv6al4wUx3iR0J2Tx9vgNYftIDTIo/8sEdj7uMiWt+MapVcTmqxQj+oXWRRNnO7uw DrVA6b8g+TNkG1fEEOIaRL1fEEL1i0lvrldKaPXTabx/ew7jzeVLLSXvLbLJVKLqq3RFl26/pRKw VpglQz8PyfgWQfBV9Xwbd/j7jZn5tvFioqpJjNF+dL1WNh+fzLQF5NJV9YTQTvIsT0ExuWogf69k hRrfYHyiVya7aFH4FYBZJiG50KB7flZXbA3N9red1JeXjCw1BiS6vRG4IVSNeGLkhwyMrQuLpqkj D2qbNWdnI0bFC6Vv2dNu6lOpG6Y/kt7ZnA+g0rMrLR+GRDp3l1MmfddhTMmTEstIvRRon5/MUkqT vSjrq00ThLCu/u7dUBQN0pt8dAueIQZrzLzrqu7HFZWrniiKK7Pk9mHECoA+F1Qr0XSlmbMtfvqn Km84Ro+11YZE+6r8epZTmvNDlErQCgi/2aNEA5JimsqsLRoedKXane1edKnafLgeIsneeCZKq3ck xD/0OkP3LlgfaEtTxcq18ToAlv99QlV20XwYutUR2qU4xniX1KewjuCLH4BNrevratOSZtOwrIKp kriVR7ODE0X19qfhmoDU4Smbt8kWQk1K8SUObU48PT9ffNLeB2l/VUWVXH22llYuOr96NmgNLM6q FtoTZXaLQmgI71mV67qd3LlFtQCrAmMvtNK5XfI92tSjPePbvtJmpuvc6Qr8VuLZ/eCWqOGUhMWe bhNbx3GyY7DqGbtntWjPTs1GOB4LvF+KQZmn67wZoNQ5AvCqe7r+KTopAcg4kv3q9l1YmfkNVq7L dlV7OZVdcQichVG/vzD6WxuInXwrWEpgm9KMBS85/ZooMg735uCSUB5cIeAQdmhKFFMKvYbHPAm/ Oc5OQzEVToqYq+aiiHoz0nwRy9sijoOGOKEW2SG+FqxTR88O+5M9ohBfMDj2SSe1QfUIqOCG+SL1 NyLn5/RIRToUhZLOGuK88cycJxUpxUBEy++I1SL27fICAPIkn/lRiptrvy3DQc1lPKS9dxsIWy8i auwG6yDFcRNpwL3RDmLCXsSDeuKv1tmDmX3TZQRCAWyto/uHYAFi+43uxKwZoCIVIFcSzktuWZW5 1tjIfo4yxb0akGh1isY6XiwXnAOznjg17dgMvR1mBzE7/mEALAtgeMJxqMndAnKC5SkNKr91poDf GjiPphqn+Zp/2uB+lAjp7HV3uxLU2WtsN93/sLBDgvaF+llTy/8iY5cZtm8ByIVxGHXPv3WNr+s6 KdT4hU3goS0b3QG1l7PAn/M2oXcZniWCMeJm50LyuwAPGyd1Uknvt8AIJE67vJdc5O2u7exHHMuM HVxnwhy3KTHxcs1DQVuj8fgyf81drZavUzPy+9ByOXg57vVM3l2oAy82TV78k/qrhUJv6i3EyN5o Zu8oxRaTLFUPAvLrD6YBETI8SA1JmBzgO1LLB9WxXucxxyp6TwuUpiC3BT4t8Vtuyp9hSsuQ75pS hJPFWMAUNTp8P2xY1U6gaCdlJUDDz3lX3WnFAv4OE5sLECx3qnzocXSnSSgmr7wxfO7bfZum2XK8 9pRFWVe2CRJRK930II+x5EidHFbFGRhx8UMdrz1XsDMbHzciaTaGJSUEnVX+XDezhYRwxChD5sXZ dBLiV1cKDCBkbvCyHFmI/lQ30qqbe3v5WJn6Rfn8MrqO71hfyfxx1WjYfZEdRPU6ELHt9iZ0fFXs /TAwugFn58e7L2OLPTXAVTzonTIY2A43dSuRcz3MRACJAvdxk4duDxTrA8dqaXCeVPvsqpjX2xlg d3xktoMPhkKrni6IFjEisEYhEawZESYa8KcaEU4V8GLGQ73L8cEYO+IVKL/deIyZCxKBmGlvExN8 E/Hef1y5wDzFgfv4OzOary6YATPRvgJRy6/kxmqHtxQ0SnnbeQgfuYjenvohkIvatmpubGWfLcU2 GedaYxRLLij6Lsa3v9QCM/SaLQlx4O9tvGfkOnZHL8ahQjbfXIJLY9FWF0tdQAzPSf3OU0K+4T14 Kr7r38YfC3k8bAXtnvBwbUBDgkY7QYQS37fMsDo4jV9l+/n49J9tjnCIOvr5SV+5+g5xLd/rHWxF pm7FCEC1KoQID0FoLMBf/F2bJyC4Qq2DUkgvGC4IVSWLp+WAODYvmFVjLQ1l3swvaeU2201OLe/s MUXlr2BuI4fJLYarGbHzN41Uh1tfl5KC2OVVHE90hPFi9dXv4VRwmmEebYZuzAiwCS9htVYU3Tp2 Fy4v3VUY+2RHGS+i5GCN+AZEUlSButBChx+VZYIqiKYqGkBvnNqrN1T4HU9CoCq0luUZatA81Db9 mSWbJE1o63OXGpUxE0HZPKyRfAOZowPUwwrYotEYmeYPHWlrqWyp5TtOEdFd4LwBTqCmoE4oebb3 NFUgDD7Daom6QOZBheSsKYmk3nuxkmsC+wPBgUhMrfccroYhStSGSP9Cn3cXXDcp/0witnhojW2G SeCnxX2aa+1PjRRGcswSPea9CFNTEdAZWT2RZFHpa83U+CjkuvIk1UQmxqngSEAWqC9tlgzSGaqB MkRXv1aNECXAv1n8MxpqQ1EJ4FJ7xNwM2Bg23ooVr2oQYiimJE5OBOyx9V5x65zUEYTxNNVCrjbf +iwjHcP19sFfDkYlF+YoeYmKwTn1YqXTrZfu3AI8A0FH3n1PNASKqWnFouLZ+427o1NknZHrRbOg n89/CX0kSICrCa9hfrg+zMI+j7ka4lRKpkBeAmVp2h7D3swfwoABwJkTtoLq8XltmAE2Ac2Xs1+w xhYvOEYBs0wSs3DBWn7OqATr3EQXL+fQD9j2SqX9TE5dKgY2ml018wcvvBa6cRwEmsyHUSzoAlDB hBzTjgXiEiyk75JK/P9MAk18lEA2+gG31miwWEjjCsGKbGJAl+z8343lXa4SyNQTr9Ote9w5tzyu oi+d4v+UN8L6e0+I/YjuKaQadRwUtJfWrRCWVjlEdevOjyOD+cJlORFzQpYn8vTUv2J18rgkX16f RYaoYE5dKeE5TB3wgoid+JOPMlkryyOoJycNUXSm8bvop+cAjefsCZVEolL+i93UQV5ADsKjT1mu NRVEp8vNn9i6Uu14ll5ENY89m3/FS2IaKkdjXJ3PONhJCbQ06MxkH6pCJ2VhINp4p4Qum+lJ+Uzq nMnu188WACeQxD8/eSH7h4b3UzPpvFYXXoxCdGGL9RcegE0dA9QQOLydeJffEEVuHmZucXdSkQ31 2u+zLXVhRhDV8wdxkdAqRwuiQxAowarQn/MzCt4lbvr0xEeEtKHJ650tSCFQI7C8m1MEjkc4/U3a 6OwshKPQl6coPl+6HngxJe+WD5Wvvs8oFunu7daYf/ziTaDs6bG1yDJJ7ra5GRyDYcFDUOGdqAvk pGXWmdVcTaHbzCiqitDx0PXhMpnoyd4gqSNj+oyQ2aqbjCDkNBq+PN/CIxrsbPES488cicwJoGP0 YV0SKWY6XT1IofFyjkOOFWydPOXCuJ8XgUmfDlbq9lpNPY+QQt8zDRQuDWEsaQMRDrunmNohK74y ATVQ08Vg0kfumCOz5v7C7PybDHIhD3JX5OIiipy79juP0++MwRNsCl712ZSCvgZ+tgRdPNEEsUWe Uc0s/8Yrw5hP75mK9ITOmxhrrnviC6hjgmQptQxHVgl8PWAMFZPTdB6N9lrWVgsqWmOw2Hs6b8YX M1lMvTM6ryPgP9l2V1Mn+zHv0Vo1YPkig2yie8r1FiN/5lhG826Jb7ttCqXcbotU8RX7XUD0M40N 8RVD+/sl0756wTD3PcVbOyW2ypwyxvQOWYcmOPLiuoBZxPGbvd/KHMvPEH20wXYCbC4Hg9Gi6Tha U1RsxP61grL6w4jH9qSsldiQhSCELN3DPYsoZ+DQVKqTpQD+Ne53O9a1FzGA4pBvgpG1cZ030JDn /n0yW4GOVJPfHEtiIT1qDpU2NsODzxS5Jz5u7BHAJN4o898r5ErC8saaD3IPddAvigETbICazFMw PJdnLGDosVtA6/vQgQu4HOiR0tBQRz+2bdNwLlzLo4Ouet0ZDQSAOOmIeRc7Vui+pyooka/0Y0+n hpuxsj+Ec1SYmTXPtLSqagBfAE2D6sFma/2hUCU5MAgq6hcmutrnn7Gu8KBUCbDsrJoLE6UAq4nm yfyyYh0BA0g9WdLzYa2pgEpoQd9ebcJF5BAH+U9guxy4RoYAz90VuefkIK8IkWe9Z3RU7+FqmQZ0 Vv15F9cSQO5wu0fKiIRTQuekzy+VNjYHkpoHZ31X80geSNMkiYSsxe6ODBJxQ456ZVcz+S2j9Zbs UfIVGMqMYYAUIUigqWJU8+lv9ASfEjjEldOnTEsmn2aPRNilQY5O6afu0I7G9Aqm9FCRA5YqEBfO Hr/PoSS0XtBfVJTNgNDEEmoQcijOEkYy5kcwCAeOLAul9gsUpHsRkIePBLPWNxNFOJ0H237vhego WaIf+xWH82FvC1Z0LomPSgt2wcfpEu3AXNBh92ctp/I0Ul81GSU72tyuWOPqll1nTxk9thTU4R7H JiZxSEFdxrwhu2EMRj9mkvIKZ9CKebfAj5utlSQttUUf5acuB7dqDtF0K3twZDGlYVX18b0oJ6zc YbEogANekMYKJyAxxQqTrePNgRzkvDUMPs6LU4MaiuFC2mKbFr48kj+BXQ3NpDkk8ZRVafITrWwg iZ4WnwF/63HfUsthryDIKbcdphWBFEuIHTjl04svq/16bZ1H0GlQGM/17rIy7ijxy95Tl0T+u7ub zlL7ivKUW2weX1yfH0HUtuucrRPfrtrQCWang5Ah62J69i8viJ5u9g6FdDU+DzXjCLobD3V9YEWf nbgxaHg0PYcSp7/JwquW8ur/m8jUYBkiPLDmW8zXq36ddSat+yMZHcp+V328+gcsk53dzdKnE0mR LoJl/XoXmIltvusLRfWgP5mH7H3Tlt1rwY05VBfWp1EHfu4Qt/K1fi+EWday3dVnR4sQKUg9rQ1g fR43lWCIA2qeRZy+18Ozvjs+qIVQElQzeP+8fZ7r8lbla4z8AJkRZcR6XqH8hZ9GmXema0LB6fro 9X7PC4KWxC9LDEob4ZvmPIrLrYhawbZLI0f6f6fGKU4mS0+DJUhnKCqde4kO8+iH7Tyh9ges9LFW L+2o2z/OMdOYnmum7CyCuWFs3oo/FM6Z5EewzADXl3CAsXZETfWQzOh64v2HZxkvHclJx/SCA8ap E4yJj0TO18g7YAFDfDGjCRQofHuSVX0Ae9raSC3PEz0Mh6hT720icQt8qldOZtfLYIu1KzKB4zM2 1tfxFW2sbYh7jF8g32pag21cZNfyBeKs/V35llXaoeVdt4tqlVnDU2p4SHHokrhWZhSUvSBs0ep1 i6TEHwF56CjDYK9i6yVPG+KdIylRSSJMAwFg+KwPrV66OjfYNC+TmhYr5qIWZ/LqByQ3ypQY0GLn arS4lShKpe1mZ7KGLLboU3Ev2WKkQRg3G2XCdxvCqFYd0RAJ6x4u8O5jNUFOatg7kx2l0bngdtXm dwB6zcT1GdVwrYS26gzIVrSadCWYMTbQTMXsobnmRtV5/fyz5QP4VkgjsmqMp0StTK68ruLVtgMv XL0xbKKSlfpBfqFbLhRZkTN6BwEcEzdiCa4tuL1AF7+q6rDHfj9DmeQun1yePrdkCPGY/okp2q9x 7B9io/ImrnE/+A8mrT1eFSfSSYPXm/Jzsw9f4ZLHnuslzchsTrg/xV7h2XvCvPMYgB+usGxnlPVy HBK/Ety5S5J6hPKykYC6i6bRBKK+JN2D2Awmv3vAW/AYi0L7ZWWfTdliAJ+zFZ1mcldl91wVp50G CRSQrgf+ry3ej2S7siJVUrCkQMeg3FC4J0UqNWGgwW+Nh7afFnO7RCnrR8qTtpA1yn2ZyT9AGKGJ OuAeJLwqGkiK5AsLkSzOMGx/wsjTmfFLxHOacCQDta5gLQS4FTEie5vf0Ul8KvK7v8N1UrEKGEip xDqLUtwvTlitQO+1kKWDplpc5VulUTGoDHYsrXbbZbBxDWFFVjT1u0uUEZBzQRLx97u2a+wo4HsL XGqX3bOfmGBeK0IROpfogWqE1PwB5qU5tMEaEkdGp7LqxbmF/kKfB0cvlNyEzH1L0L9qLkolyfh6 mHEv65jpQMh6h1gEslLSApyxboxIQn5gwfutuZYhQAViMHjQxmWxecEU0gpRjR3lmF1s+Zs1ncQE kdg0bnJv9xzg2wRxbbnv4Gdn2gfR6N/Le0ja/It7b2TB9ofoHVjxdz/wvY+EiQtv8B5ezuF5FM2r oR8ShDBtwptuCjuXh4ChaEi/VpaHGGDKGc6f3ckFAZb/XXVmni+y529WVXlzs66bZv2crzAms0ZH q5KTMtwWR3NuSemCCHt91SCBgrQhxdtTGuEB8Sd8j7hYXf5X/XH4frF5GW1lHNDJY3lBUpxqmkiv E80t1xhP9oIa5BqCwx8TladzaW0aY7iiWHmuwx2ADgH0/jxV9ka7ZSkJYnQ6nn569BOcKIve8DLu 31+tFsW6dCLvaOXAw9O7WAWQObZSbgBRnKPkZwiUlKEw0jvbZDZCFHYo7ejET84IGb4jMTCSS4Tl BQ0KFiabIIcdjSVr9CMQlEInu4H1wPEu4YOECHplr98A35pwrqUEtdDuWmp8cdbBqB1Ov26nQOGM kkXmc7hVIpdZMMUSsZAYVuNbWoeytcSHMQYzyrrjWD0RDyhkzcmgqLQgBnUzMQehlR5m+ZvvjTr2 cl5K22/3WdxltP42yIAvNN6/gctMxniBC+Ui8TnVf9Eg+kEOaoG7891TDAPYcy6Dcwas2vk/TgBk 486CzhrbmsFmZqHNein15gXhebn4NjnDLCoO7I1Re19hKtr8KfNXYQ5hSUHliDQ8P3ZYOY9k+9mD 4PcdthNKgQtyYhy0nXB0NsbpZLFY3FbtOlTNOBnF7utZIS58dUrFrF6Wy2RqnG65UVUw9ti/TaZF ouqMusisByqoaWMl0BC6YLRz40YNi3t/D8CMhCBuWB9NuGp5RKyHZIRHjWeOOupP2ETP9j4eHGgm Ohr3L0rtqoc0dqPuFwi3vEaz/fy/S/6KVZgR71C7cPZmOrTfdh9VtV2ptQdDDuYsWmyZQ3XOCyJb ARCuTwA5tdI+CZ7zTrE9PmmSd7Eigx53gWdUK8xhbOoASE5xAWtEJ1T+60eFkUpeh0rX1FV1orVN iW4D8SYhMnUN6RUkz7alEPmQIlqNuURg799BKMtzzs3C454YIjOl0kBDidXCbtoMsj/XfI9FZi5/ oj7GElEmtUTG3enACmr+cChK71OI3xS3XjJ5TzF1EYmWKMSuxLfeH9fdya5n5ABKSHj7bILhdN/Y L9Ge74zi1QH6YwgPM6Y+OkDd58Q6lV8iz1SJ3DvGbdMR8h1RR7DasafyblXuDhURNUEJV4H3M+vT SSwT7TBTuaqecwtd91l5E5p8DAzXI2r2E62JCc0g+dveuXZ2XIbv95pPF5BRBBsUdh1eJAb8QRyg mqZTrc78dboMfwz0L4Q2ZkEDq4FOcC1jORRuu3Ca3cb2pn6rk1W9EHgfc/QuPhXsdkzbRNV9AgzL za9gtU4Q6iGcxDg3rCidHimQYhR5YF90iszf3Ygyd2ffLcatMmvdEnOSomNHYdIKNw7zZt6Epjol uCEWKNVM3duG1ILODQcHP+sjQFNwyzD8imON5M8qKLBOk+6BCs/rr2p4p2iWvzgZIYrnO1G+VHr6 dAo+hVlSdXIVh1Es55RZ8ZlvSJl+oqREYzFRynnWXz2P0/vyjoPP/WJT4ninN72/stmCCROB7xnZ oPg66r2lCNsudXXjAVNAvtfd94fe0b6lDyEOUWuoLbMfGcnnBaLro2MU3E6eItYzzTydDTo47YR8 VLAVV78inxYHhdBaZ73F/zSs3v8gGDWKD8C5ANclq+42dfnoBKG7izowP730kkQthRcUpcpXkhnL Uuj2fZfa55WBGCgfF/Xy3eCich0maAt/qXZDpMX96r4EYJSpzXzjGJF7zrys9lTuIl45yAekA/ur l7HJ28Cp3vlKpdawaQDGLu5IPRKmLL/i1iTf+XfMin9jcIpu//Xg5KRavedBfxhxeOHqPP7k2C9Q Uu48qkvTFk0wheLsGvXpHCxuE/Ub1vYeKGo53Shnpq8Y0nIiWVns96jFUJHGrmnnJm6RmFP57t4Q oNGUtZYNoUOOlfZE51yncCQqkf07jXWu9pvy/hzSmec7/biVUxD6yvw7gLV3EGY2t+0Otpq6NObz QZE88bR6F0omzjxJV5xnQVYowB5JpA60cA4X0rUpgUPeh1RF8rAvmdlD5mbjKRO4IGLNJ3sOgxcF fSS61vlmi8kPLxAZVgEGFm5TUkC8O0iN3nD6GDhuKTLPdG0aATJi/Pq2nFVTwZrTgH4yk6F4ymOC NrFU+WiWz9nnM8MxUiD5OruAuvnn9sLStGiN+wEUifnEb3dtK5t5CAvsjyktcsZthIgafeNCPtdy NAWjL6l7ZjjkdD9EnRLjX4VdUPvHWlqRPjwMNmaK49AR3Jdoax4MFvKS8LElPQ4e/lONCIPkOSJb 3xEHkXmzkgp2GaOVhyjLSpLoVpSXolWhH4Z1diWV7KlPtgre2fczD9CxbKDyE6O+Ligs6XTktPcn T3VJT1i9CSdllnuRYny+NO7gNxYQPllo2uRMVlWB/5EMGEyKvR7vkaRKsJlKpEu+vuQr82KX/h8f CRA2091skx9ZNyAGUBDoaGcPVXx21IxyGb5bx7EqldsqtehZd2sHqnQuqQbpCutqPfJ4jL/J+r/R dgKq12nB4M/ZCLShroOWP66NNui8+sZNJrjO9vW3SF+BOeHAyoFMSBpRAWWqoWvtP6EKEsx+7Cun SCxrmgIOQGmC1buWIdyQGVxRLQCopCr3V3YDmwXhQuy/0/rmXxFVOfAMHIXZ0vLA7XS21ScV16j3 pqRGWhUM/hjEmkSsdAiI6nOSVVoh9BXPoXVf22QQWuF1Jf3qpmYDwAF4sSCEylJEEClVoeS3tH60 m2IHYk+s1+VaZlBiXyOelv4o8cHdPnTE+odmKvfchxvvG9LAcVJMgwG6s9BcOLta/i0X3X3cuNlB q9w/72sOF3CcC711GFlpKHRx3o1Nl8ac4CAeI1gYOmRy/3kdxiZeS7gbo01ifU3GvjmmqxiuCaer +iMK4dxdOAbUS60RDOG/L4uzrFiRmqGnckLGJZmaahmgh0J4shz0EMGhWXAwGpmn0fHPUoG/ACP9 +kkqC0N8UmUXIRWMM0zPIpF5EDGhLhxLLIK8elYaT7BFXS3YTFy9s8sZ6myulELfJEmuEA/CYUoq sXfWw2c76V9bYSQnBCcAV4cWeMIMY9/Nn8rwXv0SwFLtzh17VTXYsdx3warLYfJ03vcSHvijzTVe Gi5AYBcFpqBQXhwJrMIe1cQSA2JsMVrL4VfCguEciSEvP0PVDTmfb580Cu8ZERM+JTC0L5feEtTB kCrg5MdivoY78uaSpMigNi/DoMqbd663toT6ywwCquAfzfd9OnUHqKWTlOdtZfJJqz2gWcL6kNds IBr7unnbZ5lZNDwE9I4vnJKrSh9/R+vx4xC4DOCj/TYUJYDQ26I4yRh1iKP2oUNSnq7I+E0LFb0d RWOnOCWLEWM76PFTQqj4bjOsk8mDP9VWjIGEdSH6I2v3g5hPPws9gXGXRVI4u0bJfIm2CNJk7a0G iqCpKxVhLMwh4p395d+WFmfEv+ev7bbWOeCgCqO57C/2HThJctRB9RvgvACqVpX7oVfGk6yz7waz uPQueoXKPhQ5auAPLBxrr40KA3skX347z4cwfNDNAm/uH+HD2fneOI8iHPUQpXk4q28i+XKNot7s TLxkWID0HqcUUYwtjJm13dPl1Po66LEwhJgJQfMiIxdsIjCGtXb/Svyz8XGBglSpVyja7OOjDOws GTYScfAyqRQZ+ff9GNw4RqodkUQzReV3mxBY6HIg6Z4ME51E5GRfdYHaWJBT21xJ4Ce2kVTGWQFf 8x/aeePG65KS5EPDhtxppfkQkk4yCvUEhfDRd+3T8Qx1i/DugI8KCNS+0ohMKUeLjHnZiQYhpb2B wZCfaDag7JY7/D6qy5qjRtLPRdj/LyrVg/LAZ7oktPZ+W3nyuZKcLIdTvmiWYXdWgB4hgL6UrkXa WNekl8fwIhIEcJe7hQNvUXxL+adaA9rovNJSXEjauptioAdw9nSz+j2V2CRCdO+K0Bl1Koav6F2D mXJFjzc5NN3F5fMlhBHJx5SxaliUxIkZZP0x1gKdu2xZLKsiP5K5Unx48SBIN0DddnXEM6de2p0K CtpjL4Xv6likhGprXGmKplZiGChogMRSJhSu60ol6mcaSps8y45dv+vZuU/rRsuT94Hv2uzgCgP7 rMqNtjhi9+lFSDWx3yuA4kaKTbgfqxxrQBIvrUYyuOIs399Fvq9qquNMx3V784oE+bB9wkgxmg/t tgJql7ReYDEjoGecFb8Fn/0NGoVZ+mDgmCFNzFJ0UKhkrtg2gX3MlhinlKP9P3o8CbtpGqpVq00H sLvgMsMrkLkxqAh1ocPGK2HTYjb5+/fiwbyG95Nkae+WIZEaxl/+IlxHg5bJ9vBVe92GCL83cM1r 3iuyo0YnRBITfCSmoBzc6Y4n8PC1k9W2aLYkkiIzLDvMWpmDd0XTE1AGx9ZqTIwzBa1Jdop+zPay gaTs+y505yKGukIrachwiy6MGC+zMCbqIXsCERm5jxRxyUOOlqqcWisfmKgU3pc2noCYHeSAfjW7 gUyd3esJlXHY52IV8Ow3VOOW2xDNNVSfE4TGcGpyJpvMloB//w5h/GdB/taoBlvwEZZ7sOXMkEYZ piMdN44+3mVnF8BYIEeKnXMPdioGP4fzHPkqDjWsLQQl1+EMYoTFWI4HYESrdX/uSruF+qApMthb LZLuBne0ScxsEm4pa/dI+Qvm/1g8lLergHzHLOCdLMYBJSg82fIkHfzl/I2KUvpojFG2d+KVP7SY XD7bvUz0JiIJbv4SS49Mk9+rqLEVlwDqKapeFeBupje5lpKPqc0VC+pneuFzaG/XnwqhXwTNFzub m7U/ceCP3uXlAVikoqadG1tmejijA/JLDf2mbXzdapxl4ctbRHJQdnpYK2TwUzsSeO1MpCiA6DPL beaji96CdT+kVMETQ0AuXo9NB4Q0WeiBVYbzyIEnYKSyz++cAuUiKlBJdUFgC0riZoVnw0RGmT+J bvoMHPzwzE6e6ASatZNqhvl/GzYHt1xNTIPrTo3CVjz2uWX+B2lFf8fyfWQF5vyUivUGYnQZgZAJ aVNNnUBLd+eXLWGpx/NisCAHJRVk3TUQ3KF7iSZB0yok2oy2kMfnh8kvjt/aOJxnpF6eeWkn00TE 42OPLQA5rd99AtjlY5K/oL62NJTF6xw2/MlOuOBw1CmIniLfK5zsuCJdSKKi394k5kZ6PWKbCNaE cedXhb2f6jxt7oXJJD25+GBTqkFesZUlOADor2Bd8QrdVl8bgHYbKfB+/C6wpA/N3ThFGpUvZd/v I4q4WEqJLlaIUjTuY0vjqy0Ui1Qa3Ji5kVwNtFN+1QL59K/DedL8qCkkPym5znlpt4JQaJYTpfV1 tPbzGBuDh42ZVSFUbu8aIyhuHSV2BiLN79Ei7rdbC3iFtal5MxASWEIW/tIGoeZjBZSjSzIkMTl2 04rmmQ5hRLEaK8YSHFg04btxJa/yU323rkGrhJ3vWQ0vKUdjQmf/3nE4bVm2TVxEklFlQYOGFJoW OmW2vF5yW36Jrlt7p4/dG6pWSvnLxkPsKDNzb7vgK9WxpT+1xQJF7GS6dSe/sftSluF6Xn+IXzKV oeBW894sAXG3YI1eLSfecww2Ft44CQASECK1ZP52D/EIXSq8IyAmT5j3gw6dXh9IHc6br8de/iT9 um3b6HmJ60YTngTquWKunrPz5/qUX+AaET8I8E/lTH+lrGqfzSreWSyyX/biaCNdB7y9h+i3qCj6 sRqTUFRImyvf4u6r3q8+A5yULh40nMI0p7xLv75fjmwtbDPsltgfW9lc2vU7CnECQGxONO9KbMgI DR2FYzh4MzI+whk82IujURUTW/6RAUsq+jtAuDbiG3IfkxmUfXbSXs6E2s52clOHZV8RDyrfws7x oJn1RmSS7eGUM39e06UzETinFuZ8nJr5q0bzhvIEN2SZWgZhCnPh8gxR6wwfiLkOrLATGlA9U+1G pSV8/TZkHHTp9fxE48r6hyYm+PwZwqo1ewsL//HBniv0entYQKpNcgJ6Erd0QKNaIi9vb6yzOoHq S9EcMKwyDZTn7fP/Om5LlZbuAUCH5w4b0OBeEVULcKBNH9RMH7cPDREPJQmllSaPO0GKkSYD0f/e +ZknokK6hvAm+2tj07RjAUQQX5pn1i9SAwMyZT0CpCL0eELG6Nex5fcpcLSOUGqTkWHqp8aAOgxq QNFT80js9jmc08BcmM6ht0BWLBaGacoHGA1yFNxwbo7roh7j//jB90lOJXkJFIz2ejXntovLx6pm R+RlNEzjOPCdCR0w7Uux3yBCsfZwlwsnr/hzqwE0NF00xybZ8yiau9XbC8IJud5FZUxyhEHfaeBL q2i7hpyRUSzrbQGUuV9/7S1mLUa7NQ2/a2JJKmOr4F7NVgSjqDY+NBUfd80HLmsNKuuUmnf39SSv Yz1gCpFrPfOSV/6Q4GQOxDxK/nnbsKSkt+ouXkfumOJVZgh1iW/pDwNZCbikEuKPduVOh2F8s11P dk1ktVitl7YPFtcbRUyjyKJFZLy1Gl/EqqKiJl5GodHiu9sN8zYvpI+ft4K/AlDW0uzuKU1hs0Zt S30pv6UTlJgUU8dklFD1ksG8BKIpm8kPUfmY7EGK+WshrBdHlsSS1A7edKe3/Yt7cwOca85ye/B9 gddA/QREb6qtkzMBnQCRbDZ2AcTpMz7Gui1gEOigHkpJ6VxAKAl0/7ES2HWtMqDLUCF8G0CQxPLd BCXKXU34swJNiOfSV3v8g7HOKa4+3TIEBtssvJ1WCLQwNGPmyDG/37ebJM8+p9MXnanzfE3ikBYx vPvCllCCHcfKQoUgPHIKGNmyUc1duE0CCGRAk22wDpRBW5/rAH8m080UOSgfEyThFGYaVfD1ZMg3 nzpZAnvnLSIK6zRuVh7zZEG+lp31VDZdiwMrsqbFAdKLhqaeEgtZN0mfZtyo4JQDLHzv9rV6m4T5 Td7i1VPRaKoTGZ2vGgavFa8kqeHRNgz6VplY/isuMdHN2T12WrSwqX2gN5VmX4Lqo1MX7J/wA2ti inkUnlghuzdZ1C1PnIJO+Z1JePuQq7Tizmmo3rA9cPpoXRz506EjnAPZ6lthL6TDOmf21BY1zZIH jpduXe4P8o8dg853EwJ/nXfLx9wWbfFb1vdxTG9arlh9I41WOkimJq8bHKxsboX+0+kWWrUnNvjs rbdtiy/SWhYLvGehU+zP8rkeAtsARz9k2VpXJU4b/oUnSFzHvDevnEj23I1Qp743hUcwb8N2V21z C/l8Ukd+1tU4X6Z8p7HX9KovXKvHSPf3HvTjW08bBQfvvYoDM4XqYxDBau/aEn0vnA8QAz5BGj+4 FBW2AqytDuLCFT4m1GK5yQ/D73CTLkfMeEmxvuSAcuirNi/7ge0nWZ8DEFSZyRgwc3/ZB7TyMcdl VjZq8dCTdpx5WbIeogclhAW7VAOdy6PQRMi1lL29dgQFpDd6zzi9P38ph3kvsOferT5bGx91SeKE iOsqzLtZume3nzyffPtNDxX+jgdwgSK5lhhQKJNnfdWFHFVVl2ZIiDDOPYgV3BsPWASCA1QGOMak ka2M0+JA/NwqxD8wd+M5fvEUHTKsI3ggVO8NWrW9QsQPihhpiAPTAkdOgc6nhSDg5wWsMQSONJuP 3o057eXFr1PlGCtBPdqrSzoNQlQzSGzlcB5+Wjbbt5XPS6eXCuNT7pK8hQiLnLr66jf9hqvW7s2O 8awdKiIk3xG+9sfDt1SQNZ4Jk2vygmm1wFGRREim9mLWhSBuqYiQtSMa79CoRGGaAOXtCCmMClQ7 CkQfNNSbkwNCyRuC6z3Tr4Y/zOuAQsdmrN8N/wz2rrVMQDzHIuYuLRrUkTOj9zbjV/MhxeDXCHFr 0fqAREHy0Z7csBobf8FBLEQ4vV7oCsU5/z5Vy9PlVX1pu0mu09rpj5TwF45p4gLydgmOmsYisQq+ 6FE2yuisMnRIM2x9Di5R24UQghW8f0ZSUc3/XaT06JKhVeivZ5UdX/zfDXkvALNlGR/Go0gyFwcM UXM23vLm2YhwVj6Fj7uqNpScA9N596AFhP2PbjN5YGgHZGLU7RjwQ3gzdgKqulBu2mri+8tKvP68 zmu1Yq2iNeApwQOJHg8NMmYZkYqS8bXc0RFGG8Y1Y+EPO3YhR/QLnftLFCWOTdBs8ZRUIqlrncej MmmBiAeKf7Oe9eCqPDY6EN0LCw+LBBOTQXVKgFHU9fnOKYz+0rO54bGyQZmDURX+10OVDUotR8nN 5gftwHAaBKP0ceHWDbmVveE/29/JcvZNFGKDh9nCGTu4u6sV8f5Iu0rY4RK59mLXOOdgv65lqdUr W6mF9KmMMxA6hhmDMhlBNINBiZL8//VPqggfc0dfsOlUG9fKELvKH9aoskXTPUlVSPC0zell8wBw cc9KVQb3ZKfDFpSRxQuvaH/psgkGSmLIOPcQIWbmXM07Kq/XSJGBixRzwjaOgg6WIWiwg5lxzWIK Y3mXFr9viabOOuU2TneMy/AqOEEnTAI8VruyC6B6k6HgoEfm5ZJBGQkxDUn60ulBP+m/hY98AD/B ZfXzQXNEeqL+1CP1CSX41yCoJ6g4YVIK6QWuIfGQeDOCcbzdtvuVdp7NQEbuofjAzyjqNLwHQjHg M8zf0JyPKAk8tjkqKdMhPSAZepYfsVfx9Aqaq6uloVx1hWADTDxrCspzBF2sWPAzzKVxcr0vPDPD J6htJxNFa4/AEFW4jhxf7KvTGdFU5B8m28ienYxUp9AvEUQMq3fqSwwRlCSSazX7wsCHgJ0e412l Xh5AwNepZ32msSXBkKhyy1SAJT7G3Japlzdkh+1ZTkIx0OV/7e79wVqeHAUFTWXgYhPqFgAN9EKp Cl94EQ76+6hSphV5izYhPHZXV6aKUoEdcpk7j0j24yy2S3/63F/HF9+xohSgSqwjeJOJJjYRCW21 oVCmEJtwc2DBQH/AbD6cgXUf29UYZH/y1c66Hws5XXMKHMbvgbGgF+WOFU0BjsrsakyXpBI3bKwC J71vJlL0Quu4p9xhzQFiMm6GVeZnQycKsXSeISHBIE2lZc8D0SNR/drKM119yLikAVh8BtB+NMbQ KglT7snqOJ2gitCsx7YAxPtSDb7dOog710LB0KuXimJ9M84CQDGGIOjoBMO5TZfFmshNwaUCIklw pG3+/ePUFxlq20k3iFlZd5CHmH/LBQVDSW4zKSG2JUqNMvzvgnIED2v0vEbOCCjHjT0QD7cFQ8mo ymE1Grh3s4b06yPV1hTZV7cF0dzpfE5rFugJih0M9Hyzz+J3ppLCBEENT8A+HnV7ewWa3Z90FLQ6 c7vkP/+Ly8aBk0QmTjmJYU4AdnWSEmNUzDdeK2Tl451O9hJzy5GsFtcr0ZGbBYSWyOEOCqN1WsBk TnOMNRJVU9HXkmlDiSVaH064qUPU/HgShI6vbhVH5dPEBxoEy/uKxyscsXNjfC7FqhK2yKQLFW3/ e/xmWANl266Y8x8frqNfxdECkgX8c1SPHjMvkBAJZNxfGEEDE3g9NIHkPNPba/2QynCEo/CJgaB/ g7LcrPUf52PSRecgnkQm12T6d33zL24zD3bOlInL/0U/lVcYKjl5vF39cChKvUabrz8pMaKnx3ot e1AbhPnMvgL6XcNjNNmVLWwGoT8BjdNGOW+9Jx+dXWai/BeoNe7OPc9ul8mxSR+nIardR/eIekXx TZHL5xs8LdDmCS7kzDMaG3M9kvAe/JW3J7uO+yMQQg07lopRtL2AEU7t1tpeJvu3pWIv1wXp7iiy xFius2gF+FTcjsH2PJMTWYu9YgLb4sSUWIx/pEveiWHk7Zmk63KhmvUJgxsXcyvR9oox7NI03+3J xoQxlNJ2g4+NA8dTm30vOI2a/SLi7/FwqTm0UPzOKAP/qHKxlL//s2UES07s9awWE+UBu15evUDl FqHbge+SExKbCInbdbqlKKGZ9TCRPsKO9wPqn2+47Sib7k6Omr2UuVK6S8BgdgzHFJ7BNeO1oUmd En8tr6ff8smmcZMmAVPZV0/iDQMn+vPVUjz3RKFhAqMqKIbylmzHAGBX3caujjzU0xyszryWIHi2 jZF3LXdGaLF/ZetBhkd+RwkXTEQYQzN2Z7YkzQOrrVMY33Tf5j6h9Tjy/VLxLxvInStcGmOMuMGU eYVKap1DVHqDf7dQIK2twjuRgTnjzcGQa3LE8UD/zpI5k7StMS+RQdHPpJhCYH0mTfex+RAsaLFn U8lLnzOlfLY1RAGfF1SYzr2JQ0s8J6bamkLEqY4D0zbPmXRt1YPpy/S5YU//9xWt9Lj8pGPAYL0u 3r8zlc/SgBuEQ1J8e/zc/Quy0lvV84KLHgB77lHnt8Z+W16080vmcw2RKnmgLMw7TsykNAkzq0U3 DeMpPZOqxLGzy8vVUsElI2sLpujoWDB9SmWhBjFZ8iFJ+7jsFfzSNeyEKj31QemXU8unUUKkw0E/ U5dRmSbTXQscxGBEFQpwOSsjilV9XntVzf6S/1lPF5hY5I/xat0RpxjckWm4Bi/IZnlDVwNl8dSf 4p9ho7benUrtdsJztH3udo8fJY+J8cEW4lStkHcxyr5i7vpslwliJT0W7GUHaFww07yO8wDx51F8 Kno6k6xCmS8WYiNx8v9mSMHsPkzfZ4+NDAe+tnXuNhz1UT+xDkSJa3fGZGDV2A8QNW3d1F9qY20x Fy4NatFNZYFbYlfCzY5HDqZ+zZgABmbhQS5sraOx9Tf2ixzF7ZJNb5BsZuMF8h6/5eaGfz0fUfxj cec+MKUVee950uWfcIWdf12kxFOnyjGULMyOqfykSMDa8E1NLUBfVRL17j4FJerO8sMxYiDMb9pa Wit1JnVt5B+8WSH7Bab1kZ3fsPtTJTYMHixtTspLb18VcMjuBNvr7W/wNJM5GWOo/CO3C+hzzppr 3lOHiaAz98oyS1s4JBSl3veWssU5dM0Do6LKUvEPEGJcLmJKVNhlKUwzxt2DRNdW6Hqj4xQbAqkf B3LgJ/tXbFT5VZNP0AWGP+52a9ZlUasbwFcqNNLYyfsjWHarv0m0aa7j1mXyOJkot1m1WXrZnFA/ dCSw3/QBh8/D2O0WPWxc494oMm8FY42nHQ/OOgDwU0Wt6EzUmemFEtIPNhSIZ2op7Ipl/L9C0knJ +LOxLCNXwRnvb0ClktnN6Ycnsy5Pgc3JuaUTpSuBk8uEgC7YI5owU3uWBwLxbua1S0f5auKCcKZO o5RHTzrHqGkYqk0XqC/VFx53RX2OPK1aA3XivKb4nPHGFZKmQjIVlEWrM8ccWgrFllSfRdipItg1 VQiPOvbU4pLfT9rH1v+1ukz6AxGHnFZr23ErRaULr3v8AownpNFKJKEPPNkefwovHVundiZgvOn0 CcLas020jJeGK6AF1dUL419ZLRuEcxddwsVxw8jPwSW5bh3WRVdy8OW9yfHdM59QR7olKYuOLYm1 pWKtmVjynCCGO0JkvoFvLhXcgO/XroQhbi93LZv5NV+t2GMG1iDFvvNLgM6CPtmADW2kgCkg6iif JoC2phaS5tC6h/I8xmQJn5TK20QKReemCJjgZIfpVo67ba8UW/SzC6vEqib9vA7GWOrwZiqX3czO bK5R0v0ZgT61c4NL7Rlu8zGG5gzuCCQJFLFEu1KheSNLs4WeeJFRK5ITgPq9icaooVIRuEZ47zuX KtJM6egEVl/RS47CqQrWmKVfZx/JszL6aIYqtLsydq/MuawZ5uikfUqrPQ8Zlw+CmiGTLdb1y7dg a8SrOhdlTYTJgJ14Jpe53ZyOX1oXWXHLQFDGsq/mAwnAbvUqRQhAcb14BziEiBw5PYIiOPR0xEwz L1LPcsshWZBt7e0U/pPwDkt+ao2tdI2h7D2wAInwrhq37R6HXcCTycmikfTN9PNS9pxLMe5tIgN+ 0pZiu9Zgu4K+xzxfWhlphhZNtaP9XJ99+k5paHX6x3dxlQ6t2cuhL0QHdq1wXnKeWVo8pBNA9Q8u F955XJtJAARnnsCnIqEr+mOABZmxa3YJ5wdRHYyn8M4I3JymeF5WfuY1O11vCpRWEx1QY3nxlNHF Tvz2gVy303MTt64nBOod2BnBc3OpricS3m1Oc03sFSwIJH0Wo9wFb7p5L2yhjQwUDWhrLk25QY8M SDZTTVMRnJ5zbGlSQ77zp5Abyl6cyYfpO0JW/Dv1d8x2nAyB8etyuTNm1/x3PoPCSkZMJWG0gqbQ 566PSYLtDJbVV49UVbis/2aGsTaYsOsp0ysOmkoCtGtKqBdTGdFwUr4YACNcgjZ4a7ddjPf3jUb1 O8RF09Lfl/5b//YUx6JlQ9nPKo0HsTsKhvGF4qFMdqDQjJV6I/YgNviKnkNyPCJnJ7l5jP0t3VMm /apn48U0WJrUJ2d5AypRwdZYiORMiXEfT6wEyJey2eb5hQ42DgpHp9fzQb8k+4MaVlCOkWDE4FHz VPrLkSiify1xDD1Kheml3v16M7+XAbC/leEdJ1O7CiCdtn7t9ajKEBUweuZv1ge+fVy+FwuUj/l5 sl+LWljLppDO1cAtK1ZS4Ok2oWkRnwg4dusk86zeJrHSPXRbCwJCO3f9gGBSg4Xiop1WV1QV3f88 YnfWN7mmJxOlHaYoGgtivO5bQW/pWwKdXbNPLqU/ID5EKYqG1UBU9mmcpFGwn7YM1oueirXyes/p q2DIPgLa2aiujOgTl/MfQMhB1u5K7UT1aluR9GsAZUnfS/V144a6rvUMJ/e2+gOQDbkwyFNbrkGY +ZGhEpe0+4IU9JW54UM7y5VblEhLezAcgvuyE2BJVRh4QrUBqumjRe7EUx5X9qDsayE2OMX7JY7P +ez7KudVeCknn+ajBFKUs0ENeMjOfKfiZ5CXTNPDs9QjYbdGny1qBtSeol0pKMX0xafS8pFzTw78 ZgDuQKn1wNmx3WME1jCNHm5knkALmcE4GoJxQj41exkdzDbT1Fsjxps27XQ77sNQlnKJGUsnT/4i iW+481tYC3/fonb0EBs7dLgnZyQra4Zin4vRQkgplY4Pia+OU0YU8MdgILl/+ya7HERbXGwV8iQj lnS9hlo52I/UKHx59ppveq1LlTlpYKllmrPBkVXYU0vRbOFZJN01YDFc/MXYPd4jZDeX1cbYMchG wpWweNqLy17uS/csK3gjhVPPNxXjax80I/h+hkevLesAVTxoNGV6qHW8Xjl9IPq8I4doOEhBxEG5 e+D8RPv9zopxs3aUd8goDn8pRsM68cuv3qmSh4UcauL7F9NoqD6mfkmYqB4cvqnpqNuQ3eeNf8Nr yhOTo0z4pYiYNkUnVZ22XxqyjkK7eyvMjL3flc4lHrlYeh4RcH46AlTgG6ibJgYF/kE58ys8i39f igHS0u7CZAUlA/kGqDkZWyLUw2iJIi+VGAqeC0iQB5a3kWiHIHu42vSKRuQSTtqKB8Qzv/h2c55R fe8UFIKK9erJ+pZKMIkjkobwmkAfsg3nv3GfCahorzXZdSSFcBen8Gv3wHLy+AAXMnFXxX/mWQdy v+ncsVekwfvhMScw9shAYdA48Ep+AhAq3fDZ4FfS5C1a/Tv041C8WGvS4MTrIQwape0uFKKz+QrO p0pI6DuYglYYobgg+gPPIvNeMPoU7BsVDH0xlLy8VMS+gea+pAPFHZudiXrqRHHjLigftKc0Edd+ WBFdkZSCuAVUaW80Q0j6EeGc36tGZ0D7o0SPKQd0QuZIBbth4iq1e0dv7cn9fJzuBIUIsG9lZ6qG 0e54fymz1+MhAHcxj9d4nes0CObWI9sz+AOQkUcXQH/TOT7aa+hEgWJn9nDRWZIWDH35CxRuzCd/ hC1B1jpvHM6lvZeYJSP3GHr/XkUwXoZrbM2ROyXEhOk7sYYmruCOKdDl/eg6mWxffelB+ftJzFSh hVlji6rDMdVFx+Hp6HuO4p+7GEroASSGpIGGm7MTRN4ISKAPBVfNgwqdE7Yptga/m6+1gd+X8ntq O23GhXvx7f2Rn7rE62GuA2oA3qyiQfot2uKYkbDq+qmZSy9Ey7si4vMTQkHhbDJxXFy9VJp+6b9f vgBo2V79yM0pe8soEdIq4qMbL8uMN6wOUkKOry0bf7UxFtCGoAhi4ZrDapMhzMFOToDXC3TNmx0o zFyXkVE6F4acU1l10cV+oPVBnrHOZ1itX6XoPL0+r7YgI8guW8gQE5RGxx2KOl3gfipI20VnEjZe sQzYSWLZqcLk0yHEn3f7Rv8IGvMX1VwTMy8f6TJntiLmKpdcrs8q5aWANBZlEXbUbazi5nwtZwXt 6/HFy039/26Qz0dnx1UjAuEQmfn10dMFZMcYMTsqHbVYBPl+GtxwwFm8l/tf4IpEZ2DHkUi5Boee WWh7jC38KYkW2FROa1uPpXfyeKK0dHwLeTQByPda4GU64ylj883VvyMYny3hC/hT+Ue5zMm80Zlh sgufeXcekUJD1mFaTXUiLjbKJc5cky6axjbzpMF4F3V7aJ7RQESYAJCLLviEn7x4WL7dHyNul9Bq tz22LFk8kzdC8CIWgUkfZZjmDMl9tHTCXqZrowloyjA50XBNZT9RajkYCpRSor6CbxSEnZ8D2hjJ rp6nWCg+eQUHmo97/iNN+HXv6mCS0XuJSh1BdnRXM81mQT2XxXMS6VHAwVVzZxmi+XPzfti0dJRg Edk2eNU0Xnk4wl8QnegWhf+biH5svy66fpLCdjjV4/j9sqzqDO66+uW9zq6nx98P0vkRwB9KNWA0 3MagK7caPy0n82VYVcmIQv2Mx50qLwg1ryNsaLTuAAgQcuDMngOCIERJW33fMox3ZPklSxP91h8v GyC2h/2p+CgHyNH9Nur2dmEwDzZmqMcQDF1RxzkiG9V7/NV1+24kXAV68gS/VT/53EhOHmTPDemD aIMas6we08slK4OQey8YpqciyxIiLn0kRokKmqxOQulqgjCm9OdYAJ+h/IqM1H7rF7posIuRD5Eq 2ivMZSTghzCdirhoMh3ZvWcub6fgEnl0GzYDr+KzKajHWiJK6zpJ0QiPXLMyIMYt5qKfr4OoqJeH ZWTCDA+X+IDUy5Zg4Opz7e1rn1lXVyM7mPI29LddTz/OAKYldakML0HM3Ry31pQSdBu7aPvOmUNk 6KmFVieB0YtBc731NQoQDvZWx4S+HMx4ywZG4oAUUz0rxh6u+OC19LHYjPVXz2TkDis/DGKY1ChD fgA3AYBC7BDtyugyEWOIigBCF5iL7bQk+D4y9f24iW3QMwNhntuKB312dt0/aAK79lR9kJ7B+mcV x/3OcJ5vxtdwSD6ZFTix6ZYOcSfNMJoaTdYchOJmjHGxmP3gXryKorhaqZsI7q8KRu4CvXJe7Dvm WG70uEjdHETJ8Ah3asFedriKgrxoA+L0RiRXEZpMiw/pbeq8pacYBP6dTWkpMlKrWVfxoZ1cscJt uoC5cR0XixWuBVhM2OVYdS88Akg/VfHSVX+b0O2UFIRhg91QOIc77/IEPuGk5LmV8UjMZ+hOOkbE 0H8RcxKiN8S1UKAx7j8takHH5oxb7QpUlgUBNQTh19/mk9mYZwLdOVVjSzNU3fD7YQo4096inxYO RqxVzDTWFO3Zvb4+0qQPxYwRwllTFJG5RKfZDqQh79Lqcas76LL0xvLYMPaL+zym71Jx3B17xvbZ YbZdcqKtO8+VOPcrVosDQ+t7OdHJ3cKkRNfDFdNDWQ/WsEn21Ff/xaTOlL61/EAvasN0gCNzBQPE ZIyOxsFJD6jA4Akb1V+DDlmN8zSUrLHnzQiwhpO7fhv0wJ6q1m7zkOiAPdgj8OfwKzlZ0GAQdN4Q /+Vr+dq2+DMhTQX/bp77JX0WRzqDlp83jV/T8Y3BlfKbdRjIrYzpMcgqmDtggmwMcaQ/fi+8oHuF qyrVPx0WMtB9ZDJzKBjla++HgEaBtMuevG2wqpYPLnsMGcT4L9zJlpuWOk6SW6ifLflcc/ump/tZ tJIVnkYAP2eOAgAtThkh7wV0NdZYMYtSOPtwlAhBeR7dlTMwlHo8UUvHnJbumoHWOlKAGypJ98Vu E1GQpaUWEqI1ZM0hiQRcUFb0k+W2eUf5W9GQw8PxaLAHGPTIpndYAsAH6ojnQQx5G5os546RVFGP uUCXVp+dr2BB20CTUypc9XdBWo9wpX4jD/3Pz2/IgQbmO2gx75ccm1Y+QqmzbG86YF27Ki7YjpJM NygzSLQl3xQnAFJ2Xq2SQj3lPHkKduT0H4AGpqKUAWNTzIKd154a4zQGD6+I5sW/WPy2L31Rpotg /szZ64+9S6x+BIM22Y1Vg4/MLymQ5q9F7C0HNFz9EvHPx4FZ4SrkZ+wMK9vDt+/yu2BFKz+w99g1 fadPrVElrNcsO+s0NsLVMFOTBft58Hva5NxwG+DudTFYF3dv8YAXIySar8xNqUIn1nuh7vPNIi+s uFib8hSWnLZZHA0bHvxsSrGl3x+rYf7ZexWqgLBOVxtXPvTmoXctjyS95iOYlEIDrzPZi5gDq5Tk VwV7eB1aERmkvSFolE3ee54Sq8ATMZVYvkoSEqFgaGJw10SXt4nFZMkaerKnK9yqqHiU5q/vqbYk BIem4sZrHQrm31D4rSnH053gUZyDhZmU2ZgLz07BJ6B1ostL3UmWvsbEeTJ0BKaEQWliz3pAu4sR HmsxQvJrURIw7GSc+GDmTlS3qP4+CSbc2B/yUXhpgRDu417Ip9FiKkMj6/YgcRWv/ZsuJekn95sC YW/B1R9lZNKSfyocL8rRtVWRI3awnRhBzNpNCSttDm6E1GXwqfVKW4G+Sbgzq5DBLHwZ2+0k3ZKb vINH/9QIKLGDnfGFs5zusS7HcfMPFDuscKvoS8aY7rhUL5qe+6K1+bB4AYEJsNXyUOV/WdvcrZst vGylD7c1iXk4FCSeM3vpEcifU2RWzVANBkkMr4b4MM6xFEpe0PXembZGm+Li0gM02cB32om8yFkb fqJMThpqpfmv5hDLi7NfxykTZhdbsUbl2Vt5aXeUoR9ehDNKSNBLgjy5E8bsadoP+kgfFGlltFPT TuyQrWeJ+pOHBhvo0c4hkS6AvejwWuykW1x205h9mFYUIOoqLrLF/AVjUhoJtAGdsoepYKKp1QqG Z82lgzZ//npumv3PpcBWYMzuYIlJq2RxLpl3/aP+TXAPAwMqrTyGZt4waYcYuFPBVr9ikimhbKjQ Urq8bNL7tEQcLDB/Wtx0CDQt+JJDEQQ8Ia1fNOno+Qev7aclqb7TN4HQ0t79tkQ/Jg8fcV1CLtNr GoquxbI+hnDxT9A+la8bdB+k++nV42yg6OUn+R6/mjBw+Wf5d0i7dnOVeKmxvn28ammjtajVA7pb uaUc2F10cbfwPJ8Sc+nW4M3ai/hnkDy8z61P0HTC+bgcDkVfV3geAQ+hyw2qdVHMnXF7PHPvWc43 8fXs9z8Sag048boVRXVrwPskcR3/out2jpSAVAUT2DUtTXLFbcuqb2pPOZH1AG4+QRNMTJDR66G5 ChFkIhaa6EoTX9SqDibGHwTi0NNQpbk/KF4O2ZZ2vinreBnPizmtl1APID2StRftJQL0VGRQP+Ce KoXHyNYX8yz3W9mBDVBx3ibvrn+ZO0Ve5KZ6k6pOwywujHRNzcwpQfMLU/b0VxMI6McHVctb3WIt x0WF2ikNvwCeBvExgEgs195wPzfHjVj7gFzbJD6bWuMieMX1LJaBErwyUgdCo8RQa+qh/Ek07B82 tuZZKaMzlD8lZ+S/JjOKW6dn/u/ZUXSTJRIk7XoBB7i7vcatOFIpU+rnRsx3FxcsLVd9qtezjceA kEW7jjAV8nm3hkkn6LZ/H35lck36NLdW2cnxibNCFZnzIsMaL0cA3YrKQCb/HKn5Y1/nvqnSjvUS PnHuL4D9rkZaHerpLZvfSY4x4kqfX4no+a7+ZTl9QO+z9IwqIXEeyjimrBeeMI7djrRo6k2EKmqv 1GkTZ+NVPX2tAwr7q5n4ruN4aPdBcEw3Qi4JBifmvGyQ3+wxkJSSYP0ohTq3fkRATdYtNbj7gChy D1RIt3WiBk2YsULItHNYjWUP/UVwmsWNZ3LezpapQcz6AsjtbIqz6zpwjIrCmDKFNKpns/xSAJ1X E1pCm89h49mS/Kj4tRNthQjbd+ImevVJ9b0fsbK9N7cNE0Kh/YnjhfYMV9hAlYH7z9lDbioP1KW4 Ps4VZW/9lKnSNczO2yUj2Z1naiQ5uhXDUUvbtlCfEuEkxkgXkuv1U5F2iRjQb3MSdJgWYKNXhN/8 9WEXYTQgZY3vAr0eGilJIezNPjmCwT7J9rg7DjHVUpyR8Vy2n4uc5v2N48++hp9XBqvIGl05BNXF H3c7xBBDbkia5e4jLRUvctLOh122BxzrS6rgP2hPcquTPtgP4eymaK28EwkBektuvoB8RTW/Est0 scCFTP5k40nKiQXq3ZsFegLIsEcRIFFovQ6Q/TqGUC97GC5Ez+xxfOuEPYWFdhZS9hKKLbYOsUDu 1FPDApedupli6M/A5gQIw3HTJlluW121hzkWauegxszRRRI6w2CLzManW+8A5ytXIQsD4THYUAsF +Lz0Dr4VamdPPz8lSLzno1z6IYCD4r2KWKBUDJ+OQCm/uX8AW1i+V3ECngnAGdhpUwiL06zsM7FN flRul6/zRuUWho0fpIT6qeU2dbBfLBPTD+oSN2Xu+WRCWDdfrfY34v/bY7/dqvHHRitrnqlnaloo tavap/JIGlfLLe60jskng+PSERtO9gRfxcjy4wSTFAgiOjFdEM6rkzOtQMV+ZGy/J4BX/OE/iTBZ ZfeLw8xrzQMjfX6Ga+zRKgNutzZ1j4oOJrrQXxA6EsUx3Exa/KtcEKCEw/TsKi5CQ2WPupgRSgkU MXJ5E3METU9UYbHJ0ysyc6yO/nNdl/1eTVwPFYXbPVHeNloE1tLY3y64tPL558rbpFxt3pX6XQ7j VBdK/cTTpmxcdSUq6EaSdq4aXAPlYe5ZLU1jEGjViOWj9hLV2HG6zUVOTl0u/MbOpvSTmyJcWpkZ 2lWSp9zGm1wtc0YOWHVzTBoZWr80rlDDLoKdfPbGW3llyzw3r7ax+GqQMkVeOIijKPyOY8w2E8xK +J4b0IDwB37LH+Jv5puNMl1C+rLwA8hlHrBPCdXloAsjGle23xOx/lGC9YvSMXxjNUNm6jLLRxFg NOoUiEGq3ES4zc/sMbZw7nFZf3c+yrxoegWWwS+ypxNro1QCryA37UneKfsrDQ/LF8+EAvWFoDgm IXu1p4m998jIJ8jPGaVV7IkpobTg3vOF2Noyv3cH/4UogXLgx+ZPbBhwz9K2PJPNi3AY1EOeYt3w /CkONvoAnsp0dM6TJV4/osTf+ij4Wo9ictoUi/CVHUHJfN/aKsDj1wqny+p4/mI6CHRl8RVUlYDu UMDvIe5WklPJkgUI8jhhdJWCZhUpCJBTjXgflf2IylrpnL4oo41gWV1wmXr9nWT7h2gmi5QfNZwM KlLBW6o3X9V7dvaX21U0wKLVHqEA4vo0CtDaUM4evR+PpEFXnFyHRN5iRMyi2WBDRuDV9cXxDP9e fh0U3j5eUZ13UseL1roiDM7ky4yGbKsB6YobxuYggSSALMBYZH9n0qglAh/j2Ej9t1lS7Gbuo3mx DlMVbpWK/31S8dSekap14B12paJO5gHaUV5W5O/zHQcWl6jvv4ZB27fCJwMs5pI4TyMwXRTpm76c kOAmhIVriJPMtPkt+wNtpKOx1W9D/hWWq3HKamE0GwHf9QBBiPFKhp9fLDv185lTPRk7XW7JrLL1 iBo93QTrJzAv7LNp3DQIG/zDRlpryXTHVyJC2c2dgEhw8P4bJP1ah/hZnJNJvzRQR0sLaxwX/I1Q cpFUpbrPc74zhZnzENxfKDNs+b19z6P/A3+wn6xx0V721X+thEjL5CnS8bPVHAuxwHR18DKOdSb6 Kx80DDDFK9lahUbMsQpEP8trJb5Xyeqgugv/PJvZxROFYiJuTmB0W5pbZ+Ro/bSn9d7sTw0oagd9 XdNFE0ipwVFaYxh3XONw8xkVkSWBPBeUnCxdD1AMBVMmh77sLPjnWoH3KV04hiTkfTtWQqEhLNfm PIO1S4l4VwOK7dNfF8b8nwyP1DQJQGchQ8SVuPRfixSQFBG7VmvRbdtfeD/Ka4WCkSmI9QEaKFRh ZFkdeOfiIoMyKWypJG0viQNSWtKflRaSMEb3+Sti/DtpSoKIY5mw0PCohR5VgrfoA1smvGB5siRR QnKrG0XdVC9Jpof5SWYTS6qAtzNigKH5/wjfkVVyHgdiRyVMIbNqfZDpKxUnKvM4XLtNd3w4Hq8V 5gg2xCRQp9IUMpeXDqAfnWjFnY0gtU3t4y7A/Ner2dty1k01GPTjxRdpCmXg4RkvxJI0Dya2xNxh HMFeIlc7VWwZIJFDukb07Fgc+ZdBD3Jj0AC/Kc5FTPlfSDU7hjMO+qbDoAQIn7VABcpUI4dyXlr1 K+gQ2knkGuKP+PrK78dyFNH0aAGHfpTkd1IdPxpcKpo8Velx9XoBsmFWoS51quLh+ehNr+b0RXjB v82lBMuv0FUHWXIs5UoPEL/+LFHGq1Z2dISg1XmMSb0onkb9ma+GZVJQ5tmTCej/TNKvuNKfuqro gwnKZe0O8yXkWEGGnCVdXW4F/+UyKPxTStxT85UW81/8CqSOnErnW5+oN0WR3oswRNBPYQBg4MFw vPs4ZzFNJl7od4uDAF51XUpQYKkH9jtNkb7a1SxIN0giCN1OT752k1U0aTijwCc5vpPWI8u1GPcx 72KnDVv++Khho1Lozit7oJQ4Rc5dk8kp9tizdGFrL0kCAh1tDroFd83psi7jtlF8+g5JGpOkXqBq 5KmPB0OFGqM0++ZXcwAAGN2Buj+4dNp9IZpTIZaMHCwTciflvCdPyXnkMdt9NRW7YYUbYhba41q3 u3qoko6B3bUCpk75pueLn9mu37GhdDFMgNzuus+0PgmJu6GIXQro5XMTT5rl3F8GgRQsb31dOD2K KKfj4QVZbmD9kQC0jDhHg/VJiO8WPwdAPLoOpXHV1Ow6THKGN+0ksy/EDYYf6BVmVXzYWLOGm3IT risnL1h7bbRXmHjaG5Ys33LLY2n/fPcEljYdcyzuSKoSTK9eMYRutB6hfQWTCqq4Qf6QnGcbn7Wi L+NRML1s/7vcQQrk+y9m10DzFRr2CJrv80+fR9pxFu3Vv53oTc6AdgDA55uLYz0qBCQO1i4H9mQl k7sl4KwnpGHWeT9/TbcgvzMqKy+6tNX5DZVNXI6F1uQQ2FUZAv6vTsNjHFp5npHAjWt1wzXyMQrG 91PEKlUWrX8HO3jewqzY9o7kVXl50TKZYEkJKBxKvz3wDM4u20LJiMjRkMFXcZh+8QdT8Ii/hQre AzsAIEiPVFkU4Nee4kVBBXUY1bNLXR3gGXAajUmgr6+Z4SoeWXarEFRc3fXDtLxT18/N9lij/U+q Lr/beXmud8xFjbY6O1K8vG/QC02eHuR7bpKqeLz/6k94mdViJF89PEqJ0i6b31M3KziJwyhFmKfG r06FDoC3k1RL9AqbFk/E5Ymp4u4XmC8xkJzbrih4jYETnstXMmcPMkxU20LSNUVGNZwygRbFX63l 5l23BNz3icvX2BJjDxuBzA+9U84FD6/mxhY9rdxE4G+SSZW6m54bNFCPs01ispBLLlsvCzTEOhWe IFTfF/8T7SwIssiuevAShC1WYvJ8e71OsOPdjYGlq+shYWxIBsX9aT015eP9yW+8F3URC7WwKc6S f+NOZWhjxBemepMBURuhIjfiEvE/lsEOD/iArmIEI96WTaUy8RqhrmEX9mf48yaf+xe4Owa8mVDl nrxLTA4eozlckhlCjmIvRgOIhWQxHNthEjTWdv8wwWs5IKEIqVPhPSojAe4YosbhcreNiRH6/2cz oXs8uwCcXalq2H+RFBePo58DjU/KKmckHiUXKGPzVul9UnsXS9Iq5FpgEqaqOW2sFgpETU+aNqjd ezEmzCGmbijYlGmgwQhz7mr9ZU1NhyEgUi1Gw8UAvDC5Vnuk/cO05yErbHVwlBlRNA8/vS4TIcEl xHI8bjMCoyI8AEQ+AQ6xdhxBSq82D+PILeX+vG1hyS8PqEBf+1mDa2/fPRmtUvlh9eWU/3F77iir V2vjm4PPLiYjeqffyPcF9ogqgP9RGgpANw1Wmb2m8W2ZmW4ocqK/+kQmino+QgrDhmuFawclFJPW F8qmfs9nbIetXkYGvFRG/UVzfSGM1+y9dsmZOqR/L8YmlFmRGoNxOyJooB617buJkW/rUMR8bnMR OrEuM3BgFW5IVtqwKqOvt17AcpTcMUFiPkOynJuAKNiGtP4hqkz5NqCLwUxbXi5sB1D4kHLkk7gg UmTGA7ZSvg2mNG955ELmgXYFc9w4PUL4fduBuBTiS2tYNKVqJBAhQrnw7HICr+uiAV/u9o5O7TGP N19ToLv8wN53khHYWTxH2joLaeWkIo1K6MGLAJ5CzGQPd3wb5Qz78kND2Kxk6NYf6SnvLX1An35e bCxAr87SmaLffe+bpdG8H6mizQCa2dNwzaQ1Mt/kedJwpGKdszSlgQjyiNpq4nIjTeaODq+tYN4S SyR0NHFdZ5/PNmYS5zfD+BIQYmWffF7TELgdLv8/skzvFizIDx3CAqDx1rONUJK0PEHVeso+xETc 5BAdkwM/d9wTRFwlH4jfq/mNomrbPdbMzigWAc5qjgz19VsgShDqbDjdOeLIYYZCj3bqFtq6uLve adQQxXp6kXC/JG7bXGqG+OSQoW67Y3ew5JRQD8HCA1KmFrp+oqleNsoRr0stc0Vo9YOHMYqeiGJ2 MlrlUEPvgnw31K56S4ynMMI9Dd8QF+Qy1KtRdPK+ZHZR3ZKkAYLtsebwbHlo8A6rA2EQSttpngxd dZhB5cG5nslTzrP0LPng1LQdNfHRW+bTb+KbWXAjzjdMYMfz0dJmjnHkC+72qcPhtmYVNyO5XAE7 NQcPudEdSdu1ynlEwM4ua2vLK4fmlI0MdhPcEyP33JVaXcEsn+JyKKrYZ9y1OVUI0jfPCMnLiuGX nWSPS8+OTaSS5PWbMryiQYhtrMP618PU/gS23KZwRdCya7zqRuvvEhcIaZ1y1uSGKFVMUjzbXdlE 57gFbEvruUh282y7c7//0LKgbAEX4qjPJvWsH+sOGmho+TiuhPDvFvAkt4zSfv3JxjIghP6ypFSD 2y70njn+qgrobRy57JXv2bmnaJyD4GGAWZsku3qYQWuXFwejN84kiNKydpO2/+e3cduzoLxvRiNb wJ6z/sDCZ7rZ20rTD5f/rm4qj06xybQrFyuQgjnxzosgpEY/RSPeU86v4aLlz+lmVuDY8g0ZPLgd FOGWxWwm2j502ugMDmT27+6RjZeUH+5pWY53mNg5YVbE5dACzPk8u8OFZBttEf+s3EE/KsaYjIdd aEI3AJ8PqA/7p4KvQfkR5zfuCGTq/B6eLiIQ6nD93XFYy5uZclwimATSFm+0k6YBp5i41PS+J6Y5 R75TGbw+JrP1zPm7bt45C9Z8H3oxwZgCF/QAHYO8FD0AT2e8vYSe59KY0pqqD2l9/qkC2aXzdum5 ywo4N0iY5b0oSVyvjVWJYqEYB2qYiWhrzu5tIaaY3Edgo8UoXdJjnNBr744DkKC3CtC5SAVqwWxP OsE5BqWyhoBDgqB+9CpBuU1weeuTitmG7NwfrDZS6+zVuf3LcHZNRflzMlYSj0ffur13maxXRmpC BFQV6ZdFCui3R2IvPA6ZSpQol2zPTsXeAfTvb1oNR0s0vt9rmRtw/0GRPUpmvNnCe4FH1uSI0Myj bE5IeRgQKwNmRRE4Apk9Yjub6wgx1Sy/QkhP5RnBCeQbQaR1P6o9DRaye9veWGXrjPH5Y7GHURdv Y0pzONN8fvrfVcNBG1FD9AHdyMimVOYAdSSIRIovOjgLiWiBkzG5uJ9OMfdpTylQytjea6MTcDPF PUGYdjYnZrQ1aoKjScwM51P39NGmQdPvt0hsGXkdRnzE6zkwkqPVdlBpsdIXVG8sONXVivZyc5hq 3PHX8sbfHsFr6UHCAb34l+sBqoB6zoL345CcNf5zZHljFf30KN2bPQXLK7yUIaNXoNR5wvNTVpok oRElM+0lqTxtbE8kV3nqWydCU4x0rWDDFLNkJighYepscS2X6sU/mPKjGrv0gz7LXfu072HYF/U2 CVfnOdk+8XKlbjAAu3nB8IUHUdJ5LnO+av/vqmM2m4QFYbm0t8eze9zy6PtPcm9JxAC/+qC1lDWa cqKUl4/DqIyummBTCNS1wEXYbeIPqTzUVP/9K9wDp+A2JDEg2os90qTXwswgOBeNTHx1DqdWKtX5 Hze9stjI5gGKdKfKSW8L3yB5vtstJy/T1dWMI9anZgJPuDpRXlLBXXJ2SW793TpRpCetOugOq+qW hk1SK1vi6ZzScifpFYcUfqBfWXQLGakDEcxYnXzgsggeKI7rPwnIuBLrTBIdKtufb2+WtBjyJlH5 QmfkpDHwfXhxr0qFjHIAT4Dy/DwT6hrmsEwnUCNf48YystfZW+LK3h4GDlU7nipItxgnczMDOl1s ymzL6hBLwfr9GhqWyylrwtOwh0zq6haBi3mNbKHIJW6hMb5/H0GUHGz8LDqCSV/BLJh/2Fz612Cb WSQSWEF4mHtNhylJEZ4dDWhKgfOOodNSrmV+Y8EnVDKddKTZP44o5abT1XCtA/lHS3FBuNIlX72M D6YAol5pEuqjRtO9C3+oTl8Q1USm5ro19Q7brqUuuTmAv0YDBH+mSj+We5Rq/W2kUsstFea7mYOA qPmvx/qLAWKyQqm67nE4TWlrg/6+5E1KK2sEqsyQunRRQs+UJhJYhaGgqvSTgYgYE7xWo776GQp7 5IXmfQcTDK0KZdQpQF0KaQtTTxr6AQNuzPPUuSjTnRPweuCc/ztgpEq0xYuCf6qAKU50osYsUAV1 YK7eZbPeNDYl4InwSQ0Zr2wJveBVJ34K/JtGO+ru3z+XRTkG8cYFLipRkMys+GgUsGP9/0hrletL KIo5+z/838fqQNv1PZtRVVchZctk7hsiQ1nnlbBJ7v0W9c55ktrguNz7y7/mS8moq1XZbv+pD/qO dPWyiKP5dkXLXKBSlC0Cxh0iLRXCXJEF5D6Ek7wl2tZZzzHqwwVRnEDH3KXW7+VzoEWLXfbEtk2F hvSqCbtV9K80TNbYTAiS5Nz+TLaiB4J8YB7ZaxuxSNWBVzkEyGC1qn4EpLG0ZeYshupt/OSyZQkR gvCIlQHaa9HOTpe/vA2jK9CPlIhpyaYMbKnUsa5RXNDYSYZ3toxNYeSLVudwKkV9gziasFUHjOss SeoQu+BDeDMCW+fl33do/ybW50nn2yg7H6Nu16G5UyRWlPoUig5KOpUxTQ5ZkbkfjDZ2SSwMfRgU eOAGR7UplqGqeSen80M0RJvSfRs1Syg+iFI9FquMT95fwmpv3wk18VB2XxC1VlD+A4BjT3I8g1rP l39NCUMtYFbs9GRoC65QaCtqRgvWtF2SzL5SDjhwmgikdCFn2FRWv+jJ9JCZXs1ENwqa09ikWZsI aiX2sM+jFSWB4o668+9cWdn4CEfk1DB1TnULEjLYPfkT8SvA3k8NcB7oJZFGehmlc6CrHEDxq38Q SbQBH5hGmZOfSPBVkTGCgfRfTEWg0F5iOy4trg8s8spWP8mUs5hm3bzkwpBbhNUdnDvQSNhbpkw5 DoAvRKfcp8OXfAh8I9tp2SnFzg9JzynguhnjkbNh+HvRRtQsnbuiIR4LEQqv0PQxqXgLUMJDeXue vb+ovihPCgXQKw4r1CLKUfPWf60m1EC6lOKAV3Ae1RYi7Awu01Cv3CHFUPVJwo+gPGAc2rhDA6Am bKtKGNOQLntjrCa4ICAdG8iuDUtj9dPa4zin5pa7CGmZQr6mPG+LbzQMiqCxCRJfB/U8IXMXqxTg JxRt76GKKJ2bdpLLhddj1m1Il07fT78oLHGMQi0BpKrxUr3s7w8IJXKjnVj4/VKEnksNdKEgTJoV GhiX3jbLxiwsdLoDmmhV77RvAndw68xNnW25L1lG2LiCPbxUiZyzrADkBarLtmK5IZ4/YR2rjAeG 4gum0GpcmCTjVIASasvDO/wjavkFbHr2boKSxLJcd7DBy8FH1czZESV/glS924VuGxVjMZ0VrVrK e/yEub94fulUQH9fYfvlRW/C2MmLRDjQ1C3qXI9doWUMN1IgefcsAGH6AUEIf276bkgtKHYwjTGl knqp6NPnyZC6xzwMx6TmG1Jb3MkivFC+YfLHYafOE60FaGnXdetvnXq8L/I6X62YobTgLZ4UA68a i6GyJ5ty+t2FdnxGTufRM7bva4tLq4njDdE8bNwRQKN6AQuTuZW8979zL+V2yDhFqYdJgiYSqBB2 fGWoIeHgXLShcyBrZxyndBBgSLs751IIh02S4S8jfTCKIk71NDhzxcAcXXKgBDAZJFH1586k0Q6q PKrjPdfX+hGUlICzf8IapGoEZ3m3DM+0AOiNU3Kmoe6wT7laGOuOdbdBDUmlOXLh/67LYIZWWqtR kD3ugisnF/jBvrVJNOFoufKEAXeQAUG0omUx8v+0f3274nEbepbTQjT5stgDyP5BMym5knojGM4U X8E5PlvJAiMFMoZHTIO7j9ffNRXQd78mHb6LA9ECyx9Uz9zF6+g4wGe8KAYhf9BPdC9R+Vvu4A1r OGvyGZc64mpnypi0/NxSiqzW+yDO5E5uD9xVnbUZZ0AUYOehILN0UMZ6M5J5uowyJ89gJdg2c5iV 51UtDPjkxk4qO1vkM5Qh7fmcMdJHMrosx5T4tq3AAuV8La/PEg0p5nNxkvhuLDPUzHMPt/toNouE WIG+XSE4q0ggNS2TuwnJIAZx6NCcczH38rJTs+jSA1fx1x4fHEQOQ8RZJmI71FKNmqgfwoahGGNI YSPdSS+nFXgNx1Fek9AVc3GqML4wma8IM7gk+wPuv6IIseqdRkOibkAJ0SJPVRrW7rEZwysk8fpv JMs3njcox5N2NY/PAGCpLElMSobiUWd4XCDRbIn6q1h1iNjdXLElyWj9mNj0xMZBVT6abJTO/Gqo m8vPymbU1SnrTAAv7sPyWsrPKI69idcXtrhZw8eIqiNicVodTlc9s2kwSExJungQlDGj3YgXLPCl OWGSMM1wUZMpMaU+htNTN9r3IVUqyZ1ri3es8icGPiNE0EsR/xireDN9XSKgcmC6paHQsVFFtuRt gY6rQJrbQaMlqSOoPbJH8s3A28VKudZMISu3AUEs9E/5Out7biUem7pHEoJdccENpMgVJ/GJhISG CRYBM4Z47TUuGNh1C9Fxj7iHDNTEu6DgAlcvZ+wmSDrBDFK4C4eSeqMLFJ74pP3rVT5FV+WvHvN0 Gz/4HnXbM5IkOuFHaWeu307W20AtozXghsnpPGKN7eRUlEm1eOdRp43y3+F52KT63TSimL9t5p3D /P4fa92C/4Aq575+sHah3MPSBZlelX4CrsMngf7xQapKaS/Yq6vyu7uPBnfDK4KTFu0u5r4JeVJt ncqWWxG4iZ/sCD4UiNOfNwR+8lMcnTQ1UMlIMjhBT+/RXjb1wfHBDsnvrhkTpUxiJjKOO3mzniZZ xdYMU0PW6FqJ+EMlh1LkgfIFv+lQd1xRgAzH9xYMQyMX1NX69XRJqcIk9GJIMMngkwwAts4iEDeE xzMXKFcGilBXm04eRnZafIAzR7Sa8sGvilXYJnkxk4BlQF72gUM91OJBwkxt4XHCnVRtSQr8ZW8M OEXP2bHJdmcwupvFZXm0FfAvWCjRjhpYHgIDXtMG1D8eM8g/5/nNO6amAdwqXpvc+HFIVvtCFylF L2bZ8gIbVyzrKKifaRZQdCkWPOIovoAB33iRtrY1szRDGldAIi/py4j/exs1mWS6khwqmtKlWmz8 0ZG8L+VJVZM0Nq8jev7TiSYuqCBMFWKRS/hS/K9u8KVuz2FBGyfySiho8+2PgiOuCpY928ZiHqjY Szi3zUtmxPWrTnV8xnO93Cr0DxhewH+OTSAwKI9GFYqtmNodYLfxAgNPBnzyLhtnIhX6in2TuZk1 MOBOLDIIQ8bc3Ojmery35wFVX82GTy1pYYPCyhU3lctprTh9LzD4iCWyfhghNuhuWzPhChajqPTb +pEu4hWivjoEHaJWSUPVNbySXiuVukpfHZJPqy5oRpaTLQfKUZOjh3KwiAHTolJLa5vtXXJH3HTi 0SdOAInUMXKiAufoqDhbgam49OXk5iLc8HR/sexGTp2BIgrodQ6dMAO8PRWyb6Yw+HdOtecYOq7t Q91eO6C0mfOR9QA55A+tAhnu9ik/CoCtF+w+eOqdDqWOGZxmKDPbx5qZOtXJZOzrPOHryCLwCiEH XRld64QQoyDMKg5k3i9tnFwbiqIX4sMkabErujjwnJssf1rWf/2pwHzHIEAfOQ6pLrGOXTWR6i7e Ed+feRLsCM/NZ7d5wcBqsiyA8UDfdFdIKoZ2u+kowjB1e2mDzG9aKgs2QrjTwPlxPub7Ggyd2Dmw QKX1EaxAW7K+/Q1wC5VdiChtRszYWQ0b4M+oUPxISIH9N3XF/5+vMrYkYymDZG4xLEcONqM3RYmw IcVZlNyOu0ba3SeKizx++lt0m25MnvtSRa0Dp1tRSN44Uk8AZV16HCZ2Ncx86i6AzTUjkpFuiUgH 80XtGkZM211MYoT/fyojraxFU6mVu/k7szCFkDKIyEzBydqasE4TsiCdpgWfLZ/6MNs+eR+LkYLd WfTaVQF7t0a2hOJCJ9xA2HmSHfRlNj5MCZ7cZ6vp4eyHOvURFMB7rrIPi7GS9aKVjHNqypdy5vo4 gFVCNEzkVOftuj6tPI4C38DVzWwOJ3h7V8wKqj61Tx2NtCXPCdlS39tRC/ZDHxXHQWA7ifp5HIVE rKXDFn7AmQGNpCmciRadutmAenibB+6Z8ynlk/X51gp+Wi9b4F85OZDcF421d28RlLOv9x5WatI+ zPgmrB3R8PF3TeTSiUVltvXoqnVgR2oOVP7J3vVJF4tGnpY3NsLlO/CHdyYlLpRKcXskceD62NMj eBiHOCgF4EGMG+9nmZF4xzFBR9cP24GarbdRYDmJWaJd3QqTXg0AlFcBczTt1+X2atsrUQQe608p Zbsn9Uq5urOfuh2bD44b2WA7REue+Yq665GIZCjcC/ybwBHQ9qzJCYOi9EjyBu7hIrlZn/lZ+sED QGV+0gClA6gULOKr5OdBAkipLwgUPpZfiUUhAGcpfE5rQOPK6IqG2p1VAn7RuZSy7Jk5Fe92DsED DIjYuaywGtejkeCW+DFm/3nOTkyU1RSZe4+94+u3t6e4FxmKTvwUkfiRb0svOgsD+cfSN88eLpZc nL7BWAGkNNr96uX948SFcFxsN6faCbtibV+sfCw1ddQ6svafh/++3Z9TU/FxWmsS7FbUmXPJhb5t bctvGC/KEAgpcLvnK++jowVMpV2zA5O3h89ozxdhIokw/AV2LUQMq8EshpbV13S20U9moBxfDPxZ oOqvh9xJlQjDVk0K1ht/Em6KIMlpVtjmURvfcaTpH9x0VysmXK2fhlQ+7nv9lYPos/QUXMzdO4oW LPqwDTjvQERg46i5NY2/SBLA7gsX3PUr9bwLz1iCGmmBSGXkWCtQqOSNdJbY+jU+GRlkUk93E01K kc0aDea+41PhumIjb8CfOArHTY5yADJjY8UdvG6uZCvCVhKNfOxJ/e7CzTIlvqf24ArxWwOlCJ8r HPg6sf36cqA/SsCM6Re+LbPc+oDuJjWRvYYk3ztswapX1AvBne2ghRyOfkuh9H8vdF+h4Iq+LcJK +aYnv/zvqPL3Lh9fWtm6o+kKSFBXHGH3bl5wcWOzzGSkCQqOPE/R0ApmBRoJO6iUL1+Mqp2Vtc71 8yvEyGcTXz3Mf2acQsRgQFriG11LBtFAJy7xb+XALW0JZfJyza6i/z7kYuUrz+jCYM9XsP2kO6z+ vh611HmUHxHCktXuv72ZpiZaeoTWdN4BB96RHk/4dB9aSr9gPIxLGFpossL5Xo/yj76yuYHrq6rg U8IFJeOF9Tji35nJFEoQ+4mYXdmLG0hCbAtg7ksGP10H7ePtBJWgBkqCHsHoFfgVasIZlCQB5+03 H75Md6WVqo+oYEt/Rqb6dgV+pWwM6obM5l7lPpr+8RCidVOXJq+p/BUGSh635cgWAKhG8V2LCzN+ eYwb8QSLyEwrPXLjKZjCsbqK3nYE+a15LovAAWZdjKxyP1AGb6NQ0n/ZZp7WyIFLwlqpHMZ8jkgf TcN4ybvMJv/CgHML2MTBdEAzCd7L4495GF/3Be7j3pp1ex68f03CT45c4s7Lt4WlY/J4MAAHz69h LhocJp6D4i48RsUeGCtfL8NlV8Lve7si1C+tJtZpOv9e1j1o6dbIGPhJR3ujoj3Tw+eHaA//GKv3 Gbeio5BuAa5LUs7cUGqrLVK8oq+nv0QmZMz+kpVWj534+N/JOSXJ9zGhCO990QNqtB18Ht6falLm NiKPgSeOFysJq6U4Ott+oI3QciFViNUj9igVSZoc9nQHB7ApebuQOcJYJs8E82YwepZ1TB0GEAGf qv8HldLXLT8I99CJ9qprY6NUfl5t1TUyoLi9gzR2gNi+Ou4RoCeoWF01lVH623T8iS5NmEBjXrmN 3q7QAJWIN+5aa73L7gtnYqzu9uaTAw19UPK4gzO7MKTf7Q6fOzDTKud+807XK98dxCaFkp9ufzCC NRlatEeg1IwQk+GDSQw/ajdJtOjMe3qBVWcXhkslGILUVHr2C+9SwJn5/mBJ3nBL9hBEnUGNf05Q qREu9RZlX8H6zRx43GU50ZoEOpaibXygwxkYfy7tDMAa4x3NNrGAqGuZQCk6gEAWvQlkc0TqgU3F 5KA0JUdPpny7CCte8u/Ch1U8rstE1YmldE244tpkTrFYHbxQlV7LvtgZqZRsBzIr3BvnDXsZHtOc kBEZdYik1J9gnv7HGRigpdDln2Y5H05gAtDuljJMJJLy3sz0fWrQZWNZRBIfSVtVZbtqoLFnS1+P H3DsnoZnsebFjtwrFJKu5sqGnQ7bJIOqchMCOyskG7oHCXGLVs7Q6Y8U9PznrObgVHN8pfWUoBec 0YwidoE0dmCg+2o+3Ck8+dS485muEIQpeMtsJje7he+NGG8GO/7Cm7BgIXpVCmuS3IdGIVG8FcCA k6INDv6Cs5k6HLSphwF4Rt1bpXRQptnL9UxkmCqnjVqqb8Xf3+5nptLwphqinUnQ86IGKjN7XRoF ucSK+n+tPJxGX7gIQEYQrEy6toLm2XzRK9SkFuZVZx9wCXA1qkFUh4keeSpOvmkEY9G3VKsV+huu Ot73GP8pkhmJ/qBQxBbyRUqmueTmRreXF3YROfp2QUEY5AXw0wydU43lC26hyJ67e/4GC784+tNJ 1vFPVNbGHH9+B8uYSoyrYP+5M72EP655olUrVNLErJSEBiDdWQPjAT+XBSR3ZGMAPg5pStu779PV agffptqueTHuE5bX22ZVuKRbTAm8grN/5taV5QBD22k+oYoM0Yh4eW8+yJd1JxBJc4JudRJxcRV0 AaMcH0Zt7BjmkGh5aRzgIhhmu1SEWqT9Z7b6PCq3/mY2HBjwZE3dIt2xySUyyiplUYw0z84wSh+7 2Yp0KfG2nhomy5S9tz8k+1iMa0muNvwPnH6+p4mksGRpwY3Xrdip7FQ1PwfTwdnykEEwr7oxsuQ2 cRshcPML7JNlqtSmMa8R4b8dYGOlIT/b47tP8+7X8J8V31xU3eZgAMAQPazmUdGmXIqKNHJqKsom u8MJ1jrNxCMjdfMIfAoF2uVDBUwgRPE+HtVSdM7preMcf3Pply/OLcd1Rb8OOjsXHYJ6V+Bpzc8Z PrgX+ctJzzXgRhsneYHlZS1kvcNcTddz1hy0SI3TReVecsc47iN4YHy4/NeXHmsUNzcBaBpEKJng R21aLJ0Jyys34iVA5zZQ8Bds8EFFEBqA8eBXVDeCsEpSl/FO4NOChCr4fDhQBI29AftuyGCoXB9c wIQUZvyPXEp8f321o8toUaO7wLl8lLngiUFrtsAxXEW3Jcdzt2LXtNPWsLr9izX5iRbsgO1Nwl5W BJ7cwnSkjItDfgliNdYZMUWrKJPJsMA6TB0SDaXbkSciMAB3ofzppsg5oegI729N6y5B9z2yyrG6 chv+R8At5jjoGvvN/7cXyaweJkz1CNNM1KJ4RrWSmsKBWejUduKJFmOlZqOw05bNs6BBw6gyLwrA zc2gVYp2sGyi01YZWHbYCemTqZ3qlC+qQmNglV3UYUpewNQeErukvZcdWBOQVGTPjCOdentMkeWu f9FlFjelwnFk3rb4Y++aq5xbUtJNNufedDspGIoVdpcGCK9FOYZu1O184I0A+f8nOmFv4qjAh246 R7WqQwivPUF1gtVxq+CdVa1C67ZywPcXftjLDgd+UeoIaMLTVDDL07tgI4BcF3bH5Gr61bASL5dj ibRO0A4zYdRIM1gbPL1AaZxJT7I9BOIrlFolgEhKaL1j/icKy3RQAlymXQKwv2zHV6Pkm+5sQJSG jqUwGLES1lXSf0p0G4oQXe4je4fME1f3+egaXHmPB0zd2Y/+jxxynqvbwIsbpmCWFynxN1g4XBtm 94FoHG6Xv4jWIecokOuYdnzVZt4zG328UnsJU5aJEEMzGI/gIQkJkDlieZX00X3pUr1rznoJwRfL fDNWKj4//rHIWUQnTJBkrRsHOMh1wHDOquyNArlpaVaRqT38zSCtzGvi5r5lZ0nLXpeqaJxDbqkO yDSoWnjR//RUF+fLa6q/e76ujCdsPYIo6wHIdLmexG44iRmOI1WwM0IF54ggWu5NXv/6p0cxpuCh +ZIa98Ggc8uj/LCvftRZy+nLeY4OPUGCmjVoWgBwlxRLtGgnVpG/JK6/8lErKCoa7mifkF89QrrI aJMFu0dJ4IGCqYJPnz2mCeExtlD9VcPkw6yQCDUIV3YOY80muAeihbFU2Pb9uyKbULnkm71OEKul NHDZuw3QhkObb2a5xgFYSbtVFhLKDDrOmuUIK4cp/yhMtfYVl7vHKbG2adCtTD/lcB5jJ4j7d2mH WOUWFMsnBOMz8QEQzQHcLJ2wFEKkotKfP4cIvT3RJJ/aZ066emTLJjmRh/QaI7FpmzW2yhWFejqM GJ61OdL/3Mgyg1cDPJuGL8GFP5XFH9S0mPx4QkJypw7LkuWJCfCwK0QodC4NmgsrR9NoR6eMRaQp 79bLmg9f/Pvi21Fp+RSaC0HrCLYnOKoITpCYahb8YvfeMkLnd44W1MvRqA9Mucy/ZFRa5lBprNQc r44wWKqbn6/qch/kDzrw//1NZ6eYUFlUj0zPRYWkQS7U0vGl17pgAQzdosL5dbDtjvU7NxIP9Qxd A/34evLiOr3MH3d0PlqEnipsmL8pOvCmvNuc2uynugYPNEhyxmDIjBbnO3AWGwFdrZY++23nEwGb 0mR8Ub8qHIjfJan898XB1LNImxsn6rSin/W5tS9R2cApaUlDUqHq1acwPuqYnlz38a84X1W6zztt q726WkYm2voMYsPFyGwZcFbJT5FSyX3qRII8m8seXKqmBQTuxEiY8iSjdbF1DG/7nwj+33nOM37G 5+iJqZBrvr85kWlXpPiWXWAmQMvaFuhr420rLFdlaBM51agiH8PDStOB5aJWJbfdQ5PkCDEOj2uO S79IQ84+LJxs9c9L2AG+b5FUUEI+nOq2jH3yrGq/arBMW+e7wuZFM4NUF9CGMKVj2Iovu0iW4/eQ M3VZogTToMi7KkHyrvyWn6O2Q9wF9o655u1hy5iI+gphWi6P5z8iHsszZjxrnOg5lNzty9+KeXZZ fwPafw6keGwrD+7057M2rcVBFzwJ2Eh5BFE7z7LI8tRWUwIAbf1qm6sAL7T0uLRQ9pcRCKNDY6pP dWZj7zjRZi8xdAlMd7OYpBQXGOv6yAZgCtxnxaBCDlGroK4KWTx0GFjTtVExOLaM/ZYYjrD09x1p 9t6vfadF5SVgUFdC+r9eu6Mrh71umHUXSsYrgJTWdoEk+0miOrdrAosX9oHx3jomIjHyvNjPYOkL VzfG7I4ia0QzViaOH8f+dMotu6y+6PTHC5du+Q5hEoveiyN/A+Y0UNuYNvYxFF5R+eFvjQcsLv4D NxCHs2W1g9o1Pj0ErvWdbZhEuKpR4tl1wMSedYpGbOWDBgbUBfFd9r91FBXvENiJ8Chvj5usiCQT CkkvKUcHQOEm5MxPSvQxE2eaZhciL6Qwk4HRJNFHff7xwA8Exm3RcatoXc6pX4WkLlOuScHSLF8z ttIOioUvD+Xg5kOOX681m71oApof20u/EXYP+htc8xiXhVDu2NNU3Xbg/nqi5mPXM51j8pHzAOsK JiJd6l0RN4h2i4V21wJe8B18qiCXlFxHTcYqt+nDpgVMgXSFI8zGsuzP/+N2NDHNdsxFr1X5OtVy 6qZJfmHROuvTFLnz/L2imuH0j/gJ51CDSa3R7CxjwwWyR0d8BQYn8WWSbpWw7ziG88yDKP0EImQ+ eufh3t2FZQTUcTVwxQYFHH20knepacD/tWmzUwSn7O6OJdOE/VR6JzCB3CtSvgGsDoKC8lD697wT UHehaUxJhojl6dop0cqhdbIyw1+MJK3dEIycNuiYt4LJ8+pZrDipSe1UX9chFV1NvuqPBf2diVhX sL20s0Ks0KkMoIAGQWu5W3Gokgzq9mqe0QpJdDVDhAgJLvrGNAT8fpBTZpv7ZHrkc3CvPPDrff8t m7kPGZ5rCkm3vNYd2nYfnUO26PRkxPMdbcBsO3QkgGS+iyhRlsElVArLaiRnDC6qf/2Sexa5IczB o9RecyUJdiOQxKIguBIKntnfNcPJKwiKLfP7YxXW3Vj1AIeqz/OoU7Yx/ul7ffuNnDCG5jMslLRZ 4RAXrgPB7L8j9h2ucH0gF0PGCjiIGf7XmYN4W39SFWFHRkJ6zogGNg0jVgJcOa5r+lpV0RVwqQ2z GiKn/KsXES8vG7EjSSubd+PnHB/N8GrfrsIJ7VqeK9HoPfFW+sADjrr28pYLjGeiv2+EBRZTDqXD fL0pLsSkmocjuHikaqhPcvThaSMkVPMPJVoiDpIMWOiiMnotpIIEBFeD4Rjj09gDahU0XvaV3epo 9IbUhdSA8NAoFjwXQXsvBcDHW814lAS8rWuK8TEu7TloITAXUFMnzkI57eBxX75iuOWvShvPiuDO 6SIRBb4VEUA7I9OvIlv38C+++KO588W5KbLF5Ly3b0ETq3oAAbnl1WCYAJ3ZME4Jirhu5bIiqfot CBOu1tTxECQz5EtFhaP0Xgy8+npqNJI9MX3J4cEaMxx9EPsLIcRcMkFRmRX7uph23ANjPBDd2Xm5 ztktz9HYxZZ2w0jm7RfXfqjIfWs8j+VNEGcPlnK5b0xPhXesEbDAkP5rNSSKdgjpovLOfIBX7V1Y C4VKciO1bVcrXbRojnV82rc17kq78sejN9v9Vs2cT/LVWZPEcgB3UCfOUX1w96sRlvXYfvaVPnoI vISycS37qQf0NTvgOgUWU1mdsnhSc75MhpWg95ri1fcOuzBr6i5LcaeD8zhDyOUxU8rmLBbUzSso RidAXP3XHu4/xkz/4hc9RHfwhyFEipHPPIl0zb9QIJQOC+k9hgRXMN4tvnukDSb2h8R313YzH9an i5hBX0rpBNxWhaNZtUJLfrlxwhoX7uhLJXJmcAt+0dvy7PShKgAPO9MhQ0jCnQCAuqQKQ3Cx+iQm STPdfpwQvx+WJOkDQ6MnGaXW3FLuUsMXq7D1IyL1YikvPA09Clij0RhJCjqsdSJSBTUJIQgCZqBb lB/j9E5Smw4Ju4fTVVyIK9B+mg805gLU0vBgPE/vY9Qlu9TKxVuO1VYAlOpm67/ja+AT0G+Or3LD wP9bG3ScP4pboFnj/vAE7ZYNqwoytnbTYegJOq1ykZ7eMxBRrcsFMJcKZTaRtH5ih/aRglLtPpTV lm8d5QpHZR4se7nvODlbqUDSN8cYD8FHn0wDWT0aNiKLqyCohiSLpF2DdwnCb2htg+vgUlCLbTvC 0tsw8sH0e1WCOVTCs4XNFVgazXxp/RJMrLs9CxicCTT0/QDNJQ2mGVY9dJ0XqoRqAPZMUMasyl36 LFIUmfpV6J07t95JvYPKZBgohUOd650YKjnmkxvSnEe0iGtlWMSsIN4/CtQulkDRHQlJqsiFUqF1 QgYe42RhQ0pGgwNEP3sLyYFgBKqc217G+TmTwacqNC7+xW7VyE85JibKpWvt6tzNrfh9Aqvl4eq3 70/9szp/bSERLgoHBwa4vExzE31NBV+abASOHgzmwdlfnnwb7NXmnTNjgxmLgrSZoYeu5HZF1hdI ZyoraHiGBnnW0It921sbEkb1d1Zj5uB6VRpiBWslb7TZkClSaF5xnLhsE5KP3tOsm0zCAEPrbBaz kZloNqD3aMd/6vuomRHeg8KAtWiq0JmqWyd+jX4WPviwhK8uIcmps/TQORVADIu5d4Zg6CXnmgAJ /l/3odpRU2V6fDvkWyeW/V0giYnl1S71DyWfGZEkJ5lozo4CwrVvXJb9KCq3dWsXNusSzm6KHo4y 625pMxyE7015TYxX8/s7yyj7wcdzCAgzBZ6WdH8Wc0WAbL11eZTfH2KeqDSIyiHaVwpME0EkOPjx mm4OSTGxrMcYiomrtArMrz6Sb8rDVLAfRbe529OfC7uXBz8JWdmuxFwETwlrCJww/ySGMi78JvIX eLwGNESd1fF/Km/Sgtb6vaG80AalNH0A+dv0lbxO2WLBJz3P+oiklpwiUaIIwyPDzqM/ytJJqxHx GnygdNYkLSZJo/OozV4GnoWaR9ISmIzRHW75oFqi7IEgwH06S0k7SFnPAQEtuy4yxV34tnsU4gFp m/Ss8TC8K4mWt1CBN+RqTs+Bm5DRt7BueRlEPzpurXaicn1od9Fhfb6GlggCMd6PoeqJOQO7Nkbh p3Ac52pWxscgdYoVAfIhu4z2Kn1Lyk9J0dyrSJtQlqtH6Wh2eenpVVRP5Z1fJNP5yfpu9XRqD8T0 UlduccAEc/y1lazSRROuDOt2E0mlvDkNOa39MsIXwxRhvG/dVHB8n7BLBvfOgdDGhGJXMb0x2Au4 Cth1mjK6LfYVh1eaXSxOWAPRVlqn4+gCAdabUw9H/iQULveqNAUcOrkgwTy9X2j/TFXX1RyAFOJ4 PastP+G6vO+paYYa2VfVgi0NVsJdumx1SC0lajhsqHPpVqkZo4f0GThUqdbAVLtnZoZrfy5Udrxf iAHaUTvc5xzmi3T2ULmUacoh6Qu35rN/S+RmsuO+MAMsZo6OHt5y2/4+LvhoKMiRXxkCoVP2pb5C Nis8NlSf92Hk7MjlA/Ghpz5MvqsamRWu2B+yQUnWnsi9zzjDMqza4ZZ24IlZv4jIZKML0MHr7khS t+qh2DSDE8sPqceguqpN32fEI2TVAnErm7kZNNuW8XTd+mx3BsAYPrBkz8XkdSBQKg53LY4AXg+x i2f7wGjSZteh/jQUVMPjPXOwgY/4T8PWlwye+ChLSZd38r0URwcUNvYyY8HyU9N/v9hl6hOzZwqg WZuS58UHQN9yLPhm52HhoPJUJrlR1wGMWoJ3rmZC66cl8PncDSOQQjRf/Jame+lNA0F/sgiKx62z zm37wEUl+3qYc8iM5Cxh093vsHqZfXNtkCXStZZSUzAR/VqnOYTkNmWltWx0P/D/iltoQIpvluc3 0R4TjtrSHaN5YXdikpgLWqn8wFRIVEufmZnSvqvGr+dMf1a9vg3BF9UUQuiKL/HJxj6JWKsWS7CL s6I3O7SS29a9I64+oKZwdwedyNZaNMzn4b4d978547S9DzzUxLCvoSwVeGAMo73Ubvowd675W48T eHNKcXbA4o5zWg8BqSqMKOcwuZzp3DVA0Fq6HipT8iewDJGnXwRTlEXhOCUgm5IcZ3+pqM0jA7u3 tFoO9FWyfdvfLaFsmmnoGablpUEpT8Jwwi3ag41J86nCJ0OSb7YTMC594AY/YH5cvRU01LHTZRYg opaP7h983RaL3sSdoxl/VO/JFZkY21r9gc4IsvxZP1X0y+JUbrpcmAkvQvKPShqcgWzZP96wJvwM u6n0MEjG3tzZ70bMIG8nFxH23xsc8iWDkxcYQma68wnyGiwX0QS//WPPXjZkRCIvpOS5lE+538ta mX6/srWdI97t4gMAj6z+alWP/LCtp/wNAsCNt3DAYZpCjHJeqXdVG2P0WmiuAnyJ94MNnFBamK4W Inz0dWNKOkYvVZdeZaDGiVgIu0/xIji2sBQGDULl2+5y4j38fE6tE9o80i+4Mi7EHRvO9IyAl6L9 4vX84fmofXIa8NDzNWsw7Awea/jrK6UKuOrPKbT8VSYdGnDJGcTMRiBaZg5ZZfZhwqPL7G+X1eE0 0SjCIsE7+pzOIeFl3n8PbppPo8NTqBmnZ+l5RJH0vZ0FviJOOe2wTqU/mWAGgoy5W3xe4lR6L8H7 uZBEaj+er6Oe8PrGe3zK4Ug3DS+3ODWwBU4V4RUxLT5sgfNZ+uZM+F2RoYd9VlRQEcqnVDukfSl/ ZmkmmKhvySVq5pBYg60TbWDbYkg9CO4th4P8gm+UDLj/yc6rnet/vfrgGgAPBbkiYBBX9hPK2dPG 7a8zqzRfjR2tHhiCW2wmz4dgnlUa8rFXrOP3CxU563U0LkF2iv3ULo+8SMyfNzu/PX6hUw+bRjQi YbCFHF0M7UQT5x6azzgzmNcJE4r/BkLo7uztZ4xHEVjaF2R8ofx/PBHQY14Y1VkVMzplMnkWUeed dj+iFQnTlyiD3LUFh1t6inrW3seQvFwsWivIWbnrlP5snQJg5EQ5bZ3NJzR6l7pxGZbBWOjQSWVH Uyu3QqR37+gE1MUOhuYmiScUBqsGf5rAgqEOpsj0D3QePfAM1JHYiyM2F8qdpT+IPWImTPZtanYo ZtPBgTkDxUT2zP4EeoJ0Rp3fk3Mz3ZPf8dzynNLYv43yTQD6nuoAcNiJ450QONPiIpS9bsBRNZxc /TzQO5mjfVVt5Zo3chRrxSRAyOucZaocM2gP1Se3ZlrM9PRwdnUoT6d3+qkSarooUwTjgvhyAYfq lJdXTow5mYLGCwceGVPYZ5Y5RTPhXZxmixUmrMjiTC+eQ/EToMRfq0qGSX2Qc8BqPyukhRIoFS7C SOtsnHXFGU/nCvXNqcCLq9n7z5VKDcQKUh2FmAPood7XD7FNqmqOeisEeRHE7Br9l3XnLmnMa/Nl QxpDbRZ7NlCZ7U6UsQyZIppzwgGr+HQSQSHRmrBMx286p/ZM/CmqUumkkqhPrHrn1l/X9OH5eTpd bgF4x7+FyajI4mlvVJCCMJhCNPoOdLsF96M1QPXgYIit99OOzu7FvVpY57JJp7nfZ5i7gsbHC+BS b3Lkh2vNKHvwjhxnMlr7M9ETrU64IZ8b8aMNZDXsz9sa+TKCQ99486qMxgBfv2/7YduGCYzVhA6q ngrNz0k2nlzXJMb7hCJa5ImTyv6yIPcq4nc7YgK3ja7cvmqcsRHBp+uJRHavWZk5E2lRmv23Pfg7 LuiMGo5xhG9P/gf5LZZIZ6z81g6a1di72BsHZk7dOJ3Xgt8lpVTed6+6rn+WHFfl6DrlJg8uuEBh gRNHwNLvLjvvXSq03LcwNVZmDllcErNqnbDeGXbDVmMfgMRO17pk2xDk5BJklO46fW2cv9fDrSOx tjxv6RCIkTAF18d/Edw8S2mWfl9/Rmtnsk90HcJUlTp3EK1sGwTmyjITLPI0F5ezLQVglbhXXx2x jxqv9hb2DcdWQQwESSN9U55iUJeW/5m8A6bH74UultrqJojxgwhTsSBI86faUb6dKBa9dvb3FTg5 28MEZ+BSdM1fRs5mINPvRzANsvWeCqFVche2u1DPHMKJPFRYNuUJve35RLx0EcRh8xWOLmxmsoEe ZYJcKdT0ERwPDBLCgGQcIPlGf203AuH2cj8wlvVVKQnZS2FXvAzhpXszAi9/dUe0joaOx2XVYtOJ IhVLArJLCo61CpfDWhFe6o4OJ9MuwtrEmOVfsNmeS+64JQsVgQsk70jrvwevVopZhXRK/CDAJUNE BRb6ElBTqJZ0p0HYJP7a4WQSwZYFH3m72Z/owxDejZuo7OYCPjuFmuF48AsvT5qljPLkdZA+3WGq 56bsCGYg/oI/u6eoT9M2ez7NEbU2Pq859RbklZCoswFG/FLNZbAyX4rpXbUdzflmhNG8NYrQMOET 0WKhcQwO9VFIF2xMdYg9HVjbVTmvcL4mORvkFrNQHOIb6cY9VjeRCHngmis3kht+g/iHcDfszFSG XrBmAWWfbwWcX02k3dQbhTDozTO49X6u1Hpz0yS/GcSHptvb0e6a72LSNmINycXF+1tTP170/aRx uq/ucL8s9V6oGHeZ+EyGmr0P2eyJvLCACWsZ4XcUeI6IF/tWqwPK08bwHgecpypBkmV1y8wj4moE RTmaMkQI//x6rgWKgaRL2wF2DvNYNKrXFebJJY28VuyNUE95A54HuuXhZQuoIaKlhiAS3pbDfTre mV64Ztd7pPtdB3rymu2wACyBXxo77Dg+bEkFPNTZNZ6EC20O4vvAQAM9HUxYf9Je3andl1ODE1Kl 4Oet4MjevaHkLl1Nwy9yH3sDAB6lLZcvOPc6it1tv6dDQ6RiOpZgsrr1P9LYA12GiWQ8Lql2akD9 uwgb71SX+TVNe7+FbtnXhwtIVVfmogO2uWwBxB+fOPS15FoAgNoXq7+azrdFawEoyvsBTsduSPTR PgvPNyjXG5m6Lo5iVz6mapag2QOn6UGVXBO6LwMyo9912kH4+NaLtxzuWzNtQXyw4nZaKgw7sJoB eM4xoBt7GukFmZTgXHHA/ilBmbEi1nW6iIA5z2WML2k/I9ux0V3nOpPZdx0mwiVu0nTz4Vfvf4Hy p/L08Kqk9VSFrYHpV0d6HmrP8TmwGPjtAaOKtJCYwZjUwzD0YakCyVHApHKCXdXMi7X0dmSpHTDj kLB31ll4a+eWlUwZCDjtasxiywAA+4+t+iZSEGlDUeTVCSIL9s/6+9Ebbg7eyXCZ600I0eZnXgON EE5vQDxhSrynCqA32suuD38idvrmq2YjAypkdcsFiW7DpzRtf//KDYbdA+tDSySgLOa6BfZXGPUu p1OhYOHF9sln3KGD3e7pbuyj47fjqu8lwqREW/a2J1zoXB5QRYCoHu8R2IwkodJ4zlc97RlyiW7+ HKjxibOh9YMAllIE2p6CbR4GCVV2pYcoJQQpkzBm1ee5Tv1b2VA03b9UyAk5RlhXzksfGOQcWQP6 3xWsduQt5oTyyfDB+NsJGDW8/tm6tF5qFpzEDi+ke9tTjS5C1oVL+bCfU1kIdEAtv5sMGHxzg6da MMIzqKhLg05HqcDHFMmsew+u3xu87//uky1zZbO/iHjJL5jJOs1sf6G2DKLen5oDall+4xWxs4Af zupfZ4chHLtDv0ciy2nJ8c5cAUr+h3tDf4+ofoQO0yWd64nZSfuIvwkBtq0DL1Lua6/tUg1D9VEM 9uQUxhF16Z+5j2i4xYLDQE69RUUtZwsiwqZvkUskFYcOrotY3S782i1ST1ZFgC5/+6Vl4UbY+yLX 4pzgSJfsX2hF6/Gt4/hh5xsTu8CzVB4AqY05oD8TIgt759G9yXRFW5m6i4TFSEqv/2pfS3Gl1f+T VNtkdymRnNTf4LhUfnAPp+xLrxBYC6qhV94iFi6i5IOsPB4YiwJuX/DujZecxzdsSo/ebIH5RMW9 xXX7O9o9UYFNBEELCHPeqpvTF4M1X9Kurs0wYgqArYhzIOr4ChZ2cgCwNvgLnL3Hl/1nJh80Sjt3 zeldaOthjDW+ZzAdcoYCrSXiT9/9NqtvBSCTQWfr3JZwW9u6lQRH3vsHjjBbRAeQyu05CQ8Bx4+g eMVNT3P+CjvEWA4b+rSkFXetf4dv/5lp3LC6z+e1LnTPgOnaFHCbnbrHCozitjHz9et2NR1OV8UA S5UbmNv3lqLLr4pR43wgAIh4srkSInglgJMXrplHdFN4TQ07Ep3FeIpPzt/6YIqNc4TAXqzSW4pE mkTRxnmGKphyz2yJ3pxUED1INvAs91wxhps2pn4xeANGHl8Wc8jsuLeAahPJxaor03Dd7H6RdPR5 z/zWv11SwuLZdyHEjAC69+1N4lReSOXTqyTMKAx4tpzovYA/1C7PUlP9VL9VUmOudXaCrDP6MHUV d6NvdIKkkvJxNEUHzeFDK8w0Hjapz4OCbmMEWvmQEVBa8jPzJJSjY3ebDxm1eHXPczUy2z21P9rG kVzCHEPIaJt16RnIICaQSjNrtmHzQ4rnp+k16kq84ULZrn+yMC1fppEjm78noQ8AQXUozq2Wb9HT n06ipq5Pjd62meHFenqlfkaERaHE1ZPdqX2CF34ShyELJ+gsUSd0n76BulrBMtqJf7DqcF0ya0S7 d5tLF66aesaRY9oxB285ukt9KkTXAF6LIoZvtA/qnhmkF7CRkuIYO5jEfSlj4DZN+n5mODs5BPLF d0Xh1Ekrsk+CVIEniC9NB5WrFvDMVA4DJrcuG/6vFjp4bHzRo1wAfC/DILLj0BX03QoBPLDxsvr5 Syaj8Rff2eICDsqe6xKQzJOS5yTWTWuyJ8lukEbepqyOxGYd7lYadl54UMTPkAHAn8ge+y2zQKN2 U+wnwqUMZwSKkjjVuYpVZ09Hx0ASTY3Yuh9dPRsJCeNUzbux292CrAGxG5XQDkTgEB5wS35haIkb s4B8hD1107ea8NUPhPpFCDMjAWSHZGH9rBFUZSDJoz9QhB/30aoT/AjueyWuV6a4rYd1N7MqzjSH i0uhegWxFM/iLMoLiISY9ur/GlIP6CvXCwP8XDlaY6CzV+4KJch4eInnm+KN5Ygimqes9bT9y2L9 JV87kz4XjXQZ0uf81JantjeaSIB22qTFvGT4e37m6+uk9dRlmHonPpFhQu8ThuLxjSMqdBpPDYvg yltRYMAZW8lhz+dAwy8Q61/I2j9BRgHUpux/MnlKHzQknfI7R9o1E+w5JU9oHHjq4M6BNwm4khYq jlg5lSZ+b8njiFAk73RTw/9WPs++CbnhFqyNNEhuyVgImB1VW8JfqBrFDhSBEdl/fbSAE3qz406T /pEet8yDXZJO1+7OzgxgETPjph/36ehbRFpSn03c5Bg2ea17TKZkV1kC3SZcLK+MeupbjSkqihA/ /WWSGLoISKjVZm2j/lxEG9RJH1PHWJPCCsCU7mN47kz5Nk461n//+hWo0KO8vt3rsI8pGubfgasQ SJMj+eltRVY9OgJwZLAcHglK50Ej5GtUHK8HrmRWOxEPa0NzNORx/iUdebu0EAwdTSCH+GbMsqSi AIBg0HqmTDwBdZQcMG19Vqj06RYpy+NgGXJLnEJ1fHmS6mzXuspPJce/ExJJEWMTG33DhhOz0GzQ sjaLaSz1dtGmhDUbw0xJ8DxuoZKnd0ING5JjYbfBW3raIjf9ljsiQTX5lCU2ziVUwz63m1+UaAGi ZorN8T4zBwknUU5qckiUdrFw9dbLkwhCNxgxnuREnvjpco4uF6tHbTaFAolzHY4NNSNI5tTnU9Oj HKciQHSRrVlY0j4psD1BngLMd4g11zKfuPINRGm8zgPyFVNoka7hYBSD/fqEBQYg0uq3DBS+EqIa hzhb3838wmHyqLaZedz4B/AHbCDoy56Nx/CkCqw0QwM6HMlpXYOTySyflcKG/zPSZVfTRLb1uvDf XdVJArl/V/91ZuIp1zGkXCU81Cxxw6pt64p0X1TcQzd6BZgGh27dW/kBxlBgLUjMhDwYZ6MgTRgt n5Mq81OxHgcJWD2kzmOIbjLK2U3lgNEQr4CxxvokYaCP/9QN+yU4IQOWU0VsiTpoGAUbpqx5fgw1 mmxJYjMTHNcrdB8YrHT4h2kBs2Aq2SGvM5MmzPh6t/OWNKI9mIvY6XGB//z/UbpJ3nNi6jNSDrws NJOjtlvepcN2YR6D1VeRgTrbGAIUr3xS8L5CeuiYzebm5kYJEqu38tNEQg9RXUX6oDfFj85auWA1 WXu914jKlOHCJPyu3ppZBpGp6yvdVT8pnfx6zXNdZF/wkwi4lo1eyPTsAqvw9VGzGf6h8LyZ/5xZ iDQyBmwORdAwYgxdMbgKqKXtSBowWsykmlGq9LaQx8wV9UiP6fOS4EpRJEp10IiCXYevt35296xu 8Phbw7gvxxmgGrWfx2EVWYj7l6W4epKdq1apoiBBZbdNJc85FEHSSdvD7xU9dkAvEjlCfJwFp9U7 QeGDyB1I7U5G0Xi+P1a13zxaUlQ7VU0bDvIFIznC+56aOzXfKXwDw1McjRMZkjOEWxoAmTR9MpWO T9ChCSbU5TawjR77lOj9g0kQjCA3nHJXIR7iFv7FKI3FFdseQeDsuuVSnmruKXSmGrLljar6n/Hs utavr6H54HwupvuFH6Ecn3J4Ec9Nn4iq1sMejj/7o3oY8Cc4Gg2BHbGcE9CYEhDc5h5kIMsL5K+f WoqD1EWG5GtugeZ40k826soSRWpsBuNlkmWK6soXT6upngVdyQpbIaAUCKEC2L5W04zQZX51vULb 3bcL27GjSYQWaBijBb0dodrblBkfB149QKYMhnVYwDvnhv2f4IHZJlADsUUtfISrh8F//rjvyD92 d3CPveQ/w2Iz/7NGUugQ1PchbTOrhOfl0S0SDY1RV4eG/f+Z9glxoJ+MtCZGXpcY23sYbjVXop/z huXYu31DdzeD17d+UWJmP8n0tCFQzGSd2vwhe5agwukQrz5yuyK4w0uQyTfJUYc+MlkUbxDXMXzR PdMHUWwR8sNlRnfAuKuyN6cBXV0toWRC0OQY7RqF9NMi5xYM6cjRGraQ6Tv0reQUI+22IFjBy617 JqlMe33Z/Ww9lf2wVOM+m5kgQ8ecln9TqwpCA2VvQbWlWfOhL0PhVX/Z07un0SZxfYUiHq2/aJkZ s+l7tJWkAUzYRWNWwlO+cD5l4AaoBiO5hsGhAsX7vSh6/DeqzSOR2moDEiqXjRp2wix14h9AzZv+ /wBpTfMee2l8oy8HlUA1avbJ6lZO6YP77+GEO/wYFKEAGfd/hL2l/TaLRFwMdsbZj51aMIryFCVu fMc8z0FF1oCkhYTiQpN1c+FzRLDWBRCxbS02os4aaUAlFQZALfOshmFxuN+nvnpmJ+N7Ac2eBt8G rpkkCnsCtdZOoG1k7vg9PJVdw+8Nt71xcaPAZx8QX2AyCdKpAlaB3AxgdX0LYffKq3lEjqyKPYYZ yWIu5oXqmWa2O6hYX+Ab9voOsfwzOZiveIUPTV/50gXRonWZ0B4sJ8qEK1VZ4om4B5IHCwNTkmFc orOGFbNp3dmZ2oHYDjS3oA/ZTylwUbwf6Zxcq/YNHtE8dUq8XNKR0CHJJ/4C/+2W1/jYaMq/lskg egTeFeF1nwbCbea23zapxq08s81I0Bjp+fBzr4MaATYy/S4BCpQVTZ8zfMtGFAdD3aVXgKkY/azB 6+YLwzrWWyFFWhjfz6npe/0DRFbjdYlLSgvNUq9aimHD+47UWYpwS17xOg6GfCprRbywuC0u9m7/ Esqc5jtnaHi/a5Dj6j5Q7dIPq4x/9ctpHWfYvlfMinjppC4tDD3m9oNCUUVKHIjDCT3GNEQZuHGM SPPt4y+o4cqlEH45lRLgaYLKXQ5/3KXUqoRyUoLxKzNJKfKj7H6KYpdRKeykah2gj9xbRi0UgNoF nw8Jm0tI9cITyyJQ4UiUJN7CwX4V3DNHGWsF3M1QlszF2yJnxsl2JNyG/lrt2D56vXLZnbA1y2Mm Q4linf5KvL2dXsjX7GPr84+Ma7DQBXDEj4gbElJzFBuA7lGPlndw2YJHe5RDXYfy373Tgkl57Vul pivht5xoleKxFAvBIDmUcBEIQw4aKLjMdWgDUuKkMD0ea1yZoj7F7/R7VGBqP82EH4TIlKTJJ6Uf ge9tVHssPZl3+FDIb+wkqs2GkC4CEG2ZQ//Eq+r/p35HhphJ+I7Dx8LwYgSYHwtcty77hnG55Zu3 zXubavlvbMkZd4YA+Tb0CIaQ2Kg8b4RXITqWGctr67ObqXTCKN+lNk8CNFdR5Y8M3I9z/OKqFrOu WRiEtOH1fLFQNnxOdfyPwIlNmtKwjpkkcQnTC6DKVyG9Ykg0tk4VrBhNWRNThkTsl7bTVcwBvQo/ HdTUTtEarymcCJ2QxqBDMslOV/fMqo3ki1dpsbtklycfpuHhUHrMMihmhrs+wOPuQ4xY4KNW1oOm nC29yYCrBhjUZrqRDv8Ay2PoCnFIn6e0UauopHxc6FKIk5DZG/gvcBRHy8uoHy0TUEy5zI4AEzXS RrwhdNJo3jKThT+mantlsAnPNHPWD03586a8gDVtqcacocBSnUH+nPNjukMHtyD6D82ouJxQ/or4 rU5hIflennSz1VCwNBnIoyUTiRoKrD7CW2wGfCsCcGdJNkVO/LdtA0dYOZiu1OtLZR523+DpDqH/ 5Q5gdNruCoKkmumOOnNidUquzNJ5xSuF6/6efFX9pD1WN+Sm0FTVDkGQD47gyQ44hqnN9ge1Dn/f s+9TisBmG2gglCKpuSFfamL8wTTTF4f0Wfc9dr65lgwTT6MJQb7g6al6+iuN6JtcEONzOc+XsLel 8gMpBqFdchocp2sxBfiYa6huUiG55mtlEtlQDH1Cn4eB5QuVCTSAikGAKTlP6AUWU2czYfjxEuE7 2qRafpdgmwa0wb9+M7G2MI1BBs6vyGp8ahl4sRYsH4DiXnst/KevytbmIdldCLwgxORa3qlHu00A th/fS/lXoKSYCpVM5ZBOsv7jguQt1OXfIjuVE3JYSOxRH/wc27qBqrvJdVf5f6kphjiVSuNk90n5 WCQwtV7ItJ0Avu0qEBl+ZzP9VVjQg0TOcxZkKvTwPMzMXcqEYSnN5K90SjYZLB5LZCaZNgk8wqy7 ZpWkQoh/Kl4vUkruoEnesWV1LR1hObETY/hn9AfyOU8L2MtBB8qQsgODxdMOA96bKUQVDtovOATo UiT6+mN82Wocm8O06Qao9Dju6wCB+XhTgCOcn+R+/nZzVbeEUjKQupuQ6DhJbGxv84Pi1A2iRDhX daLwax1EY6MoKQMWIT1OyXtwqHSMhsAQuZ1i+YXMYcgg24wDrJP+/kHwSVTXOCG0aUKCp4CDoSL0 Rk8ZptNw9k1xMTSG4wJLwTvww3fSMva59aLlSEGlFR0y7kFgUH1kusVU1SzwRbM14xslgYMs8Jgs 4Du2pfVjVEfBxlLGZBVG04BRxxC7uDsXIAbqTMK9fZ3PKgNyTXjaSND27GmcnzkU5COtCJP00uXL mzie0N8jVR4u9PUbWb74FORv8+j139CDeao7DWbjDNzVB+FSd43r0+wvN3pWXgJfaFeERPtYhzmB Jzs48gQ5eKQbjidGdY6qRotqG1ciLHT/gUaYWj2FlmGm2DK1nRe5NoDfP9ldOk4cRZ/nScJ/LVne beB2HTJ6N//f9pPEr7UffE6fCAm3PeTikyT0Gx+QtPebDnihffP/cmNeA91Q2Ca0Y/ZMrweV2IPO 32h2CIVfhsPttYw8gk97qZzVYz2qX8jwNPZndlmwKNUMAY2XXAGObKXM6UQCLLKsLzsHj/p5SRVF ltnD1bbP03sHC9qEP0ZI4baPm9JBQ0KIEaPqs7HAPtn1LN02W4fLN+iIcg+wUMogftC1XObnmSDg QGTbVgkOaWawqwfkJA2k+X+xQwNf4EMZb5eICW9dgVkT8zFxA3tcVexhzgWeiAakzRzCsb8A0rRg m9JLUQdjVJFgU7vL4gKdkGSHVESKtKzrR8AUzgrtj05hwJpKKf7xGbo+RfXD018asTepdU/U97M/ Vg3kr+5A55DR+5wN2drQDAvmxLMmKfriTArHmaWPK3iG47nc3qPSsyGh2h9bGt15nj3aVbi+WgRU x1JWLY6InWl9JJa1I7AlrudFn8AJ1tz0jDG+2CwtvQCYJVkKvJjT+S3Gh8lYQleI4HJMhSOYsoTk h1bXhUFxTyaWyiLs9q6+ikEpH3CXMl/D8388jVEEw4sN0GVc+EA2DtgCBjWtRMQ+M/iIWN8Gr5gA bYeFlFI1tXOSRh6AKnWOA6V4gART1UGKT0KW/XNEB8+ledOp9jvBkAmxP/tkOzQWZ2qCyAr89vxg o8/fTddGdgA1NoRgLpRUCPuMjNM01NRH+nS3t2DUjdQ8M1YKUeItEL0oW1yQpm9RaLYACWnR32No SLSiP2V4sSwy3wbQ/Fj6i0Fq11D0GltGkKU0fSm968RIh3NZxhYkamLe3wb99ZcWLUvTUHC6aWbC 92Kh/BkqW0nMiMkw7Sw3m7633l++VHOp09RXRow9kAKZI1DwThFUcTHp/+gM2713HONIPm6yHnZW i0V/YJvZA15hSzi3NUxEV5iI5jq4bzAiqansY0wI5+Z1AYZRB1u7aoSl/QGv8FmIul3pANnxyNvl F72/u9BX7GMKVBO0YULEL4Bcyf2DqgkjcQCBiBrycogUa8nxBuk2SYUMHh6J6JRFZ+sG95i7UPzE Y7kVd2gDASVrp0/zDkfOcF1x4dvg7ne8q0GiPhxAxW/77my6WODK3NN74RKnXuSZL0AMB+S6PESz pBYfg5p78oMl+KZ0o6koIKzUQYa8sok3qjb5afO06lLe90R/JS7lMA+J76BLNWigo34g70MA3ydo LfC5bme9IRhQMTSiLvzo6EeerkXGnmSP30KsUBNrLVvX4axWXWdp6jj2wLC4SY5VzpLMMgpRBfsY JQlzW5yshKmSiCz1mvJWqf2X+rErgrxkAtV7RynKMQaFvVtA5uxlJEz9l0F+0Ti09bg4hy7b55vt cMKFTLGQIFbdH3Lvdg4OOihfeEFXBWx3nAzEYstnCyN5DuiUryKi3NF1BMD5yUlCGHuFtf87UlsH TbGjZeK3T7Q4JktTAp4Ji6vHagecf/OnM31EZyppbvrUBTAo5rGBEhmnUQWUVDe77Aif4B7l9zhV qMz2fQ/i+H7Ek1UlQSiAdTqlnmQmw09p6nAUZyo4TTmGkh7tpQuTmKzlFPgYAsPpqz5FO7Y/x8it Rhj9iPTqk12h2XQWLFWo1/BNWkMVa2UK9UPiG6D6A8eY8ipXs0HNtm3txuBRwoESkPujkneJmjsJ rUdAXhyfvdD44z+MUpZV2IDoybz5rNlUZWDrKh4npXGOSAii7Lm3TGngacvabCBRVTNugNm9r5vB 5tUC97v5h411jjxI9ArWAtJZXnmM283/rlA0LWQtbUkttSw7z1Vgl4DbAAvb1H/O/Ctg9JMFkywk Fe3V850ijGPBd+Z8D/hcWpDzqsS+A1ic1pL2k0/fPSsS+W1nYNagf4PEKwrl0G/acXtOpSFrEaUv V72q2WNDqxZyt17DwKiwoBVTfsIK9xhZg7F5i+jtGBbc3QfyMhBZI8zCZ4ui34PBvxH81Q4z/hqr Lyrpm++EhRHgAX1ZlsDPsi3djf93tAVdPkb6mbpGGAjsPT0rIRZ8LvD3LkbqicyYy6kIP/d6DOGA IzyHFwTy6WoKJgxCo1B5pqKPCMCm5bggHrInWoSiZqs4qHe0qyF5BPA60XvS7iOn3+cNA0boG1H5 yM1PhCTidTMELq1iv+U8UuPSAvuzvtngm8BR7jD4NSOpj8ouE8stgem8G37Cb0GkzdayrY7nqSua GeMXbtP9tZzxdWz6A4mZQDz4ZRdIgow1MRfEfegJUsumYP9fU5C11YnVujXUy9wujuuMWaea3G/W JVwwLIgn/tpCK4ZeznG8gL+yI/O0+FRS+UtYo4CNZjiec3lhp+Ua4QU+rE6UbzqMO74pWmASUJHt SN+YDgRA+gsdeLRQeeXu7GdZcDqM0ls92jiTHlcTtYdue14CwZIadSGyb/EHIYiqyozJrKeRNeNZ 0oKLE0X4meVfWkgmvtNJZCCis6JriauO9WgAJ8TSaE5qTViXrqNcufJ+Su4SSXDB9QNDqxv7UaW5 7KyhkeYx3PHn9+aVwrWUbyBMa6E/QrnQt0gJSYFMZL0WcBhxqtTFHKaP/1X57nt6uJKC3JO80PL3 beWFlgEqNORQKKT6NwKZrvkueVLilt1sLbfzS5g5k3PDaA7KQ6jQhFiFujhTrAv36fJrL2BPuxnU Wec/7l8xxBbs1Y9BX9D6pPxB7/L3+79+yuKWrPIbYX34ReSqFEB0S9Ux+Rjld8AS5iw40S8W5crG O+zXnX8iLHO69BW+SzkkIBTAkfd+n1+f2gReZWbpoGowS2aE+Q7EK+HAJOf2ao5KDuMU5qVDeDiE R2XJNt4au6AUe9XHEO00V6/7XWedmqqMvKGp+IRCx+zkgeUKXaVpEN2M5LmqcQDpeRhVKSX4Z2Vz oolXSS5BQ+r+kwzwLkxkFKYVXnf/QPBHA6eMLd0mr+DayZn0AT6FhIM9nCEer4KUVi4j6Wq01P11 4OquBrP/Gm280tIML4Wggdr6TqwOgGT5ivxy7edQgNDfuZlv255JpAyRXyCGK2dt4NhiizCOsMoJ GUVuHjzVml7YywPjcpVD//dWi4rp+dNY4GkO+waLaM+K/50jUJR1UzxIhK+zf/4qCJcXtR5/5Q42 8QOEDcYfyVzH3ESGYk9LplGRkTQxs8CCu0InMnfuvhZCVqKXZYG2oNrTajaS7j/F8+MZCT90Nv+Q 2Iw2V8VDnhddst/oh+H/xTfD9rm3B44QZGi/7K76pD+McNsPkT8n4iKCX1eGYYlTEuR/tmKTFHLu XFHPYXFjkowomsuOPInSEM7/SklFpu0VdYFp8DW/siuhoXBNyUn7VsbKMrTbUerNcTu2c6qnnW/8 jvydsBktQVM2xIc/HmZ/Nk9Kxvi9vCGLkA/70niBGAuNn7WZ3OHHn4PcYQV0be98IONp/zMZFmvW gESJ5HsTBk8JOZXjAfb2Cb5/fBEOK3YnjHBz1exITwglbccltwKWyLOsrfxcxEz2OwYVfi8yBuIb /0a/n49GpKDmQPPQE9Ljjg0t68orIKixeKzfNyg0a1TFlk7uluCtb5sltKBuIeOfgAeBLBbQMknK 3co5nb7oQwc+uyzOOISkgctyG64pdqxFKQDtYEYPaLDhsFDjjaPN4+qkvebbjELB8zkiCGHiJKf+ YbG/s9w0Gsc8YxoDnXrrUTpto2u90J71Mt9Msn6vIB8vLh/q8US1uI69tsqlRqyznV6RrAY/uApL UJhTq/me4ssOmYywnF7IQhDIoEH4CnjmEpt1DaIxEUKT1wYwPSXvG91kfR0oa/xrQwU0QUK4dF4K +mWZDruqo1zVm1kRFzq+hlq6bzR/b/rAlDIn6nHv1vpa3i7gOwQb0QDRhDdQ+NRk70czAyvyugQf U8BpRHeu/pk6S9q7deKXbdD9+32VQl90gW8QEthPIomr7uzFM/w/cWbyvI/hsnYglGhdpzy8y7f6 B5A97fHtgMMzCktxVzAGI6ZBsVzzJtW2SiE+4plVipdrZ26IQ1d4nEWeBkVlCu4h7h9U7q8Cq6+S lwnCk65oPVlVTU3A/+nqvQHJBCQo2VP6FjqT7AIymB5Dw6MUtrPeCObqhnLDOUFaVZYbuQbtdSIt jyDTeju4jbDYmDTmwn9AvksXTyvM4knlNG1jXOgTjXv/VqLgXS5pdsUZp4pjcTcefM9/JcyjY81l L7Iecb8nvb2rOYf7BG/k/Sr2RIVHzEUNzutmN9YJt84S6b/JU7BpoRyhaZHp47IKPBy3t5RAuMZe lUKFDMGiyMxqckAh3/ZTHNqbrML6Uy2ULZepytvSPbPVUVGZPl5XJSC4p/OosDod4gI0B/LpfrXE 5Y9TYK67wsk5a2mSgbn4QbSSN5KXARL5yTGIBaJME3bptUVnYVciGIE4CW/1/JpU2mkA340swvLi 1fB/dLxVga6RpNhq8aYlWA8V3hloYrDX7dKbavo2uQMzcftuSAejw7FdOPsNkWIrHIoWCeVlEHxa p0hZvR/HPGcYE5dJU5Hk+41dd74yzxSp5Xcr+HWSTiaEnhs7NrtAb5K0OEvFbEqNLJ7Wiz2ADVuD BJHhW71DPDbXKnebPQoW7rs65LQYk+rVCNQKRxlLd1UqPdriua2JgsS87GQ96X7A/Y5Fz7G5ERMF J//HmHy23hI18AjiONyRJqvTigZl2H3qc9S+pN2+fg0WBmPrbBHD4WejUDfI0905V+Vey0+FK5+f gXYMAiNcVVliR/SwuG2rOig43s9RUzrq1W3q0nFf5q/r5o+JhGW9wmIy4EkzwIEvnsHLnojS5VR8 o9N6HalsTtGAyyDM2n8CdfvESV4r0YpWJ/s1e6zJiaPZ5demyV109UHXd9vmDYjRik9+aqwuNkkp F6IiGuOwovg6W+eQb9A87mZFN5soLcrx91MTd7HVAjo85B6xsBQI2I0FccrZeTordQRJmlffiMWf Fi6BaIy2w906rPmrU1VSCs7nPOFPi8IvbYQLZsQBCQP1XaH5ooC75/m6csf4wnN5PSqCsG7nXM7Q lXTVf6yqi3oVqCjbrlol0tGOVkrBtlQkAH/2V8Bq9Not3eHd9YfFKGJCnwnj5eyEcp+8x3iLMoH8 pfl8zCCbNUOrcPuuee6Ah8L9y60Nk0JGsj60teplN2UBb3V733DA1quotfiUKyxQGKzLKZolYPRK xxK70C5uAxSNVBeRcZOywQpowNAKRQ8Ry5budk1XTSW5m0XBTM8tmEWirSOyqOJJ8agqCI793wk2 ddymK9z71aJu1VI1FcvE2mobzDzheqoDRCoeAx/qKDpfzotfzXJAXWwh2S5XI/+YVuxo17WXSbiC 9oFTb7yM2cVf1MwL+5amJ0bkVwiiXF+5evOuzuis6QUJrEGXB7wT/lZTSGF3JC13MDOlqcO31xCS d+MJep4yaqnggIcVLgQRbjJbeJ1F52UwkLa2lFQRKkx8It9CIupxv43l/ThEdIFCKEcsiw8pAHah qO5GYnJzoUHwem4dwAsot5zJUr7Uy8v7jUEaGBUnJaJP9LPh9fgm11Aj0yU69qzxnhFh620TeLST 8y/DWRRj+ogH29VnTn0Wrk5CigXpF615qmIcoKIArumwts4UfhAUIXXWhHZfJO7Lzwo5Xkxu52g9 j13si8rHZegSL6XssrVGNizq71oyF0tUAMsNlyyMdy7Qx1ADIzXlHMZe/mEnh78QRw5r1W5Vuv+M edvRzXJ+rCFk055kyCoeZw50nYNuN8VE4ZA1CXYefB8HCQLuTp7Af+GdfSPqjXF+6ylAmLxopzFG XWMv58KXcJ3E2TQJhjbR3QNAOBx74ucuRTITrwNAqRwhGQt8/Nykq1fn5KiTHMlU4eDqcMH2hXT+ s2a/DIV5vMyxngWK+jWZs7H10sw71u3HAvkBzFyunYP/S5oD8VTHqXAtgir+/JdL0j+VTTnCad0o Ns4mLm1vrhuVYODpwLHUcAnROtICRUG6nGe1ksYrgWmvlYbV6IbH4O+9a6VcURBbOggS1qKq7vhT EIOzuynrsfxvcOITZOmFZ74xWpEOdIAd8k9dulosVlF0UrzVP2sLp0W/DHIlBgaju67a/f+a/OtT Avs1mUfv+B7ZTistefmQ8mNkvlRHx1k+X8PtoHMWzBJf8pNBuVpEX388tI0Mr/uFqKz/tZPMkUAn GtKulFd77cY1SCfji0DKbUV6sXCHYQVHsPugf26oVI+WvUHFIwoFqRWhASQoVDY0yGEEyjiP0LON CVR3ieAPwYRWUbL1mAxqUt5OCS9RAUDaAfeKfQNhjehEWlHPDtWUdoDPGto6HjkISpZQ0lRzozjI wkWU4kvb6Nfm2x0xJGpjYMETJyzm9rgAeA7e6m9/mxDC+5NwVqNIqOwqbcXc3+KDs5StuSzI08LQ Pv8/KH1GFi2c5QfM9HODoMbUiZ07yl1X/dX8NcUsigzGN/eSc90C3s5D2rbGhaLKAGM3ojwhKCdY IpH2waToZ7kOXxJrOhoxJHpOava+OnH3f+kB0aEVvOlUNSntLiqbrHr4LjsV5Aa4y/90jVLGr6zM +YfvscUsNrSO7fPzNRcTFyByPtTwUtZ16crlLG5n2m2oK0kpwNTkhhp81rPsaGtMusTI8ydhV+j+ m+go8NLdrc7FKYm6vpIaq+u6Ci68KnYRjDzqOmkSJnDOk3Tt9J683QHl66BM98l88Thmo74S8pOC 3N/FsEF+XPNVd0tv4DiVMSgc1sHe+oMT2FDtOEaxfBA5xTDwx67dBunX5ETcxSZUeDYlx5HxeQ7F tzA8QZINa97f5NMA+xwCy1+HPyJuK1mbsSzajXFMRpCPSDG15T5bQTYiixNwqQahutOjomD33u0S rnt9pU7CM1jRSygzord6tEc2jaZA2c4MuZV+0hcXsaZGUgN0m/y31a+47P+gSu9Qw536AHsnJhUG AEqHAgwpVFXfJwFHeOgVUfgwbcFTV6Pd2fYoVG5pwyoqGq13h7PvNZOYZF8r8syQHMewuH/Ns7oz xPQW8c8Y5bwdNC4fmEZ6MhUdFJkd0JCjw+VLyHoD7jAXzTSWbpzDAXk6gT4UC1/ivsJ2Im5ogiC3 +aehvWGbsuIeNmU5LfmsOsqAHPAfDOxlDa/Yq9jw7Vx78886MX6BzfTJLzrznrG0vcNNxT1EhB7S e5M/Qsd93KKbli5QGSnTXrAiPglEqn1d4tR2c9+A6qzulYNGvJFdJ7ZL2xEu1lW4kNl4M0GSHkf0 pBPixtyzGEI93GU9+vwQS7/+McxZgPYgUn3fWTd3brDxIIOfjEICCQ6qN/0g7L7SGjSq9QS2DQeH 3aRF6yIkgrQZWMeHajJocKgCKritSo5PCcTNl5yNkTjDdB99gNTfvewj3dBBSN5n7HgZ6RDVSfUj gIX1PtPGa7sZoJEI2g6t9FtC23RXwGnM3Oo95jJ+g9s7SkB7EZUscAa7qkQSdQ/oNCO9GZgvgd5l 9lSvgxK1NCqK1xN4jn4fm+pu4ACHNH3jWJ4QUPqIfnlOx+rclpygLcUhtyH9OgTq4ChmFBJaOBsb 85i4wME1bhbZaxBcN5dAOmRfnJ88bsInBIpKJHotl9OXowL7EqSiSFtosWY2f9FvwJC/OgNI0McG Zue8ViMVYYL+PUkp/pW6eoxnmqxp15J10z4CpO8VMoB8O0YelmKAU/kGtA0zXTEAq/vGRFRawAEz ynydWt3l3CzdKp89L4YmLwUzlBksGFd0Kqt+1a+Aoro/KBZPPq+ChkU/FApPATjl+YL6FUkbJxbs FG9oWe7+oJtR/O6fRX7U1zmUamw/CNedlReUamJibVfeoFRZtIetgDeb2zZn7OGPh2GwjDN2Xrnp oN+aRzWIGTuc9B3Vys7uagfHx51zJQvBH9IacMgJ//cEINoWyZ/njdCSReAlA3tFyOLRDvjdOrou 7flFhkHZZLb2UxpkpuoIwuJUAaRZwK5dEB5Pmx8m7GG73Xv18hrRMeBFLocb+Hd5ixIAGCG+3MhX QjjGBTnrvm/7B23gVDChi+utvzDmc3qiLiX+9ZvV47lrjPNuYUyz81hXfVfYzRy17KqzDvbr/Rbp EtFdU0t5D+mSduhQAAOarC2KU6nH1JgNwrBeIdoHgks40Sto4S97QPUntjAvvtGKRV0BxEJKvv80 CmuwyYb1ZjDSFuRbvPRyJu3QPi2apup54SKAKG416zfHwzwXgwtBRR1yZBh2U4kktU+8N3Wf7vOm COEn60KSTfmS6/Ue6SPVPmxf0dyURDdU4YCyLhXqsjvfuy1YlKLullS8uPIDBAppBZ85RY/nx4A8 F/Wok9tFqjEDyqbAB0hpV3nynb5M2qRKlMqSsTkTR29Bs7cF1Nmfy+MngLKUxkfS4hjFLKvbNc8E Fv7n8YEdA5c4W2vWd8mJPFw84zQ69rmpfWlo4DfGRO+GhNyWBJ7MEN1GcJsBpEC73OOe+zMUfEVb X+mnImIjIrfRzCxDr+Js2U13nafZZopoG7PxIidalCdvsQJ7B3V4sbbdYDIU8vlSUO3Mby3GRZFA xLqXR0kcHehkOFsFCK60qXXanzWbE17BTwDy+e2IlGgHIEs8j+6uU2QrXMceUo8hxPkgGxHt8I5u Qebl5ZbedI3oTTSc+Ue1i9hLc97DTQVo/lF0nz0WY5TVrDnQs8EDHFgmbvZvZyC6KwG2bnD6kG79 FRAUI0rBHnauvNuJkyDBsvGm4Jioag9Bz+XsnbBGnTq7/vAglu/l/R+O1kQcsiknMZLT0X4fnQmi ptxP/1M4+ehltVOtH1Ki/A4OPcUa2P3eJmnfTNqjkgRqR0UR4MXd1TMC+ozCYUFlvGRyLlKFi1SJ WASr54R/p/OpzP5UfHvV7xr0xcIFrI01C69WvkFk5Pz85hED9OJNY9MOK2XzNVCRxAOetf2hqKRu 15fQ50aFDsCLwamDEoMSbcrC4xytYSZB+PoIswf/ZZL32Q8x71MwVhmhiU3f7a9DYEkTl0Jo2MP/ PpNETene7coM7N3UYlVzNFoC3lFr7o5oUZZNTBTOx3s+kFTgWwGiSRs68xPeJemUngIeJMsZkuZA 5njAGZLwj5UVz9njxF/mzDGGOG5CInG+6hQtQ7yCz4yxcF13xxL9XGJ2JV5m3aeDpLWp63BzBIPp Vm8IOKfzFu2fiQt97RIe3gZXYUuLo5JqpvFFE3jTpJN1WRgi6C4Es/oeWscXaMd8UA/G0GkX29bC Kq67XOWCSv/bxQUmZSYDtr9gZm8iAo2p/jD6126J3LY+xP8ehw6f/OGP3fcuANDYfK8bfXzD8G+7 e4szF17zrPM+GIrZam62MruUNfrR0zHpc76BGrk1xbJTbObE+S14F9avReLe4ZTKHkHgmPkV+QIn HWsddwWKRrGBNl0pqijIcydaRoRhm3G9jt9rYYTwW4PohEAUiWdbUMOQNkF6b3wnhZ/IvJpqFaSp jcUuMp2gB5csLNZibJJlhCK/0u4lJNh22UhFHdfJeMwHpogM3PHFmiFEUiJo7DeqGU3eNKb0WLHL FkLTJNPKUsHsspt/2KxzfnlTPKVvXRLRInGVHUlXBU4zMCPULx+FYNgml8i2srZwyOeSpwC7M8CD buSlnsOpR/PfCnP5nMJ87Taia2shOPM0QZ8Fm7smsNSJIoGqLgyd3eMRxE4XIJx0O47sDOAQMj9P hQWeLK7qXofhc0e79F3Hj6OYkA5TjBaeLEcYAYT2Oz4XQE7/xAzHKaYn7C0cf79doMxZwdtOJzhP W0zjflG2D4Dkv4H+YymhS31hM2iJzO93+WMVsUI7beR6WW/ypjGkdK8f/aAgnz/p5qGISWtafYCb 4CUaXz7R1C9mUNh74GDJimpQe5FGN7nqUw6W/shuWtj4fXH6A+xt1qtbl88T8wsDKRqw9nhUu8DA dWODovANIEnHvemSkeuHuk9ah8LTlJ3ZcbarWH755N8wlj9+Hvr5ShtPoGZ5d+hMrFPa83iCROjY ET4Vj+dMt5lVZNqV4hi/Z3/ZTDJ0UdBh1Ce5BphMqdNql7DBenX57+cqWmIyMFCLmIUua87ATR71 5Mue8R9poPBYbfAb5ErnVYlsya1TPtx0O2tzsiu48D13OIgd/bzjMfBphmEb2+h24BNfwsmIS5L8 TDtlveIG57G0jc2KH29I+3DAvCtDr/fz2ry4vp1DeHOfeHGeFh8C6qQlVopuBFKRedl6LdGjtbdR WeKHG/kk4yOWX/dzCkgmee5fksmkcH0/kvyY7aDYbJYnpj0iwecpYlnawTRjvkQ3ekCNPvEn3XOa +Lfy320H7jxG0rhOfcPp0CKIIcu4W3QZ76FJa9QseRbusUNAoNJvM/Xcs/FVE2qCA0iraabL6rro TjjsLxW/wj5w35CB3ceQ3IsXvkjlIxb6foFdkRkAbvdqAvuGfmKZc0GgJbCusUtmfGNxQHHBR27W r8kgXuxn4qSmlWNul060nl2WuNKwVII09C9G2ekQa2B4c7yJaCq6tT7r5KrlScxZiTkkg002UTdH FuIRftOyZFM4EjYWBxRlNgQWZS8PGAfpE6VC3jeWrrdJUnO7bXFDHi8n2G5pSzUkP5S5/I3WjKvO c95FUa2q7oIcfN1H0YtIdyjBkJDOv6zxDwL8FiTrBNn3bIY+/TwDLO9u3TGFPhtuzCCnS5Cdy5ux XWbdDqBpi64YVpFBnti63slOLhyj36Qku0dduii5lw6gg5kaPOEKdFVIew1HVY25I9zFEqWj7hXL nkxnZG6Nab/8el5C2D6FyHDcvDWzzQOzdl0Em4FZOGMas3z0NI8eZcSf1XHEoXEMRUljrNyPcC+A 16U70Zf543ZzLqTSLALrySumNNOHLnnG8elIaaTvMlUy/wOfufDgu6M4yjRAI7U0VkymMVNSsozw YrAOL2ECM5IgOosSNbNnkLDuGOFjcXpkMlIl5ce+gRu2slMqK9ToCWOt+DKJT0nWDLa2Jwmnr/rz PlNVkF9N3/FefDKSe1bTN6Lb5AxByUU8pCjbbEXqdVs2sfsVlKCcN0CkjMzxOZ8lkOlUMfzNlTtq elZK3kNxdPBor1R3Fehpt8lwCzsVLCP1arjGYIIjtnanwuiVbmVhhl329QAGS8RWDOGtyQTIJtY4 ITBRC8SiV04kCkaiSrhk8hIv97Gr/NgIEEfLtNgxEFE8ZWiyB7S8NM+nToUUvSfbb8+8kw4lUMox 4yTNkVU7dBYzTLt7CrpZOo1xOd+ZspS8+k9A748UDM0YCqCTcta6Jn4gVTyhqkCidnkjyDxo+EKD x53HWIvf7LxOGNEeBPaYTozxT5sxrEPRx7Y9U/olgz0dLUASvu9NAnIIAGxgUXB6YordZMtLnwQJ zcKM039g1oE6+Bs56His3jWgwyGao4loH+81vEK1x4KJvfjhs8OE/CZYzHLvyxE4tbLu1mwdSSGn t6ZTw7dGPsHHmlTumUwk2oGx+ZYticlDHq6YSozYvDrNpqNGInvBZqAIQtxHGyeJ5N9NOkJBCGfs R9AjzXDLtxn1w7zJwktQFMUYBrcp9AAI4k7809DNjCUb9cnGFW+inDL5hpzllIgrNkLkEq2CWTFl ejsxBC/0RzWvKiLTdSlgkWg5teraA3fhIJiI9H9MZ0FdRbmgkfNN00Y5KAIvu9GY2TBarBbKBgSj FnaYsK39e9tSm/fC5vFilP+Kp+BlERE0QJF++4Akj9Eq6pcUnI7stKm9k2SAsFkMVTKbdinqmJEg TiDnUQCmQp3Nr9FLWGDXby/OwDLU2fwn2tc8AEjfctqb8vhr3o1BpIOf6/ySC3I7tvFLYCKXOG6H UFEIai1XX/QI8wAsfbj4jIenyz5cx56wousrUW3ACFo+G6//WCPc+14TESURb7aHQnpW/jmwLUVQ ZCKTyTOUV02sicfzQjgvDmC6CJBKdWnLerRnYQ6/Xk+oSSo9KdiJsfzZgM0v8fI7aatBnbPobw27 GGhQ8bqVBxopUQsDNIhGNNvS1HLAB5oR6WdeMWleHZT2LROsT6lNQks9uuMB3flwOfdxr7z/9rhJ 0ppxGZr4sqAOHb02eM+PuCLE3CtyOo8hWoKJLMHxIytdyWIpDepJprAiSaYeiX9dRA4tGViy6lFJ +hxvFSpym8vasXlE2cAqoYGS6ZlE5ZzKI4AU1/PYxt+Ict+RyCcUqnZlw4aTAJDE8NNgkAUJIR8S KkE/aqraa2FvCBtNfVV1oSK52xPDz0HEZrjJkK80dPMqW/LHVaiCYAXgUyRjNU4JU/39174R+mHM Im8VAywW6jUqou+xgVBbZ/qeNSAkQ0g4vpdQlKtg6U3AlBUZjByEwMPj70L3VwxZCtNgyyoBI3YP F2S5/e2EKnAJVU3DcUiRezJl82A2W8Hte7p/Qe2ajOxbPH+PnYGIlI7qta6EaxiUkJYOPjtLIve1 FVoJSYp8sI+ewYGSY7YjgDZjDzxsBn/XZjk0oxnDtLeAKTcgxe8wizzX0nL2RjJBMq0UHS/b2oO1 i/IyASQm+F11s0jKL/CNMDJqsV9dbhjGGaZKXH9tQjChv9EsSQf9tHq8zdBt8XSrZC3c0AeXPRu6 1rIinKK453xU4uRYHbwdgmSPGHnjlv/7pxDGaFm6V11DvCy13sajw6es6zenL1SuCNELlrK567H+ 60anSL5i4ICKOY0ogmw48CG7oKxzHo41+sake8ZMlMSQwqhOkXuciBzOzdmvKlWHlOhFQstXXoB1 +sx8+w+AALjsk4X4OqxB8VYga3XSnBJ6QCs11GG5EmwroLzZxi1NrmqkRpF/BGVaMdqBYHPqgkss tvmZnsOCuDYGF5jppJy7++DuOEX4UBCscNQ3GAH9b8B1UNYq3zGMYwnM6pQv1kFQyDBWMi+EfVL1 0DircXKlNSWQsDCYyGnZhrRzmFJe4/B0w4YJvVq/deTCBpGskz11lO+Et2Jz2HdNennzzbOoXnDS f/cWXgyJ6DEQkk9IGMAbCbZxgJC3FC6ZNRqhDn/NmSycofyzxSdc/2Jbkh9J9j4Prcq6/San6p4f blQfhxiKirpL+dzwIGLBlyY+0GrmgEDysotfKckOtxkvnM5O5gC+np+nIj2BKGK9DZQoKYs8AxMF CvAFEk3g7CKa7YXwCw30i748UzHX3b9XGhAYakVmlshwi1rbfGkvq3JR7aV/ToLVCYXi7VpUpAAw aQk33wwaueK+UEa2+pyvB+hhwG5l9gCWgnOliMaZp1hjnJ7FAMq5yu/beWeXKNGj3yooKWnpjZqZ WyQFGPWEYqvX6s9PnqsxuC70g3xTXDengZCIk/n1EBYv2JphgB4oeKM/1U+G1yA6ycuLzouNumXy jr2MErZbOioigbfQkEkpKapJvX35iANaku8f390Db1Y4+BuNNRkSWRSTAjbnuxqnT81yup6kn1bm SIlDTKeKA2/K+a3UXNyux0IMIYxIqSRh47XglgDXRn9CQIMoBnitLsrytmOc8+/EUlR/3CS6D7fH zSSlcGLGMIGHgQJeqxd/jAdAl7Z3zSPrvaWxeQUl8qdNxrFJKvMkja6/xapw576pPl02AJbRdLCR Ru92Brsr5ME2zEnPnz4QdXiKBhQ3PzJb/Pgx/r0v91gsjE/eW06sSY5rueLASa8qvFMOeKf+iHhE FOpXt8KQAnh+VYeE8it6L5s8yve/YWbuME1vyvwPGeauMi2NPb5xmelX+ca22mOZGZYq7pOZbZ9Z pPU7ShZcC+50YeQ6U+puq8mAIr1xx7fq3tBmvNzn8SJHuS0KKTFr6LHxLmk1bQ2NCNFuoyD/UXel i1FOTFbfwNEdHAOCnzEZZCMdX7L5OdNxrFnoPPbbKhV9uuIJkB+AFGlA6Th8AKtic5f3B6DjwD61 ZVGsTPoHxDYlluTlN7J5YlCqJSxK3boBs38bGEbVzwmFGZsX7ej76YWkOa7wCZWL5UU29m02X0P1 nhCZQ0MIhIz+LkV4Ebn9CknBME9O17NcKSBzfb2ptAIHWKsCfQFbGDix9Tuj0v0SJyCxQspjtUTX HCDAgKpbjb89yLVgEJ0XtfbNTZP6w0o9S5SF3RAv50PwjFP5LZbZt2AB/AQr/RJ0tYv7ms0jNPgp +FWASsxdBVtKwKuVfggkhbldz0FEAWpFDSiAqVFtIjMBRQZEvRhREensp4fSX89R1jBvjHlXQ8HM DhfbmEYPZzRZwWpyC/zwe78gZCZue5oENvTqVFiHUMbDmWgScbyhANGTsFQxcqmsabLIRBbQPD1b +4rbq+NTF+KrAr+iKyudqy3ZolB03c9woSoiRaYxW/sF2Ja6lEfPIafDxs9Idy9mn9Fch34HuWc/ rjsqUPW1opQ/aRGML0H9ASL/RpFTYyZa8C425AqOJiXfJPljds2McHb3bU0nwr0fs4QqsEPn6G0V vdGLbpU4DLOuq/ixOo0vf+cWlgrYFzNDSIml0cOOdpoO0lMTJz1pVlFZia3EN6550vk5K/708nwl 8sFWpy7exzqtMkFt+W3zgT1LGVAlkvPt+hcXkT6gW+JHXKsgim/6z9rqip9aynIGl6qIeeqBTShD KFvAuCUH9H0/XlVqn8TVHdPjUI2y2E87cg8T2EM+6Rewv+UaKSGx1n7GZHFLWN6C/AF3Z/k6Q7M6 q6/PJsWHjC15Te5mzvAoXRXlWn9bJdnYX3dRLkGprwnXi+ZBJV+SDFESR1K+GvSBrwHRf/UQQL8F cTA90jmXBwuVxbML3nBOI5WqBy8n0mA6faXsw5R+AOLLp6+qOEO5zzCQefi/Ro2buhd3HMxhviOv TIHTs6y+6hM3eNdcXbvdQigyFacU02kNpcz/4HDN2Sfyy9Pms4H0KDT2khxgDrYo2WSA3ROYjXaP pG3me9TqBQshZj76rbjXzBm8zbrVgRMgIam6AsISw9wq+F+VhrEwDtKg3tV4TAL1OxZLYhLqwNN4 5suYCClbF2UpQaxnpukW7+NfIo+FIAVsff2EnfynO9lH9C4x5vkk5UonKlm5a/RbDAdJTitugsag QUt7zlh6Pt9U6XIQC33tawtm+H2YrcIGC1BELsZlcW+NUseiiVJ3MhX3w/AbWXhVmhBkqxLiR3ud gBael8SjPX2OyKJ0jPmRDsYIMJDcs/z3OQ5vtf3cjpcGQvWxQMEK94XpBOQUyfeP6gKRWc7Gwa61 qexmcp+eljHHQBcGIpPHui8TyhGJroz7vN080bIRk6nfq0ILb53GNdpBNYEdHWpAg+VJiCNocok8 BhFLZYqZWfDLCwGDlfpNE9PG8kApotRGBtBH+JCrYpj11QrUBIdWjwLpN2yc9aNEe6TCF6nlNGzE UYQKS8q5OHSDW6726vDXS7kFv86DuKow95YPzgqOoyB0nqSUULI4K0SNXswYEstZG45SH6Oxn6qu dLRuqG4Fidsr4lSKbz1Uh3Ak41/y7yg6T555nC4TQHTfiSeAsVAnkSIqbs9zQ3/TBpej13Slknum 5oY0RTmmO28/LNa3/yApKtmP0dYwvdjngomu6lWQK4qM9zYsfuftwMDfHasVWbQuBU3Z0Leh3EDc 2rsRVH9ivNOI8p0IOPIzQAnUuisWSqT1C7XnmNXLzKptZCzU/QS2qSYHfWuGJOEmUc9EstR15mgj 9INBxgWUQ7EFPudBp47TQJZTx29yQD1hlVGsZxgNyt5a8PcT82xnIJbJKcXPInt9doWhobxPz1wu pcto0A1pIc8uEqk57VrzC/ff3zK5TLyt55iMxJgFJmE5WX/T1UsFsXKCeFqr2H2hFe4AeyCE56QW 8mVHdOnD0X4VUDB4UE4AKF3+QGpHFEBwBWtkAPmweqONBA3DADabspPJ8lEO2E9VSObRq1KtJe6+ 3yw/1IuYjyfS7h/L6Tu70mMphYjqQGaM6h791AvCn3d7yc6IfkHzOrzbrOw+9ctMcJn++D/hwEu4 0UtR8zH6XsTDp30k843alrDjilHTXKey3KL8zoZGivzamF6eUrnEUeyz/mI/PWGJr+4qnEsfh/Ek NBvmjk70KwrllkpFvkNOsZRm3/0HCJfJy5jHmQSrJ6IZHFS4lmQ+a52u4Pj9VIeu1ydMUsXK+G0f i7PaKT3W9In/A9ilatd3Xh6P+mtu4c3MGZUuyOk0NzpzPx7V4FolDFTgxUT47is4sGoC9dPfTN/e b4+du3Ey6ecRALnv8PoENUTqKOW/iNLt3Gpe2VK+PRKz2Mf3Mn0pCJRfg4H5EE8sBKhBZFuN8Cf0 AdaJ8k2sg3+kMBSW0kWUypia/i0ebH7xKOjbdMK7msv5M/cFh9sQRH7otxlLsr0f5B/x9PBn9niN aZP8OP1taHbCuVHodEEi+MBUcH4rXLHSwRyOLvMxivdkNx8OBM6PaOMx9OzsB41ZQyg2izLIqwoF fFWOjNzq/PnzeaxcvA1S8jaV0vOV1m3grlhvNz9N/PO5zbcM6cY1NMyv2mjYbm6XrIy8PCKDJzlj 8oKXt26vI3lj5yK8+iI4Bu77s1hbcm66MyP4oLtAUN+ZPI49NiBsNkDvIMIDy13pdW6o05zDR2iD RFqW18z6XAky1y0BWvRU7NIjT4UoiViPbHl2j+t3IeS7pBfPRFWDQbofNN1jqcEazLWzgAprmcBz CIwetuxwZlOTAUXJ97jixPuExuxqPh78d8vmXVCP3U19QXqEFvcU17ygBRC1ukxS1tKCmCI4kMAX 0P5oVltAugDF+sBrSGIJfIKgoiT3ILsi/R8ro7/uTP3sY+YpxtqUNvelDbKMqqmklo3B7DSajCP+ ZwwpBxvHVzxxvzaHphGMrGm+QwzNwyG5jxP0xBTTgoDCJ5joYMgj9if34KDWzczJr8N1NTgR7zYZ r+Q0pwUfG+qucIL3yxBGsE+fVIpmOx6gOrk7O65LBlj6s40opsURglWpv4OXtIMoAX+KqHJ9nRQg SN/h7+ipzE8d1SlllifgeD1YeczMmxiPTJqzv3DR3k1MtssNWnFUT7ZonHjNBfBjRBOK4o873f2y mZVhFSZvYnDbcn/vQSfsu6fNIwJ1mTLN00VWT94a7gDi5twJlxwMln7rXkMgxR788YhPLFFtXLHX /qAORBd34aH8fR2zNeBypeqTn6Xm9HTQEFfuMuLBM9KJSaWO0EakE84LdlLcJJm3n7ce+kuFKu5o uteK8Qrzg5YDI0z0b9e6wbuL8bnrbcthiISaX/OOMam2kV40pNZX9rkszJus9XcoeVSgzHFjnCSi GLWogyoxfyp7vLiN28Rw86zaDL4J4HZp1Y/6jyZ8M9b+hhwwUUW48kSdhbQhPZaABGGMgTtXZyBc lykUCt3DUiIhJP+T8JBkoPjXpCduB4sLxBTiMb5C2IVeUZutkE4f5aAgEvqGnx1IdCdQ/+9Wv0vE /isSgR3l4g3edFh4v4dTV9XdtRC/WS3I+sRdt1eGfxiwADjVtJrMJBX5A27AlARB8JGn3U6U/B1t Ps0XjbwndAf18tkSh99VxvNZhplciGMlI/XmCybNggLDZpBzBqA81E4juRrp9TtYAe3uasTzwLC4 iElJx2mwCIx0MZAej/iF4O9+KmYNss/ScYGrkWWFHofhyMwFwdm78wY+msXGdjdZxz4qXWe/s1HT Q7ixoOhtqRRwgGJC70C7yUha+bwcg70PeNrF5vCosf0+0l+yZePkMkj4ti2XPjKkBzWC/1uv8dNM k8KJhrYifT5+JFQ+xCT3RDtqB7S+/6x4K8hGmcG61ERQcEpNDyBk1EIs7VrDYwwpC1Y3Wc6/eXug pN1SjcvGD+kqkt8UytpEm3fXEkvodoIYhdyTPhznNN63j9frt547qv7Lqw2iOCjF20WeK2xzuY46 A5Sw2i2wlNlJlY+jST9Fg2fPYFsqdaIcstBmvaLSg/wpFzpGMYHjGlMo3qATvmndMujHb9fdnsKW XqEHujtiwiBAV+4vbvVnEw2GaGFZyEXClByCLk6PA4H0XQzjt2KaYRAFBMVXZkoU+Lu2fV7dW634 PdcWqIkub5nTZXjXDIbuAvDrz0NpnMISD4ujmcsaIdm//FePJl+N0kSzYIk0704i5SZPbUF1ifCT BM7DsE5KGALTuHs+obWbKkilUadBfvXeAasB4Anb0SdNbngS9N4wg8fisuWovFuozWIkHJxeB7zL KHDkye2ntIl1QaadjIEhSSf7+okSI/0WHVYZJ6SvDZHaSft8a79eV9ljh264jZIdvRw8gtzGzMja LHFbgLIt6XId3+kQzybNUmytfjnLq5yzj6n2CEYSpJj8qd0XZIIZQ6MzYa3uLXl8TvW94MjDW3VA bmBCtVO3Z142CyuIv4LcDb29mqDFGmQNqXpUC7IuzmXkNyBuNdF+RHExyYRNrSHVB1Ahe2NmMgEU LyPPrxd8yGTcSburDyPJFleHhK7+fwvL3EDXWsfkZp1/R9nZAmifcJCYRQMM2vlxfrQVZaxSc50L T5uQpKugTvOBNU4LJtizoDUXhLrgxVn5K1+NpXGh6J2Mp7Fb5NG/rKHcHUUg3PSv0YRB+o5mpIli 7THRnQB7TfSBdaubPlM1ORXKkmNUGRpStssQ8+QMMEkWuQbFHc6DOmaDpQmWMIYBBn1dA9TT00bF ou1i+UcqQoW2NUBqZ/VHBDllpXY9GU+VwzRPTWTRxkz4FpvZy/nLst11ErX5p3So8A4dPekgGohW GCLUo5MjS6Y1B1NA795CLqhaUeiX72y2VHkYkfJTa9C8g793UFZPqVhxJRBh2Ogg6YlszRMcsRa2 s4DjrOW4/OoY2Npx89JNW0Y+DuXdLJIWNyWqC+kW4FN6HxhO1Q3LwxE8qYE2811FTf+G4CQYQl6L 1QxXJU7eyYLbKNxG7Y96Mi/hamfnSXnj//rpviUmtt+7mavB/puDJWF3NCz9cSeqY48MqWrDPOMf s5nzXFEXk8JZDFl/WqYsg2wO832C0Hmx52iwm6/2oMvmP4k731eF4iKm72ERo04PoTmvJTjCREsj 5JD06SwCI7cTBjoYhxu+yMXNHanFgVw8e57moVwdy4daXKGxmup9RNwim6RfUrAlx+G6Um6Oqb0g GYYMFnL5P/ZR4wmJhWUgVEd35qw4hsuPvFlm+f86LWMBVPPAzTvs1uOo8gso1yKj/9H6lYthbpHP UKVi7PkCqzjI1gaacejoWKn+ELEINUlBLzmMMpFUfqeqEhHEE3UCVgB5BwOflTmZWaKjb8Q5y8sm FbJ2HRqYCYNtqOkcrxW/7ZKTFSiqVM2TbPaoVtAkZZ6AejfM03+uRKW/OrWNyOC0RbYQTDjJzYQr vb6a8n0ljG7ZATV2eMxEzeuk/EqC9UvDvvX8Thji/xkY6W7Umjsp2krVHIbFvg0YJ/R0XDwawXTo F2oI1xchvgRCAQwzbsCwTsvI+QEi5osI1z5PK7j+0Oq//wWtgS+LAYl7dD4Q65UDclsWitDofcRP wWLuxh+rBQKvEdVp6yg76jRr2kq+oJEzr4Ng76ex5kf4wJ0HCtQSWlwitvNZBR1nIf0tcu4vQl0C vAo0AG9/Bf9/v6Lu2c9E9xiAChNVLo7M0KiP+5+1ttmXgemrYjMjbugGMK9hv6EN50lFgXQ225ye eGRB9r+jtuNpZNmYDW1Om71e4telv209npqnX3LXM9Gb0fYcVsZfrdVW8Otf24ePjhthNA5METoZ 6+hUoNNrrnmuio5/hLFAYVi4bSTgYz8BmbLmz9htql3zXC7HKsN7QZOL3oF16oJUV1gZpyqsh4B9 y/2wOjtnYKcN2seRpqmMBtNGexL6kQkoC+9uAnGLAFRiR/yVF4+mK8z1Exf3++hRciWdL2Ia3JGr XbImpT1MgaPDWYMPCSUbJtjK4d+J/ccPERUI+KklDjzbLXas8qH6ZzAC2UfOFat+w+rKY3gTfCWN rB5kDGlMknan4IqlfrvtoJTwEmt8CR2818ANo12LYNANgHZ9c7MJRw0ecuEifCxD/rhGXA7JXK1/ pROeFgvgR6FDUQ86elBSNdvwk/xEM3aToKTXGEOqLugezg62iRGmWSg2dzFO1NMJTgwxqZ+WEquf cEpfQyPHKlQMqWg77EAh7d+RyEEfCsiAmeov4w8Q8RJ5Kbh76J0pZIykESuHxTfjopDqOsme+v0c wbz1C/AF+Gol6mIRPugUd11mW19HtRpB1rAsrkxvkGug5Xh4SHVPI5V9o3J082PqUhNh8AyUeLMY wlWPzyz0A+MKhMrf/4GjYWltZt8rKo1YpveEKwPK3o+sviiwfvckE2gjrc1I7qRx1L2lNBIos1tr brjCsh5FQzmX1a5UlJdl/IOW0oHm43+z/BkC2HDzbjT2sPbaZFx6Tk+iuwNMLHMIu4bkiVL+po12 1Sf4HijyYiSRkLcTlEqg9ArMVwpTt/Mwgnhgad7uYC334h2Wgn4/EaRkG3ORr/sQqC+GZVrNiW+Z wN1MqKXf+XzB0qdQnZ/yx8nQbYdv5bwnwiGa+7oCHM5VXE09VLZ+5E/kveV5/pfXnGDv+bPrO97X J82U2n0ZZIXlzKDXMeFytyMrT5RSVDWwfh+vEvl5LXHljk8b1RSJRjqrk21Wg8LkQWxSCVf0jHaK 0TGs0g4D/ICA8FnBve1hXMzJeUxXvxvCz0DttwNMZF3sWV7A2Jt7BdSylfjOZ5gATptuIEmrx4uy 2ZCZvHCiBoIOKztBZxmHleuPmhkwOijgqXQWbWgKcJy4F/k62VtxUu9JM2XkIXPF4nttibbVQ5OV DMj3tPW9E3Ojx9GHsW/3ze6IP2OmqMc980c6TPco+7GS3CVZVazEYKgcMhMXXeguLU4hISpqX7py VDTrfpXlsGz1jD+dfxXxRDH/IBvyfpbI7k3K0MxvlBKOZW949P3tP6mIi8CoMmn6LF/gtmGrEMAA bTN9CaYCDn7CATWZ7+yZfLQVlakee/RquOIm5Zpq+SwsqPYZVkx0KIck7lUar+dqiu2u1Pqnwe58 S57/pE4Gdt3+vpu4GVN1QGvzsiJHB0h0cc73Quhvk32UUGUcIsB3ntB6fuwwt6UX7t2/G7DevwsF Jzp3PknkkNShu0jFbh5MThmJPtz027rVqxApRy359lm8ZJDWKdhSUxD5qmPZbL1lsttKwZ+CnMzy 1WBCBVqjEwcyq9QrbQjo69gVtH+1/Ii8E+C/6Qfxv7QfJ2NgvfU4OhmI+HNxZgmFFfRAW/JCThq5 EHuPJ2SwnkaEDRaXDYnC6eTKnM1rXETpWrXXI1Qd4/uTfGAslrda+0izpfjCsfw/rBMlccTWlgwh HAvSWqNWpzkTX8MrH9TOLe+zVKix6Fc5insnt61tOfBWlackwy17I7/XQMChM82XKhdOwQiy2+iX PwA222TAH5wd2EJbMfjhUcMfZZg7vFTGBOEQ0pajD2xlJcaOFTYgwmjdDuw3F0tGaa2gb1XLymzy 6CIOL8W73GIAj/qS/S2HFpP/mTgjoSCh7VHa3TQS1vpHHEz4ICyNAR04gUdR9g6x1kfYRhURl+OL aXwUOnUw6N1PIcq5AbAhSPMRXSPhze51xAzmuGRXdxyoi8TURXGKXcg3lYveENib4Lw9R4HtDCDV I0T/VPbPoeN6B6Xo1+Qdmbs+vjOI6hUqOH5kxcCehN/pf+6Si1YmxiGvfYe2QXhk/ILZk8UkUW+E ajON+SgQ8XLWuOWL06MbWUcbfceNiEXm3rRkqXWxA6ntbU8V/aCgniYD8w1/QdIdBAOVIHrhIVq9 ncJgb2dPfK9kJWAuRuOQIWz9t0xen6XG/p5ldEv/ZA/W454mfsG5Xk7eHi53HrDqC1ZefbEAp8Su 8o9Qe+EDEVVWAGG5iEpePaybZ9XEA1AnHA4lNAKLjQDK0xw68Ive2iVzfD9NnWAiZzfNjjiLC3/W KdbHZ6KCsk+LULQ8LrvrMirS2miMRRrPicoJMRUBvDhyFE8R6yjMJDoAqkJ4YUz9OOIxJQAu8s0e o8cygCakl1cHB6hFrZWejvo+licniCeJA2rF/LIReqSol8txHg4Q9NkrPZk+Y4tVC7OZGhR8x+G2 qRHAITZc0EAgnGqvRpsH0HqJWUDCb+UeU+Sxb8A5l4PqjtVAEyvQBypEkTS1HTgT04dQCx8yibMe FW2WV850Pk4w6tcM24XlVNZivPTfV+hTG+/Q9xPy3MuQU748j7DCUtx6n7ABVN3pFvUQ1PHZgnM6 25NQfUEFaN0Nxjbzpz2sjEojhBFURtIURtBUY8HG5iUfhXerY0hQDb45B4bM8oboumXUvAE0g+O1 AVaZDV3aLL1Zcwr1RDSOtezz7H6NmMq0EydsIhO4QoGTI+uztU1tYEuDFQXeWN5CBnkL63j4cgsG Ta9xLtEyJ37St7IYBfzHLU2AiMhLTZYhqll4j2ahluyViJvwpDlr1LMOAE9jPbqyqbLn5vVjW0Lb ucIucmDZxY2bod5D9TXRKmxHIZyeZ7nqvrP6Z5Jhw0H/3v9N3L7c6neWXLV59XeJUP25gfcElfOu 5kzT4tjT/4jHFd5CTyYdA/OL+XQJiMUmS1L2KC80KqLhkiOH9ZesYwn2S5z9JXYuAhZmRQcP7eGV e5XtfWh+Dr9JDYpSLijO+osEqf/IOCP/xVb/y9YVtOKRnLTltsP9U5uXtzytfoHSN/C4VUbWtrSd HFdU8k6MohjY8L5zMHlexeGbEetR/va1wmNQ7WSjI2dh2xdrTXvWDTim2eRdhdUBkZ60mqBFIMqv Myd4qx5YT7TMtgdw9gWc8luIViWFUbCIIbVkwLaV3fm7Dfx+1hXlOcbhphKeQgr1RbC/Xk/bzUC/ nGhC7zQh0+fLCO4P6ebAyzjSe4A3T67JsIp3HUZlIuFdC2gtlu0rfAYmrqyKEPBKmIiY5zrV58cJ 3Cpbpn0Bk2xEv6TDM/K+L9PnV/JKQwjIvO2c1O2oQ+R+vyU7CUPeTAJwj4HHIxM7AXNkmDSsLsY5 iE6V0XWMKpYzcdrmN2o5+jJ9fxHto7p25/7+4zcGJ7W2da9H4a4u5qb0nbF2kYaBax2OQbJm9PTc ipYgMETPNHzjigbH/4oiUgH11wcB2tASmS3fiCv7m7MStBuRbiMFcisugAQlrwWa1Vsolewaetp6 f8GhlRg4I4rsHfrgFVsVdYV+h+05y7IxijK4X3WGVOV2Zi8MYlU+KShXM+kd6/mwj99zOjiUbeio 1EtlbGrCtYhLp6Cel6rh9FvWddWsAZF1TksXBFDgKawR5T7rJcbWPhBhRYiguhzPwlHd+gx4eN5m rOsct3xAyvNcNKOVgiic6TVI2Vmd+gJonAOn2ORfQdFTUZd2alvKgj9+NyPbBKAGzkSPFaFJJ03M Yv6KSUSVJf2BXUEY51oY6/19k7rUHURujQtGl/GrW7JUdOZ6Dp+lItrDhSwKQ+3eXH7p6JqxamKJ HIk7furqbV6aUB8IP0EZ+PQZQiyUgUhOr66C5Y4RuYJAs/zPCWNS7AojYdjx047MKZge+FZHHxgE wqDrDzVkM5Ox8hYxXmREahYuxIJn/W68uPl48VlZNbZAGy89bTU2aFAV3z8EtqfITVIFP0F2MFwi hOz406nVtF6AL1f3vkpbu6/axmxDwPwStsM61WBDnRCBv+IIvvDi84yn7rZgZpjJKm4dDbMdCB/x hFAS6K7CgjUhHDuXsftld2JsvuZKZKFAgXlOYm7kLFJC1iUA5Gk7+sjwjaebN3osZZl/qXdgQgj/ Ud7S71T6PRyQfI5V6BZbTT+nSt68cL0twdFlJWBJhH+22f0YSnUN9qf9Fm4vheGNMJfwjIezVrR9 Limihg0uE8RUMh38F2Og3932nczqrZ9HaDXD4GN5NenKhDslbBYQD3fen4aBNP46B+uQplK0xjZA AzDIafzbsjPiKJTiUDFs5+5MnBDCMuCO7mBMJB38pilxP85Zah17efcpTADjCRlfzCbdv7ctY6c8 habCdmYvt4kASp76Aw/GiS4uSzb2UKQN+WCiQbuQrm42NBuP9wzwffzf6w44kUn6fvYDLFRoSK8i W2eLl6+1vgthLcYz8um/vm50LDU+ZV2xZ1ipSrgy3zRD2QNeD4Ssok4myTlXQDw5ljB3czyuk0as nb5Q/bSf27z6O+qLPRfj1QM7kwJCysGWOerha4+Vc0swufm9MOBNbRD12dH2RTDzLKu1nAWnMKpT OtMSLKrucA7mOg0pPMYqpjbjFLO6Q04Aw8EjCNYncBPY5jXApPaxjbKLkoboqR3/syHhFAZ4Wsol HYhAdPY2dizxfZuzP/PS2BBP02b0VYFwJCEJ5Bsnw2dUCcX0u+/i53kDf7pNGjTZfAmYo3dpG/HP Lp2MzpHjBbx4Yo0XU3JauzOj6puVhQ3v6ppqM4/tmnKhQosLXPL3ZFwhdjqlBbSlONDliinmK7pM fBTLncnaMhDdwxIhTBinPPckHj0WZ3G/hoFOoUmoKL7/twIeSt+71oatziLlcdvotTKSK+smlxDP 17c0bR6LoYaXu+k/TQd0L4rc+dmJDT7MDFzc2w0A/DotEjraWjmSo3QDGt1GxPqx1BL11MVpaPep RMdRaTWkgegxac96HBhdBR2ssSCliMnGaeVq8dPmHqWVAyvB3nRwpaQxhbvRkepmV3oUo3kyoNw/ 8ci6WxTJZBUnlJ2zxSYUtIiF8QOx+YXru3PTANUKl8YSp4RI+7sfdMmFgsamRGtmNdSpmTga3BGG Ym3BHmASQNCPomm+LnSuEJgKGaMpMI8PfyLKDtdmMwJE+ng9rRZ0VdliFhmWxcr9AQBieCa2bap7 Izy2QXR+00G58rPotRxgpvtM0HIWVOB/ZzC2gxFm4pwvOEveDUEKk7/T+DBLkQpHYMdMfHG2il/4 TM1y/jSzVKWBbJ+bNMOJ2cH62kL481lj/OoRco2zx+KHmcwSj8DxDL8LMfnRfZV+uXAOPRBzCDJ9 Za2S+VyJ/cDClrvhRBkxKw3a57+9LrI9KvUZViusv9ZCdHzexdTrLg4g9U22DKafHbIZ3FIg7f72 kpZmSj+bR3qABwblqirTbLKRYoshXmQQ+YonTns6GJRbacI6rYd/1FNWZWzKG/PBlJsNy5EL2Gek OjQ3wCPRoLKXgSbBMv4ZhRUT1DKFz17trf69JUSXSzYzh1p4SiE6UmERn/nBcmAa/eZ5aSRJw9I6 Xll5LI+7z/uglBJt+/ThySbGRkUZcdceDgLkhwhnFEk1lep/3CD3MBSCqVbY6TOU/Gml2/DQTd/4 CfnlQpUvN8OxJtm5dzsvico+ltQLxNWCvA72/ZKt0/sVKhvqDnTCOboRseUfidZLf7pQfOnuz/eH KF3e0ZQlAByiP0QAywc0vIZSSglUs14bfyq2ED/EGwcrZM6pdS3OTeFpSLXXnL5m69xkU9leA9FU eq+ZoVDZdO+l4il5ylT0hfmTsb4w3V4c8p9yfZB8PwuCqw2vNfC+1ODv5wQU1AKT2MyaAnTm378M Emr1V9QsP7HsoCHNF/3J9h2cl4uUgYLcrkhPp6JVndaUrOUBTkZ3KgEcjaN8VCxBb2iYRspWpWPN Gej3NxmVfNrAK3p0+GtDgI0oqejKzcQdNEPcgIeM0smu2+gtAbxVT7zDGOX+WpY6T4CQS8sf+x+N bQH4u8E7v10CWPo2slIWlLGGzhfJbLkWpqrk5tLv28S3KzgRjAAErDCobYCSO6uJ51Py6p2nM1HK HYGFTi+NCgSYl8RrrOuudcmH/Zb/Z6lYx6H8qimYSb5TGaryHOQ5VdewJ2eao42H7pdagteiANMY ic/AvPxtITKt2WxViJH34TTE4FdTV7DkSZzEtCPSWJn0C9Ol1/5EgRUu5UFtXfQ4tr3r02d3QLwt vjep59xd2RmTlUvOX0ZaOaqGsBktCS17BhctB3UmFD3u5gR2tt996tocBzjKpMCDETZi1UHQsMOT kBaCrjyf+y2ymwxUIfs51WngY9OEF8E0NKABv9UqRKL9XMpcnM58WMpapduynGzhOgs/TjVxrg/U 1X6EdNil8mk3O+ER6ICeEMS6WOnxdo3IbYGm5szifFB9JbfYmu70dWBGJVOkXbWPk5c46pVRVb8Q IO1x47uyV7jAZt0APRrtgRdyxafNRboDn3PAw4qGqTL7RWrtSaDpMxkpgxb8yQLdFDa90BUL4C0I AzJq2woA+IJ8qURm+yMmQ19UDJ67gRfnkHH1UTonlb2ZxdbBv4Q9sxUsaCg6+yeXuMvUvQY0RcrE qIiCJSozWipVcuUjLvskCwlpUvDpgVleqVyUZPFL0S7lhYpbY8zt4x2CC27D9n4DdgybWcCAzq/d jrab68axLSN8iknxEwSvYy4DK7/UEu7I5BhyIqZyzT+ab5xG40ys684VJqn3gJ9Op4HkNTFy7ckZ Y4OtjA6mugBwpmdNkPNsYOapz4Q2JoBcrb3SaOpVVCKG4tJTgUBc4C2BT0x5cIDTTaQrjeVuHq5c g1ws/w91rFv/Ted81IvgFVoiepY7gRs2XoCavhtg1MZyU9tPaTMDAH6lQDvt8fbAZ0YDVMff7kpY erFm8Xqu07NxIbNCqA+6gGNJB1vj/AONW/7gjB3bGWJGbpaTskL6f1Foz8oynSdm+WsgIXDNBrTm XS4YP8QT3OKzO/wFGYhAyTpXB+F1tjj5oF/bEPn8pNo5BT48Po7yMfhCvyQKByfKFoB8pWp8RjRH OpW1n9kkIOZ7kdHMJ+YQyTvS5D89GJpiGoRp4zuR9BTE2b3Q9QNkbpW6tQ8xs/xq3PqDIyQ1NRXB /pTSmG/IyqMHhNSez/QmIiq043CocT63nx2svGOa9MIsQxquVYl4WrwHr4l68sF2RLVnxfDugSSa UXiMXQnxeqgpMUrMOM9+bz3bjQL7Bkb7VgPe51RIzZuYj6jOmCz6UWMKjYek6NH4LOKtBiFtiL9Q dqkPjj8wnYvNvR7ijGrOuZpMqDQiEpfdkS2BshfvW/YmrTNJdA8DwygJxAwQ2LYW5uuQBN1nhbM5 4+qLD1TsjOal7TKgyFacEl12PDJbL7PAp8n6d+w8FlglhkKIspdenhoqlV8v2T9L8FVQT3KeuzPk aIckAe90Q3RCN32MghacwBL50XKOc4gFCOjLVVcg/JNnzVyErMj6GrWeod4uoBPmRzS4Ml6dQdxP 1IMUZlPYXzTCxN61GDjzRjylr0USzIWVmWIgFthsSuqTxT83HhW2r8bYJKdRqU4Dj29jjHyb9i02 5usL1T70+jRMNUbRWW7SU1ptGKiEP5e00emVz/pma7BxkDhhOwN0qIZu8OJkIUhsoAe0TvuCgdmg puv092b8ohYEzW64VuJvw2L6hVk72OSCC6IbCKRTqxAD/Y0ffzY9zuJjwj/k3fImwoKByh4nE/Ld lxUks7YnC51sIKBKZ5oT6PnZx1DzdRI4D0YZPb7udc7Uul8CXlHDIkyVcVIqNVIhU+e5TeVZV5Et litS8egEVSGBQ47vqvsd08ylWKPBksgogNEdxj3usx5F6g9kPExosUVCM8OoTHdjr+dFQ2fcnHhL TR+9i6/cWrcVwzWwWwYKJBJRA5cr/vmYbZ4D8Y+vyg8zLNMm8juMVn+1O7YeC0jVjl4SP6OXRnoG SCS1Tuh5bUCZkOhNmll6ai16UlXLnrinLmrz3bTJGlX1kuwyhTfchkVWtkTod6jlQ5VLYMx79KK3 i8eXw6VSwbcH7aLb84txCT5LA0eNI2UOSEBVlhOyC15KEYzgbWQFkjeEGwwgr9hjdH8QheRLMg34 ZTGkwXw0iPZoCQ/8sm0wcJmaqsZu0fo35k88Rl6EeBF8ZhjbLoyLDSp6k18WK0jDY26sz7Rh5FnX Va4FnrvZmgr7MiByTuQcSi//XonkpEfLPEUa6S0zISFMTJzSe9RiSzXPDN3QB3+n5A5+03klV9Jn HeUbBlcxP5aUc7Nd+1AOExPfpJIcRscza/yEzMuqVle+DmisNvon38sORyPfMU4ocwC+cqEet7sh etBiLxAhYiBYaOawG8pIc45NHUze86w8Wub359Zw+LnAfoBT9Ux1P7VDukh2OXeb0CT3b1/EtqhK 4G74d9XBxC8YSjhjFR1FHv0kCtU5btLbgkZA+yUK9+nrVkdnldcq0rjVwp1rPxrQ7b1g6qKBFl/i eTdQGuM8onIzvW1t64lW0jmo1HZR6b+t/w0Ckasq54rrn5vHQfi46D29v2srSm9mcV0i7lW5YSHd DHUEX9qAgNpYmQ7xQO+iDF6YfK/qnjDHEklj6psWDvbkWlrC95sKIdYBto3fm5sBNB3CnrqDSG2o RhfkprkAohyYHKwi8r/u6yxDoIi2VerJdYFoESEmL8tYxWwcQAnf38x2tzQRP6HLnCt+C4S+j0LC F0TIh9bHew6ZEKZQrVnQQgVG5pSHdxj/3eZFTh5E8sR3JSo2NNxDunODy2IV3f+/ow8lv+fnuHeD mXSRoyfUVyY9wj/xKrhPG7SzfAxvZtIZ8A+4L0yZRBUukmGnrPto3Kc8nPrRaC9itT1dP7q9QyRu sRFShX2hytthA7vF0IOaA69KukU0cIRG3EtmNB/WGM19gymFsaPkLI1Riv79ePjsbrseE6WmSAwl FvP4bNCxvzqCxK0ZUseiCaE6Oe2UQ4zDfvPkyxrGWqXIBqLWD5CxYlG3K4lQyClfPJKOQLm2elfx jdxIqGhFoUWag4izfG19B8GNnVmSH0tMIWDfY2d5jDDLWjoQJQsslreIt8aoFZ3cHNvz+NxFJPFA llRdjyENsk4AGRkI3q0VPQNcnJv0dN1WDqyix0MyCz/aB8FPoKPPMnT2OI/FLJC+6SaY/jxDBhG/ wbRIxQ2lho8Se1g0J8EUwOL7Q2UpSHUHKMTVrWAeBBh4yjkFBVXOgBLpqOAvtRGjVGwA1lVbrAD+ pUQfFwhKmJ/4mBVggKS45BTr1snvOPpjcW9FeqbXz0LZcOfkPUnPeTQ1Me+I81xmAxO9B+krz4uC 4l4uUo4eJpC51t/NKeMOKbB53FEbNJuwR3YEKOjtzWjEnFF80ujw4Ny/wN+8dsHNxPMlCM7pWoY7 5ffe1R11JARK6EnAlMZVIUy2DSE6uhSIYmRuaipGs2SzZ6C8RVY11x7JQahL4dqdFPXsXzF9fI4c kRRoGEG9/jbh1Y3D8fIT94DBpqFSj5EV7bQgrt6swSbmrRCdvHhlnVxACgJULEm25P2Sb5QWF33V aitp5aifum93dtLfuLH9iNX0kIQppegC4u3Q1K/gSM7r02lmc2oilMY5qLeFsx677HFtnx/wp9np 58UckvSdmiqLYM+ct3K1/vNiGa7xUet5kjFHEoACWpl91gLvo8CmheX0hKHRF9fZs3k3jyzHBhUG D7DGLNeORZ4NZ77EVCnJgOnzfJRNEfrJp+djPX1fA6LwzEr/Ty9DYOi/7OrWBoQpSpSXOj6pz2fy w/0waeTL6I7KoYTuwAxtVe1N9RzVFEXIdVJoBdmMoghKYm1aAUqGsBMfKrQtFqa88XZFlti37Qi4 7qwjT2zV8nRfHJZzeOuasq/Dk8nUel/Sl74Q+GXvDt1lfv7tYF8UcND31G4U/4WcoZFwEgydu5Wl cShdXye49LRb+hXGA8kvU/qtdmDcI0XhlV3eMPpZCFpB4H0qHUkRnn99+EWllB8qEhf7LuqyysXC 54J66Vw3A1U+sTKZsz7S4ED1/H0qmPn0Lry0oaWVU7UVzIMiRnS/GQ4gEZ1Z5f71V0PpnWMyPSGS N8FY6MiWjXFOUoqf0InkRfcPOQSZc1rSVw4f3VMkdZjQq+5lng6P/TWfkA4nMwzimwzwkrTKtIuP 5MUzsR+HlJDQdJNO8YqLPclBCz5rmhJ1tPv/EdgHaHvpJLHCJdO07tbLnIRaPi6wIGKqZZgkgaIf 9bUIN48WjCdUjzRDx2S4xtFFnesmArCXI5wlQoK6OgAXTHdA+jkMmbNLdWJKSvFbkFOWas/3AgHK hKZ98Ce1fRDWEn4WrCx2NBVUhpNKXdtjqAnttdmQ4aWyfnnnSEeNj600nA41tC7VFEnV11ZMXM5C sehV9AhIGjAzqfX1el4gCiS9dlUq5WueEbKiY3Te6YPvHCso1Ms5qKStss9FfYIdjnVcTgPYxDOm DNODGILofXT8bIHht/gDkQRr34Y7oZiVHi3jAw42vTrOmeWP9c5Gf5y2Mk+6ndcPRX8jY0DC+pOM z1gdSaVTSeVnZT7z9DUKUn6g2+zE6vilFPWR7gV54a6LnCgO01Kxs2CC7BkuqFDzb0Q6cc5jkhNk f6rtV2n1dIHwcxFDW6Kp86StroT7cIuvNoYrOr7O2L9N/CpDUSsDEbrJc0Hew9YyCfsGVZplnPo5 SyAbGiRsv/AdXiodtfeQyaaCnage0YYoAYg3SnMjfuD3BKz7URW3HBKn9lB8Iy72Vt2xirsx2qkE 1oDJS+bd7+5UH1gqccvzuU71R/H8XVpAhQvdBxWHeFXVDbvr2+C1g83TfUq8fVCaaxbzqjMla/2W YS33xR+R0THyt4bWtoW6E+7LQgiGV6LsOd2XgQyK9YVylK7KpU+5VYFo/1IwH4RmmRG6D8BAumnZ 0dWovbiOup9EIXqWaenKDfVldtKeltrdqRAntI8B/Qr7DgLPJS7a0/VX2cKyh26GwFkU4afH8W6v 7Sj2dneh2MGVRNRfW9PbDyXotSyNYbxxo980fO2zX2qlYe0qQN03xSFR1mK5emlZ2ahPU3hpjE7f OVhcpzcd9LUYJ+l1o/RjDrzpOnvtCs5Lsejfe/U53ax8AW6JaLCKeXTuscdUKQ7oO7zazTf58isp Hw4oNgOu9TVNX30CurTNloyBx0sN9G7tuerMf/+/aRhDxdpXMFvrBodNFZr+SvnOYPsmXqwOYrSU UyMZSkAzO/KGYD1tr3YsurOv/8/b8sYjV9iU5nMqkF5vwNaU70xZ9gMk4WIp1EC+CZSNIirg3bkh IOZMfgAg56Y0w1d2gWiAErJKFUknKxS+VkTTlAzAcNzAyXmvBCv0RR6YO8f8S/EoSCX1xpRXGGOy Ttsn3YUQRKORHvn6is8mBjDjiB86ha+Vr03B6ut19CXdYZBXamFLYIPeOiCvTeItnvdRLb+49Qgf kebWeZS+vAW4MCFB4XLO9mmcmI18ZCLpgyGI5pUdGu/Lif+9ra58NOX+XZmZ2HOQGV+C5RlSt9M3 ab+hfASByBDbh58hoSc0fsqZtEEn6XpTi1g0cSfREqYkMzpphA2OSQ+y5Ug68DH2eFxS8DIqTDf8 ArQ7PMojuugMqfwmxuAzpRU2x7cNwyWFsBmECXEyVIHjC5IMHUCrVM0pOPVAg84+9CdTXRZBeKes UviiI+q3gnM+6kvxbUiLNDpYM0JgdW7R3F5xc2VrWBUtLXzHhTzSscJ0qEfYTU9MVnJSLfEcn2eB KqxSfpCsYKdX4SfR3RWXxzi1jxbK1bPnnJstlb6KZjVCOnuJj3LiPqlxfCueBnFVTsuLX0kyzwR1 ia1mYqAzi9HWHPRhyTf8WzUwHoY/uA5c6dZd1/iXWSm3juiYAQAljzcVXbmQkJJpVrCdBz08KhZH FTv5oqinYd+iDP4XkV6eFu7Tg1v0EmKmzmdyYZjME7VeFtxr9irtY14SYvawu6OM5psofNIUQ/9N np6ABfWzCUm8b6pch6QR9PrlXv96wvmhYWVOWsAIBVe+N09+WRLzksE1qz+Zq1UrOzowytp8x+TS Y1Jc/zGc2mM0rSRdSQfke6fqVESSuClGlxM2YgZLidHOnVTst5a9J4Fz1MLQIt0D8QQ/TANqJL3h my28/CBfXqu/idMu/rqlxk6Mf6SfSDcm1JEemXjxhR1V2Rb563L3fRWspQpIjgmxoYGEH7zBP/DS gPb1y1iD5yKO1frvvi8j9sWdr3I1yQCwob3o38R+7exmJncEIqSfJWMun23dCGYqPtXLC2V0s38U 8sBDIGQNzMa9zwQGpY5NGtUfKGdYU1EpZd8eDxWhL43kltbBoLWEVQWZwy3eepNbPkZCFtHwueAg x7ha3EAOLGQ9kAdUhbO1lSkdmogYFm6ZnhWcAwWADghdHFPkejwVXurEo9LA3Z9jrB9VfVey4GVX osdtDPFEQ5ZYqhD2Ylsb2HfeKuWepKRen+axknmSkztV8PZVKzT+hf/wz+3o70p1l8d4p30qSEvc hzBgfPj/jLl1J8omLrCHDeC3Rg+lX1922VXItBZs/Tvah3NpShctT90OEl3+653aSPrfpGqRkjMw zpxc0m6KytlhzV6OERiZMdT1LhcDKAzDn+TyMVBCXtIQyxMN6bD0nCSryNTHirnfpA5z2D7nLQZI ysA51mKAYLSFiLgGF22AZl17MwI8TIfdV6aFVIMyftaiJ0n8S4pgIFtsAQR+/X9VGlZZMlFgBkVx 4oS5f6I+jgA/xVkgEOy3kuOaDTJ9YO0MhizVkuCl+zelliOJ2C/qY8z4MHcPrzdqnUmXOUovsaXv 59bZzsm2VMR/z6Pl50bonB8oDQBszmn5pqS802GA4EwvZN5ErSLnN0xPuvFmjkRR5i4XFPMn5DjM GMXHYf+5gor0pNQuEj/2FhADO3Bm22y/osq269R6W8uxhhO55gTnDQvfS+y1HuHDlA2tG0KiZwde c8WNRCl+d7/vtcstmtYJSr4Aqu0BSE8cCjMXZdATSo8ZW79c+vsqiNg62VeAoQnX4gHFxRR9lFfL sFqWqnPg8dpav26TUg/nmFMEl7ndcu77z/OFgk+WKCUv3GEeqkGyiTnmlMo/nmT+asMZJutGKO5t 1UhG4scqwrrMAzGv7/Hw1Frp2DluhniVfmjIDE1KTF0BXkoIHVy8rA59gGB0x4aCHIHhNXlSPLz7 uNDoXkDlb1pPGrECwLrU0+UBulgNca7VVgVzp/jVIH6uvxYehX3O8SpMZ1aqUHgVKDwqNnO06edK MAQLJwSBU6LAxRdZMwAbeH6Sm8i9HASDTpiX/ju37STaXtE14m72SBP2oHwNYVd8KHQMtuemwaw/ 2weUqGB0wZjevQP3CI0tpndi3UN3FBIvkxrYYV1Dn6HKcw1lMvpBI03AY7RFpJleBE+Y/MNy2mWX BV/ZSdLw1wYZEAlDdTSjFTdMtN7WjtLvdKPfOxYhDf90zvYb3F2SrJ0evtoEjti3bw3SgpftO2xv fkoRoNY6rDaa/nKms8sb8iuN/cp0YsMT7qX2B49MrZGfg74miQ+MCHx/RL0Xfo2I36spkbyk/CyX H7/MESOEBG+F0xnpGbjryPJFQA0aICBTk5MHVc0vWeLf8dGSiNIDQqtd8lgQw1EaHXfEl+pyGCV3 iNSP46nM6jDJQHfX4Wk1fBlzH1HkFMVd3xd0dxp/+Syfv5fnmR7wgnPtIuWUC7cxhnCqYWTxG020 /B3gzVkMGm2zxlf4xfuO6IxpQvOr+9e510PAU4sUTaUBqeiO/qqa4HaS3L7bLkQJplVv4cT+D/wu r7ZyWMNDRICnaoZICCgW8mha7wdPNwFQMQDcvpCgIbdUTQJbz9Bc/5tOHJMaNKUSgS1KraRTDPEc 33SCLeQuQogwAQbIruXDjsGOP8c9aMc9KbPN+AwD4dR8H8iXwu1FnsjhvHfjereGO+MLx1x9/PfA IRMvBR9jQBOCwtXxTgYuKwSpHcmtGasiNr+foc/Mj+fYEpB1yAp0OkMxuGSPMGtPLcwVegrFwvem viQ7hyaW1ov2zHuGBd9dW7hH/LPmBd4iozbznkNkbms9vJBbRrbWuT5LwvUUwhaXHPcQNR4agAGy QJbKGyqQrrboWw6P60LgFFAaN9+tGDvfqhGfbBPN9ZIjLr3dEeZ7F6Aamxxw8jrKUDAUVPjnHE6t VXuajHE1Plhccfe30bOpSYFK9AtvAuZNDbRUMhHK2XHXCaOXsnKUf0BJVz721CwXVd5EaYHn717f 1eWaQWgb4kCQBwot0Lo1jjX4QXLwVuVC/IUmxQZYA5B9TgM7+oIOqdkGERrevm8II6KzqZt3XCPH rUae+GbQInXpjndyT/F4Q/ReW8bhCAyDAiuLHCFqFVHB2wAVd+EZT7L6dqkmM7zPGGMSzQKfYd2L Mk+vQw0MUZKMjK+W0myF9ALqV4du3skQtO3+LGfa1wo6QP2z9B6mhzmIo8CXRZQRo+QNsvmRg6hc 5pFlo7/1BmtuQZGVfoWrhLKjg1RuI4rSvYqKe7Clk+ndnhWg2V1o6dUwJqxlnHx1w5KFWeFAbht9 McfBMzUG1zzdfbrIaMrIfjsiN9VbQ0aNUpbAA9k3Qd0nzCj5GKCM/2Ju1Qun4cgyBNNqXh3j6U/k t23SQxFZUTOVHXuXfG67cvgs6cxzT/LzlJCLo6aRTmwPZbj8qjbfCwwrePSolCt6+s41TmhmM/Rr d0axwpSbt1prwAUABQfWfz/2KI6PVyzFJzplb/r5WjruTFJ/8Vdt55QEU3K6sW2WWVjVYPYmdOO+ L27fRq0QDpxCxjJKc+omUx7vU+4jlexMQFqic3if4JqnNQLrYYddESgPfwellWeb6BmMvWYOXXpJ JE8XcBwtjjMVZwpdy1KEyqO5A/w6r7REb6sWq1pp7ZZ4jKLKcEFTBy9MaAG4+2rY1UpD/oGxetqr MwlhsFC/T9qGNxJcPwiACSgLwJ169ZYhxEzradzBzbPeZrX/NnZv9HcuFR7NOW821i+P/DABwpys StZ03dNNEcXfQ+EODKijekJhAMAaDEcLxHmKiIbjSUS+RzMzmoT1sQnYQoOwlKqiXJkdahk4xTzh 2I1EPzJbC86xUcVMwUYKoTjhyRVMk/ipARA/W8/3QToeoKP7S65wD3Usx3PLBq9X6v8Rz3evfZHd 9GxO1mSDx9G0u5jw0tTRb2jFCjb611B1VyM6Tzm+SXuRKsmtHQWm6wc4qiJYR23F5jyP3b4NwY9e DZOOuwuOsr9VHTy5oahYcCWMH8r0Fjt+EOG61/tRTN5ielUho/InzpMLwkGFCezMN2TvM0TDd+nh qiK/NLbSxQDSGtW4eQ/YtJQMq31Ope+h809ORxgtOy4vhQlXSsl9S4ExAwNaEPW9vZ9a6hXproZ+ yh9SoCxRfDoztugLT4z1A803fDrk3se7jKFMIWP9gOD4iCYi464AbnlVYMQbQAZk1ewMJK7g0BL6 EPpdYfcQa9tL3Grnn+wnIAZbz+W+K9Y7FIIz+YlMoYHvMlw1myihzAOJQe3jxkONLQ7dH9b407do KHgQH17Lr3e3Ug/BXwwqqlLQNQXazMX9o9w54PShOgd2jOto+6GpI0Y742PNyc19FIdHeZy5pcQn XJYmYoJ/hYkelLdHG6xwDGHnwohiGVghVzfrzxqf1PWBNeRFcaacfZSaiE7miNDXI3QJ/7vQeo8y hKcPw4wuix+Il2brhIJ6jmPoPOKRzJ7+GBnClWX6m8ISp4DbUmqv2f3QltBYv9dAYGrvZd31qHqU mQ263zhJybCwcf4iDfARE8N/de00BWG9edJQv58PcqXlQOWPK0QYAG4z8pJm70aeQLeOW1+U36Af irUuFKyEE/kfncSQZNoslp5WjMW+KdDVl1684h3adZlU9sYVvWkZcmBtKZuNqm5bHuJC1lGVgLyI 1CdmMey5d5J33bPTrMyY4cLrhtbHHqVeXQk40ljmPgVLb3N/kfxTfeSfjNCK+BViD5wqIllVT0XV 6u942cTOaMbJH4Umt9zCgrYex1La7oBLisO1Wy5HMPz0l+lFUurrcATGNC2I8JrNmqHH4UwhU3yE wbv8JLV4PbXiRPXr79fs+qrWlfHElZotJyPpDJGBCUntW7eNWwBQaUH6x0SQlL73WRqAigB99gCX hv/eluO9MrxF8mvGl3i6gUV1rpOZBqD1YMI0nRW9eQtJz8ag/N7hniqLR+cXnw5BJAJ9o9GnMupK O9FblTo/8LQhiOMALUKkGZtevmP/hNCissHXOObO6AhnZAT3kiu8VNtS4DcE1+fvZXzx1mMoxBXb eRzG1i6Mgm87Inn9iHYeoqdfusCBjCTTIibLhBfrkLNnpA3d7MxtRUV4RE+SSIbvHfBEifp/nZSM qRhKKJ5WuU1lmkHvV/XZ/b4vS4KI8pqv/KkyeUITsoQC0rrDiid8UfiRvj46HL2+qwELSoQhtNTS CiW1pXsSdmtPGqP8wznORnsw6UnMKYd3ud4aXibrpLQ8/GVibVptL0YtfmR7QnsN5wYKlBQs0RjE SzuTOWnTijcg+khudptyp4KBn7lwntoKTzuvCtX+7uzEXqhi3dOBGga+C2AX9HYCU4Oc8T0L3R7z 2+dV8r3fqBw4o2JwsV6G363a3rZMr9JuKmHzil72EkhXTfu25d/T0Sj4jbg/G2GnPAVQXV7nJvr5 piRK4CyGjLYptlBGOpTnUQ6k2X12CE6jtbs+ZvwpjNgtIuclk2EZjMiHS6Se `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Uqfmhhe32U+grK02JBnFtloD9R75vrZwSRuZPqR1VnqBr7XmHZ9tkHJS1sJOLfG8Zd+796XYlEPr esn8WdZgAg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SQ2JFvkiP/6Sc73sJ0iKdnS6VCowgR1u3Z45BvJSh4oM6G9yLO60+MTHZ6334rXMreWy0IuTJVfx YsKGLRIp1v29jn1JqL5X4+K/1XG0/oDdQD8qut3QXA/Sr4fQEfjJUYYMqnTvQt0dKUWt9V0hhst/ 25yj0qy5VOBC8jSzi6s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WJ/I+yHH8FvqqFy1co+ttqZkj2uaQDeZQb5hC+1bA3SuWwRCgvFumZLJdKX2yfrxkBeND8BSdhek yG43nJnIKHgmtCpSTXIqDmD/8cG7eahI2BTK48q7plbNzSW3CZdXSn4RL3CL2JQCZKc+m/is7KXO DSgsBSMWyLrYMnpfcolh2Lm4vV9btRLcaBz+68qYXExE1DCa6DpgDDy4qv0YETqlkq93dQ0ha/Rt H8A3DG32kmMl7yK6s9PMAc3GlAp7xwDBU0GPyJOd19glVdCAAIBSPFIBIQ2HHLdJSYL1Xp7anroL tgXNTs8HJ8Zv9Uh6cWotDFfPYSYyjwyUnbn+hA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p63c4l9Cue9D6FDOAkQMAJUj+Hg/3ruXtR6nWx6J6ktFuaeu2QHd/dww6hkLZbBhIyG0LXCXtPD8 y15+0t2dgRkquT+Kn7umI+RIxYI0YGMDxmxNW2oeJQyHBYUIcGC3Imia84h8pjT/V7z56Kr5XfgL xs5UhHtArBz0OhWEXuc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KUDZm+F3LxExF2Qe9dGMQ9l+lzR7Z9eJz2jl5fXRWxdXkwrIZi+J51Qb9ZqMTVb0RUwoV1+zCLYs XMnqhBhAPnrzL0y+uggoz92NQ2tDaAWGNPBYI+Zh6/HWnieo7Pi5qDrVLLqFq2b3vNyr5775+Kop x/zQq7CngRYoihhAXk5plZioD3eP/bJQRN37016KTYtXod+OZUGLS99HPEEyQITYf0pvagARKeEs kVnvueeuq2ktx6eWYJFRI/hTLJV8QXZZoPUMdS/Zxc8Fi/9yTVI86xCXA+ESM4U3OBGxLhJQLoWl vjV+YjEDbxzMzWDuHdg7cXYygWrc6k76gB+Slg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block v0jjqkX5YBHW1GSd4SbkWQ75fs1avkUdjZMYzmET2csXr7wGkNxlY/CAvt0ToFua6xyeTlywtDI0 F8p5j3umE2mwUDDCONVj3Aeuv6FWn8r/IOnMMeRWfmadS9Rm/Sh3R4OEHAE7U57WwgiHIKPK4cvX BpZdESmiW05dh1IBrBYs3/Qsm3n4sVT7ie8qFDk5cxNK8o/DUzsuiyqJehFyt38RW8389MHQ/XCz uq/8uEm0jA1tq4czQ18sWdjsNpEqf2SqsuKqHYKcBKFhTMGKUYnefg5U1+CK3wYpyrhg5wUsaD96 iUFx1wQXEl84ik3qRzq6hv/TSgziiiyWZ19vA4vAB+YQgQkUDcny0txE2c8106EOeyJ+Z0h7mHoa 9XKL2mqYVUs5iJ6V3GGOL8PCaCpa1DIeuTH0JnXrn4XuefgI14jhqn4NZfSrV4YlqymfPvAXpuw3 QuAwWd+a7XUGTUzlLsIz4Tvj3T1WfGe6VJYYROPN3WCwYfwjniEU1gEcU5MpesQGLXE0fBue8ylE t7JkUHJedskRxH/St8X1oI/ZBC+DT7ADw0GwZHaHCe442kKbaJNZpLtziwqAFz5Fefqu3hBHI7Wz 87Xrd2cvgbHCNKx0PASmNO9mAIqYnDP7QVqB5DO5hH2JjYaxUHclkqPXRXoEygnN7oxzvNa2/ng7 GBpquLb267I2ixn4JWa1i8Vih2MTHL+Wk+8H5/1OhAnNS2+fb/ysVQg0mEDdoKTMEkUD6xq5K7Ji NZZ3H7bd6wzXUXduzMZNlnx6B9AIOGXl8ph32Gzjbs6nhhjxBU0b+JlSvYKj1WzieOjxr0iufPTp 40E3qWeaLpKdS7rA83IRg/qZM8b27zbCVmLZXNNk32uI4Ptq7xyxaLCkZFUxOhz/EmPJFRwXUQRT LRpZ9XyebGE9jB0CFVEwDsrlSW8D2FMrUxLUUmdMhDZzrnpQBTU9xXPQFy+h38cZqAC61GupCKaa MjUh+JlYuVSOgdPieHuparWbVLbGgeQK722szQUTZ8rg5wKABwn0UTX4HilsVf+ySvw8wTIhfRwG +0XFUhAtJky5m+HGevma9DBipvAQ/mlGsrTctkUC1OpMZX5/4Ws1nWyYiwsRUeLHnOtemZT3Fdbw DOzRJIio2wWDQd96ELO82p/t8mjzBMYTT2nE8ZCqqCDm/6yl1G55DYclUZsafGRUqFkqiIOWYD7r zWolrEhAUVcPrpe5I+ZUD8ullGpoI1mhOqxRPECrOasCCl81dhLu7KJbEEpMIPJ6kGaH2MFg4SZ/ V95+XdEKvCzKLvpuoqwM2Xd8LDTl8yAwHZBodRB7wHl2ivSntGDG7IrdbU07K0faFKEuHUq/UOMt mb3ws2ps/bnMH4EWSOloUqiGtzl4cP89/5DsOLnT859LBERkywyVBjIIBmxIpV8MBKCTJKpQCbuo th3K7zMZ7NEcM3iatxsx0yrYGsCjiDRzFtscWqw/V+6VEy+YMjXO+eeFuCEug/kaPRlvzmSp3G7K EQ/MZiU6nrmP8POzhF5icPhUeSn/z9GtEj/Jaqw4s0yx5KHHJZnAg4lC4LoTpvu+L+P4nYlD23oy Ylb46sgwdOFO5jsXKmFP+zaSKmMSNg2ztz0z12+R056qryP0LLeMubDj29+Kaqy/wAhfuPr1mUGu QapJ62DMxS6ofMYIEEBOl5oUh2rp2cih3XLY3yXoQ+84Y6e9mjPZVwO/ChIgHDOdsWIwy9h6FwX1 se7zLsIRvi0wZKs1u4CnMwXj/fBTk2dM55NlHmZJ0uit434cOTHmC4o0i5aJ3HzzNUcnZX184i6R Bx9PThOxzsURZMwrD44WWD2teEJIJ6XK73ny3lRM6RxU5/vjOa4AXaVp+a69VDjcry3NGGRTofO/ VXnw4F4L8fcvBHJ0Wnu5f2kEbmM3N7B0oH2+CTl9OoqEzs0ahfu6xGFkpsDMg8m91LTN9LS1gFPh pUr/Lqq5rR0nOPXCzZkGWdAZEPM1ltM183BaorMMYhsa6vB+/DMUxjTFcB1ExsvP6YrP5DmqqF9L VKES2ui5SzOWa4pfaLDHgBkuEW6mwTYDNqaev/+SzN107jKE2AZCNezq+Ol4jbVCWtN47t1fCEXs +riHZ6I0uqAPOR0GYQ+WSBhfu+BP64lWHDByjaLyeHTt+2q2wHm2dxInOUtmR6cGyzEZR/IjGPox forFsj7Z86ePnMziDfFDLvCLPooYUOapI9W9O9r4gltX/JXWU+f4cqIOBsCQDjqHx/NdksPIocMd iunQy2mKZzb4nCEXAcP7fZvAIIuE34IOWty9xYyxvzmUJ52BUWo0JTrdwYXFfcA7ik3w9GTn91vo QB1WXVmZEge2sklcFm4Ncym0O6j9jQWufjujJUGCeEcVzpi2aeq/BzXuySgc8b+vcyXli0mEm/x/ WtERMzY1S38TMn1j6E2IbR2bIguKIQu9xc3APp8AKpQtt7hoyqCw2cpFS+DdR+g0MRdiaFjbIur3 3YqwTHvtoAkz0ftwvtFqjX3ydO4hsgW8TmhjazxYbYB2jj2ehRbL9ZwWGWfSFZ/iMGL2lpysZGdm 5CSXVOgwXsCqZKS6VK5m4O85XZI0zx4NW0F2Tg53XIX/xF0O4uSzha/U8V9oJhq4jEv4qxs/KZcO MxafCE8A9NaPzX8MKnT12iQIopjMX805JKCk/0ZyYqUih0B6fVSikLGnt7AkDAdsUMhL5+HjJDyg 09wcj0wnM/zBTMlbXMx0UFa4Zq06c2nMkpedL2GEbMGmRb1/G+EZIZTgc12nVr3cHBXYA9NUanLy 3oyOHvhO8ckKTrmH9RblZIZkrc5G98droz6EgI1W7Hy3kZKETTGPwfqAibNpEZyTkMdxl4fTpXaa r50s0aIOO8evLlJ5IgXvct8RHe6qHfHEJzB0CxKwUWd0wIQM+ZyWwrT9zz7Zb+1PDCj61msnRsZl iXW0mTaCo3S7jhmL+7DYLpIir4ZtUiWvgIqcLfCf2mpppeyakKwpFpaKGHX9DCiBtlVBvkmfXMVG YcQcRPt5/6lfN7qzPlgW1bH6DaEUwADR6CY4CoDAZRjHaze4fLI4vmTYIfjsBbp7+7WWHhGFGeHh QplV3e/hmRdUUyNyMSLCEc2+hP00aBgxGOD/tY7YJ8L+MVBxDSbbxhmKhQm9dEwWC+xy3Mudp00U QCMJiQzgtN8b94wV3RVWzYY9RBmMaFTLp4evr92JRq6sTUFQOjyTfjJi/InGqrDJQTfF198I2YNe SXgYczkgebsAzjTnKO+tJinUYt++nS+D+2i9KONrKv00/S/qeKuLjqbISB2RyxmgK501mO8rggqT 15aX+wuC1aOoUYN0kmh4N7oInbLI3iZTBezFR2ojy4A/Y6PJi0YrZtGWtvwoG+B3Yg1roT5Pbleo bgrpFT3/vycTemdsG/SDkc6Ojr/YMkoN8Psgx9SLlvG+WWzSwrBfYkFwltFHRdKGngidP55QAtnW K7p+tlwc6Vmvmosmf0ngme27CMTozu7ue1AEO+woGCo7YUfhuonVL3AMgc2OnyvuOIlZf4/QfWqr 9PX81MLrWGJA1YMpjT2PsOorbXLPPo7PLtnwYOt4jn7RwJjbWPfyY7+Ln0Xuh2vSpOiUrd15t/WS M9NW2ziKyFrECRLa6Qie3IfQXj9QpvRzbJ064AAuFNyrQCl04k0zQcunVeQaXGar71s/Zxw5RVnL FM+1VMnrdSRDyqRV7K3rZakudbkpMIzL/rS9yQkcK8ISIDO7fFuID0J9fgvqliORZ7jq8kM23Qph wEfg+pyA5qqkf7DSR7wqx+b+Gnq84uywN4YG1iB3jN/Who7HYZqRW4K7+5k6thmMThUVR0blOyhs zPSZY7/o2UC5s312JfUt6TW3Pn04NNQTIpQCpoWq3+kuOjuS5ct2UMvrvI3Ze6fIroUo7RZNGJrc pKhZykA2XCv6A6qlfvkKCwN+I1p+tQdm1toOV6ksfVe29UBrWUshVsSpl3du0c8j1onB/XDYgNgh ovYnrSZRBatNljj2pQHjMxqEOz8EsS4a2xKSe3bQfqvGOKxqnssk+vcWcZLkuSWel1bphs82MwpH uRYiJ4Y/64XPHwDp2UpR0VIIGlRdEljuTdg5IU5CjAMJ4kCFbEQ83Kl6xxyxpmK0vMQuGEz6LFaP GfJFd8fRJHyG7j1wzT4b6oMeffKOUGCfwh7PehyTaasPL9KM9RoCDERr5pfKr4+GNW5vcfMXKC4H qqBVDU48iMJ/XbdNJAHVaDVrFlUv/bk50Ec0wJ1sf/2ITb5Vqm+Rej0+SMkTDJsooh0AlNCY9e+6 8DSuQxUyQ81DbtvqREp6AnFJxBW651zShsDphaHQhWu24I1iKoDYVJ501uz+vbWNxo9CIl24kPk/ KbrAsKkir1AJvuGegZ6rkTS9Ey/CXM6C5o7x5RkrQfKOoMdWQl6tSfIk94sFacE3GGE2V5MinzAf xQLwzAnD7CJEWlNC+THQF1jJYkMdzl4hpZWSnFf+rqCtJhMsghTvgCBDxIFYQO8OzWtt9G7/kBQ7 QpCkbRsEkz/+oKRZjLf+I79PFckws4XOSARtv8ZxWc3ssis2uI3Wyucg6epjVeCGU46eJcBrVMKa oSO0m/TQyTyK11vvA6L0CPS6H9vcJCrwCQOIPExG1Cp3GbgHGppE8LZPk2hANCZmjCJLrU59/4lO 66MASBfoa+bqHT8KZC/Rr+S0CGU6w75e5KWbEjHRXjOVYU3ZIu9NM+6q5gb7zHt3sP+XmBJYVgBt Aqc+8sVX+0KQheLVSQyB+uHrUYlGMGwXWtr7Ukr3Hc92Xalrg3DJPfsCat/KM+09+VYxnzwuDlZJ ga10moUiJZCxgfMY0RhR9Wwinget2feUWk1MSODMFbY59mTL2xBb4b0WQFWIByHd/HlBIRKhg9Zl 2CaOEt+Kr1wsk4c/YhkgKZQwzvndvqNQixBhnSb/o3De+f6MxOH5ZnZqcyRd3NcrNa1Zsdd7rhpB x/nuTL+aPpzcxVIke9WMoqFhMgTnNbrSip+tRAjMK5j01XtQdEQtBBW7xLJ0Qb0qTPpUKZSae2yZ kIaLZIzx6KRV+CQlgyqbBZFvtglgFgBx4uoS983zdApYN/3y3eV4IuLo/ASrSl3bVfpuX8fNAN0c D+/IttJhXT5cGoObuzH/lme+1U0AUbC8vu5fhkih6H0xrFrG3DXPa9Rw+xvzt00zDde5dAabRHOF c7/iBgeTNhQ9aj4dsSzAvqqyZZC5/W+mUYCgpaAishpUzRtkfLXO8Zj8zad4oNUNU4ALGbzZpUaL 5LMoXCcknvRYFQOuxs5X/2+tzNe9RopDClURf5c441dy/elVyapyj0n5TpGJ++Pyl+WQN8jHoDZI tceXcBXAyRIabjnpqnAbSgOxs/pmLVxkTgf9EmlINEAJUHzXIS1s7FpPc/LP3mFgqn17RYCE2aZ4 mdUM4iBPgh85vjEKhUfWxEm6LJ55SlgmnW7RXPdX3eWUObVbzkR4g80OU2Sg3DF+xYrXTFvOvN0P HDUbJICtN3U5k6raJNVhM8s0588W0MjlbAW/KyDWnC1L+OgigrTOEMKA8K20ZugpfBX7bB3F8Zkl hqr/BWLoeuy6PiZbi5l2IGiYWfEs3R1QrGakB6+/nOzGzIMhBDuIzoRaq6S10B1bzziRi+ApFdo6 wflOGFoGRhalvRTAYUDThiZWnWwbAb0H9KKVsF9aU2yQzuCbQuyrNgQnFFHpLgHSh01KPTvx3tr0 XJrX8X1dNHfpMFv8blY08FOj+AKsWrMkBywmyCGgKpKsDK/66gpxgfvOvzkxu7+kMkO0MouRRYlE lJw+i20UvjGqTk/tUIcTuI8cNgAy5nsWAztbGV46vRwx82L/tb3jG0jCyGXAAwxl9XJq1vtPzlMg kaT+y7foB+kHcj+l644ex+jIEOfJtXtzrml4Mmd7B+l5lEHn4W/ILuS1+2nsk9O4zxeItXBPeJGn 7cXwby0T/ZFbZl7oAugeam3LRzP8N9KwmmEMkVsux2vSAj6glRRMfuL7tefUoryHfRC4ph34IFm/ yvdmMUt6kBoSdt8JvziIbc8AktqhnhuSaIyowL2bp3Ebnur9EDbpdTlnCV28uLPFyVTkS8MTiaJk N/Q6bT2Nsx4PdrIULKaDOimoLiccpdBmrLbioWhYhyB0A0prIGd24k6L02ItmezTMIu/OkSpiSiQ 28Dkkr5kxHFQ7WEOLLi3GK2J2oh18hJ7LGwE5HpljVTFvPHI+APqxla/YhHSsyLwO+FvYlxWyjWU IaWeatFGN1+v59DsXlCAp49xV68cDmSkWNmYbvmrokB8dxCZKONiIV0Ia2oq8oWca6cCNKJpGTK6 rQgDY1LxF9GvQihJ96dBjIBI9V7Iv8XABJCvrpPU4h1a5PRyJYWpfrNRPm14eJGfZ2mPEbYEl1kr ozi0TCd1Ko6XPh7z2McPykTARK5/Zr0PV/UcuNoGcjw4TOBxnBKKlk3XHCStHtCjBHVjAWuAHaR4 qngI3LucbdAvn3x/nDWAvE9/iClYg9s9m9FXlHnD6HIFPmTbXQnrCRpFJTvHDJHok26ChfKde/8P MPupIE2BNq6IWXn10VTK2wS5TNhbhVwZ+jUbfHMxUc7lk1589ij8pcvjZTBxFqA+63dXcZs78Hpj 7yhJxYTCSbizZ8pdox/TRhp3o/yfhnCHizmq8f/qT8HXikg+vkSy8Mj6qAzcMAKRQOgvYgp0EsZl HbDoD6T/qYTEXVVKTJrRxfViGNteVLAKYD8l6QKu+m1r8DaHKrTKH1zJRF1+br+cLE2Kgp4uPBXD RbVpjCkyUHymdjuQsmxFVzgUAZdeYCBYWTHl8s9c0zvSI14GUbuZQd1vip2E5FNVh2hDxt1oBr00 qJiRCMIa3JBuGvTpDmaX9J1LRKriyXabFBY/rjLuap4igYv6FVGBA2qkTeaWHAqly7810G78HKAF BHNGgqa3xHaW6ZMxKqjNm3UBIwnOOjMJxF9YYIWdbjvU8ZKhB4BIU7BYOkcjdT8UokOSVO1jG2IA y8zT+TN7yToZ5J034wYB3DLQZX4FVfFlNszAT73l1iC2y0rd+XXJrlgiWgnrCz059XZsiKgBrJyv RHzYvCn9COKFBaupsO4y4iXU2x4+yDJRyb/5TqlMNHfqYLcsqba4TckGihwZs+VeQnXFvyjLBJsu Nj+WUjYKFkXa5pmIF5iwaSpoIM8ipfAEBws0LyWk2lzNK/QR/vVXkGlI2IA1khxK/HG+Qon+buBZ nFyvTNYwArz17HpjrYeVHzjBMLauT7OrkqtrbJ3LPQLSZCbTe9niUiH2ylsF0AR4lzGcqbaYkQfu ewTd8KEsC8TEnnTRj/An61TPfFLHMidAnkN++C5VVmBjNZH7+gd5cQC9vlzQhgQ4sSWwiioGsLrK b8KSmIGINJLBn850qFzEDKvD8e7Ngqxd1MsLLXZi+mHNCf8SPbsMD8w7EjMcjj0F3Lh2tj3oxAPC CLJoVdsmyUTTjpvTIHUPpxLU9BDsaU7kXV7pCyqyMDvNg5Pcj5MwsCmyf81A1TGU6Mc9pMymdoVj Bu/zqgDE+R/fcdg7NDFg72xKMIWEPjWsEHg14lZJlpVdamsRsLfcTNG3qmnb4T5xsjoIYNSC811i I9/4298hGxaV6HI8e9Re1k+cjmFCLoHQk0EmWss8ijyorDGCVoAWVmF73ojq9GST7pMlz8P5K8T6 QX5gT2xL4ySiGkeK55IdE36lTmocT5TDu39w0jr5hPWe4EBo+tQ+OjSKInCMv8gXxUi/hk4zrbip ayS0+Fj3nmv/CIKDjDwYLzia5msXAHrtg6UksG4vyJrnurh/GoqHSHd9/fzZIZCi72n3U14nL4EE LB7jOge048gslmsP7KWqXvPpR1kqKKC0y7xwn5fiGYNWa7fVtLypzpb1BqjvO5zC6IherFatQ6Jr gPMs8MTeLeTObkvSqg22DlCNSJOJfmwmL417v11hbbdn16MQUMozjJgBpks0J8EpB1IUVhOi1MFg frZhxx/xmiMyfGe1L6CoPF+3Ka3XJHoUv5dGVWk/cMun60Ip+mPukF3gW9FfK84phu4azRWo5EDY SfJWvgZ7v9W3HzZjZ1RX4O88bItGuvRGETKL1rT6Y3rjtqePKgedpbezSFdftIBl0OCZ2o/gm6wE dcNvAWoGASL0c3Vq2UvrWDeMnVXa+XXQ21e13nXuf7MPvZ9kGhBb52IMY2JbpE1/IEbXC02O4ijJ zlQIdxO46w6LO1Hi8a8CRVow6xE8hUOwkerJXc5eZfWs9NqAdluMr/dUu0PO7Mhsc23+8h7IQCWw lQ1GXsauQXSJgbJVSlRy9uWCZpzsH0yb7r+vMZelgkIZ1jEkOkRkNj967rhMFD8yrgGjP1NmcvbM 6kAH9wG5rYHPkIWWy47Q7UmxWTNXubMU8kntTpl6a/juZYO6PLoTztgLLa+R5K490GqeI/EpBszH saY1+3qYnn/j7vapOwdMZKgk4X78NRaCIqAZoZWS/Ruq9JdkItaUCZTO6oMGrWreKfy+gugKNn91 CS6qeO0soXim6nKgtUHECsjsjjOjtBpn30CW9rLBsJfz4YtW5BKpuT5OZZ1sMBOccDQcag1Er6XR UjShiTZRKponwgVO46yoldd0ZumB1aO9YwvQ6t3piEPSwX7M4dWGMrVlnt+38bBI2XadbUuDNXQX YsAYg1o1jJwNJdcZidw2J4DgWdYugQcFmmQ/AzP3q75yVuwO0uEC4oyRIvBHYHtKa5zDobZpVggb IeDSfea+LYAKYw0u8k9fGUX7P3YUwe7fpZnpzz9xc8DKWT6gnnMFY61G7Aus0/jMz5VlgUDNtG4y KHjbaFBMwg1ybUmdBJUm9eDKuoW4vslRsx3Ofr2po10RyP93rLXPZMnm6+UJs9kGTNk5TnjPrkJw mXRsGj8tKMIjVolbRege/W6Vu//zgSD58rsw23W9cA3WlY18CIomY1RZTLgiGXWWrOl2PB3Csm7v ie5PGph533jgUR34PEmmsiJQjtnJ61VfYt8VN/kQ3IzOUn40YOxD/tbVu4C1QS+bjuk1L3i78kJy 659I7MsA2QM6AY+Vd0B4UB9gdWxdtS614PMQN8qwC1+l1by3kcDYFtbZoPGtOmLVjQH7sjEOD3MI 9R5cUovdH9eVJnQEspljsT273GIjGFAWG2BLBl3N8YGxP0S2b8Gcsz1UZHU2klbW12Dc4qkSHESu GnhasQ2UVoUbdwsHBd0I31Br23exRiNc9GnBoE2G8FHoeiXjqCxRJtWg/tFK2VZbLGVMvK5H8p1+ HqI02+vkUatZW3K2QsE2GOtAy6cbrIWRiyFuaNlMk++H0xxKQ1i21n+jvoZZc2Uk+ZSCV+ppH1j/ +GzZ+39A7Wtcj5H3TTTeX86uNhCLgJK5FtaAkEkwNP983oJQuH09enJZqldp1G5dOl5ygxLtjLV5 FRTRPZNpfErJbXptNlBHbSM9rJvLuhHNRtIk8zAGfzm4r/pA/+twKmwUOmTrtVFjZjtDYqexwIpC TMJM9s9k/Rap5m+cNKE4TjzWTcJawS7a6RFd8yfsbyWTeQXU43cxwASOz3+W7Q221ltQrotQFXOs pjrma3h25xZRGK/NnIGvC9JhhzVleGvhwPQSJ9Ic5Oy91QiYkKgolaBBF7nUClRAD+/b1Ak5prg2 78rsN+uOKRXxyjDG7c9FbXpLFbWxPL11BVmkZHbnk5ZxwfrgH+Lq8K9aqtbQ//o6RtKJ5Xoe7xGr +IxEJHSLy/QwnRYf9o89z+oXjIAxSb8khWqTvmjaARl28u1YtvaDFaQcQM0Szf76QOavILGunWFP cG5zhUCYzCJxnlJI/flLPl3Yh6WCmRTIAKLK5JOA7CfQ2HIBIn2a4iZ4veyU/nexGaI36fqtKVt/ YA1szf34JyuuTo0MBhd6iuXzipzs44AaZfV+9GZDS/+S64Zau8PXaKjKN8BJq09/fB8rqU/y2Mz9 jDkrnT1LlcvFFFT3cWfT+zzurCceVapqqzoPVnfvN9/CKZvYM4xNrTTSBq4C2Cbsu6eet1VNI1LV Ou+b1ZAFXiGUPMuzl+hqFkCvuWsIoRRtbUUO6wRvP3H3DxFW+zRX0L3fuHdGvbIJofeKhKQuYvCH QpWCAJIoulk1TA91A9tI2ZmM+f5xpqw40CC/sdbVKh6apR/5fLmTVZn1OflATz6UtELJF9NN6+jh H76klqLStwTGyZRckvpEup+8Mm+hCofQPfzE8JjxdLoNY9FsZC1Nt9McJRPUweu4ODpIWn/w1wVj vkrVKkJLbQsAI6qXpEZDdh/FScwl84XEu2RFm+WCHm2MjumUEwctCYAbdOqogITlJbGX/HJRrQJ5 SaZQ5pbkKVHmw8lxJrNy9+1ytUGXb/k1wAqHF/ZfrSbSXh2hmDjrY+BJ5W02Vehh/0otHuIv2jD1 bTJ+VCRu7+lDnmf6LKcPnpY/hvd2Wny520nCAvgKdwQ8smtM7yfTSbQ3UFiqlaD/zILAXAQYBxZl Ll1dnlrA7lvTCz4bvNSvi/7CMeEWUHf90ZS8JBS6Sn2oMKakjDijosfOI30G8OpP9F5KKA1wAM0O 2UX2g29TaP+vcrsMUbgEBFWawsVP+d+rAXLEqMJIqlZLB+uwOWNHD0j3ZggdMiL9wTltRhcHzaIB qEJ072sg8yjYeQAaebRJ9PPj6XDJW3mkucpA633JaV557ucMihEZHnSbF+LnxuwZrlo5WllbfGgr WS16u4Mb52vJwzxXvqVRgLJ58qt7hmBzW0xc9kxTFOrT+TDiiNYTNXJEzmLI0nPgvAnVbLs68I95 s71didG61G2iNHvtmTthzXY3ej+mXM6U3631c06pfpPAoORKuu3Nqx9ddQvO/6Gczo/WburBNGP5 zgMPtQFaqvnov8LYbfLzjyh8JJ0g/ZelgYxM+S5B8NhxSk0eJc+RZ1jI6n5ihW3nf+fQ9/hgQ94y 9456Wbh1/qnCCj2drvjvNz/mN5fBvzuB4M1f/3b4+8SFEzgBiGLd6LP+rrezO7Wl/TfV37gCdwTY 7JNNuzfZKU/aZ7Nfjp7exUcuIAgautOpWxy6qgtJzVtdYIQ3lLWPe0YQnEvbAPEtGW/Rkc8eWmbX s/R/mt7BFNb/PGUjq2c6C9jDuhrvFeKa6Amd/Xf4clYp6a7NT/W8HSBGBCtRmdAmi6IPdWm8cIjz SNAFi1V5klt/AdkeTmjet7C2VUJb/Y+eP0rclLVgdBPLduiP6Egcu7PN5+yu6NNr+/0HgPE19TCm 6NW1HnLad3cR9w98djdN7/4nEzewssFlQ15iBGMQDKD83+I4Cntb0gMvmstA/Ov3/iXf4cOI/FtN n4D+lfyZXUp0kd+kHAR53eM82yXKZ4Y3K7UOTvepHO+54YzAaFJJ56u3+yE8cD1cVMHpnHogdkiX Z2ZrS1ttmlrZTEi1AWN+Exa3R4S1ti9nxllG0dEWB733dZnCcAp6r6ldDJwv41e0NE2WKjOKWBiY zWT7ntZNnJZPpOCqyfs06hDgRqCUDjwZvd+R4c0sXPDqSsqDBjV+22rKit/pmiEYaiC2adZQjdOr qBv1FYABwmA5L3hbXLyHpoqnVIR6LqJpvepzlzoSjgdLM+wWBU+RcK2lBVPKwVYISyIwPmlvpwe7 2YywefzEjybe5HI37S/slQj/acuMWz7nHoeRx15WemmX7t3wWj9bcIR9WLCSnNA0zhM2DCJHLKIM ZXedJyynaDz2E+w0uwqVmgTiyqwrBzPz33csqbBv+0CconbR7mszPh1sHFPaoKPxLtyaIux+pznB PRg7OMuX/bBlhlplPIXabGUnWNFs4QQ1IuiGSRR3ULHtpEl3Wu0S9gpQDuDEU0cZ5bf0E6zuU6Ud uStHDz4IIQiepaIV/kPfqlFJ1j00pc+/kEVOMxXazRKCMp8kOwbcMGlFig4opoYtdqD3t206dxb6 +BAJkunK4n99HQvWFr6OjXn1QfJnpb6yAfS3wVfW0DvrRDtnOsEQy9TZhQ11bulEWabl87u0KlsP h0n6p87Fzi1HGhSTQ73J1dXDhWyyD1nKSarXecPKRzUfSWHq5pUz/nqJxfgZHudXo8mgb8jlarZo cV2BNT5uFreguCMpSaMjdaxdld/UZ7tSCi1PyIAG1Cvh4Tv7c//+azi69BIb2gl/AjTht1lC5hXQ QjQh4r+C0Hy3k544tbA+IOAXagXOxhYzwyw0Hduq28beWwK5z+w/PPJ0ATNjjsVoafHZhWMjghmO F94kBDWdpJ4j+RtPQfMJPlLiNVqPjzi6VQ2l6iN8QwLY6MAIhk9wi4su2V4C5hqwBvO2SpggvqQ6 efjol97NLW+25UKeOfV65vFFNWVak1CoUGe6Bxl6kDiVEHh3bDCeawmSXUBfErERwq7cic3CK2jQ yV2YQG3SMXaZJodOmT6ShCMJLSHAW0zVxoArBlayjmSQlSptBQcVJOlcJfFudNu7z/pPE4QG5vz/ AsdFK9nSDfXX5kTPxvkUF2pGH1xngIWqqb2pKfZyg3d8y1Pg/i3c4O2vUbKRMxk5j220b2QMilKk EtWmQFs16PLli56G63E+ktc+8XJYDAdA9heuAsTSHWvNcqDZyv+Lc/kSx2UoQh9d4WvEIsywM/RS ginPfcC23sNPjLxMSzTZWtLVSSDPMgXx0W7SV8ZqFR4YqL8jcDUcy2uiNFUkkds+/67rDcjlzsSb tBzE/MllYBukRNEEuRwPWPl7ZsLZ9fOMlbCDInsUjBcp7eWVXBYdn0F1/1Yo51OdZej2KpGhYjTL dt9WIZ8GfOed4dtgaevdP++vVKj/aTlyBiZjhFMZqcRsEO3uT+5oOqXR3uzuIT3aednRzTvQAy3h CJPNdCKyeccln2yvLBD31KwTU4DOqPNYbrBQ3gbiNPczn1yKVJ45Z2/9+q4UEel5oOr3W9cNuB5U O+t5Ki9CajzEW5YneHoQAXu8RRl2dJQ3L7/zjuDyQaPnJ9Lg5Wa55bkDu9SWvAwpqEfehFjSHBql nfqq4oOxDFvHhf9rK733p486cTgBRxwk3u0Bncj/eD5hfnHm8LKIkUcr6eoRiOoy90JB48fcrZ8M BdkW54qdM8Xm7zfiPfNic7905uWGagYBiL/wEq2MCnAC1AwI9YV52RFa7SULAcaQ/T+f9X9cnwAA qGRNkFetGpQosY1ikhYi4SFo2fp+MhWx6eycZYStgr0s/Dw8JtpRnU28xQMNUSuMQs8c+ijNgpFh OgBKLR+FZR1NFRdz0WtdtJm9icgLZZG0IZdaWrNeki6kGesJ7x6CJ/WgNQG3viI7K1Lq1Sy9GZ8G rcNL8MfDfRAI4gCfPz0LZuu9zmA8XVYGEjDaSv1VVrkRKGJhxunp8DiIOXDhkekpYyThqdU/Uh7S OElkFi5G4alTP0oTWKXIIfEH0itCaqL5dYj7OEZIwQYvkUp9QiiBQw19oe0wVyfI09Z6jIP6ZzDs vRxoxIBM4g1WE/rmW+MslrrGHa5JZ8oJCQfSGKtlCpaBnX95Ii+eUoHKxqDbBA4S79F17PXt2zkH RJ0yci9n7MwLn0iG51tx8IdGOxMzmEdSYkbLpBK6Zr2frdM8eKlBxe5kbhCBSOHEisT0tl5znVLP mpAKQahoYXDglW6pTBo+9Gpab3MoBVepi6Q3KWmHFmVVzNyItwuWF1WhL3UPp3pgEiz6aH6kPhxv +a+JsreKyWziewL5Yic/9uLlqugwYhLaTPv+IQP7ysfmGEuS5D4Mvwb8fuwumsXYqvC3gkjeAqBz YhLYJyXN+5YHXK7XgHmS8NSDrjpCFsyN0xb6sH2h/8fY5gzpknInMQTQnuTTYBb946mEPVamycAH WtZ9oUXuI5XqqB/y2GU7kazOhFchEosNzL/c4hVqfzsTRNphoWi594TZ42LYI2ey+ret+FndjNsR Ogcp48dBYKU3vncV8CwApqE9xXcsRGKKJMHLsmkjnxc+lAiL4zEdR0x6PPsv3tujmgclUtBU+gHJ t9erAl8YN6Zp6GcXCYcDBrpPOV9rn1TpenkPo2qfm2/0LwMS8k52Flp6GAELCEv6px8uzD7CAYK1 NdgMgeJjRKsxG4kbFPOoXp1en6OIJuY03kNsCIE7Jp45B0n5XuT8UeXCQpjY1nrhMWb5yANjJ9f3 tXGeh29oRWPinytkNAc6URm2X6fzEADu7NsKu9ZWjN2T6p31oW8EeAicLnXSf841qENZkjorQAWT umq00NKSC3wgYSdNIaKzV4OqfE4k3fiuNLCNt5LVuO9xAt+3zVueRYrvatFhsx2BLURDp/l9JcEW 3MVPbptD2YSaqk054pSyTj8HeB2kaT8seUBEVtx3aM3eOQKutikEYvvunoWQ7AmZW+PAPheasXIf svmjZvqrOzY8OtMjzTjdetgOmr95v575uW5YneH81QaBV36J008xpnFICZK0Hv5WiCxHkjpy6dL9 7PsZzmE/fLnFMvzQ9EpZ7F5/+u15dkHSvIxv/D3XXqzV2uqKyPD25sVcvf4fSroyjlO2YNz//x37 l1sZFj1QnYZGDUVTVR4g/m8cb08isXK3bgclkTmul5V5sDV/BO5qBfau9yZRFWh0TS0BGv5um4mR 67+yf2qAVnLYdQ3Xtam60JBYgi9T+eSUsW8VX7RegkzdnEC4rZ/eh/NCm0JhagqRoVBOflUBpy1m MsOZTviL0eaWTGytxJv6JdlyVUPKcqmnRqe7J9FyfGm9Jh9qodyH9puRY0px0YrouKZVWyJkNFLh k/6fvsf2DAso+c89xEZT9207KfkSzzQ1QmnJrXYGtIZ7iD+qRoJNd/YmtMnOhufEaBbzvJCk/0re o1+Rx5LuRvtgCIFVrWqv8IboAjgGolKPTw160JMaSaxoKK21CPLuN6XEf8KaYv7t+uzI754JaA75 IDSyNF2NpidIsQjhHVa1gRQn/buJoISKuPHbTxS3HYQ4SGn2+Uu2bEWvn2Jg9V9x0fkh3XCmHU7H Ve2eRxuILE5bU29WbK7vFOxx+zSB131izl5Ar6H6b/D+xSj7/DhjthaJh2+5lstt21gM0kHa94LW 9HaOT8fJBAVH/nhQ20pn1ZUGZ1Z8GOIeVmhA7VgQYBqWzzpu1GmGuqJAVROnspt2h/eJHygerbel l7XjCfZFZUBbowOx2DA3ADzqAQ/ToMgfp72KVxCcJicS+z0KKOfwmQkNnrBA2AaohfFmw3lY/Xwt 7vETA5pqxrlFvZdk2zcjnT0cPNf1JKKenHc7G4oS65e2ZouJt75pHDbjR6SN0HC5R4FlZjlIcTqX xZcac1iwBlEOP4e0IF4ZM5OT2FpAP8btlnpmd6WO3Q3Q7Ggywlh8p6YFcE7S2NM3mHLkAccWBxgQ Q/uRGSJ2SuecOiNBq6UWx92662C/Ao/Mm88HkPhexbzqwUXWzxBBZ4nvAman+UF1JbqgnqCfvCNC zPmC3SacgQ6OeyAxGrv6ltAxyZ+MYYjulqWYcs7l0NDwFZ+KMnndBwOpReXQpA66cxFGZLeF8laK fRCJNcYkkZsIEJA3hyovPfRVY2FO5nhZvIhy87yDu4ECpcE9dt6L/AKPxDi3eiXnXzjcXNnofmjD mEIC03oHuz4uQyKE4JOkJz/lq6nhbj6N0l4vz/5W3DKAXSkEPI9qVLlCAzoap/AFHEnNj/M+FKsW OPtyCaimpu1mu+PM8plVv5MQh+fgxZYyHAqFzUh6Vx3RmDR5vmySsyixrpT48/bqrtbSBMsFBeG9 gb4xm9yB2tfByAU7MMEwfTrTXrsPRg8trXSabG1Mx7qzgLYeEksrovW1eF8QOopk5tGLa89aVZpP BexwLVRMhY7xdE7NpIQtwtHmE8nJaYku/g5z/Mz8SaHbbGpuQf+Yahvbf99bAjXL5EyckX4sCDgv Xi0EhmE0wrnJ3z5GAdSA/4j2ti4sIoufSxLDNEiwiFl6UJIdXu5U/GMrmJdAGbTBJqze7eUA/Cem 55bHuFwTnLzdydJqWO/oXeSPQZLpNGeKflGj9w38R8gdOnqhsY0syQIsXSovLfOjzR0Qonw33Z9u mL0GxuWYQXbceVyedX6EuGxmq2GJYWRvIDB86IPd6ePE9l43AeY8SiMSmncxt6F0qLsTcxV8N6i/ nBvl9Q5VAqSUMaaK5FkGRd9Ew+4ePyrEaNkwIa4BkvgGslFPan+g5IZGFQcF4fQMBS3TL0JH6H2L 3Ga54jeGfgHAllIJsMx/hsV5AUsQdus0k4kurwEU/kc266ZaUt+35kgNbol2NqRiI/NDhUeUMC0r yi8SqtCrSNpEzFIFlW/9FFbdF8sZuiDte4Q0hlqlKsWoi9zdwDX6KxGBOCuOha5Kks/5NwZnB3s1 3dKEoGZEbW9Jq9z4CXW3fwchOeIIWyzWBo/3TtzezDvNflMhaFR+dfN6Nu/a5p3CJEhFdhtcEcUd CIt0ZnwNormgoekfhZzmNWwHe4srrzXKallaqoUedOWC9u3tBCeCx8loP5PW7so1cGQh0biidAD4 /Nuw7SXkriLOrD1wwJ838QUnBDQMotqGUbJvdXKknK1V2+rmBMGEBGw4HVKU+vTAtul/SkC0gug1 8VssROFlmZOZnCQ7xUBf8zQNxHAMqJP7p4X9o1BlnTPQXCvHNUxKpfP+tf4559UvWL0npdGJFIcy lAnowB01rlbwXW8PjA5qDFCLwKY/kwOgxtzTLQcuxx0RTn+zcHlBUllw/J84EDvR7tkqLDBE4jhr oLnjMzZwkF68WaLCSy8GkT7wkw6YJSSBn75Xf4QjXHE5RJOQ1hjdWcF1rkeqgXXGavV+vDCzbsBy D9j5CtFWcFPCMHV9nQVeRYBssqQOc5igxWQw6ykDavbkdxmwDvP23/y0+LWicrOowcLh4y681XIn gTb6s7Ekg2yA4Y3X51zbRCq1vcmsq4sJDARsX1Mtj+Xzli2hMofuq5/ZDcehyKvYRTO1fw1w2FKe zCYH0DG6ZGSW9geiBUnluVahqDVEmuc6m+EDfbKUqrNqlK26OG8DgZqRMfFQX28rmF0GvdiNh1dD 1b0zcPkkRWccmF58sAUqaNnF2JwI8ZtPGVdkcFBlKamp51E/Kb1b4vxJkqg8tQCxYYj8+mT4/Sd5 dZ7C2zNUuQUj9NC3EerL6RwGY10+nhZmLDaL8KBwMobNAEKuqjl4TCZUIAuUdrhvcZa5V0r/lJAa NwojAiJafUZWVaIVEzShA8gL5QDNxrD5MV4XgUe2y7WlKx6UxJdXXTI3mvDXvpU2ZPU2NX3JGRdE CFOvHGQ5yxbkmbDD4/hIuEFITt5v3AGnC3/ZtIb+gBObg5b7JWXKMV/HJNS8ivKrnFrzNxFZhxkf aLpx3sbPNnZpiFpXwhdEH+6qseovG13LyfUmMzRtnx04ZtBaCZYIQwzgTJDDuJhICA4Zix97ljNc 85mRAFwcojrqG6p4xvaT+8tavFna6HF80T7Xi9z0Zug4O2+QjLz9cxF/eSwV8uXTERKqgZgqC4VN hJCvW+4FCFrh3NqRC9g2wdA4M0e5EUjRoDgvFse+bh4KH15bloVwzebQPShH61HaTxwLpSFbaS/g LDKmtZoE/RRzNUiX6/esaBrSbeoZGt4YSROQ9SW+pVssTU/Kl07hVR8W9m4FV3g7n46W5My+0z7t w56nTHknb4kMg6TNZqTCDfkw9xxO406k/R7hfBgUbFrBnLWS//Sw1q5D3ZBq7o0rHYMnzWzgmRgo fOfyCGhZBHrS48KG12sMDUPL6/nYY8kRohLd3+A/PjnMv8UU4iYMWjuQazp9en+i0JwnyE+1lzKP QH7w2pxtwxbdwmZ41eqkxAPPH98oBMC1sftdcaYQjyHuuYy5vIpmjnLwE+607x+Ggxyhn30OrvQG c2ClyRja/K5xi5bwfjcPbYg2EY/G4z7jb8PdBgIhAMLIang/7srjyOeagLY4O/OD5uKrSHiQuHfb VCa3vmGuHMJHA/+hHZeEYcYbacQnlaxGx3vRl6QUOrNLtJCxa2ODUefQVgTxAdhUVBQ/vrkkBot6 DpZ6WtNjdeNEPfjLpf2KHehRoe+fYIw3KJzrfJSRQpA2zp332wI4sy+X50X3c+luE55AAF0jx3gA 6C1xDYXh28Lk/AHzcj+WLKHNz1vmonr2pfKkWLv2D5txXtRDTEO8sB+xuMRnCr8K4Fd91zWpJBz3 Ytrs0UylEK/ZEH+Xcx6RyMulpUNnjfoPBOzkcbuzqb7AEr1NPmnlZ9lsq2OazHLvPBPa1hjdOpbW WbAzNVpITYE0zn7gbj92IQmaSZ9t574j1o0IVFfoD8w3nYXLrA2qvUQob3EV2NGGIru8xGTe272Y UcodFRTEQDHu4Q2CcZcE2WjcqFEmVswwAXDoiG2cBaNBND45BXz89rI0a8Tv3+SGQt5JzdlmVcQi vCrserlABtDFxmpVsUzcCcH5k6euijtODvfDb5BhrFqb/lkJ5MevTrnxnUydPWr2tKo7phs94ovI grzG7anaTSu0I8unjixuIxEaIFLHfOj3xLkJKov5TNzWRtdCT/5rFQiCbQuVUh2Rqfx4gVevSqv6 aoEPjpS8JxV4jUksdCb1AoQAvtFdlubS6bSORgMX9D7tkGjI/7nosnu6a3rEx/lqgtcTc6/AwKza y8ciNIsebxe+Qgu7Ywf6hQ9FIsZ6hF2JrqROfukov6GBgxgXLrRU8IH0YQ1enLjy+wdWIDHwWPl8 x2fmV7vUzQyBnfrI01m2u5pIA8CpTliscRxdqs9oZyonzOZuwGVqhXL6Q3Ke1rKvOwZtx+8vbVFG 7oTrpVuRneX1iy0acjrGpomlBb2bIOIPAh1kOBEEfpHZ/7wBIPhxwgDnDDRIlRjR67pU12DS/CSj ST7YKccZ2g3ezba8x3fEq+wHJ+Trg5WdRBbg6RNJmVybtTnrY1WhvRCK3n+hTiH+BUxiNkFd71dH vdFau5DNkT0Q/kfRIIWBDCE//xuDJijulUR2zp+/nHCfGSxyXYHxxRMVX85bJ7lHQs+bpOflXU9Q E22Mog1KYhW7ph7puwZxEo/DmgR5Mt/PQU9meIaX0YN+QByQnEl+QZZ6Ad/lPaYHEORkgJQXfK+X RAUTxoWZB2jfUy1ywV+dmw/3U2s25Mn7v9b1aoZZIVWJM+Gv+JOmF8N+K5T83PeCPgVszLI3UNYb 2/ihPvyONXEQZs7YA3asXPdMdG/o23n8z82oT2IFrJBCvObuI4H6EmVKNMOmxBk6IVyJkxAlt+bI U9bOgubhJEzEXHkZojAZBv1W9A7L0LVqWdQo1QQ02CyDeaBrFKiFq+y1GwGPT+3OuCx9nn7Tpuqu BzF99Y6Bd+xsekaFc8wPG6/JT3iyNNkCaEoMMTHmI12JCh5T/U3/2Kn4rqSs8vGIXcJkg7jDWu2h yEFaAFxm2iEvFDG1RO9/B1eOnfCo3MyjffaO59iptiOPVOmLXJwTuGi0xFd2bl4C3NmuTkZNgASY JxJ3B0bGTOw7WhCtDlY8Iw6TMcfPpCm8s69vFcq9DEbACmDYQf1DvNiwXRIXTOfWzckaDcK7tdLp RUqRfoPwP/nFYJwu2LJi+XLg2akcHfIqtjE1pu32l9dfPsZfRKnEKhDmJS9NSlVaaIXJTTlE+RJa nwi7wgtGEshdgwHUYpzu1gReTs5VDdtiQhPsTmi1MReJ0KJrhv7CQEpSuKrFYKkzfFwQZugIyTM4 OXyxyYYu0ToYK4WJKRUXsmvuaKBSMOLJqxHIC26fZL3+LiptCrtMOupAiqRRF3kUtmKFCxj0WiE3 PdF4LshMhtI1K/uK1tLkA+zciPWJQNwWHltELNrCUaelz8pvv/irfszKi5lnYFb+0JhdAoGKtdNH vqIgP7PjnxUHr42/D/GoxJESmStesvT9wxD6gjBVdELJSVIxhW6iUssQohrRgZkBnO7ciVddl+QX jrCiWW3NLWithM+OqHCm2YivAmKHXIQFtEmPRO2ci7mXGM6swQlCO1rxKq8DSbe7Mg09Suu8bGtd npVij9d4/N2myhgt7eLX7tzcvsFXgHj0qJz1fBZe5XnTMRqlyRCnH4fK/cWYsDjYwXsj8v2n1JWo 9gOz+eVlYzJdlkYdEoB7n8yWbhmemYg6pgv0B/9uIpibMSEQjpsEDXtNyHITn6gmyb6PsBRcNuhl sGfnR7p4TJfKl9HvN7u+3RKa9JKyXrSxX8EV2uf4gQeWukoC1w6n+W89bTBnZa40O9oknweW5SKW 5WRa4G8ohdYIdHVS9fmG1xOAdYrBnP75G8HppYY1p+M7EZolePNgMB55TS78XXt5uJ+umM8tVLuE uRVkYfQTHQirckIf0ONkRCHdnhqIJVXkdz1b5fMivJbhI4Iy5Inx24X0YDvXdPvizbrcs317t3vA 67NmvRY9S//q1VQLSrAUGIidlm24PA4csLE/IaOg/+KxUCQZPUB9VrRKe8f6BJityo2HU8w9KS0q DbKQilvZGVf0xI9LSB8XMdK4rubTqt2LghDfhhZZ83gaiY7wlKddpcATCxjGn/EJyqnUiQ9+CVJ0 HpmcFniAjaQVPlSu89yZSpjVJY7V8UqABr8FTnqmcirlU3yxBUxm+781gz/0JScRZcJm3AytHMcL CFBe0If3Imopyc/AnlZqaJ/veZEuIJk0rhmGV/iH25iedXRqXWO+LIFNWRBzlcTQkpLMYJMPK9qw Jn7mmcd410KcSx2c+7WyuqNrf4SGbCt3svwnosqwvIKhiBvZqWJHSQKEA9VMiBEovIgeA9bbgJp/ 4hH/K8pHc56KO1/+W89ERTrdLi5jUTF+KRK0En8+kR3e8wcZcokGPCFLno7J/Z53a23u0riQTiXV hOkfOxiV8+XMaiFqTtv17x+qk75sOrG/LK/TSv9ME3tabggE1N+9tiDDhfmEe8V6ZntA9zPNyiX5 nbg/AZ7K0U8Su1EegYhNB0kI0JlFC6qzu/xeKwVFph5LHMQEn+FhS9iaSWs6yFWzjnkZhc09TAva 1Mk2OH3Usa77Wml81LocaiVvBS4I67EWKARTlYvqC7dzq1+1IqAJTvAwoH7yuIP7VHy8vdlKwlHv R6jSnUGfDWQY82Fx4wZyFSM67JE19TuwoYJqyqqL4TkDIdPyby7lm0tdJCHmRVNQncadYoEfIVpm /EUlz7Hex2fOeCfQWh8Uj39BOAAwFDc68+jaKPnj/zR47o8F012gDcs8CDPVKjdhwWFd3Ah+VkxJ ffaPKEq7OkGuV+xBsrbtdgwsuiof8K2tUWhXn45GuRZaYaJmtEiesEE4Lyki0uSGsWHYn/6Pvg7E ORkcwOSS+K8EBXySvEdfu1DJ8bX6xHYUGb+UFl/WNT8wm1Tag8lfl0MDiztULU1UROqSaUFLYg7P C3CmaFoPwwaEGs2q/FzzEVK0R6OwqqURd3L0+aSETGhtO+UqDpSM5its7wQgP9msmSPSIPjRhfEK qkBJjXh5j8raoUjZnT0cmVgf8HNt3gT17v12CXLLI3SVeqv7JRNQePDjpdMWczXI7CkDanl5k9LM w/+5PGYlNESMqItU6KqMDZJ1DYt7w1kcqpj1AyF1PmNix49FQL0IIBts+HJxeiH+8k7QZOejFQZG NQ+2CtePqMgY3Gq0m3e45EMJIJZHNLYQc6JxWMYhXj5g8HiNePqz5kMg05iavGQchauLLPcYswcq 1zjqShd/tTeO3IqBMBcwpC45FpBbL4Ck2CAZDPTIjqN13871zize1gluXuhZBIBJmX2hkv88Q6na DrQjnq1uu2CDJEE3LKCL6GLeBZGF3B3wWcFI37LeqAPsT6iNnNcBx6QQLAUDMWfsuHyZoHIENl60 0PYEnqgimd9lxbIyjXXLDsxXqvbp0G9cQYuoxkZfPUi6X+QZjZSktP3xofXqKD4Kcvxc2rIQLRdG 90lpFfe0yl2sS8jArDSEn+dLIf2koMdTxhzPTq5vyXJVUwOc9Bv/BfHr/W2MQCCBEuTQaFSkxuOc /gq9ZMmkM6PXgTsm705ZnSX9qO0uetCJoa9mXVN4+UccW7lghr/QGMIKcG5z8veHLuAyo5w16bcM BQnZFE32Di5aOi1QktNBTJ3j+1ZPCAsLWb8Ebd3vkd1pcKQuroO+aXqJ4qkKdcOITeFpQT55K7nV /19EQObkr36mrMfLtkQMVVsLZHZEWqF1Oddt2pBh/lClmC+MQVnGvjpRTPcH51ZOMaXGmA84OAKX i0N0E1COpwk37UB95qcCfiEUA5ycf+pDTIQEnHfZGchIqPafW8iZqadl5+++8uyUHX4e7XQfSGwm soYVJt0ggmqVbz0TmBWRW3RVoeMKC/6sN71aRaotw2yjroNWbLx3SCqin1gILMz3VDdRtnInfnyF xlfOeOHcVQ2914Qx4/qVUSwVLCyLVFwaD8d1Me3lYaD8LnHsZxoFRs3dixFjOHC0IcQ29A3s6mJ4 PlJDE4RrCkniNeN4wtvlfl3KaqwvWpBS6YkPkqtt/qZZAFnpUnOd8xoVtCsiZsgOK7NoN5AI70+q 9CrhDiGG+4rwjobdtlJx+fxmSwxbkUgNW2enKeSf4z23wb7i2BjRHu8VRHad0lx4R+IfH3Pn5Gt3 o66vT6dW/486JyW2n0D9oal9s+RIYeI7axP91QLg2GMTQBSgx0Q4zlaWF8DBvjW+061Cg8w+1ZwT JgtPDYAeEMasQHRaxReVHkg0PtBMoc9mm7Jl5RYQLD5SBuk3qR0dq1cfcVZEuu5s5AeY9rIv1n1b Ti0W5eks6H515gLKy2t5hVJcj3xqB39ad3995D3pMl/OUJcOHkq6wHQvI3yKFsWMr/8IDi69vTZU 7IUIoZsilz6qXJEdRpJ+Wd0xHPgnkvi8Na0tRBIWUSCfP8cr7mAUwpkDRKwSL9SS0s4HS5H5Xrrr hKKs138nPohovbhCwRiCJBZ3+5LSiVmi6Lan4hEZy2zvYACwNCnDgi6QlwsdkdAXHqQI2mzXx9HI TxMVb6FVoGAeCIWpzcss6g/QDrkvtaZfIlNC4M1jJaI023UVRR71GkEgSOQtKHgV+JWGQakmPM9F oHTeaJFE6vjo0LNe5507FPT+7khzhDpwm7RjEmvt3YMjrsISJHuyL1Mdhjt9ssGYZIDy+lC8rCs8 FTfOKGAjFfqW5zTl900e6WsPq4cETnHhJ8a1btTb1+/ziFNlz8tlGFRM6y+kl1wVvDmyDASjhR4E 3lxCf5CEuTq2Jo9FptwpLM8Kns6QbgIqrtzY7yE5IGX0xV/Ewa/DZSHp8M7zXIRdNiCS30zYbFEX RvBVbb6chV/3LHq12zfnzxoPsLWxT3hKihRFU42qBDl3NKAnuV+OaylhmkyIEOH8EKUuL3R/5IGE jKmB3p7yoMXR/8wzazIXvK+zOP55djh7b8UK15yYHZfTe7qMgss2f7Kljueym4qxkNuoTyAQciUJ ZB6OfyzAhtTW0LeQ+0Jaohxw1R/XXo4salPkiqjl2bF/zFqM2FpzKkeoh4Bhn6GOkXKAaa7LBkch avTikfIvne1l7R7BdZLzKnOXVChP8oFqFHE22C86rQmY1m9v+b0mGZpUhh+VSz1UoiRUZih++zje eriQjEv3wmBQYcEyNH+et1erXbt0D4FRF+taXKUPQ0L1Pm7kn22VOC7QgztuTtjBjtljmBEeQo8A RVQQxiy79Wb5DbSwgWRIVEBivYz2ZC35DXd0OOUFUt9OjYoRZExRFkuH6p6P0LvndaJAuhJAPGra t36c/t08hgQCQ/fja1T4SpzhPUDYFKlCX25HYEPUkcilNZ8j+iu2ntN91h9V6525cYBl0T9pd9XG Vb/hWf+m1r4lrHj8pI7WiJdvQNktX8xDpBQ5WovEo1CF5H3IJ4HBGfn0TOo6ibSbxrIddsWyGRCn vNABznV38TQbjWSsfhFhRrw2pS2ZLYgyw+0fZLB8XXNwk5YCXmQ8fS26GCi+RGIVEXcLD06Dkb0K Gwt/ynV0p3eV6uBWLM0I5dOXyxGF0XFsRjGE6uRU4RAldoZiBrvzSimE9BSW6zvf3KdzwHtSLwAX SJp90SMbA+IwhLzYWljI97FifsuK+cyOwKbEJtXak/PHv2lWzjqtL9eHQRxBLgJGqhhgLqCo6QHQ m//ky4TXBOFrxnP8LSQQKGk7qg8fvGU0SCqvCOs0LTAoCBT+2Ftcb5LaIxZD3O5dTsq/Ey1D7O9b mKhTDLX/bL2hIHd+47PGtXOb02pNqy6LN+HR/4grhBMJ55d6CTJwovSWgzT/dpDVwFpR7UGyxP/q umj4ek6Gg/AYIxa8LxSo+dHTkPPTzcT0ApbUJi0p42GYWnwhoLq7qDUAM+Pzh/w6xTn2Vz2W5eXe fzmQWczZuOGuk/ehhpaKr+xc1jAPW4HhCa0iIQARidsTE5q42WZDAvOxDYToy+kFt/KbtNp0H7wI 4XhEEKp39ynO7l0vAXxc1Y96fSqjfyDsALX/0d5GG5BVb51arPFTkvhl+K6e4WSSryMauB3xxNQm +2h2mV5pZicCaTssRW7FjISICrelc+Yd/KDUOXRSeDPIy8qOSZeAl6j0edV2cjejUfYZv1eks6cu 2V6zlZR6TkwR3jRGDQ9///d2P+OfW/364Y0OuUBdx0u3/2sEg2tqYkOQ2tb7j8s4w26soHMRWIaK q/Pej6u4wlcg6JliM//URD8X4xwBRu5ZJER8mq1Cc6ExK46iI75HPvh4lyRBrmyUA4fJHqNA/kcq MJD9txMoYFCc6qTpLPyOFWdIssW4zesaY1TQn14aoJtxsVM6qC6ogE3SNBK/NEbu9R89EONvQoFI 9Rc4nnLLYF1gUEEDxz2p3brLlEEJNhIr4b2lt/ZCaprAOXCKlno4MQfcnZuSzZ5JdWu7x+G7Dkbo dlFuK35OdC8aC4fvnXzjdc4uEVboPfGoQhB3s6HBc0xWbrWBykgzOGOUqsB4vpZwNNvP/91WW34B NhTEOgPj4nqag9HPYHhqQOFq6FDXJVDEhxsij6+7SwB3Ea9u9dPrpCYHktJsCp6NSYNBsFHBWROQ MxmlbAwx95cI+IvafGsfJatL4YskkIE76wbY6xqN3szpQeXVoeNLmfzy47cPG+ssIZUD1z8dQaUt for6xbV7QzhoVI4BRi62nI0JYpmBmDBgRixN+4PePvrX+t75JCJUW2JAXT1zqWHeVvxqdX/yw2qW csokaNLFoOpUsxGSRlZrgNBSpG5lYQAWMGlWas+NJ+7mMwY/gcWplD2w6cF8AEP5iFgi6GJxcDpQ 8PQEc57WgKirzKustXFWmfrrLhf94oS6aYv0MK5uKX7kN3gyhyyl5mvJGccuvQ/jd9HmlwyU2jAV 9hXMYQ7wnnV7bhBXL0W3SJajoYSH+kDNRvII9ZiHVfNV1rjehK03moJeAEBwm9+ebYUQcvq/Qebz puMDcpm1XHbTt19fMSWhqMdZPBICVgHoN9LzGEOUX0rVRFS61XBeApihpuOJGLiwmZI3SxwRlvRs APE45a2iyMKI0/c00eJzTM1E9qodMdI5+EmkhsxMoh7PEq/o/9TjRNCP1j0UhgMn7T8A+aGIBtfA MdNRYNvXGbQO/178acZkSVtFzrT1SCHihdk63s/ChKQ0rsYDPVyNQzHnjuH3sv0blNPNyAc2O5+J 6kN1L+jYJ+Rp/WKYKcsn3O8HzKR1wKT80MRILHVhayhW2hCeJ3AI2IPytLrLXE7snbtD4WJkSgCF +McEDPubFMcncQHuPGlAvFSBerhGZwNJ9CaLnUpExS3Ib2hOODVtNF6c52PkAEPfw4xyT5PIFNrY SF9cghDrKdQwq9rERvYU0lWfacXAoeGJqZrCRk+5wd0KTdBmDzUtInc6pdLGbUMy7vGQ21E6s1fd VzYED2IgmvwHrBfT+3KnUualq4eTsJQBE9PNU8nKARcBfs6Mfj/5RLRiqZiIybpEj9x6VLsBVOOT GkRXQ9fP55INrpVHt7UENmqODClKt3dxcrGDOEOwT8KuYVJwSPqYVwnnA63PX7gAgJejMc5+52RM CWHrAgicHIlouOfMjfnvhoRgzIvpJ47k1YXcTUAdJN0qYn20liW6N6SUJVyzqegdL36ei3TWZAaC EzqI9B1JZ9vFsukS0h9eigwcMvfxlUvzuSxq/zomDbbEgE8m8siVtLLQdHgm10xGq05H7zcdzbX1 L3CM3bitdLVTD9djZcdHNvy7hF20OCSmx3xXP34H/XR3WxtkNm0d0peF6MKJng+oTGFjo04vvnrK kg6YBsJ5SWrD1Qra6AWojKISSd+TMHEMMbZRg6oNM4O1jrgYZb2jYDHo8i4VoCPgRWQXWXPHnQMj TM0p4SMjM0Igg/zapZq7wjGZ/gxx80F5B0k4UR6AfeoGfE0rMbnqyabLzNYj6DdKhXeogjhQlnMP JB5HE0oAxdDMLLme72FYI63XuwYs9LTqrKndr3hxw93Dkk+3Llb/MVuyXdGbIyeU+prCc5/iIf5i aVFjLC8tGPpAdTo55Bqnxixbumv5OKxElpATcjNlFk6VdBwbk80hKKqjqDGKjMy+PT6WwhZtcQ3o hsIkOln/jdLcgzSurENoZAb+k8k/sPPpwmFf/RPDD4njCePh6yiHFKvY9sgtWacI1j3XiP7N0RlW egp7dYeuCRUTVZ3mSnU3c+lgN6jKtP7ZMaH+E+DD0kMakC1LfJZ54QY7T/22eymjWKSnxM1+uY4N dEZfzlKgDGcdAhnrZK1m0YYhLLe0YIpgqztOaWYoEkysEhjbh4q5ueAje5r9VdpCnFGJtUHjP+6G vQCkdpv7xHtpM0j2rQrl89zcLzJ8w/dkKij+2URx/F1XvkaZnoeiVo31BZt5IdXusYiKRyG7pMCd YWUHpROTgQrxYzAamzv85HwBf/8HUOvw8e0LWTt6ssd5LGuXP2Wy06/22qOFU6YNc67AX8IXcB4b wc+AOhPElYki50i9fefvFoQb2gyIFopFRP6VbC6WaVdMyKHXz7waLiMNnyKUuAz1VQEZs/IyRAxE 372zk2y8JaojorloRGCVA9RcmhywMMzwWRck907CD1r2RSWUgxhasWvUnVgvr8Zg7HKj/miVMzRM aWQy1EMPGz8k+VzhArLP2E4aQ94GK9hS89vqHm+PtSoR8eoPezp4Edzz12ewToRuBYAP+NrXGP7g XOpPGs+pZbwSFvm94tRCRuqlGe2y+rq1uuWz3HNysNGU4H+Z+tyN3TMgQAxJ+19/+uVEyn9zF+yy HtyOus7M72t1IlMrR80O6fcx/BP3T2sMbPUhD2oGbKAQ65Vf2r4ZDYKwRwgJ2trbsJZMwO/ppY70 enqU5rbhOmTO4XNyTopXN0nfKWsovxs+hJ+TV52p70c7CD6zzlRDn6KdY7Cj4vtjQHmbGgwdLtAt wZR+unzPWnyyJ6J7s9k0wicgJLF47VWuAfLZa7RODdyTR1TSEu3cqEF5CwtNnxXQtUUXNrEh8fDP fALwnVIbCmmWAYzjhEYO2RlFCLvb0fiohfc2ZmQc7Pfo8BbJ2Xu152O2v0sxhfAac2IbRVlIGJF8 TANXG/Zmsfp2WgSJcWffsharzmMIgWlVbfZGWO3+bHHsfkvYIjWVOIwM4JEjY2nsTnp3FqssBgEy EFZkQw8GgZhV8hrrQPtDzdqDH7UyHeX4tOo1yH1Yad3PBiTjhHrKBnDbV69AWjTuoBnXUyt1KInA oBfyDdGbjdRcCgftG/JPM2eLplDmO6akUfmDPUj/9wucFPQZ89OdfuP/L5YR/F3o2x3/c5mBvwAX UDl/AND9uWnCHVzTau+wEEEIFpbkvXnu+PZ5s0GmhCUFhUU1ymH6f/sBVdR12CwdxZIXoENsEKG7 Dvuz9mGrSfqLfKwk4c/82Yv6YJP6ZnLpUbY0l3iurWKcjDpKmxa/t5BadX5xSzWuzr3lkNW6ftBf uzp/8QGDzSeTkDaFI9TSlYy/vabP7gYKL4GqP2kZDhMD8Z25lHdVHej+CNfFPInDZZPEZx2XQbdR nAAjv7rxB/i9N8/r27+Lk1u8Zt8PUSfE25Xlj66R7+qfpqMaGYXvjj18/WPCezRiO9JTlq5ggOai XvYHSa9N4CoYT0TLsIxvng4OsKRfbx3AZFo8R8031MySbGYI73qTRPjz0aliV8wS+vc4iyeM7PyV KrEsSkKZfXEbdd9Rp5iot4VAuYdUznfWHQbSys5+8Oi897n4DMr0gCA1PhgaiRVe5D+m10ktCulM mQYXirn+bt/hkuJDKKVnPGxqKdbF+O4DyJlMw7/didVfMW5Kq1CHoYxNq8T60QS48VvjLkaK13aF pD0pabM6hXTA3VOaaNFIAkDGsmHRMvpfr+ncnWmMtwjC4Cf1QZwJAFc1olWxa4byAX2z4XQdh96d V8jmG0P3XqbDcHqmVoC83+TkVcrHXAXBoM+GcElOmh3Q5P3OfbyAsmDnv9UyZ2zXiuBqWmwWAo+F FcpzmkO9QOG0VtZdYi5AImvQqoMDmryHtmvajqu5aGJiFJhxqD2f6zyGNZf0IaTZMJiadDMcLiBE 5+RNye8Rvk/jMTogAyB/m1D+t9gemrlFvZrqEZo0HUtzWiXrYRYki645OzTM9fE07pd7KxExF/IL cLawTuIPi4F6ANExuRnkoOkc/vStCU4LUX7R46l8Z8A2RdcjIu3fbLRFO60TJaZclRHWG3ruwMI0 PAOB9gv13hVaH91qpb/mZEpEGM0odwtelswzGiploBfXIkCnryw6Hs01iGZONYKtonWZkTLj6G+l 4eEuEL7Ar58USz90BRmLzdbrAYj7jVKGdhY235HueXU/kpOdAMXmvS1SvLBGLdvDB0SygYoJI8nN 3yOupiacvnVPMfGs/L3fmTuzs4Sa3/aPMXq9sizkZfMPHEYsapSSMIWaA4rBsRFlZKytzl6YRQv7 sx61XKH0cyZuAeSSR/F7TSNljf1ljXJDPMueVXV7qwILKeUkx1932LtP3gWMvKxiiCv1PhXPkrld GJasI/rVSgzAFf5nCEj1aL00YrZSkqpwdI/eM24fneXdoav2QTjxOxW8zbaW2LCcx1kkRfIWOpP3 M7yl4KmLWiUtIIIZWSG3nKuNwCKtjMyFxe2hSAZcAeeCXPv4m2FI4Phki70CcIJ0QAfHW+Wq07S/ V0mtiiIv26tEnK878sJ+w8V5jpSd54TKtMDmPp5kjXOD1g4JgzIQwb02zYprDXqUflmksE5wGqNz vxih5Huly44ho5deVw+9pAbB/bhSOYLKq+A3/aT0DKUynEXUKXm2RRuNqXADRKmIuQ36kPkujrWD z/pCO+LczedEFBeKDf5pzZCSzdXH3aawb4jfbLOfash811qqz/xa7x7FpGFRXVQdNjKucmVysTUm mhE1R548OliyNhhHwW6h4C0FxGqiamgnHzSoetWfHG4/Qg0F5hIcDxg2CnVyURryzweV66ebW76y 70afQ57N95z2n4tdJNDJzXnh/7jPv2B8LDV1oAn17fiQPzeN2976/Fz831KAPpq/17lb2WJrBOfd KLkgTr/KFhsySGWZQW9XDK12lXZGAW8Z6vv3OH+CcwQlWf7u2+I+6zvHGDDxSkoSFsDdXmNMjosO LKyPi/H7jR8aKmUk66zuiqdAD09HZUa8188/RO59OZIKq6scai3W8mxmL0F9wS9SfPcSQegFLuBi k3EuMPvxY6fNqUU0l6STv6hJPtkUVM27+0vFESWkDq8gSm5wLsvxcTN5jNZlEyO5fBNWE+vWCBFz IqVVsdTDiU0jJOp0/powgE01Bacn6CRa5gJvBxe2cBB27L2OmlKjdjE8L5od+W2iyShi33LW0CAr wnjuWaJODNJfAomb2nIl1d9SolVJr6k/ezC3ldbHyjgYX1q6zfUFXJLwMrqYT5eUZzhCuNJDm1+d aR6ZcZ+r9Kwzgt/R+0/lmJPOx3vKiF4j0neBWBj265QslY8J+EPmD3nFbvhKkK+2ldjV+M21Xpwg qvjRsMUjV5iJH+ayuQi72OhPU/h+zFSRNvQ8pDjLl3OxjoD/dC0LnI+0L0RCWbNctjJkqXxBkbVL CKs9jXd3Lt2ccPUj0CWvSwN2BWyriJNi5TZ8bX2VG1pPfYk0KwBgKWAWGSdlUKrdnmBABgPEpcSF d82PYDDwP9CT34GxKNIxmbMwrMxHN0Ahrp7o8DkswYMk0fxfXiTH+SirKisfIcqZB3YAEWRQrqZD bwfXiXKQdlFhnh6bVwct3BxnSJr0LQlNUvkFuGX8LQ6u+aWpX5hPFLal/Gxuth4FVxu/pqXJTAYn oOdvzUnUxdgy+X+ikciKgNZ3wR4xQ+AU15H+xqTXs5g5T1NwNoW/Qh1hwfMj4lHWyRvVSv2wrXsL BIHLVXekYlg1UfSVGkG9Gns82zcIWZXnjE7uMzAthkhnkVVbB86vbpFLzXfZ+PHEIXtSUb52C8NM KC0AWeD/xSdkedk+39kyAh3cMMYqm4YbP7ljs+npG3MSMS8jjA0Xbo6JZFQDpTPaJhqB1+y36LJx 2Mc/936L9CeT1Np4OGfuEZGwSSTEsmNSrsBFtnBHEIRsLNTPA3trOIIISSKcJq2P+YBL/+s1Bvp8 1G1WgyYMjJpVF8zZaO5iXMU7lfPsUAvoxn468uHkfKWNNcEJJJpI49xtlLUD5zJ+vDwcVPWUzOg0 Mq5tpLruvKwyi0vHqgtEBYB8joxsuE34JFriu5Wp+qfYCnil0XW9FqWdN2SYvrXUud6pQiOrpt1k HJZ1Hn6n3GfxPBO5PAgeaapJAogbY8oBHIGft71JbRwIYo2JE956tuAGjFuTfjg+6hhyfHZE8tEr 7OcS/hEwbdKvBXzez832DZtcArIM8Zgq4AlX5IdGrb38ogoeZkVP5pNjHNgmyXScG0MBmKNtNlei YXVO+kI+/5U13eArVvvU1ngAP/M5NQadqLG1e8nAI0Gcrg2c56XfADTHPKDPCQ8unStJpQVLHfGL 0ga/cEek4XEDTYVwE3a9AESKR365XqBgUjOz+KFsinLrB6kpkaOi8rOHy2UnbDGENIiws3UEW+R6 GkhbrNw73l/avELPjirc/SEUuvyDoW1GZZZQiNMGXISFH/7wJR/cZteZPZ31bBXoeqdVG7GOkVUe FUdOPkfmtxyAmOnUepQGlW/ip1p11wBkTgRS08bWrh3hgUxBCvD+TyasUu8ufv37DgXg/g3HyA1H NID1sieoC2oOndMvNUMk60Rycuhc1B5e4l3NC4ITYbLYaN6PL64LtcDgQY0fetHc14F82T+ctQrZ U802YcMS9xWnSIwPkq6Be1VQ3q71YOEsYJw0walIgIZTQ3ijf7RqkTmmf04uJUVNq7a2Ij0glhcw 9R4iF5kG4Pczp999VJaWLfzbHIqxSZcf7sLrcSU3rw4ligAsChi/+rSqYKWOBfCRQd0AynVt5Ncu NTDeW34m5ReH6nlVXrBYQo/VOY6gAUTdD5e4jUmLdnY48iVFSIuGPdQIcMRREpwpqR/5hlqrv/Wr 1HxYRrFhEszKn3lwehpHo9IaTFUnSDPcH/HG4WjmCbl2srVrpYiXpRGd1jFiTs1sAMkdbKlayOY/ lVlHBUax0D55yYfzegnDSf92qM4e2enCon+N+oXe3TKYFyyTEwIX/65F7KhxIqJg39XY2ykEEsWB hoeFeps7LxU0vl/1Hgzi1bqGG+vkYKb75ULzo4HVLVEJn2dhI1NLp4YG+lpQzfkJr1pBhi6LLgnr +JLITod9MSH0HYSZGBcLXOTZNu9nA11DCKXdqNhR64ryi0K9Z8GRzb/0CMxxXj1RopvjzZVO7gXe RKKRZ42lLslvK8C7PY1P1itGexYjL/rsAjUlz2Yn0o8r+h9/hzm0SiMNsEpU3DlCOJhs0wXzlfxu 7nP90QmjTGZkmlYZM9qlB/OnFxLOoYsWU5fDsiUQDJ9pIw67Fp8O5yQmU/KJPZrGIW9Jjf1bDd/T qqBcfJY1nmjlGqB/gFzaqIDqrrwVoJcJp7qkFR4FnvIzB4E79CrFpFf6UllMOxhzdi+iUhq7lQiQ xZSzjYHpm6UjvNBFqN1WTURdTdWZuyOku67YYNT8oCQP7WMypTmr0z1l3fnP38ATJLEpmkT5msSe uAZw7tNfclig5C//k72gRI17s0LwHXgZTqCKdolLc2yywMRTkrf0VD3npDQVx3MRhadU4yi0uNGk YQBcTdJ1yyvACydDH8UEKwdqMoJml5Qkdo0rWedDb1kk3iaziHnB+0nB2thXS3gpCQphDOlkiNJJ iGwfAvbjYxKogMKdqKJxio8zeEbhDtga280xKwWdLa88JCLPkEk/TRHj/IhA/jRS+/qd7CsXQMsm cS/im4Iwer5my9HEtNYIztnoYpfu1ZA5ZgXrBKmDY7C0dUBLQJ9jl5x7DbleoFwKqD4ohlfxMpEm TOSjjTDtctUeoy62eYAiGWI3byMGyJHgOVG75juQBfJUdNsga5Znom2EgIIYYefIQsTzKtRqY9KA AfeMZGkW/rSNsH+9eXWaJ9fTX1l9D5cld+AMUEq4lyC6QdO0L82NoIHaqW+VKGeeBbud21+eUBXb DaMU0D9iq63pyex8d3Y03PgDPSxaRptTas60YvXSeAb16maayu+ex2AqPDzGBBaHivVTXZZ0wwmC JKyotZQP9qMUSJXJUgOQ1wZ/9XyrICnZm43ZICLKDJNIhctA+Uz0ofFK9E3KcDtfaxegpIO4ObXc +srDEZYhZft3F+cK3cm5iMMuVhdCXO3Fs2gSg+OUxwdh/evJehInb4rIrMUX7JHos0GKNNLvC5tM ywo86e6nN11kbuRIlXMkLRw4rbvQg+suh1/ntdmqjx+iLLwTsUL1N1vJMwtqqERVmsuPaT0Ndmjr zu4bBNXOoMJxG6PMIYreqO/kttak0D74eapWDUDnNrLEKn1z25q80ixaWbHC1n5lRqM27AgRSSE/ Yx7vEzdwXBg0ASnrY7opLknLNeZz00tEgLJ5P4K5byJX7mN9J+MVCSEwBhinVUjrcifItP0JHknW 11SZLYddfzy4sdU94S3IzGgIdKOKGUS/pK/Ifq3rswFncZGLHdLNhtuBmLzU4bytgTVht5L6SLjN C4WdTcbPXyKvs+xAPau9e4T0UnUSlTZjY+3WXnSDDhZ9hbljiZlyc45TnWeHt+z9ct5QU3EH5RjB CS1Sz6MwtPz+ozaXwpNDb442TgDOB+yOBH8IuBLoK3PtZ7fSHZwBALmH1O1WCW1yrFB35nfcoc8x MCDq1CSDFGd66Lq/DUVEFtuw3KWuRU7njZlP0rF95sZ7nZBc2UlO6ZfRXsUyUQs1CJrpEZnIV5S/ ePGmX7vDsnPMFtFULF0Iom+oNmH7uHWZy7IAEMEs9SjfsIC1mCK/hy0lSe1rmk/cbAhguAnoyC/N NFRJ3CjJaa0x8vz472BWUKRwG4XRy5etyUkQ5fcFD5cJjyrveSS61psLOJo3Ad8voM6oXLAJnMMQ bTqFndprsIFXpSVC7P3HzD6dTFHtm/1vKuXxuaE3JT7yRw20dX6x4PuCivGiDgzM72+IuTwuFEMm urNTXYYX50BHJqVORPUjpHNcyJb+XD5b0qxTg46xUajipz1NwSMxN3A/dJNrrLfNj/1sUjfpfV9J AdYcUEwPsYNRJzcI72hSDs7edhLK/GnRwxdyikd6e8FpWe6I9+RMx07jd8nyTnnAvLB/pNeV4lSP SWTEi8hjrD9p9jWRD3xi1hEQnaby63QcRIoACBmb0l/dR5dGYmSYdV2xjNvVjW9JRb9X5uDev7K3 wMzYKOnnNxynYWPE80dbMwUXAjRAbebIZrLnYzTCWbn5K//dXvi+J/FS/J+OMKhJVrCrPoc5fVOZ LeYD0LA2ji8I6uJgCvVu5VXCZ+UFxZZQ0XXmOXgmEvGV8/BAjW83ld9pagEoeekRNp7XTEyrNjKD 4J1E5y8GybjZ582r5yk6MdPf1mazGl5M/lEjPhkuHvVZOG+ROeT+HmDQwi/mKin/GBcr1cJ+FBhD d9Y/1QXa0+FlVhGf0f3lNMQ/AFTNgraaHCssxESnlezTy8elMwkdSafeOB80sOLGC/o/S+CyLljG ix3BjkOAG2vB4BBz8XEjW9fVS9udDtYnf2j33tvqtpEWgsCaR7u0sJSBix639eqKppAeWee6XIsr IfoDymPpWPqrnMjLVJQj9HMb6TF82OPWudABxRB6fOzVCHjqKD5Zkdza5CQD6xuzqrVy/seRPGmB SbmRkaq7+cB+d3TKgSeONwbuSjzbQBIrhyAuD8e/PbTPvSskJkMkMJO+XT/FZlDPqte03m5i39Nx EVV77uIftvXrw7CXTSBlcWM8Ktk0qj9lrbW10TpGK/R7uni+Nkm9tgku3PcyIrxEqmPdbnBFy2+4 9wi37EMcazRrM7Xh46f2GQs+PkFW0avboCwWRBNTM2bgCzfTZgcqwP6YNQUmOwVd9kx/H6lPX4wV TODGAf8UUuM7lKFyM2z9QojbY0gQCf5E96DIpZKAkrTdT5+zADkIN+Px8zXhmrGDjUglEd4lEKz7 oZq9MmD/75Vd0CjOpbpJlf+J7eF8HzFEYS3vQpYGmdERtX0T4ZGM9uHMf8fpEHrtpu1RA1iSlKNJ fwwtuF5Yqa62fiohmcw3fFsrOkiYeiKSae847b7x6Wg1AwsMNjh4CTy+9dZ9EodQi3D8nvQKduE/ TR0a/z1D+xK5fmDWch6GPAJwHs6pmmtwXRQF8kJaVOpdJTxHOsNFMkpgXPFaufhtnwOrCnUJOJo/ JrlUhJysfhuhrSl3nuebAErq63Q5JExSUPXdY/aWA1UJGqm3fXSk3dq/zaQ9Od2zHDVE2EEMzjWe CUeiTrl/dghzi64I/40H9kpLMDAnUIlejHETFUY38y++NwyMn0qloe1VkOL8ll0sCSDNq+h6GEJS H7/NHnZEVxUhjomFjZIn12t4KLuzuNEt3vTYkX4mPv1kyzUvqhKo8kaFsP8M0jX2f5OJdW9uoGkH F3gJ5v7pr5BiKOIoeM3fSkCZO+9iQMFaEsJKgpbQSsTzrURTQtzY0kUuF31RSGS0MZLy1dqYomq3 vpv9ahZJ0md3qRrlVm9JFUn/C2wmSwETDqT9thAQnk2jcP6uf2fpUp9q3lTE9tdPG/AtIA5SZVYD eBkwJ4fNjOkQCGo1EvJsYCHebe3ByJgqW5dQF41VpVH1ZDZd1plgkZz70jfaQ5tdmR7TXhfe9+z+ PdyL9iT9PEz+isReqhP17xeCOwCKrcChP4fMhEPeT28NGfCHpSfCjeMQnGBnaYlZ2VKx/lAn4RoY LAA26LLTb/23p3dNuPBM4jrl3Wq0xpTac8kpWQQ+Oy2YiA3PkQm4pNtGG9DpCAhqAIT1kxTHsaA+ xr9aeWMpIuxPcfZkb1dsYa4a+Hc+y9QRBb7aUNyxB94wwJsNOzXtd1Hbz/Dj599N0rvdXzpro4/r Lar683pF3c3d3pjQN3CsjInT9ilm+czc+MhPcb2DlPuOuhlOAjg8pbbQU74uHMyX2e9FqC6njmDP /CdwdHKi3tklzFeO3v0kCVJN0lgnwaM8fTIfJRaBTtH6gLJDW2hkZgfXqPPPqP0u5rFeQkYxLAta 4Vw2lCs9rL7qxgyg2p2RpIAP5WLcXRg54VEM9zhi+mUMB7EpNjy+WV6CFMu4NOtYSeftp8yKXfnQ mbN/VM1pGfqMTwAJiBTseocXP3+otCqeDAfbzWsZNOxe35VOTToFp/imq6+gc6D4WE3OClwIy0XN xYTl0JmVhPWrgPxwxfkzf56qQgXy+pUrGzhf8RsSJQDWtdQ18t35trIZSCt6M9YkAMQJ+J25l6OT N90xsSY5f4A5B/uZqEZzx8NcCKmM4OMWuqW4DScDTkP5NUl7zR/wxjceFSSg3wJdH5v1j+ZP32fx GgbSmYr5rcJy43FRC9Wp4yVvXnjD7TfX8xz/lxHNErJRW6QsOmGhlVtST77DmxJ5ZTBzHpIpvYAl Lu7yxAD5MedyNmYWmxU/CK03EJkxdSug/V5hsA4/fxoxukR1OvvRmvWYwr1in0vOKAtoR3tpDs35 EKHyxyncxh0YY7NwWSUKbuhCV/ippzZ1HFVkjyp7VgSo9o3KdLC46yXw3Yalc6IXkYr+b8O68EQf 5iG3SZTMNU/AX1Hf8jvjHKtOYqIlHqhl3WyxAJFp9c87NvNKR/ZoxHcS3zmLqO3TEhu536uxmGub 18SXA043axJLnQUlZcxXFYvD4i605Fc0LHfLUNjapAGwfgu6e9nbm6G8HJCBMyRHNr7hNmVqD2A1 1vTxW34c1IXlffc0ZVDlnuhsJt1iItyBggzME9HrMcwXz3EvZkLuj2KNrG9klGLBBo9Dyjwduxs+ Tr029Zosro/tkE9siFgvm14eNLCXY/DHQqVzgKShgr8Gkbmw+iYCduFrEdOBGk6Ay5Fi6fleJC+8 VhJJVwvQk7ji4wC+VEN2gLUgPgc49eoXYUr5QqTNzym0VxTKb12HKCZh4+vORWXojQnHgtS2aoMS hdbCyYy23ppmLW43QKfPo+v9bjPYwwCrYf4hTvSnSQLoBv1pyDebQinROTEz6vy1YrMIzY69MYIk yfhFJZJa3jfne/5Z6kyZxHBwiAZPidP1ci1gFxDlypNIYWAeVSfWfeceIolze2f/i24raDftCdtk gvQZ5vCp7dh5daWlRByNS0KCWVL6cpHhQ7n9E+Yulfv0P7dws5bnn+cbznRuHZr4WZ+Zos4Ir+Jo nobV4o3MmAvMn6Yi5JShK2/LALT9K0ZiX4kRh3NlI84dII/YETUkMjtn2YrQ9lT0XT9C7WunUwEx fh40PvYsxEK/2NpV8r6ksO5cYjPXPYj/NhnNzvQWsCMs+HjQDib8YJ1rmd6TO/lueeSkrARvSZeb +20RVCKH1Bz7d7umY74XMngV3T1xBfOpC+CA/HHecA3GEoQkmROLt8tM6cQWMfq8ceyWKxlsutnS H1glSTK1eM+1D3QFZshDuyH+yA4vdxd1XZMztqYt634m356FO/Fv7YMm3lerK9+nzZzkuOERQOcK qIkJraKqr0dbghaWzaRnE9Ym37atrZXZrWHb10hqYfA+FSTVYD7BOF7gWtq698CWDczBK/Zqxhyi eaZMyKq/Qu6VB8atgL2+/BQMveamnaB+IcpciD3QGfmGrM0cJ6lK4JNtSlC7JTXPFYcVNmIfsaJW 7a0lHZlqZI3dUyT1lVWKs2Yr0xE7BiVZw4yfLn9ciSDAes8L0w1zr0D6ddWdTUv+SSVcnxTQ7bxI 3/MFSvwfRsxtlL8DhEFSqmiN0pvwY1UwqaZ3TCkUiagWrt8axTT/1HcXwYTYzZAueeaqGyKptbyk CWvB2ILR5j1hRBkolap25wpUjsjbNiXJUZAb6wYRynkdDZAMosH5rY9jJ3oZ9bRGwLc3Gt4sdbrS vRZCZNZRlWH6EpU1V3u4dcpdGzmdZINgc4dSdW/AGi9IKjwWZngXBn66tdRs/pc5caK1Y9PSAqv5 jb8hy2Rv8Tb2zn2p6c+OLmUqtw+iUZ3L6voUvRCNknP7bJ79mnu+FdVvigeereAkE12iTXjHCK6i MZV7nVR51Q0gFkPNNt0kU0dhEtfB1lZa0FKnWayfvWFPvbHDPnUjMScd3O/KrVKDlj3V3eDaLRGw kJ520QeUuhG0OqgR5pXJpiAtmSgReMvy155LfEctVPc3WHSnCvbPyYTZXutyjlyiBLYWPRD+k1M8 IMy+a0dxIRolTlQHo9M8acxc0w33tFwgw+eojQ3el6EYl+tym6cRLLEosWzRtlkpULN1WNOSB6QH bU+18CMPcRd8hceiPxdXowVheOYQx5Oy2oe6pPasNFns/IyyiWlylWg7x7d9CWlvkDA9TTFPO6xX wU21iMMitZsXmLP3r4yxUgBp2gUIuNPlhvQGVg8KK/Lr+Zd0YLcsOLhHlfahR1P9FobZs5UoFdGw OaqG2WfeF7w9rrIpmTe/pGF3LsydKpJUpc0kGEv5DadtuQk4UIFW3XvjFgUX16cwjzB2P//8L32j kvnogm2UFxIkF3SjjmBrtAPtFq5B4CYi5/6Vqqa9kMU+TdbF64elkOaimqXHUJhMcvtZI3rZIQ11 dZ4ej2b7Owu2AmvBRs5dRkqB+VtnRiUwdVhaoNkOuocH1Quy6EkviQf0ykkhunjWAxG45tOwroHK Uq/pf4xaQfDu0zjJlpu3L9vAc5CtyoLzoglHRTugtLLiOh3NCAGTvasNMAJlX5kI58fqpQNt52KH LjSVfKYSkPPJ8YqlFF0l9Mj/wiSDpPFi3ovhnnXGGZS/7/jeFE12bcnampHCbKJdBkJrhb8fCCkk OdjOEualTsiG6kZp24IzAZNgNlKWFglGkZbWOV6JHLKf7EI8yMgNVlOTB1iyJjwsADXsrxy7erti 6aAq/3mhqkbCTAKW1YU4qjFXj5Be1hlXh70KLVdVD3Bm7U29VPxv+tU6SJntg0/XwfxOxC6XgUCs nM7s6Ddvv6HfyqkXmbOGxjc5edhTuWjQHgo6sH/PUHZqtl2aVliSNaLRMvNf1mM/QBXkJ9tI2fmA 68+waHazpqqizSEqhxS4KUpHnq1elcbNC3URwApv5nSUzsp8K7gFIsrIJQqZ1M2Jtt3Sgl2zQ33A puDhd7Lv4YU2noX+VfuJfXhSNjG52ohJvvNLYNQVYaXYBoMICo94+zeKmlzpIuKD/P88mH142ScM ZvxLI6sHt80O2ZKraYL0zJC5AG9Ej8LjAwCx9rDjkE0cdwNG6+WkFREc2HPCXa5UtrQdKHZEvNl4 MR1agMTKLBN+Ok2OctOjYrihL3EwN8vvzBk/5Z4Pda+yXd2puELSd1j8Uho7hIe9BcSlyYu8KiXe vSCD6ilvK33751vHxbvXwhm6PlnQnQXpXYXZ3d6q2rYDsN3sYDUUSQWD05cbHX3qcPob9QKe9mVz vvttQo5TuY63V9pK6KAZs63pwfXGB/wBGdbILzSqJOAkFcS2yZpl9AdEeAA7zU4oHxFN2CkSkOg/ qFbfWWmBJeEX/KJc4CUaFz1oPGO6FVV7LP9O/rG1qCgNdE7dRsrcDCNwcHYjhi7S1pwC5h7aYsMd 639fzC/MoWTMRhtsswXEs2EBRbuWlH0PtqLedybB3l9bPtU9XrDHunZYzW43vufp0zKft1y9pUar xF+OU+161kDAmGFmdvC1QBNvbtlCSffhKWUsurDjoJyGU604G04bPN50aoQXNEdbm3Y5az8/hS6G /86CasqF86zyKhIBw/JUGO0ZTgXqlWicsFCJG5/8UwceI3PGgFbXPA7YrAc55/6yK0wPispDp5Se 193D649MD7AykLEeIG0RFv5jB4jPtSaUYjfbUHcu/oH3BEmHofe6BucTz4QRjfKSIXeBv9QGSxuP eJI4bd1Q4zE/ETGpPv+l7izKKg+4PENX4WZ3rB9fWm6/C1ocLGls7Arx+lF8bVNk1nOfeSPW/bnE UfdrMH6G7DL6C3t1uTl8iImXhCfscqMWl10FEsjSmUt7qwklZCviUQWANpZcMvH6ZrboFYV9JxzF +T7wVSnxcGQHHJrtgaAWC2ciYoq6ablbBMljpKqyEVGCi/yuBONAyn+Ap1avVtQ3sTQv24O48UqY RqJ3+ZCkyt/Xv6peR5FuGg/0KoTRyerkN1R+K4mjgNsuVEUMa1aHUBkpAaZVMyX0/+kjeMdkJV0d mon0a6P9SwRlcPKOzNfV8G2XW9gVhbLLhItaOP6DXAYW7Z6Vvomkchxq3CKCdogTHBnMYbf3B59y GA6ejchgzY6Mu4tLJSGApfuA58HYhNRXs0InArCAO5VSsqXvzFjGa/1P6d/tBpe+uUX8g59dY/Tn bRA1hnmdPfsKxkHldxZ+VYWj9eoABmXZngSPwbE6IPu7dBR2Xrfu4VoLDlpfgrH8uCdsq1BB6aec /bHOBpnrM1anNHBqoTXgaStZEqo1eM6HjajJPCC9iH6+ew3svPODmpovxEnjwTd+2EfYw1j/d+i8 VMZigxg2O3UGwjCFvwyoBif+elItZmHRs6oLg09NxfC+hZiY99pjmRzBZl+kYRsfKrZRaASToWkj 6QUQFm8Hmr2NymzN5U8CuE4LR25lQAbaY8FRie1pskwGOl+Jx4KkaQcitsloCshDkGHXBrXGAagT C0jNTH/R3J5jWYNYP80OXKdhLn1xFC50/xlqd+jZuKqVxY3Ip+leD8o9NQ+QKDZSxdcXnWJ1qpX3 zNrMj5XtpCzCPnqgnq4+ENdDdjeZvhn4ILGl6I99gqaPVLbHdJGUYf+z+hOwA3Ci/DD/SXMpjfdx p3UbP6eGrwqIVOWda24bTD/2QthOGHR631YLLdlCFCrYtIw8ApdsRUmQMCFypCmCIC6XXtCh6rM9 zm2MJlISJybghWM0X5e/mxt2CkAZeFqukug3Qr+9rdsbi4OfWMshXIA/jZB9nP7n+8ORIxo70TSg QFSMdtJRTvw8L4A6oZgEmcyBrn30Y+t4UbmHl7Oe6Ubez3hj4uaq1uf615at6ZqOIPkUfB4rw1Qg lzIkYSTyq5OGCcVDshf31tLnMU2dzbtHwEboyrYohcPRD6KsPqVoR4Rx+kTwKsrcfeLvQFZYVujd gpI8Janh2uVOyDA+/TMVHQ+xirV3NmSzGvmSYj3P/jozFV7eRasE6Z3MKoaC99M1PREl/CMYlhsG dGP5aKhPmCkV57WScW3/SXAvCxbeXbjUWKstzH3ifEl96epXWfZcw+xB5qD7ztPkcpVpxcKWK135 OpfiCAIGKVdft0WTP74qHRFdCRaiZrWbcTWJfo1e5ElMczZ/209BvyiY5GmpP0oczSgmgZg4Xr+z wa2K/eTJ3edK00HmRw/EQC8XNruXMPc3R8f+oBIu5MMCW293QVnNGsKoH4Pb+H8Dx0rlRP9elwwi Z25FsbuN86AvezU1iWGYdcqIVsWnv4+Mf8ts4+iuxij9O0Bw1pfOVEIsV9bmvFlPMmZXqJGbkpbT UbC/4smEoSum1jq2bxvXtawPKo27m0lvq4aGlj0HtJW8EImaiw6FkcYS9mAIyVX3nY+rhBPYM/Rl b+gnuqbML8iUHya8vMci4UuTslP/Yqpi/Lpop6YcF6lRu1yFeZY2s1z0pcQhM7H0S7UYOFcE7CD7 L36kRHS8tVGQMeMKbXHIN3JEtHjsABKBtRYVfmVzC3TG1HqHp/fqbQE2oS6ot0BLZod9VP9CpI1F 3j4IhckT3uMAGX20dPSZF7iZs3CFgiX3RaVEvsVpYVOubMkIouIOw8OTSGtoqNaZhPHrOg+HXH9M CVN7brCehHXaXwXGiNcLc15dVFPlS+UC1UN9CWMTW4vxgfyhv5uNnjwKaYfL26KMndnt6SiqirrB XSFiVbb0G7IgfRI67ZtLv090JKgzkV6PaWyMyRjb9jmdmOurxSPJaarZ27wNyrnotgEB1bFHL2ft 3ZtIQxC/OCskE0H1jUDIkGa/aTmpjSuIbc2nY5EP472raYjDEjJhdM6dUUFx8JxNiqu2PF83+is1 ICfNdZCGXWB6raNFvwm1Sy6qoBb4wPTo0Ytx8olrmYt/etyJXCTLN+8++yO4miHoIVHDEU6zXXUV CHXnkEOcAJLtEeJODxOZsoambKnK/tC6D/DRk+uLdIs46nbIMKj9iRN0U7kEpZqnzQX2iLXnKeJu 8udyw89ADaouSsG8xsu21gnYdDIfsw5xc7UPgKiY6nswhmU6h9z0pPh+Q1V0WkTEX9Z9QKTzYyNd fG3gaOhVtsz8uiJ39RGOIxWCngCyVuVDGy/Mvnqda4K08xGdDgrapAuIbxFQ4ZViohkaWOnUCSej PnuxN00a2p9ievCnDs2b3tYyTMUdh6MfE0u3fQSCT5oxA7o3yFDa+Ze4Oi4ygsY0pP7NV8ezEZ/M ox4Z0mt5OKNKstJ4YytWvSIWZYYEldvgdndd5I64NJ4B7Of1uLqAc4x3pXesteqRog5lImg+5RwE NhbLWr3CYs/yl91gDqwvh+N4c2SEXdmb1Zv+BlnuP8UDQ7dHCyO++bjxCCaenyNe05o3MSy/ZXzJ fS3vowJFA1yFBV83auiRQ4s0gmYkOQ/Pz5Zk/BanTdxPEN3d/A3CtITPmfIuKFZurSaTF6YxA+6X tqG62qOSy+6aQ8A1yKTRCEc9Gm1OmsKx/GLMJzAv8OU2xAglYHIQDC46dIpIAq++vuSTwZbW+pU3 +Blk1KF/BXKkv6al4wUx3iR0J2Tx9vgNYftIDTIo/8sEdj7uMiWt+MapVcTmqxQj+oXWRRNnO7uw DrVA6b8g+TNkG1fEEOIaRL1fEEL1i0lvrldKaPXTabx/ew7jzeVLLSXvLbLJVKLqq3RFl26/pRKw VpglQz8PyfgWQfBV9Xwbd/j7jZn5tvFioqpJjNF+dL1WNh+fzLQF5NJV9YTQTvIsT0ExuWogf69k hRrfYHyiVya7aFH4FYBZJiG50KB7flZXbA3N9red1JeXjCw1BiS6vRG4IVSNeGLkhwyMrQuLpqkj D2qbNWdnI0bFC6Vv2dNu6lOpG6Y/kt7ZnA+g0rMrLR+GRDp3l1MmfddhTMmTEstIvRRon5/MUkqT vSjrq00ThLCu/u7dUBQN0pt8dAueIQZrzLzrqu7HFZWrniiKK7Pk9mHECoA+F1Qr0XSlmbMtfvqn Km84Ro+11YZE+6r8epZTmvNDlErQCgi/2aNEA5JimsqsLRoedKXane1edKnafLgeIsneeCZKq3ck xD/0OkP3LlgfaEtTxcq18ToAlv99QlV20XwYutUR2qU4xniX1KewjuCLH4BNrevratOSZtOwrIKp kriVR7ODE0X19qfhmoDU4Smbt8kWQk1K8SUObU48PT9ffNLeB2l/VUWVXH22llYuOr96NmgNLM6q FtoTZXaLQmgI71mV67qd3LlFtQCrAmMvtNK5XfI92tSjPePbvtJmpuvc6Qr8VuLZ/eCWqOGUhMWe bhNbx3GyY7DqGbtntWjPTs1GOB4LvF+KQZmn67wZoNQ5AvCqe7r+KTopAcg4kv3q9l1YmfkNVq7L dlV7OZVdcQichVG/vzD6WxuInXwrWEpgm9KMBS85/ZooMg735uCSUB5cIeAQdmhKFFMKvYbHPAm/ Oc5OQzEVToqYq+aiiHoz0nwRy9sijoOGOKEW2SG+FqxTR88O+5M9ohBfMDj2SSe1QfUIqOCG+SL1 NyLn5/RIRToUhZLOGuK88cycJxUpxUBEy++I1SL27fICAPIkn/lRiptrvy3DQc1lPKS9dxsIWy8i auwG6yDFcRNpwL3RDmLCXsSDeuKv1tmDmX3TZQRCAWyto/uHYAFi+43uxKwZoCIVIFcSzktuWZW5 1tjIfo4yxb0akGh1isY6XiwXnAOznjg17dgMvR1mBzE7/mEALAtgeMJxqMndAnKC5SkNKr91poDf GjiPphqn+Zp/2uB+lAjp7HV3uxLU2WtsN93/sLBDgvaF+llTy/8iY5cZtm8ByIVxGHXPv3WNr+s6 KdT4hU3goS0b3QG1l7PAn/M2oXcZniWCMeJm50LyuwAPGyd1Uknvt8AIJE67vJdc5O2u7exHHMuM HVxnwhy3KTHxcs1DQVuj8fgyf81drZavUzPy+9ByOXg57vVM3l2oAy82TV78k/qrhUJv6i3EyN5o Zu8oxRaTLFUPAvLrD6YBETI8SA1JmBzgO1LLB9WxXucxxyp6TwuUpiC3BT4t8Vtuyp9hSsuQ75pS hJPFWMAUNTp8P2xY1U6gaCdlJUDDz3lX3WnFAv4OE5sLECx3qnzocXSnSSgmr7wxfO7bfZum2XK8 9pRFWVe2CRJRK930II+x5EidHFbFGRhx8UMdrz1XsDMbHzciaTaGJSUEnVX+XDezhYRwxChD5sXZ dBLiV1cKDCBkbvCyHFmI/lQ30qqbe3v5WJn6Rfn8MrqO71hfyfxx1WjYfZEdRPU6ELHt9iZ0fFXs /TAwugFn58e7L2OLPTXAVTzonTIY2A43dSuRcz3MRACJAvdxk4duDxTrA8dqaXCeVPvsqpjX2xlg d3xktoMPhkKrni6IFjEisEYhEawZESYa8KcaEU4V8GLGQ73L8cEYO+IVKL/deIyZCxKBmGlvExN8 E/Hef1y5wDzFgfv4OzOary6YATPRvgJRy6/kxmqHtxQ0SnnbeQgfuYjenvohkIvatmpubGWfLcU2 GedaYxRLLij6Lsa3v9QCM/SaLQlx4O9tvGfkOnZHL8ahQjbfXIJLY9FWF0tdQAzPSf3OU0K+4T14 Kr7r38YfC3k8bAXtnvBwbUBDgkY7QYQS37fMsDo4jV9l+/n49J9tjnCIOvr5SV+5+g5xLd/rHWxF pm7FCEC1KoQID0FoLMBf/F2bJyC4Qq2DUkgvGC4IVSWLp+WAODYvmFVjLQ1l3swvaeU2201OLe/s MUXlr2BuI4fJLYarGbHzN41Uh1tfl5KC2OVVHE90hPFi9dXv4VRwmmEebYZuzAiwCS9htVYU3Tp2 Fy4v3VUY+2RHGS+i5GCN+AZEUlSButBChx+VZYIqiKYqGkBvnNqrN1T4HU9CoCq0luUZatA81Db9 mSWbJE1o63OXGpUxE0HZPKyRfAOZowPUwwrYotEYmeYPHWlrqWyp5TtOEdFd4LwBTqCmoE4oebb3 NFUgDD7Daom6QOZBheSsKYmk3nuxkmsC+wPBgUhMrfccroYhStSGSP9Cn3cXXDcp/0witnhojW2G SeCnxX2aa+1PjRRGcswSPea9CFNTEdAZWT2RZFHpa83U+CjkuvIk1UQmxqngSEAWqC9tlgzSGaqB MkRXv1aNECXAv1n8MxpqQ1EJ4FJ7xNwM2Bg23ooVr2oQYiimJE5OBOyx9V5x65zUEYTxNNVCrjbf +iwjHcP19sFfDkYlF+YoeYmKwTn1YqXTrZfu3AI8A0FH3n1PNASKqWnFouLZ+427o1NknZHrRbOg n89/CX0kSICrCa9hfrg+zMI+j7ka4lRKpkBeAmVp2h7D3swfwoABwJkTtoLq8XltmAE2Ac2Xs1+w xhYvOEYBs0wSs3DBWn7OqATr3EQXL+fQD9j2SqX9TE5dKgY2ml018wcvvBa6cRwEmsyHUSzoAlDB hBzTjgXiEiyk75JK/P9MAk18lEA2+gG31miwWEjjCsGKbGJAl+z8343lXa4SyNQTr9Ote9w5tzyu oi+d4v+UN8L6e0+I/YjuKaQadRwUtJfWrRCWVjlEdevOjyOD+cJlORFzQpYn8vTUv2J18rgkX16f RYaoYE5dKeE5TB3wgoid+JOPMlkryyOoJycNUXSm8bvop+cAjefsCZVEolL+i93UQV5ADsKjT1mu NRVEp8vNn9i6Uu14ll5ENY89m3/FS2IaKkdjXJ3PONhJCbQ06MxkH6pCJ2VhINp4p4Qum+lJ+Uzq nMnu188WACeQxD8/eSH7h4b3UzPpvFYXXoxCdGGL9RcegE0dA9QQOLydeJffEEVuHmZucXdSkQ31 2u+zLXVhRhDV8wdxkdAqRwuiQxAowarQn/MzCt4lbvr0xEeEtKHJ650tSCFQI7C8m1MEjkc4/U3a 6OwshKPQl6coPl+6HngxJe+WD5Wvvs8oFunu7daYf/ziTaDs6bG1yDJJ7ra5GRyDYcFDUOGdqAvk pGXWmdVcTaHbzCiqitDx0PXhMpnoyd4gqSNj+oyQ2aqbjCDkNBq+PN/CIxrsbPES488cicwJoGP0 YV0SKWY6XT1IofFyjkOOFWydPOXCuJ8XgUmfDlbq9lpNPY+QQt8zDRQuDWEsaQMRDrunmNohK74y ATVQ08Vg0kfumCOz5v7C7PybDHIhD3JX5OIiipy79juP0++MwRNsCl712ZSCvgZ+tgRdPNEEsUWe Uc0s/8Yrw5hP75mK9ITOmxhrrnviC6hjgmQptQxHVgl8PWAMFZPTdB6N9lrWVgsqWmOw2Hs6b8YX M1lMvTM6ryPgP9l2V1Mn+zHv0Vo1YPkig2yie8r1FiN/5lhG826Jb7ttCqXcbotU8RX7XUD0M40N 8RVD+/sl0756wTD3PcVbOyW2ypwyxvQOWYcmOPLiuoBZxPGbvd/KHMvPEH20wXYCbC4Hg9Gi6Tha U1RsxP61grL6w4jH9qSsldiQhSCELN3DPYsoZ+DQVKqTpQD+Ne53O9a1FzGA4pBvgpG1cZ030JDn /n0yW4GOVJPfHEtiIT1qDpU2NsODzxS5Jz5u7BHAJN4o898r5ErC8saaD3IPddAvigETbICazFMw PJdnLGDosVtA6/vQgQu4HOiR0tBQRz+2bdNwLlzLo4Ouet0ZDQSAOOmIeRc7Vui+pyooka/0Y0+n hpuxsj+Ec1SYmTXPtLSqagBfAE2D6sFma/2hUCU5MAgq6hcmutrnn7Gu8KBUCbDsrJoLE6UAq4nm yfyyYh0BA0g9WdLzYa2pgEpoQd9ebcJF5BAH+U9guxy4RoYAz90VuefkIK8IkWe9Z3RU7+FqmQZ0 Vv15F9cSQO5wu0fKiIRTQuekzy+VNjYHkpoHZ31X80geSNMkiYSsxe6ODBJxQ456ZVcz+S2j9Zbs UfIVGMqMYYAUIUigqWJU8+lv9ASfEjjEldOnTEsmn2aPRNilQY5O6afu0I7G9Aqm9FCRA5YqEBfO Hr/PoSS0XtBfVJTNgNDEEmoQcijOEkYy5kcwCAeOLAul9gsUpHsRkIePBLPWNxNFOJ0H237vhego WaIf+xWH82FvC1Z0LomPSgt2wcfpEu3AXNBh92ctp/I0Ul81GSU72tyuWOPqll1nTxk9thTU4R7H JiZxSEFdxrwhu2EMRj9mkvIKZ9CKebfAj5utlSQttUUf5acuB7dqDtF0K3twZDGlYVX18b0oJ6zc YbEogANekMYKJyAxxQqTrePNgRzkvDUMPs6LU4MaiuFC2mKbFr48kj+BXQ3NpDkk8ZRVafITrWwg iZ4WnwF/63HfUsthryDIKbcdphWBFEuIHTjl04svq/16bZ1H0GlQGM/17rIy7ijxy95Tl0T+u7ub zlL7ivKUW2weX1yfH0HUtuucrRPfrtrQCWang5Ah62J69i8viJ5u9g6FdDU+DzXjCLobD3V9YEWf nbgxaHg0PYcSp7/JwquW8ur/m8jUYBkiPLDmW8zXq36ddSat+yMZHcp+V328+gcsk53dzdKnE0mR LoJl/XoXmIltvusLRfWgP5mH7H3Tlt1rwY05VBfWp1EHfu4Qt/K1fi+EWday3dVnR4sQKUg9rQ1g fR43lWCIA2qeRZy+18Ozvjs+qIVQElQzeP+8fZ7r8lbla4z8AJkRZcR6XqH8hZ9GmXema0LB6fro 9X7PC4KWxC9LDEob4ZvmPIrLrYhawbZLI0f6f6fGKU4mS0+DJUhnKCqde4kO8+iH7Tyh9ges9LFW L+2o2z/OMdOYnmum7CyCuWFs3oo/FM6Z5EewzADXl3CAsXZETfWQzOh64v2HZxkvHclJx/SCA8ap E4yJj0TO18g7YAFDfDGjCRQofHuSVX0Ae9raSC3PEz0Mh6hT720icQt8qldOZtfLYIu1KzKB4zM2 1tfxFW2sbYh7jF8g32pag21cZNfyBeKs/V35llXaoeVdt4tqlVnDU2p4SHHokrhWZhSUvSBs0ep1 i6TEHwF56CjDYK9i6yVPG+KdIylRSSJMAwFg+KwPrV66OjfYNC+TmhYr5qIWZ/LqByQ3ypQY0GLn arS4lShKpe1mZ7KGLLboU3Ev2WKkQRg3G2XCdxvCqFYd0RAJ6x4u8O5jNUFOatg7kx2l0bngdtXm dwB6zcT1GdVwrYS26gzIVrSadCWYMTbQTMXsobnmRtV5/fyz5QP4VkgjsmqMp0StTK68ruLVtgMv XL0xbKKSlfpBfqFbLhRZkTN6BwEcEzdiCa4tuL1AF7+q6rDHfj9DmeQun1yePrdkCPGY/okp2q9x 7B9io/ImrnE/+A8mrT1eFSfSSYPXm/Jzsw9f4ZLHnuslzchsTrg/xV7h2XvCvPMYgB+usGxnlPVy HBK/Ety5S5J6hPKykYC6i6bRBKK+JN2D2Awmv3vAW/AYi0L7ZWWfTdliAJ+zFZ1mcldl91wVp50G CRSQrgf+ry3ej2S7siJVUrCkQMeg3FC4J0UqNWGgwW+Nh7afFnO7RCnrR8qTtpA1yn2ZyT9AGKGJ OuAeJLwqGkiK5AsLkSzOMGx/wsjTmfFLxHOacCQDta5gLQS4FTEie5vf0Ul8KvK7v8N1UrEKGEip xDqLUtwvTlitQO+1kKWDplpc5VulUTGoDHYsrXbbZbBxDWFFVjT1u0uUEZBzQRLx97u2a+wo4HsL XGqX3bOfmGBeK0IROpfogWqE1PwB5qU5tMEaEkdGp7LqxbmF/kKfB0cvlNyEzH1L0L9qLkolyfh6 mHEv65jpQMh6h1gEslLSApyxboxIQn5gwfutuZYhQAViMHjQxmWxecEU0gpRjR3lmF1s+Zs1ncQE kdg0bnJv9xzg2wRxbbnv4Gdn2gfR6N/Le0ja/It7b2TB9ofoHVjxdz/wvY+EiQtv8B5ezuF5FM2r oR8ShDBtwptuCjuXh4ChaEi/VpaHGGDKGc6f3ckFAZb/XXVmni+y529WVXlzs66bZv2crzAms0ZH q5KTMtwWR3NuSemCCHt91SCBgrQhxdtTGuEB8Sd8j7hYXf5X/XH4frF5GW1lHNDJY3lBUpxqmkiv E80t1xhP9oIa5BqCwx8TladzaW0aY7iiWHmuwx2ADgH0/jxV9ka7ZSkJYnQ6nn569BOcKIve8DLu 31+tFsW6dCLvaOXAw9O7WAWQObZSbgBRnKPkZwiUlKEw0jvbZDZCFHYo7ejET84IGb4jMTCSS4Tl BQ0KFiabIIcdjSVr9CMQlEInu4H1wPEu4YOECHplr98A35pwrqUEtdDuWmp8cdbBqB1Ov26nQOGM kkXmc7hVIpdZMMUSsZAYVuNbWoeytcSHMQYzyrrjWD0RDyhkzcmgqLQgBnUzMQehlR5m+ZvvjTr2 cl5K22/3WdxltP42yIAvNN6/gctMxniBC+Ui8TnVf9Eg+kEOaoG7891TDAPYcy6Dcwas2vk/TgBk 486CzhrbmsFmZqHNein15gXhebn4NjnDLCoO7I1Re19hKtr8KfNXYQ5hSUHliDQ8P3ZYOY9k+9mD 4PcdthNKgQtyYhy0nXB0NsbpZLFY3FbtOlTNOBnF7utZIS58dUrFrF6Wy2RqnG65UVUw9ti/TaZF ouqMusisByqoaWMl0BC6YLRz40YNi3t/D8CMhCBuWB9NuGp5RKyHZIRHjWeOOupP2ETP9j4eHGgm Ohr3L0rtqoc0dqPuFwi3vEaz/fy/S/6KVZgR71C7cPZmOrTfdh9VtV2ptQdDDuYsWmyZQ3XOCyJb ARCuTwA5tdI+CZ7zTrE9PmmSd7Eigx53gWdUK8xhbOoASE5xAWtEJ1T+60eFkUpeh0rX1FV1orVN iW4D8SYhMnUN6RUkz7alEPmQIlqNuURg799BKMtzzs3C454YIjOl0kBDidXCbtoMsj/XfI9FZi5/ oj7GElEmtUTG3enACmr+cChK71OI3xS3XjJ5TzF1EYmWKMSuxLfeH9fdya5n5ABKSHj7bILhdN/Y L9Ge74zi1QH6YwgPM6Y+OkDd58Q6lV8iz1SJ3DvGbdMR8h1RR7DasafyblXuDhURNUEJV4H3M+vT SSwT7TBTuaqecwtd91l5E5p8DAzXI2r2E62JCc0g+dveuXZ2XIbv95pPF5BRBBsUdh1eJAb8QRyg mqZTrc78dboMfwz0L4Q2ZkEDq4FOcC1jORRuu3Ca3cb2pn6rk1W9EHgfc/QuPhXsdkzbRNV9AgzL za9gtU4Q6iGcxDg3rCidHimQYhR5YF90iszf3Ygyd2ffLcatMmvdEnOSomNHYdIKNw7zZt6Epjol uCEWKNVM3duG1ILODQcHP+sjQFNwyzD8imON5M8qKLBOk+6BCs/rr2p4p2iWvzgZIYrnO1G+VHr6 dAo+hVlSdXIVh1Es55RZ8ZlvSJl+oqREYzFRynnWXz2P0/vyjoPP/WJT4ninN72/stmCCROB7xnZ oPg66r2lCNsudXXjAVNAvtfd94fe0b6lDyEOUWuoLbMfGcnnBaLro2MU3E6eItYzzTydDTo47YR8 VLAVV78inxYHhdBaZ73F/zSs3v8gGDWKD8C5ANclq+42dfnoBKG7izowP730kkQthRcUpcpXkhnL Uuj2fZfa55WBGCgfF/Xy3eCich0maAt/qXZDpMX96r4EYJSpzXzjGJF7zrys9lTuIl45yAekA/ur l7HJ28Cp3vlKpdawaQDGLu5IPRKmLL/i1iTf+XfMin9jcIpu//Xg5KRavedBfxhxeOHqPP7k2C9Q Uu48qkvTFk0wheLsGvXpHCxuE/Ub1vYeKGo53Shnpq8Y0nIiWVns96jFUJHGrmnnJm6RmFP57t4Q oNGUtZYNoUOOlfZE51yncCQqkf07jXWu9pvy/hzSmec7/biVUxD6yvw7gLV3EGY2t+0Otpq6NObz QZE88bR6F0omzjxJV5xnQVYowB5JpA60cA4X0rUpgUPeh1RF8rAvmdlD5mbjKRO4IGLNJ3sOgxcF fSS61vlmi8kPLxAZVgEGFm5TUkC8O0iN3nD6GDhuKTLPdG0aATJi/Pq2nFVTwZrTgH4yk6F4ymOC NrFU+WiWz9nnM8MxUiD5OruAuvnn9sLStGiN+wEUifnEb3dtK5t5CAvsjyktcsZthIgafeNCPtdy NAWjL6l7ZjjkdD9EnRLjX4VdUPvHWlqRPjwMNmaK49AR3Jdoax4MFvKS8LElPQ4e/lONCIPkOSJb 3xEHkXmzkgp2GaOVhyjLSpLoVpSXolWhH4Z1diWV7KlPtgre2fczD9CxbKDyE6O+Ligs6XTktPcn T3VJT1i9CSdllnuRYny+NO7gNxYQPllo2uRMVlWB/5EMGEyKvR7vkaRKsJlKpEu+vuQr82KX/h8f CRA2091skx9ZNyAGUBDoaGcPVXx21IxyGb5bx7EqldsqtehZd2sHqnQuqQbpCutqPfJ4jL/J+r/R dgKq12nB4M/ZCLShroOWP66NNui8+sZNJrjO9vW3SF+BOeHAyoFMSBpRAWWqoWvtP6EKEsx+7Cun SCxrmgIOQGmC1buWIdyQGVxRLQCopCr3V3YDmwXhQuy/0/rmXxFVOfAMHIXZ0vLA7XS21ScV16j3 pqRGWhUM/hjEmkSsdAiI6nOSVVoh9BXPoXVf22QQWuF1Jf3qpmYDwAF4sSCEylJEEClVoeS3tH60 m2IHYk+s1+VaZlBiXyOelv4o8cHdPnTE+odmKvfchxvvG9LAcVJMgwG6s9BcOLta/i0X3X3cuNlB q9w/72sOF3CcC711GFlpKHRx3o1Nl8ac4CAeI1gYOmRy/3kdxiZeS7gbo01ifU3GvjmmqxiuCaer +iMK4dxdOAbUS60RDOG/L4uzrFiRmqGnckLGJZmaahmgh0J4shz0EMGhWXAwGpmn0fHPUoG/ACP9 +kkqC0N8UmUXIRWMM0zPIpF5EDGhLhxLLIK8elYaT7BFXS3YTFy9s8sZ6myulELfJEmuEA/CYUoq sXfWw2c76V9bYSQnBCcAV4cWeMIMY9/Nn8rwXv0SwFLtzh17VTXYsdx3warLYfJ03vcSHvijzTVe Gi5AYBcFpqBQXhwJrMIe1cQSA2JsMVrL4VfCguEciSEvP0PVDTmfb580Cu8ZERM+JTC0L5feEtTB kCrg5MdivoY78uaSpMigNi/DoMqbd663toT6ywwCquAfzfd9OnUHqKWTlOdtZfJJqz2gWcL6kNds IBr7unnbZ5lZNDwE9I4vnJKrSh9/R+vx4xC4DOCj/TYUJYDQ26I4yRh1iKP2oUNSnq7I+E0LFb0d RWOnOCWLEWM76PFTQqj4bjOsk8mDP9VWjIGEdSH6I2v3g5hPPws9gXGXRVI4u0bJfIm2CNJk7a0G iqCpKxVhLMwh4p395d+WFmfEv+ev7bbWOeCgCqO57C/2HThJctRB9RvgvACqVpX7oVfGk6yz7waz uPQueoXKPhQ5auAPLBxrr40KA3skX347z4cwfNDNAm/uH+HD2fneOI8iHPUQpXk4q28i+XKNot7s TLxkWID0HqcUUYwtjJm13dPl1Po66LEwhJgJQfMiIxdsIjCGtXb/Svyz8XGBglSpVyja7OOjDOws GTYScfAyqRQZ+ff9GNw4RqodkUQzReV3mxBY6HIg6Z4ME51E5GRfdYHaWJBT21xJ4Ce2kVTGWQFf 8x/aeePG65KS5EPDhtxppfkQkk4yCvUEhfDRd+3T8Qx1i/DugI8KCNS+0ohMKUeLjHnZiQYhpb2B wZCfaDag7JY7/D6qy5qjRtLPRdj/LyrVg/LAZ7oktPZ+W3nyuZKcLIdTvmiWYXdWgB4hgL6UrkXa WNekl8fwIhIEcJe7hQNvUXxL+adaA9rovNJSXEjauptioAdw9nSz+j2V2CRCdO+K0Bl1Koav6F2D mXJFjzc5NN3F5fMlhBHJx5SxaliUxIkZZP0x1gKdu2xZLKsiP5K5Unx48SBIN0DddnXEM6de2p0K CtpjL4Xv6likhGprXGmKplZiGChogMRSJhSu60ol6mcaSps8y45dv+vZuU/rRsuT94Hv2uzgCgP7 rMqNtjhi9+lFSDWx3yuA4kaKTbgfqxxrQBIvrUYyuOIs399Fvq9qquNMx3V784oE+bB9wkgxmg/t tgJql7ReYDEjoGecFb8Fn/0NGoVZ+mDgmCFNzFJ0UKhkrtg2gX3MlhinlKP9P3o8CbtpGqpVq00H sLvgMsMrkLkxqAh1ocPGK2HTYjb5+/fiwbyG95Nkae+WIZEaxl/+IlxHg5bJ9vBVe92GCL83cM1r 3iuyo0YnRBITfCSmoBzc6Y4n8PC1k9W2aLYkkiIzLDvMWpmDd0XTE1AGx9ZqTIwzBa1Jdop+zPay gaTs+y505yKGukIrachwiy6MGC+zMCbqIXsCERm5jxRxyUOOlqqcWisfmKgU3pc2noCYHeSAfjW7 gUyd3esJlXHY52IV8Ow3VOOW2xDNNVSfE4TGcGpyJpvMloB//w5h/GdB/taoBlvwEZZ7sOXMkEYZ piMdN44+3mVnF8BYIEeKnXMPdioGP4fzHPkqDjWsLQQl1+EMYoTFWI4HYESrdX/uSruF+qApMthb LZLuBne0ScxsEm4pa/dI+Qvm/1g8lLergHzHLOCdLMYBJSg82fIkHfzl/I2KUvpojFG2d+KVP7SY XD7bvUz0JiIJbv4SS49Mk9+rqLEVlwDqKapeFeBupje5lpKPqc0VC+pneuFzaG/XnwqhXwTNFzub m7U/ceCP3uXlAVikoqadG1tmejijA/JLDf2mbXzdapxl4ctbRHJQdnpYK2TwUzsSeO1MpCiA6DPL beaji96CdT+kVMETQ0AuXo9NB4Q0WeiBVYbzyIEnYKSyz++cAuUiKlBJdUFgC0riZoVnw0RGmT+J bvoMHPzwzE6e6ASatZNqhvl/GzYHt1xNTIPrTo3CVjz2uWX+B2lFf8fyfWQF5vyUivUGYnQZgZAJ aVNNnUBLd+eXLWGpx/NisCAHJRVk3TUQ3KF7iSZB0yok2oy2kMfnh8kvjt/aOJxnpF6eeWkn00TE 42OPLQA5rd99AtjlY5K/oL62NJTF6xw2/MlOuOBw1CmIniLfK5zsuCJdSKKi394k5kZ6PWKbCNaE cedXhb2f6jxt7oXJJD25+GBTqkFesZUlOADor2Bd8QrdVl8bgHYbKfB+/C6wpA/N3ThFGpUvZd/v I4q4WEqJLlaIUjTuY0vjqy0Ui1Qa3Ji5kVwNtFN+1QL59K/DedL8qCkkPym5znlpt4JQaJYTpfV1 tPbzGBuDh42ZVSFUbu8aIyhuHSV2BiLN79Ei7rdbC3iFtal5MxASWEIW/tIGoeZjBZSjSzIkMTl2 04rmmQ5hRLEaK8YSHFg04btxJa/yU323rkGrhJ3vWQ0vKUdjQmf/3nE4bVm2TVxEklFlQYOGFJoW OmW2vF5yW36Jrlt7p4/dG6pWSvnLxkPsKDNzb7vgK9WxpT+1xQJF7GS6dSe/sftSluF6Xn+IXzKV oeBW894sAXG3YI1eLSfecww2Ft44CQASECK1ZP52D/EIXSq8IyAmT5j3gw6dXh9IHc6br8de/iT9 um3b6HmJ60YTngTquWKunrPz5/qUX+AaET8I8E/lTH+lrGqfzSreWSyyX/biaCNdB7y9h+i3qCj6 sRqTUFRImyvf4u6r3q8+A5yULh40nMI0p7xLv75fjmwtbDPsltgfW9lc2vU7CnECQGxONO9KbMgI DR2FYzh4MzI+whk82IujURUTW/6RAUsq+jtAuDbiG3IfkxmUfXbSXs6E2s52clOHZV8RDyrfws7x oJn1RmSS7eGUM39e06UzETinFuZ8nJr5q0bzhvIEN2SZWgZhCnPh8gxR6wwfiLkOrLATGlA9U+1G pSV8/TZkHHTp9fxE48r6hyYm+PwZwqo1ewsL//HBniv0entYQKpNcgJ6Erd0QKNaIi9vb6yzOoHq S9EcMKwyDZTn7fP/Om5LlZbuAUCH5w4b0OBeEVULcKBNH9RMH7cPDREPJQmllSaPO0GKkSYD0f/e +ZknokK6hvAm+2tj07RjAUQQX5pn1i9SAwMyZT0CpCL0eELG6Nex5fcpcLSOUGqTkWHqp8aAOgxq QNFT80js9jmc08BcmM6ht0BWLBaGacoHGA1yFNxwbo7roh7j//jB90lOJXkJFIz2ejXntovLx6pm R+RlNEzjOPCdCR0w7Uux3yBCsfZwlwsnr/hzqwE0NF00xybZ8yiau9XbC8IJud5FZUxyhEHfaeBL q2i7hpyRUSzrbQGUuV9/7S1mLUa7NQ2/a2JJKmOr4F7NVgSjqDY+NBUfd80HLmsNKuuUmnf39SSv Yz1gCpFrPfOSV/6Q4GQOxDxK/nnbsKSkt+ouXkfumOJVZgh1iW/pDwNZCbikEuKPduVOh2F8s11P dk1ktVitl7YPFtcbRUyjyKJFZLy1Gl/EqqKiJl5GodHiu9sN8zYvpI+ft4K/AlDW0uzuKU1hs0Zt S30pv6UTlJgUU8dklFD1ksG8BKIpm8kPUfmY7EGK+WshrBdHlsSS1A7edKe3/Yt7cwOca85ye/B9 gddA/QREb6qtkzMBnQCRbDZ2AcTpMz7Gui1gEOigHkpJ6VxAKAl0/7ES2HWtMqDLUCF8G0CQxPLd BCXKXU34swJNiOfSV3v8g7HOKa4+3TIEBtssvJ1WCLQwNGPmyDG/37ebJM8+p9MXnanzfE3ikBYx vPvCllCCHcfKQoUgPHIKGNmyUc1duE0CCGRAk22wDpRBW5/rAH8m080UOSgfEyThFGYaVfD1ZMg3 nzpZAnvnLSIK6zRuVh7zZEG+lp31VDZdiwMrsqbFAdKLhqaeEgtZN0mfZtyo4JQDLHzv9rV6m4T5 Td7i1VPRaKoTGZ2vGgavFa8kqeHRNgz6VplY/isuMdHN2T12WrSwqX2gN5VmX4Lqo1MX7J/wA2ti inkUnlghuzdZ1C1PnIJO+Z1JePuQq7Tizmmo3rA9cPpoXRz506EjnAPZ6lthL6TDOmf21BY1zZIH jpduXe4P8o8dg853EwJ/nXfLx9wWbfFb1vdxTG9arlh9I41WOkimJq8bHKxsboX+0+kWWrUnNvjs rbdtiy/SWhYLvGehU+zP8rkeAtsARz9k2VpXJU4b/oUnSFzHvDevnEj23I1Qp743hUcwb8N2V21z C/l8Ukd+1tU4X6Z8p7HX9KovXKvHSPf3HvTjW08bBQfvvYoDM4XqYxDBau/aEn0vnA8QAz5BGj+4 FBW2AqytDuLCFT4m1GK5yQ/D73CTLkfMeEmxvuSAcuirNi/7ge0nWZ8DEFSZyRgwc3/ZB7TyMcdl VjZq8dCTdpx5WbIeogclhAW7VAOdy6PQRMi1lL29dgQFpDd6zzi9P38ph3kvsOferT5bGx91SeKE iOsqzLtZume3nzyffPtNDxX+jgdwgSK5lhhQKJNnfdWFHFVVl2ZIiDDOPYgV3BsPWASCA1QGOMak ka2M0+JA/NwqxD8wd+M5fvEUHTKsI3ggVO8NWrW9QsQPihhpiAPTAkdOgc6nhSDg5wWsMQSONJuP 3o057eXFr1PlGCtBPdqrSzoNQlQzSGzlcB5+Wjbbt5XPS6eXCuNT7pK8hQiLnLr66jf9hqvW7s2O 8awdKiIk3xG+9sfDt1SQNZ4Jk2vygmm1wFGRREim9mLWhSBuqYiQtSMa79CoRGGaAOXtCCmMClQ7 CkQfNNSbkwNCyRuC6z3Tr4Y/zOuAQsdmrN8N/wz2rrVMQDzHIuYuLRrUkTOj9zbjV/MhxeDXCHFr 0fqAREHy0Z7csBobf8FBLEQ4vV7oCsU5/z5Vy9PlVX1pu0mu09rpj5TwF45p4gLydgmOmsYisQq+ 6FE2yuisMnRIM2x9Di5R24UQghW8f0ZSUc3/XaT06JKhVeivZ5UdX/zfDXkvALNlGR/Go0gyFwcM UXM23vLm2YhwVj6Fj7uqNpScA9N596AFhP2PbjN5YGgHZGLU7RjwQ3gzdgKqulBu2mri+8tKvP68 zmu1Yq2iNeApwQOJHg8NMmYZkYqS8bXc0RFGG8Y1Y+EPO3YhR/QLnftLFCWOTdBs8ZRUIqlrncej MmmBiAeKf7Oe9eCqPDY6EN0LCw+LBBOTQXVKgFHU9fnOKYz+0rO54bGyQZmDURX+10OVDUotR8nN 5gftwHAaBKP0ceHWDbmVveE/29/JcvZNFGKDh9nCGTu4u6sV8f5Iu0rY4RK59mLXOOdgv65lqdUr W6mF9KmMMxA6hhmDMhlBNINBiZL8//VPqggfc0dfsOlUG9fKELvKH9aoskXTPUlVSPC0zell8wBw cc9KVQb3ZKfDFpSRxQuvaH/psgkGSmLIOPcQIWbmXM07Kq/XSJGBixRzwjaOgg6WIWiwg5lxzWIK Y3mXFr9viabOOuU2TneMy/AqOEEnTAI8VruyC6B6k6HgoEfm5ZJBGQkxDUn60ulBP+m/hY98AD/B ZfXzQXNEeqL+1CP1CSX41yCoJ6g4YVIK6QWuIfGQeDOCcbzdtvuVdp7NQEbuofjAzyjqNLwHQjHg M8zf0JyPKAk8tjkqKdMhPSAZepYfsVfx9Aqaq6uloVx1hWADTDxrCspzBF2sWPAzzKVxcr0vPDPD J6htJxNFa4/AEFW4jhxf7KvTGdFU5B8m28ienYxUp9AvEUQMq3fqSwwRlCSSazX7wsCHgJ0e412l Xh5AwNepZ32msSXBkKhyy1SAJT7G3Japlzdkh+1ZTkIx0OV/7e79wVqeHAUFTWXgYhPqFgAN9EKp Cl94EQ76+6hSphV5izYhPHZXV6aKUoEdcpk7j0j24yy2S3/63F/HF9+xohSgSqwjeJOJJjYRCW21 oVCmEJtwc2DBQH/AbD6cgXUf29UYZH/y1c66Hws5XXMKHMbvgbGgF+WOFU0BjsrsakyXpBI3bKwC J71vJlL0Quu4p9xhzQFiMm6GVeZnQycKsXSeISHBIE2lZc8D0SNR/drKM119yLikAVh8BtB+NMbQ KglT7snqOJ2gitCsx7YAxPtSDb7dOog710LB0KuXimJ9M84CQDGGIOjoBMO5TZfFmshNwaUCIklw pG3+/ePUFxlq20k3iFlZd5CHmH/LBQVDSW4zKSG2JUqNMvzvgnIED2v0vEbOCCjHjT0QD7cFQ8mo ymE1Grh3s4b06yPV1hTZV7cF0dzpfE5rFugJih0M9Hyzz+J3ppLCBEENT8A+HnV7ewWa3Z90FLQ6 c7vkP/+Ly8aBk0QmTjmJYU4AdnWSEmNUzDdeK2Tl451O9hJzy5GsFtcr0ZGbBYSWyOEOCqN1WsBk TnOMNRJVU9HXkmlDiSVaH064qUPU/HgShI6vbhVH5dPEBxoEy/uKxyscsXNjfC7FqhK2yKQLFW3/ e/xmWANl266Y8x8frqNfxdECkgX8c1SPHjMvkBAJZNxfGEEDE3g9NIHkPNPba/2QynCEo/CJgaB/ g7LcrPUf52PSRecgnkQm12T6d33zL24zD3bOlInL/0U/lVcYKjl5vF39cChKvUabrz8pMaKnx3ot e1AbhPnMvgL6XcNjNNmVLWwGoT8BjdNGOW+9Jx+dXWai/BeoNe7OPc9ul8mxSR+nIardR/eIekXx TZHL5xs8LdDmCS7kzDMaG3M9kvAe/JW3J7uO+yMQQg07lopRtL2AEU7t1tpeJvu3pWIv1wXp7iiy xFius2gF+FTcjsH2PJMTWYu9YgLb4sSUWIx/pEveiWHk7Zmk63KhmvUJgxsXcyvR9oox7NI03+3J xoQxlNJ2g4+NA8dTm30vOI2a/SLi7/FwqTm0UPzOKAP/qHKxlL//s2UES07s9awWE+UBu15evUDl FqHbge+SExKbCInbdbqlKKGZ9TCRPsKO9wPqn2+47Sib7k6Omr2UuVK6S8BgdgzHFJ7BNeO1oUmd En8tr6ff8smmcZMmAVPZV0/iDQMn+vPVUjz3RKFhAqMqKIbylmzHAGBX3caujjzU0xyszryWIHi2 jZF3LXdGaLF/ZetBhkd+RwkXTEQYQzN2Z7YkzQOrrVMY33Tf5j6h9Tjy/VLxLxvInStcGmOMuMGU eYVKap1DVHqDf7dQIK2twjuRgTnjzcGQa3LE8UD/zpI5k7StMS+RQdHPpJhCYH0mTfex+RAsaLFn U8lLnzOlfLY1RAGfF1SYzr2JQ0s8J6bamkLEqY4D0zbPmXRt1YPpy/S5YU//9xWt9Lj8pGPAYL0u 3r8zlc/SgBuEQ1J8e/zc/Quy0lvV84KLHgB77lHnt8Z+W16080vmcw2RKnmgLMw7TsykNAkzq0U3 DeMpPZOqxLGzy8vVUsElI2sLpujoWDB9SmWhBjFZ8iFJ+7jsFfzSNeyEKj31QemXU8unUUKkw0E/ U5dRmSbTXQscxGBEFQpwOSsjilV9XntVzf6S/1lPF5hY5I/xat0RpxjckWm4Bi/IZnlDVwNl8dSf 4p9ho7benUrtdsJztH3udo8fJY+J8cEW4lStkHcxyr5i7vpslwliJT0W7GUHaFww07yO8wDx51F8 Kno6k6xCmS8WYiNx8v9mSMHsPkzfZ4+NDAe+tnXuNhz1UT+xDkSJa3fGZGDV2A8QNW3d1F9qY20x Fy4NatFNZYFbYlfCzY5HDqZ+zZgABmbhQS5sraOx9Tf2ixzF7ZJNb5BsZuMF8h6/5eaGfz0fUfxj cec+MKUVee950uWfcIWdf12kxFOnyjGULMyOqfykSMDa8E1NLUBfVRL17j4FJerO8sMxYiDMb9pa Wit1JnVt5B+8WSH7Bab1kZ3fsPtTJTYMHixtTspLb18VcMjuBNvr7W/wNJM5GWOo/CO3C+hzzppr 3lOHiaAz98oyS1s4JBSl3veWssU5dM0Do6LKUvEPEGJcLmJKVNhlKUwzxt2DRNdW6Hqj4xQbAqkf B3LgJ/tXbFT5VZNP0AWGP+52a9ZlUasbwFcqNNLYyfsjWHarv0m0aa7j1mXyOJkot1m1WXrZnFA/ dCSw3/QBh8/D2O0WPWxc494oMm8FY42nHQ/OOgDwU0Wt6EzUmemFEtIPNhSIZ2op7Ipl/L9C0knJ +LOxLCNXwRnvb0ClktnN6Ycnsy5Pgc3JuaUTpSuBk8uEgC7YI5owU3uWBwLxbua1S0f5auKCcKZO o5RHTzrHqGkYqk0XqC/VFx53RX2OPK1aA3XivKb4nPHGFZKmQjIVlEWrM8ccWgrFllSfRdipItg1 VQiPOvbU4pLfT9rH1v+1ukz6AxGHnFZr23ErRaULr3v8AownpNFKJKEPPNkefwovHVundiZgvOn0 CcLas020jJeGK6AF1dUL419ZLRuEcxddwsVxw8jPwSW5bh3WRVdy8OW9yfHdM59QR7olKYuOLYm1 pWKtmVjynCCGO0JkvoFvLhXcgO/XroQhbi93LZv5NV+t2GMG1iDFvvNLgM6CPtmADW2kgCkg6iif JoC2phaS5tC6h/I8xmQJn5TK20QKReemCJjgZIfpVo67ba8UW/SzC6vEqib9vA7GWOrwZiqX3czO bK5R0v0ZgT61c4NL7Rlu8zGG5gzuCCQJFLFEu1KheSNLs4WeeJFRK5ITgPq9icaooVIRuEZ47zuX KtJM6egEVl/RS47CqQrWmKVfZx/JszL6aIYqtLsydq/MuawZ5uikfUqrPQ8Zlw+CmiGTLdb1y7dg a8SrOhdlTYTJgJ14Jpe53ZyOX1oXWXHLQFDGsq/mAwnAbvUqRQhAcb14BziEiBw5PYIiOPR0xEwz L1LPcsshWZBt7e0U/pPwDkt+ao2tdI2h7D2wAInwrhq37R6HXcCTycmikfTN9PNS9pxLMe5tIgN+ 0pZiu9Zgu4K+xzxfWhlphhZNtaP9XJ99+k5paHX6x3dxlQ6t2cuhL0QHdq1wXnKeWVo8pBNA9Q8u F955XJtJAARnnsCnIqEr+mOABZmxa3YJ5wdRHYyn8M4I3JymeF5WfuY1O11vCpRWEx1QY3nxlNHF Tvz2gVy303MTt64nBOod2BnBc3OpricS3m1Oc03sFSwIJH0Wo9wFb7p5L2yhjQwUDWhrLk25QY8M SDZTTVMRnJ5zbGlSQ77zp5Abyl6cyYfpO0JW/Dv1d8x2nAyB8etyuTNm1/x3PoPCSkZMJWG0gqbQ 566PSYLtDJbVV49UVbis/2aGsTaYsOsp0ysOmkoCtGtKqBdTGdFwUr4YACNcgjZ4a7ddjPf3jUb1 O8RF09Lfl/5b//YUx6JlQ9nPKo0HsTsKhvGF4qFMdqDQjJV6I/YgNviKnkNyPCJnJ7l5jP0t3VMm /apn48U0WJrUJ2d5AypRwdZYiORMiXEfT6wEyJey2eb5hQ42DgpHp9fzQb8k+4MaVlCOkWDE4FHz VPrLkSiify1xDD1Kheml3v16M7+XAbC/leEdJ1O7CiCdtn7t9ajKEBUweuZv1ge+fVy+FwuUj/l5 sl+LWljLppDO1cAtK1ZS4Ok2oWkRnwg4dusk86zeJrHSPXRbCwJCO3f9gGBSg4Xiop1WV1QV3f88 YnfWN7mmJxOlHaYoGgtivO5bQW/pWwKdXbNPLqU/ID5EKYqG1UBU9mmcpFGwn7YM1oueirXyes/p q2DIPgLa2aiujOgTl/MfQMhB1u5K7UT1aluR9GsAZUnfS/V144a6rvUMJ/e2+gOQDbkwyFNbrkGY +ZGhEpe0+4IU9JW54UM7y5VblEhLezAcgvuyE2BJVRh4QrUBqumjRe7EUx5X9qDsayE2OMX7JY7P +ez7KudVeCknn+ajBFKUs0ENeMjOfKfiZ5CXTNPDs9QjYbdGny1qBtSeol0pKMX0xafS8pFzTw78 ZgDuQKn1wNmx3WME1jCNHm5knkALmcE4GoJxQj41exkdzDbT1Fsjxps27XQ77sNQlnKJGUsnT/4i iW+481tYC3/fonb0EBs7dLgnZyQra4Zin4vRQkgplY4Pia+OU0YU8MdgILl/+ya7HERbXGwV8iQj lnS9hlo52I/UKHx59ppveq1LlTlpYKllmrPBkVXYU0vRbOFZJN01YDFc/MXYPd4jZDeX1cbYMchG wpWweNqLy17uS/csK3gjhVPPNxXjax80I/h+hkevLesAVTxoNGV6qHW8Xjl9IPq8I4doOEhBxEG5 e+D8RPv9zopxs3aUd8goDn8pRsM68cuv3qmSh4UcauL7F9NoqD6mfkmYqB4cvqnpqNuQ3eeNf8Nr yhOTo0z4pYiYNkUnVZ22XxqyjkK7eyvMjL3flc4lHrlYeh4RcH46AlTgG6ibJgYF/kE58ys8i39f igHS0u7CZAUlA/kGqDkZWyLUw2iJIi+VGAqeC0iQB5a3kWiHIHu42vSKRuQSTtqKB8Qzv/h2c55R fe8UFIKK9erJ+pZKMIkjkobwmkAfsg3nv3GfCahorzXZdSSFcBen8Gv3wHLy+AAXMnFXxX/mWQdy v+ncsVekwfvhMScw9shAYdA48Ep+AhAq3fDZ4FfS5C1a/Tv041C8WGvS4MTrIQwape0uFKKz+QrO p0pI6DuYglYYobgg+gPPIvNeMPoU7BsVDH0xlLy8VMS+gea+pAPFHZudiXrqRHHjLigftKc0Edd+ WBFdkZSCuAVUaW80Q0j6EeGc36tGZ0D7o0SPKQd0QuZIBbth4iq1e0dv7cn9fJzuBIUIsG9lZ6qG 0e54fymz1+MhAHcxj9d4nes0CObWI9sz+AOQkUcXQH/TOT7aa+hEgWJn9nDRWZIWDH35CxRuzCd/ hC1B1jpvHM6lvZeYJSP3GHr/XkUwXoZrbM2ROyXEhOk7sYYmruCOKdDl/eg6mWxffelB+ftJzFSh hVlji6rDMdVFx+Hp6HuO4p+7GEroASSGpIGGm7MTRN4ISKAPBVfNgwqdE7Yptga/m6+1gd+X8ntq O23GhXvx7f2Rn7rE62GuA2oA3qyiQfot2uKYkbDq+qmZSy9Ey7si4vMTQkHhbDJxXFy9VJp+6b9f vgBo2V79yM0pe8soEdIq4qMbL8uMN6wOUkKOry0bf7UxFtCGoAhi4ZrDapMhzMFOToDXC3TNmx0o zFyXkVE6F4acU1l10cV+oPVBnrHOZ1itX6XoPL0+r7YgI8guW8gQE5RGxx2KOl3gfipI20VnEjZe sQzYSWLZqcLk0yHEn3f7Rv8IGvMX1VwTMy8f6TJntiLmKpdcrs8q5aWANBZlEXbUbazi5nwtZwXt 6/HFy039/26Qz0dnx1UjAuEQmfn10dMFZMcYMTsqHbVYBPl+GtxwwFm8l/tf4IpEZ2DHkUi5Boee WWh7jC38KYkW2FROa1uPpXfyeKK0dHwLeTQByPda4GU64ylj883VvyMYny3hC/hT+Ue5zMm80Zlh sgufeXcekUJD1mFaTXUiLjbKJc5cky6axjbzpMF4F3V7aJ7RQESYAJCLLviEn7x4WL7dHyNul9Bq tz22LFk8kzdC8CIWgUkfZZjmDMl9tHTCXqZrowloyjA50XBNZT9RajkYCpRSor6CbxSEnZ8D2hjJ rp6nWCg+eQUHmo97/iNN+HXv6mCS0XuJSh1BdnRXM81mQT2XxXMS6VHAwVVzZxmi+XPzfti0dJRg Edk2eNU0Xnk4wl8QnegWhf+biH5svy66fpLCdjjV4/j9sqzqDO66+uW9zq6nx98P0vkRwB9KNWA0 3MagK7caPy0n82VYVcmIQv2Mx50qLwg1ryNsaLTuAAgQcuDMngOCIERJW33fMox3ZPklSxP91h8v GyC2h/2p+CgHyNH9Nur2dmEwDzZmqMcQDF1RxzkiG9V7/NV1+24kXAV68gS/VT/53EhOHmTPDemD aIMas6we08slK4OQey8YpqciyxIiLn0kRokKmqxOQulqgjCm9OdYAJ+h/IqM1H7rF7posIuRD5Eq 2ivMZSTghzCdirhoMh3ZvWcub6fgEnl0GzYDr+KzKajHWiJK6zpJ0QiPXLMyIMYt5qKfr4OoqJeH ZWTCDA+X+IDUy5Zg4Opz7e1rn1lXVyM7mPI29LddTz/OAKYldakML0HM3Ry31pQSdBu7aPvOmUNk 6KmFVieB0YtBc731NQoQDvZWx4S+HMx4ywZG4oAUUz0rxh6u+OC19LHYjPVXz2TkDis/DGKY1ChD fgA3AYBC7BDtyugyEWOIigBCF5iL7bQk+D4y9f24iW3QMwNhntuKB312dt0/aAK79lR9kJ7B+mcV x/3OcJ5vxtdwSD6ZFTix6ZYOcSfNMJoaTdYchOJmjHGxmP3gXryKorhaqZsI7q8KRu4CvXJe7Dvm WG70uEjdHETJ8Ah3asFedriKgrxoA+L0RiRXEZpMiw/pbeq8pacYBP6dTWkpMlKrWVfxoZ1cscJt uoC5cR0XixWuBVhM2OVYdS88Akg/VfHSVX+b0O2UFIRhg91QOIc77/IEPuGk5LmV8UjMZ+hOOkbE 0H8RcxKiN8S1UKAx7j8takHH5oxb7QpUlgUBNQTh19/mk9mYZwLdOVVjSzNU3fD7YQo4096inxYO RqxVzDTWFO3Zvb4+0qQPxYwRwllTFJG5RKfZDqQh79Lqcas76LL0xvLYMPaL+zym71Jx3B17xvbZ YbZdcqKtO8+VOPcrVosDQ+t7OdHJ3cKkRNfDFdNDWQ/WsEn21Ff/xaTOlL61/EAvasN0gCNzBQPE ZIyOxsFJD6jA4Akb1V+DDlmN8zSUrLHnzQiwhpO7fhv0wJ6q1m7zkOiAPdgj8OfwKzlZ0GAQdN4Q /+Vr+dq2+DMhTQX/bp77JX0WRzqDlp83jV/T8Y3BlfKbdRjIrYzpMcgqmDtggmwMcaQ/fi+8oHuF qyrVPx0WMtB9ZDJzKBjla++HgEaBtMuevG2wqpYPLnsMGcT4L9zJlpuWOk6SW6ifLflcc/ump/tZ tJIVnkYAP2eOAgAtThkh7wV0NdZYMYtSOPtwlAhBeR7dlTMwlHo8UUvHnJbumoHWOlKAGypJ98Vu E1GQpaUWEqI1ZM0hiQRcUFb0k+W2eUf5W9GQw8PxaLAHGPTIpndYAsAH6ojnQQx5G5os546RVFGP uUCXVp+dr2BB20CTUypc9XdBWo9wpX4jD/3Pz2/IgQbmO2gx75ccm1Y+QqmzbG86YF27Ki7YjpJM NygzSLQl3xQnAFJ2Xq2SQj3lPHkKduT0H4AGpqKUAWNTzIKd154a4zQGD6+I5sW/WPy2L31Rpotg /szZ64+9S6x+BIM22Y1Vg4/MLymQ5q9F7C0HNFz9EvHPx4FZ4SrkZ+wMK9vDt+/yu2BFKz+w99g1 fadPrVElrNcsO+s0NsLVMFOTBft58Hva5NxwG+DudTFYF3dv8YAXIySar8xNqUIn1nuh7vPNIi+s uFib8hSWnLZZHA0bHvxsSrGl3x+rYf7ZexWqgLBOVxtXPvTmoXctjyS95iOYlEIDrzPZi5gDq5Tk VwV7eB1aERmkvSFolE3ee54Sq8ATMZVYvkoSEqFgaGJw10SXt4nFZMkaerKnK9yqqHiU5q/vqbYk BIem4sZrHQrm31D4rSnH053gUZyDhZmU2ZgLz07BJ6B1ostL3UmWvsbEeTJ0BKaEQWliz3pAu4sR HmsxQvJrURIw7GSc+GDmTlS3qP4+CSbc2B/yUXhpgRDu417Ip9FiKkMj6/YgcRWv/ZsuJekn95sC YW/B1R9lZNKSfyocL8rRtVWRI3awnRhBzNpNCSttDm6E1GXwqfVKW4G+Sbgzq5DBLHwZ2+0k3ZKb vINH/9QIKLGDnfGFs5zusS7HcfMPFDuscKvoS8aY7rhUL5qe+6K1+bB4AYEJsNXyUOV/WdvcrZst vGylD7c1iXk4FCSeM3vpEcifU2RWzVANBkkMr4b4MM6xFEpe0PXembZGm+Li0gM02cB32om8yFkb fqJMThpqpfmv5hDLi7NfxykTZhdbsUbl2Vt5aXeUoR9ehDNKSNBLgjy5E8bsadoP+kgfFGlltFPT TuyQrWeJ+pOHBhvo0c4hkS6AvejwWuykW1x205h9mFYUIOoqLrLF/AVjUhoJtAGdsoepYKKp1QqG Z82lgzZ//npumv3PpcBWYMzuYIlJq2RxLpl3/aP+TXAPAwMqrTyGZt4waYcYuFPBVr9ikimhbKjQ Urq8bNL7tEQcLDB/Wtx0CDQt+JJDEQQ8Ia1fNOno+Qev7aclqb7TN4HQ0t79tkQ/Jg8fcV1CLtNr GoquxbI+hnDxT9A+la8bdB+k++nV42yg6OUn+R6/mjBw+Wf5d0i7dnOVeKmxvn28ammjtajVA7pb uaUc2F10cbfwPJ8Sc+nW4M3ai/hnkDy8z61P0HTC+bgcDkVfV3geAQ+hyw2qdVHMnXF7PHPvWc43 8fXs9z8Sag048boVRXVrwPskcR3/out2jpSAVAUT2DUtTXLFbcuqb2pPOZH1AG4+QRNMTJDR66G5 ChFkIhaa6EoTX9SqDibGHwTi0NNQpbk/KF4O2ZZ2vinreBnPizmtl1APID2StRftJQL0VGRQP+Ce KoXHyNYX8yz3W9mBDVBx3ibvrn+ZO0Ve5KZ6k6pOwywujHRNzcwpQfMLU/b0VxMI6McHVctb3WIt x0WF2ikNvwCeBvExgEgs195wPzfHjVj7gFzbJD6bWuMieMX1LJaBErwyUgdCo8RQa+qh/Ek07B82 tuZZKaMzlD8lZ+S/JjOKW6dn/u/ZUXSTJRIk7XoBB7i7vcatOFIpU+rnRsx3FxcsLVd9qtezjceA kEW7jjAV8nm3hkkn6LZ/H35lck36NLdW2cnxibNCFZnzIsMaL0cA3YrKQCb/HKn5Y1/nvqnSjvUS PnHuL4D9rkZaHerpLZvfSY4x4kqfX4no+a7+ZTl9QO+z9IwqIXEeyjimrBeeMI7djrRo6k2EKmqv 1GkTZ+NVPX2tAwr7q5n4ruN4aPdBcEw3Qi4JBifmvGyQ3+wxkJSSYP0ohTq3fkRATdYtNbj7gChy D1RIt3WiBk2YsULItHNYjWUP/UVwmsWNZ3LezpapQcz6AsjtbIqz6zpwjIrCmDKFNKpns/xSAJ1X E1pCm89h49mS/Kj4tRNthQjbd+ImevVJ9b0fsbK9N7cNE0Kh/YnjhfYMV9hAlYH7z9lDbioP1KW4 Ps4VZW/9lKnSNczO2yUj2Z1naiQ5uhXDUUvbtlCfEuEkxkgXkuv1U5F2iRjQb3MSdJgWYKNXhN/8 9WEXYTQgZY3vAr0eGilJIezNPjmCwT7J9rg7DjHVUpyR8Vy2n4uc5v2N48++hp9XBqvIGl05BNXF H3c7xBBDbkia5e4jLRUvctLOh122BxzrS6rgP2hPcquTPtgP4eymaK28EwkBektuvoB8RTW/Est0 scCFTP5k40nKiQXq3ZsFegLIsEcRIFFovQ6Q/TqGUC97GC5Ez+xxfOuEPYWFdhZS9hKKLbYOsUDu 1FPDApedupli6M/A5gQIw3HTJlluW121hzkWauegxszRRRI6w2CLzManW+8A5ytXIQsD4THYUAsF +Lz0Dr4VamdPPz8lSLzno1z6IYCD4r2KWKBUDJ+OQCm/uX8AW1i+V3ECngnAGdhpUwiL06zsM7FN flRul6/zRuUWho0fpIT6qeU2dbBfLBPTD+oSN2Xu+WRCWDdfrfY34v/bY7/dqvHHRitrnqlnaloo tavap/JIGlfLLe60jskng+PSERtO9gRfxcjy4wSTFAgiOjFdEM6rkzOtQMV+ZGy/J4BX/OE/iTBZ ZfeLw8xrzQMjfX6Ga+zRKgNutzZ1j4oOJrrQXxA6EsUx3Exa/KtcEKCEw/TsKi5CQ2WPupgRSgkU MXJ5E3METU9UYbHJ0ysyc6yO/nNdl/1eTVwPFYXbPVHeNloE1tLY3y64tPL558rbpFxt3pX6XQ7j VBdK/cTTpmxcdSUq6EaSdq4aXAPlYe5ZLU1jEGjViOWj9hLV2HG6zUVOTl0u/MbOpvSTmyJcWpkZ 2lWSp9zGm1wtc0YOWHVzTBoZWr80rlDDLoKdfPbGW3llyzw3r7ax+GqQMkVeOIijKPyOY8w2E8xK +J4b0IDwB37LH+Jv5puNMl1C+rLwA8hlHrBPCdXloAsjGle23xOx/lGC9YvSMXxjNUNm6jLLRxFg NOoUiEGq3ES4zc/sMbZw7nFZf3c+yrxoegWWwS+ypxNro1QCryA37UneKfsrDQ/LF8+EAvWFoDgm IXu1p4m998jIJ8jPGaVV7IkpobTg3vOF2Noyv3cH/4UogXLgx+ZPbBhwz9K2PJPNi3AY1EOeYt3w /CkONvoAnsp0dM6TJV4/osTf+ij4Wo9ictoUi/CVHUHJfN/aKsDj1wqny+p4/mI6CHRl8RVUlYDu UMDvIe5WklPJkgUI8jhhdJWCZhUpCJBTjXgflf2IylrpnL4oo41gWV1wmXr9nWT7h2gmi5QfNZwM KlLBW6o3X9V7dvaX21U0wKLVHqEA4vo0CtDaUM4evR+PpEFXnFyHRN5iRMyi2WBDRuDV9cXxDP9e fh0U3j5eUZ13UseL1roiDM7ky4yGbKsB6YobxuYggSSALMBYZH9n0qglAh/j2Ej9t1lS7Gbuo3mx DlMVbpWK/31S8dSekap14B12paJO5gHaUV5W5O/zHQcWl6jvv4ZB27fCJwMs5pI4TyMwXRTpm76c kOAmhIVriJPMtPkt+wNtpKOx1W9D/hWWq3HKamE0GwHf9QBBiPFKhp9fLDv185lTPRk7XW7JrLL1 iBo93QTrJzAv7LNp3DQIG/zDRlpryXTHVyJC2c2dgEhw8P4bJP1ah/hZnJNJvzRQR0sLaxwX/I1Q cpFUpbrPc74zhZnzENxfKDNs+b19z6P/A3+wn6xx0V721X+thEjL5CnS8bPVHAuxwHR18DKOdSb6 Kx80DDDFK9lahUbMsQpEP8trJb5Xyeqgugv/PJvZxROFYiJuTmB0W5pbZ+Ro/bSn9d7sTw0oagd9 XdNFE0ipwVFaYxh3XONw8xkVkSWBPBeUnCxdD1AMBVMmh77sLPjnWoH3KV04hiTkfTtWQqEhLNfm PIO1S4l4VwOK7dNfF8b8nwyP1DQJQGchQ8SVuPRfixSQFBG7VmvRbdtfeD/Ka4WCkSmI9QEaKFRh ZFkdeOfiIoMyKWypJG0viQNSWtKflRaSMEb3+Sti/DtpSoKIY5mw0PCohR5VgrfoA1smvGB5siRR QnKrG0XdVC9Jpof5SWYTS6qAtzNigKH5/wjfkVVyHgdiRyVMIbNqfZDpKxUnKvM4XLtNd3w4Hq8V 5gg2xCRQp9IUMpeXDqAfnWjFnY0gtU3t4y7A/Ner2dty1k01GPTjxRdpCmXg4RkvxJI0Dya2xNxh HMFeIlc7VWwZIJFDukb07Fgc+ZdBD3Jj0AC/Kc5FTPlfSDU7hjMO+qbDoAQIn7VABcpUI4dyXlr1 K+gQ2knkGuKP+PrK78dyFNH0aAGHfpTkd1IdPxpcKpo8Velx9XoBsmFWoS51quLh+ehNr+b0RXjB v82lBMuv0FUHWXIs5UoPEL/+LFHGq1Z2dISg1XmMSb0onkb9ma+GZVJQ5tmTCej/TNKvuNKfuqro gwnKZe0O8yXkWEGGnCVdXW4F/+UyKPxTStxT85UW81/8CqSOnErnW5+oN0WR3oswRNBPYQBg4MFw vPs4ZzFNJl7od4uDAF51XUpQYKkH9jtNkb7a1SxIN0giCN1OT752k1U0aTijwCc5vpPWI8u1GPcx 72KnDVv++Khho1Lozit7oJQ4Rc5dk8kp9tizdGFrL0kCAh1tDroFd83psi7jtlF8+g5JGpOkXqBq 5KmPB0OFGqM0++ZXcwAAGN2Buj+4dNp9IZpTIZaMHCwTciflvCdPyXnkMdt9NRW7YYUbYhba41q3 u3qoko6B3bUCpk75pueLn9mu37GhdDFMgNzuus+0PgmJu6GIXQro5XMTT5rl3F8GgRQsb31dOD2K KKfj4QVZbmD9kQC0jDhHg/VJiO8WPwdAPLoOpXHV1Ow6THKGN+0ksy/EDYYf6BVmVXzYWLOGm3IT risnL1h7bbRXmHjaG5Ys33LLY2n/fPcEljYdcyzuSKoSTK9eMYRutB6hfQWTCqq4Qf6QnGcbn7Wi L+NRML1s/7vcQQrk+y9m10DzFRr2CJrv80+fR9pxFu3Vv53oTc6AdgDA55uLYz0qBCQO1i4H9mQl k7sl4KwnpGHWeT9/TbcgvzMqKy+6tNX5DZVNXI6F1uQQ2FUZAv6vTsNjHFp5npHAjWt1wzXyMQrG 91PEKlUWrX8HO3jewqzY9o7kVXl50TKZYEkJKBxKvz3wDM4u20LJiMjRkMFXcZh+8QdT8Ii/hQre AzsAIEiPVFkU4Nee4kVBBXUY1bNLXR3gGXAajUmgr6+Z4SoeWXarEFRc3fXDtLxT18/N9lij/U+q Lr/beXmud8xFjbY6O1K8vG/QC02eHuR7bpKqeLz/6k94mdViJF89PEqJ0i6b31M3KziJwyhFmKfG r06FDoC3k1RL9AqbFk/E5Ymp4u4XmC8xkJzbrih4jYETnstXMmcPMkxU20LSNUVGNZwygRbFX63l 5l23BNz3icvX2BJjDxuBzA+9U84FD6/mxhY9rdxE4G+SSZW6m54bNFCPs01ispBLLlsvCzTEOhWe IFTfF/8T7SwIssiuevAShC1WYvJ8e71OsOPdjYGlq+shYWxIBsX9aT015eP9yW+8F3URC7WwKc6S f+NOZWhjxBemepMBURuhIjfiEvE/lsEOD/iArmIEI96WTaUy8RqhrmEX9mf48yaf+xe4Owa8mVDl nrxLTA4eozlckhlCjmIvRgOIhWQxHNthEjTWdv8wwWs5IKEIqVPhPSojAe4YosbhcreNiRH6/2cz oXs8uwCcXalq2H+RFBePo58DjU/KKmckHiUXKGPzVul9UnsXS9Iq5FpgEqaqOW2sFgpETU+aNqjd ezEmzCGmbijYlGmgwQhz7mr9ZU1NhyEgUi1Gw8UAvDC5Vnuk/cO05yErbHVwlBlRNA8/vS4TIcEl xHI8bjMCoyI8AEQ+AQ6xdhxBSq82D+PILeX+vG1hyS8PqEBf+1mDa2/fPRmtUvlh9eWU/3F77iir V2vjm4PPLiYjeqffyPcF9ogqgP9RGgpANw1Wmb2m8W2ZmW4ocqK/+kQmino+QgrDhmuFawclFJPW F8qmfs9nbIetXkYGvFRG/UVzfSGM1+y9dsmZOqR/L8YmlFmRGoNxOyJooB617buJkW/rUMR8bnMR OrEuM3BgFW5IVtqwKqOvt17AcpTcMUFiPkOynJuAKNiGtP4hqkz5NqCLwUxbXi5sB1D4kHLkk7gg UmTGA7ZSvg2mNG955ELmgXYFc9w4PUL4fduBuBTiS2tYNKVqJBAhQrnw7HICr+uiAV/u9o5O7TGP N19ToLv8wN53khHYWTxH2joLaeWkIo1K6MGLAJ5CzGQPd3wb5Qz78kND2Kxk6NYf6SnvLX1An35e bCxAr87SmaLffe+bpdG8H6mizQCa2dNwzaQ1Mt/kedJwpGKdszSlgQjyiNpq4nIjTeaODq+tYN4S SyR0NHFdZ5/PNmYS5zfD+BIQYmWffF7TELgdLv8/skzvFizIDx3CAqDx1rONUJK0PEHVeso+xETc 5BAdkwM/d9wTRFwlH4jfq/mNomrbPdbMzigWAc5qjgz19VsgShDqbDjdOeLIYYZCj3bqFtq6uLve adQQxXp6kXC/JG7bXGqG+OSQoW67Y3ew5JRQD8HCA1KmFrp+oqleNsoRr0stc0Vo9YOHMYqeiGJ2 MlrlUEPvgnw31K56S4ynMMI9Dd8QF+Qy1KtRdPK+ZHZR3ZKkAYLtsebwbHlo8A6rA2EQSttpngxd dZhB5cG5nslTzrP0LPng1LQdNfHRW+bTb+KbWXAjzjdMYMfz0dJmjnHkC+72qcPhtmYVNyO5XAE7 NQcPudEdSdu1ynlEwM4ua2vLK4fmlI0MdhPcEyP33JVaXcEsn+JyKKrYZ9y1OVUI0jfPCMnLiuGX nWSPS8+OTaSS5PWbMryiQYhtrMP618PU/gS23KZwRdCya7zqRuvvEhcIaZ1y1uSGKFVMUjzbXdlE 57gFbEvruUh282y7c7//0LKgbAEX4qjPJvWsH+sOGmho+TiuhPDvFvAkt4zSfv3JxjIghP6ypFSD 2y70njn+qgrobRy57JXv2bmnaJyD4GGAWZsku3qYQWuXFwejN84kiNKydpO2/+e3cduzoLxvRiNb wJ6z/sDCZ7rZ20rTD5f/rm4qj06xybQrFyuQgjnxzosgpEY/RSPeU86v4aLlz+lmVuDY8g0ZPLgd FOGWxWwm2j502ugMDmT27+6RjZeUH+5pWY53mNg5YVbE5dACzPk8u8OFZBttEf+s3EE/KsaYjIdd aEI3AJ8PqA/7p4KvQfkR5zfuCGTq/B6eLiIQ6nD93XFYy5uZclwimATSFm+0k6YBp5i41PS+J6Y5 R75TGbw+JrP1zPm7bt45C9Z8H3oxwZgCF/QAHYO8FD0AT2e8vYSe59KY0pqqD2l9/qkC2aXzdum5 ywo4N0iY5b0oSVyvjVWJYqEYB2qYiWhrzu5tIaaY3Edgo8UoXdJjnNBr744DkKC3CtC5SAVqwWxP OsE5BqWyhoBDgqB+9CpBuU1weeuTitmG7NwfrDZS6+zVuf3LcHZNRflzMlYSj0ffur13maxXRmpC BFQV6ZdFCui3R2IvPA6ZSpQol2zPTsXeAfTvb1oNR0s0vt9rmRtw/0GRPUpmvNnCe4FH1uSI0Myj bE5IeRgQKwNmRRE4Apk9Yjub6wgx1Sy/QkhP5RnBCeQbQaR1P6o9DRaye9veWGXrjPH5Y7GHURdv Y0pzONN8fvrfVcNBG1FD9AHdyMimVOYAdSSIRIovOjgLiWiBkzG5uJ9OMfdpTylQytjea6MTcDPF PUGYdjYnZrQ1aoKjScwM51P39NGmQdPvt0hsGXkdRnzE6zkwkqPVdlBpsdIXVG8sONXVivZyc5hq 3PHX8sbfHsFr6UHCAb34l+sBqoB6zoL345CcNf5zZHljFf30KN2bPQXLK7yUIaNXoNR5wvNTVpok oRElM+0lqTxtbE8kV3nqWydCU4x0rWDDFLNkJighYepscS2X6sU/mPKjGrv0gz7LXfu072HYF/U2 CVfnOdk+8XKlbjAAu3nB8IUHUdJ5LnO+av/vqmM2m4QFYbm0t8eze9zy6PtPcm9JxAC/+qC1lDWa cqKUl4/DqIyummBTCNS1wEXYbeIPqTzUVP/9K9wDp+A2JDEg2os90qTXwswgOBeNTHx1DqdWKtX5 Hze9stjI5gGKdKfKSW8L3yB5vtstJy/T1dWMI9anZgJPuDpRXlLBXXJ2SW793TpRpCetOugOq+qW hk1SK1vi6ZzScifpFYcUfqBfWXQLGakDEcxYnXzgsggeKI7rPwnIuBLrTBIdKtufb2+WtBjyJlH5 QmfkpDHwfXhxr0qFjHIAT4Dy/DwT6hrmsEwnUCNf48YystfZW+LK3h4GDlU7nipItxgnczMDOl1s ymzL6hBLwfr9GhqWyylrwtOwh0zq6haBi3mNbKHIJW6hMb5/H0GUHGz8LDqCSV/BLJh/2Fz612Cb WSQSWEF4mHtNhylJEZ4dDWhKgfOOodNSrmV+Y8EnVDKddKTZP44o5abT1XCtA/lHS3FBuNIlX72M D6YAol5pEuqjRtO9C3+oTl8Q1USm5ro19Q7brqUuuTmAv0YDBH+mSj+We5Rq/W2kUsstFea7mYOA qPmvx/qLAWKyQqm67nE4TWlrg/6+5E1KK2sEqsyQunRRQs+UJhJYhaGgqvSTgYgYE7xWo776GQp7 5IXmfQcTDK0KZdQpQF0KaQtTTxr6AQNuzPPUuSjTnRPweuCc/ztgpEq0xYuCf6qAKU50osYsUAV1 YK7eZbPeNDYl4InwSQ0Zr2wJveBVJ34K/JtGO+ru3z+XRTkG8cYFLipRkMys+GgUsGP9/0hrletL KIo5+z/838fqQNv1PZtRVVchZctk7hsiQ1nnlbBJ7v0W9c55ktrguNz7y7/mS8moq1XZbv+pD/qO dPWyiKP5dkXLXKBSlC0Cxh0iLRXCXJEF5D6Ek7wl2tZZzzHqwwVRnEDH3KXW7+VzoEWLXfbEtk2F hvSqCbtV9K80TNbYTAiS5Nz+TLaiB4J8YB7ZaxuxSNWBVzkEyGC1qn4EpLG0ZeYshupt/OSyZQkR gvCIlQHaa9HOTpe/vA2jK9CPlIhpyaYMbKnUsa5RXNDYSYZ3toxNYeSLVudwKkV9gziasFUHjOss SeoQu+BDeDMCW+fl33do/ybW50nn2yg7H6Nu16G5UyRWlPoUig5KOpUxTQ5ZkbkfjDZ2SSwMfRgU eOAGR7UplqGqeSen80M0RJvSfRs1Syg+iFI9FquMT95fwmpv3wk18VB2XxC1VlD+A4BjT3I8g1rP l39NCUMtYFbs9GRoC65QaCtqRgvWtF2SzL5SDjhwmgikdCFn2FRWv+jJ9JCZXs1ENwqa09ikWZsI aiX2sM+jFSWB4o668+9cWdn4CEfk1DB1TnULEjLYPfkT8SvA3k8NcB7oJZFGehmlc6CrHEDxq38Q SbQBH5hGmZOfSPBVkTGCgfRfTEWg0F5iOy4trg8s8spWP8mUs5hm3bzkwpBbhNUdnDvQSNhbpkw5 DoAvRKfcp8OXfAh8I9tp2SnFzg9JzynguhnjkbNh+HvRRtQsnbuiIR4LEQqv0PQxqXgLUMJDeXue vb+ovihPCgXQKw4r1CLKUfPWf60m1EC6lOKAV3Ae1RYi7Awu01Cv3CHFUPVJwo+gPGAc2rhDA6Am bKtKGNOQLntjrCa4ICAdG8iuDUtj9dPa4zin5pa7CGmZQr6mPG+LbzQMiqCxCRJfB/U8IXMXqxTg JxRt76GKKJ2bdpLLhddj1m1Il07fT78oLHGMQi0BpKrxUr3s7w8IJXKjnVj4/VKEnksNdKEgTJoV GhiX3jbLxiwsdLoDmmhV77RvAndw68xNnW25L1lG2LiCPbxUiZyzrADkBarLtmK5IZ4/YR2rjAeG 4gum0GpcmCTjVIASasvDO/wjavkFbHr2boKSxLJcd7DBy8FH1czZESV/glS924VuGxVjMZ0VrVrK e/yEub94fulUQH9fYfvlRW/C2MmLRDjQ1C3qXI9doWUMN1IgefcsAGH6AUEIf276bkgtKHYwjTGl knqp6NPnyZC6xzwMx6TmG1Jb3MkivFC+YfLHYafOE60FaGnXdetvnXq8L/I6X62YobTgLZ4UA68a i6GyJ5ty+t2FdnxGTufRM7bva4tLq4njDdE8bNwRQKN6AQuTuZW8979zL+V2yDhFqYdJgiYSqBB2 fGWoIeHgXLShcyBrZxyndBBgSLs751IIh02S4S8jfTCKIk71NDhzxcAcXXKgBDAZJFH1586k0Q6q PKrjPdfX+hGUlICzf8IapGoEZ3m3DM+0AOiNU3Kmoe6wT7laGOuOdbdBDUmlOXLh/67LYIZWWqtR kD3ugisnF/jBvrVJNOFoufKEAXeQAUG0omUx8v+0f3274nEbepbTQjT5stgDyP5BMym5knojGM4U X8E5PlvJAiMFMoZHTIO7j9ffNRXQd78mHb6LA9ECyx9Uz9zF6+g4wGe8KAYhf9BPdC9R+Vvu4A1r OGvyGZc64mpnypi0/NxSiqzW+yDO5E5uD9xVnbUZZ0AUYOehILN0UMZ6M5J5uowyJ89gJdg2c5iV 51UtDPjkxk4qO1vkM5Qh7fmcMdJHMrosx5T4tq3AAuV8La/PEg0p5nNxkvhuLDPUzHMPt/toNouE WIG+XSE4q0ggNS2TuwnJIAZx6NCcczH38rJTs+jSA1fx1x4fHEQOQ8RZJmI71FKNmqgfwoahGGNI YSPdSS+nFXgNx1Fek9AVc3GqML4wma8IM7gk+wPuv6IIseqdRkOibkAJ0SJPVRrW7rEZwysk8fpv JMs3njcox5N2NY/PAGCpLElMSobiUWd4XCDRbIn6q1h1iNjdXLElyWj9mNj0xMZBVT6abJTO/Gqo m8vPymbU1SnrTAAv7sPyWsrPKI69idcXtrhZw8eIqiNicVodTlc9s2kwSExJungQlDGj3YgXLPCl OWGSMM1wUZMpMaU+htNTN9r3IVUqyZ1ri3es8icGPiNE0EsR/xireDN9XSKgcmC6paHQsVFFtuRt gY6rQJrbQaMlqSOoPbJH8s3A28VKudZMISu3AUEs9E/5Out7biUem7pHEoJdccENpMgVJ/GJhISG CRYBM4Z47TUuGNh1C9Fxj7iHDNTEu6DgAlcvZ+wmSDrBDFK4C4eSeqMLFJ74pP3rVT5FV+WvHvN0 Gz/4HnXbM5IkOuFHaWeu307W20AtozXghsnpPGKN7eRUlEm1eOdRp43y3+F52KT63TSimL9t5p3D /P4fa92C/4Aq575+sHah3MPSBZlelX4CrsMngf7xQapKaS/Yq6vyu7uPBnfDK4KTFu0u5r4JeVJt ncqWWxG4iZ/sCD4UiNOfNwR+8lMcnTQ1UMlIMjhBT+/RXjb1wfHBDsnvrhkTpUxiJjKOO3mzniZZ xdYMU0PW6FqJ+EMlh1LkgfIFv+lQd1xRgAzH9xYMQyMX1NX69XRJqcIk9GJIMMngkwwAts4iEDeE xzMXKFcGilBXm04eRnZafIAzR7Sa8sGvilXYJnkxk4BlQF72gUM91OJBwkxt4XHCnVRtSQr8ZW8M OEXP2bHJdmcwupvFZXm0FfAvWCjRjhpYHgIDXtMG1D8eM8g/5/nNO6amAdwqXpvc+HFIVvtCFylF L2bZ8gIbVyzrKKifaRZQdCkWPOIovoAB33iRtrY1szRDGldAIi/py4j/exs1mWS6khwqmtKlWmz8 0ZG8L+VJVZM0Nq8jev7TiSYuqCBMFWKRS/hS/K9u8KVuz2FBGyfySiho8+2PgiOuCpY928ZiHqjY Szi3zUtmxPWrTnV8xnO93Cr0DxhewH+OTSAwKI9GFYqtmNodYLfxAgNPBnzyLhtnIhX6in2TuZk1 MOBOLDIIQ8bc3Ojmery35wFVX82GTy1pYYPCyhU3lctprTh9LzD4iCWyfhghNuhuWzPhChajqPTb +pEu4hWivjoEHaJWSUPVNbySXiuVukpfHZJPqy5oRpaTLQfKUZOjh3KwiAHTolJLa5vtXXJH3HTi 0SdOAInUMXKiAufoqDhbgam49OXk5iLc8HR/sexGTp2BIgrodQ6dMAO8PRWyb6Yw+HdOtecYOq7t Q91eO6C0mfOR9QA55A+tAhnu9ik/CoCtF+w+eOqdDqWOGZxmKDPbx5qZOtXJZOzrPOHryCLwCiEH XRld64QQoyDMKg5k3i9tnFwbiqIX4sMkabErujjwnJssf1rWf/2pwHzHIEAfOQ6pLrGOXTWR6i7e Ed+feRLsCM/NZ7d5wcBqsiyA8UDfdFdIKoZ2u+kowjB1e2mDzG9aKgs2QrjTwPlxPub7Ggyd2Dmw QKX1EaxAW7K+/Q1wC5VdiChtRszYWQ0b4M+oUPxISIH9N3XF/5+vMrYkYymDZG4xLEcONqM3RYmw IcVZlNyOu0ba3SeKizx++lt0m25MnvtSRa0Dp1tRSN44Uk8AZV16HCZ2Ncx86i6AzTUjkpFuiUgH 80XtGkZM211MYoT/fyojraxFU6mVu/k7szCFkDKIyEzBydqasE4TsiCdpgWfLZ/6MNs+eR+LkYLd WfTaVQF7t0a2hOJCJ9xA2HmSHfRlNj5MCZ7cZ6vp4eyHOvURFMB7rrIPi7GS9aKVjHNqypdy5vo4 gFVCNEzkVOftuj6tPI4C38DVzWwOJ3h7V8wKqj61Tx2NtCXPCdlS39tRC/ZDHxXHQWA7ifp5HIVE rKXDFn7AmQGNpCmciRadutmAenibB+6Z8ynlk/X51gp+Wi9b4F85OZDcF421d28RlLOv9x5WatI+ zPgmrB3R8PF3TeTSiUVltvXoqnVgR2oOVP7J3vVJF4tGnpY3NsLlO/CHdyYlLpRKcXskceD62NMj eBiHOCgF4EGMG+9nmZF4xzFBR9cP24GarbdRYDmJWaJd3QqTXg0AlFcBczTt1+X2atsrUQQe608p Zbsn9Uq5urOfuh2bD44b2WA7REue+Yq665GIZCjcC/ybwBHQ9qzJCYOi9EjyBu7hIrlZn/lZ+sED QGV+0gClA6gULOKr5OdBAkipLwgUPpZfiUUhAGcpfE5rQOPK6IqG2p1VAn7RuZSy7Jk5Fe92DsED DIjYuaywGtejkeCW+DFm/3nOTkyU1RSZe4+94+u3t6e4FxmKTvwUkfiRb0svOgsD+cfSN88eLpZc nL7BWAGkNNr96uX948SFcFxsN6faCbtibV+sfCw1ddQ6svafh/++3Z9TU/FxWmsS7FbUmXPJhb5t bctvGC/KEAgpcLvnK++jowVMpV2zA5O3h89ozxdhIokw/AV2LUQMq8EshpbV13S20U9moBxfDPxZ oOqvh9xJlQjDVk0K1ht/Em6KIMlpVtjmURvfcaTpH9x0VysmXK2fhlQ+7nv9lYPos/QUXMzdO4oW LPqwDTjvQERg46i5NY2/SBLA7gsX3PUr9bwLz1iCGmmBSGXkWCtQqOSNdJbY+jU+GRlkUk93E01K kc0aDea+41PhumIjb8CfOArHTY5yADJjY8UdvG6uZCvCVhKNfOxJ/e7CzTIlvqf24ArxWwOlCJ8r HPg6sf36cqA/SsCM6Re+LbPc+oDuJjWRvYYk3ztswapX1AvBne2ghRyOfkuh9H8vdF+h4Iq+LcJK +aYnv/zvqPL3Lh9fWtm6o+kKSFBXHGH3bl5wcWOzzGSkCQqOPE/R0ApmBRoJO6iUL1+Mqp2Vtc71 8yvEyGcTXz3Mf2acQsRgQFriG11LBtFAJy7xb+XALW0JZfJyza6i/z7kYuUrz+jCYM9XsP2kO6z+ vh611HmUHxHCktXuv72ZpiZaeoTWdN4BB96RHk/4dB9aSr9gPIxLGFpossL5Xo/yj76yuYHrq6rg U8IFJeOF9Tji35nJFEoQ+4mYXdmLG0hCbAtg7ksGP10H7ePtBJWgBkqCHsHoFfgVasIZlCQB5+03 H75Md6WVqo+oYEt/Rqb6dgV+pWwM6obM5l7lPpr+8RCidVOXJq+p/BUGSh635cgWAKhG8V2LCzN+ eYwb8QSLyEwrPXLjKZjCsbqK3nYE+a15LovAAWZdjKxyP1AGb6NQ0n/ZZp7WyIFLwlqpHMZ8jkgf TcN4ybvMJv/CgHML2MTBdEAzCd7L4495GF/3Be7j3pp1ex68f03CT45c4s7Lt4WlY/J4MAAHz69h LhocJp6D4i48RsUeGCtfL8NlV8Lve7si1C+tJtZpOv9e1j1o6dbIGPhJR3ujoj3Tw+eHaA//GKv3 Gbeio5BuAa5LUs7cUGqrLVK8oq+nv0QmZMz+kpVWj534+N/JOSXJ9zGhCO990QNqtB18Ht6falLm NiKPgSeOFysJq6U4Ott+oI3QciFViNUj9igVSZoc9nQHB7ApebuQOcJYJs8E82YwepZ1TB0GEAGf qv8HldLXLT8I99CJ9qprY6NUfl5t1TUyoLi9gzR2gNi+Ou4RoCeoWF01lVH623T8iS5NmEBjXrmN 3q7QAJWIN+5aa73L7gtnYqzu9uaTAw19UPK4gzO7MKTf7Q6fOzDTKud+807XK98dxCaFkp9ufzCC NRlatEeg1IwQk+GDSQw/ajdJtOjMe3qBVWcXhkslGILUVHr2C+9SwJn5/mBJ3nBL9hBEnUGNf05Q qREu9RZlX8H6zRx43GU50ZoEOpaibXygwxkYfy7tDMAa4x3NNrGAqGuZQCk6gEAWvQlkc0TqgU3F 5KA0JUdPpny7CCte8u/Ch1U8rstE1YmldE244tpkTrFYHbxQlV7LvtgZqZRsBzIr3BvnDXsZHtOc kBEZdYik1J9gnv7HGRigpdDln2Y5H05gAtDuljJMJJLy3sz0fWrQZWNZRBIfSVtVZbtqoLFnS1+P H3DsnoZnsebFjtwrFJKu5sqGnQ7bJIOqchMCOyskG7oHCXGLVs7Q6Y8U9PznrObgVHN8pfWUoBec 0YwidoE0dmCg+2o+3Ck8+dS485muEIQpeMtsJje7he+NGG8GO/7Cm7BgIXpVCmuS3IdGIVG8FcCA k6INDv6Cs5k6HLSphwF4Rt1bpXRQptnL9UxkmCqnjVqqb8Xf3+5nptLwphqinUnQ86IGKjN7XRoF ucSK+n+tPJxGX7gIQEYQrEy6toLm2XzRK9SkFuZVZx9wCXA1qkFUh4keeSpOvmkEY9G3VKsV+huu Ot73GP8pkhmJ/qBQxBbyRUqmueTmRreXF3YROfp2QUEY5AXw0wydU43lC26hyJ67e/4GC784+tNJ 1vFPVNbGHH9+B8uYSoyrYP+5M72EP655olUrVNLErJSEBiDdWQPjAT+XBSR3ZGMAPg5pStu779PV agffptqueTHuE5bX22ZVuKRbTAm8grN/5taV5QBD22k+oYoM0Yh4eW8+yJd1JxBJc4JudRJxcRV0 AaMcH0Zt7BjmkGh5aRzgIhhmu1SEWqT9Z7b6PCq3/mY2HBjwZE3dIt2xySUyyiplUYw0z84wSh+7 2Yp0KfG2nhomy5S9tz8k+1iMa0muNvwPnH6+p4mksGRpwY3Xrdip7FQ1PwfTwdnykEEwr7oxsuQ2 cRshcPML7JNlqtSmMa8R4b8dYGOlIT/b47tP8+7X8J8V31xU3eZgAMAQPazmUdGmXIqKNHJqKsom u8MJ1jrNxCMjdfMIfAoF2uVDBUwgRPE+HtVSdM7preMcf3Pply/OLcd1Rb8OOjsXHYJ6V+Bpzc8Z PrgX+ctJzzXgRhsneYHlZS1kvcNcTddz1hy0SI3TReVecsc47iN4YHy4/NeXHmsUNzcBaBpEKJng R21aLJ0Jyys34iVA5zZQ8Bds8EFFEBqA8eBXVDeCsEpSl/FO4NOChCr4fDhQBI29AftuyGCoXB9c wIQUZvyPXEp8f321o8toUaO7wLl8lLngiUFrtsAxXEW3Jcdzt2LXtNPWsLr9izX5iRbsgO1Nwl5W BJ7cwnSkjItDfgliNdYZMUWrKJPJsMA6TB0SDaXbkSciMAB3ofzppsg5oegI729N6y5B9z2yyrG6 chv+R8At5jjoGvvN/7cXyaweJkz1CNNM1KJ4RrWSmsKBWejUduKJFmOlZqOw05bNs6BBw6gyLwrA zc2gVYp2sGyi01YZWHbYCemTqZ3qlC+qQmNglV3UYUpewNQeErukvZcdWBOQVGTPjCOdentMkeWu f9FlFjelwnFk3rb4Y++aq5xbUtJNNufedDspGIoVdpcGCK9FOYZu1O184I0A+f8nOmFv4qjAh246 R7WqQwivPUF1gtVxq+CdVa1C67ZywPcXftjLDgd+UeoIaMLTVDDL07tgI4BcF3bH5Gr61bASL5dj ibRO0A4zYdRIM1gbPL1AaZxJT7I9BOIrlFolgEhKaL1j/icKy3RQAlymXQKwv2zHV6Pkm+5sQJSG jqUwGLES1lXSf0p0G4oQXe4je4fME1f3+egaXHmPB0zd2Y/+jxxynqvbwIsbpmCWFynxN1g4XBtm 94FoHG6Xv4jWIecokOuYdnzVZt4zG328UnsJU5aJEEMzGI/gIQkJkDlieZX00X3pUr1rznoJwRfL fDNWKj4//rHIWUQnTJBkrRsHOMh1wHDOquyNArlpaVaRqT38zSCtzGvi5r5lZ0nLXpeqaJxDbqkO yDSoWnjR//RUF+fLa6q/e76ujCdsPYIo6wHIdLmexG44iRmOI1WwM0IF54ggWu5NXv/6p0cxpuCh +ZIa98Ggc8uj/LCvftRZy+nLeY4OPUGCmjVoWgBwlxRLtGgnVpG/JK6/8lErKCoa7mifkF89QrrI aJMFu0dJ4IGCqYJPnz2mCeExtlD9VcPkw6yQCDUIV3YOY80muAeihbFU2Pb9uyKbULnkm71OEKul NHDZuw3QhkObb2a5xgFYSbtVFhLKDDrOmuUIK4cp/yhMtfYVl7vHKbG2adCtTD/lcB5jJ4j7d2mH WOUWFMsnBOMz8QEQzQHcLJ2wFEKkotKfP4cIvT3RJJ/aZ066emTLJjmRh/QaI7FpmzW2yhWFejqM GJ61OdL/3Mgyg1cDPJuGL8GFP5XFH9S0mPx4QkJypw7LkuWJCfCwK0QodC4NmgsrR9NoR6eMRaQp 79bLmg9f/Pvi21Fp+RSaC0HrCLYnOKoITpCYahb8YvfeMkLnd44W1MvRqA9Mucy/ZFRa5lBprNQc r44wWKqbn6/qch/kDzrw//1NZ6eYUFlUj0zPRYWkQS7U0vGl17pgAQzdosL5dbDtjvU7NxIP9Qxd A/34evLiOr3MH3d0PlqEnipsmL8pOvCmvNuc2uynugYPNEhyxmDIjBbnO3AWGwFdrZY++23nEwGb 0mR8Ub8qHIjfJan898XB1LNImxsn6rSin/W5tS9R2cApaUlDUqHq1acwPuqYnlz38a84X1W6zztt q726WkYm2voMYsPFyGwZcFbJT5FSyX3qRII8m8seXKqmBQTuxEiY8iSjdbF1DG/7nwj+33nOM37G 5+iJqZBrvr85kWlXpPiWXWAmQMvaFuhr420rLFdlaBM51agiH8PDStOB5aJWJbfdQ5PkCDEOj2uO S79IQ84+LJxs9c9L2AG+b5FUUEI+nOq2jH3yrGq/arBMW+e7wuZFM4NUF9CGMKVj2Iovu0iW4/eQ M3VZogTToMi7KkHyrvyWn6O2Q9wF9o655u1hy5iI+gphWi6P5z8iHsszZjxrnOg5lNzty9+KeXZZ fwPafw6keGwrD+7057M2rcVBFzwJ2Eh5BFE7z7LI8tRWUwIAbf1qm6sAL7T0uLRQ9pcRCKNDY6pP dWZj7zjRZi8xdAlMd7OYpBQXGOv6yAZgCtxnxaBCDlGroK4KWTx0GFjTtVExOLaM/ZYYjrD09x1p 9t6vfadF5SVgUFdC+r9eu6Mrh71umHUXSsYrgJTWdoEk+0miOrdrAosX9oHx3jomIjHyvNjPYOkL VzfG7I4ia0QzViaOH8f+dMotu6y+6PTHC5du+Q5hEoveiyN/A+Y0UNuYNvYxFF5R+eFvjQcsLv4D NxCHs2W1g9o1Pj0ErvWdbZhEuKpR4tl1wMSedYpGbOWDBgbUBfFd9r91FBXvENiJ8Chvj5usiCQT CkkvKUcHQOEm5MxPSvQxE2eaZhciL6Qwk4HRJNFHff7xwA8Exm3RcatoXc6pX4WkLlOuScHSLF8z ttIOioUvD+Xg5kOOX681m71oApof20u/EXYP+htc8xiXhVDu2NNU3Xbg/nqi5mPXM51j8pHzAOsK JiJd6l0RN4h2i4V21wJe8B18qiCXlFxHTcYqt+nDpgVMgXSFI8zGsuzP/+N2NDHNdsxFr1X5OtVy 6qZJfmHROuvTFLnz/L2imuH0j/gJ51CDSa3R7CxjwwWyR0d8BQYn8WWSbpWw7ziG88yDKP0EImQ+ eufh3t2FZQTUcTVwxQYFHH20knepacD/tWmzUwSn7O6OJdOE/VR6JzCB3CtSvgGsDoKC8lD697wT UHehaUxJhojl6dop0cqhdbIyw1+MJK3dEIycNuiYt4LJ8+pZrDipSe1UX9chFV1NvuqPBf2diVhX sL20s0Ks0KkMoIAGQWu5W3Gokgzq9mqe0QpJdDVDhAgJLvrGNAT8fpBTZpv7ZHrkc3CvPPDrff8t m7kPGZ5rCkm3vNYd2nYfnUO26PRkxPMdbcBsO3QkgGS+iyhRlsElVArLaiRnDC6qf/2Sexa5IczB o9RecyUJdiOQxKIguBIKntnfNcPJKwiKLfP7YxXW3Vj1AIeqz/OoU7Yx/ul7ffuNnDCG5jMslLRZ 4RAXrgPB7L8j9h2ucH0gF0PGCjiIGf7XmYN4W39SFWFHRkJ6zogGNg0jVgJcOa5r+lpV0RVwqQ2z GiKn/KsXES8vG7EjSSubd+PnHB/N8GrfrsIJ7VqeK9HoPfFW+sADjrr28pYLjGeiv2+EBRZTDqXD fL0pLsSkmocjuHikaqhPcvThaSMkVPMPJVoiDpIMWOiiMnotpIIEBFeD4Rjj09gDahU0XvaV3epo 9IbUhdSA8NAoFjwXQXsvBcDHW814lAS8rWuK8TEu7TloITAXUFMnzkI57eBxX75iuOWvShvPiuDO 6SIRBb4VEUA7I9OvIlv38C+++KO588W5KbLF5Ly3b0ETq3oAAbnl1WCYAJ3ZME4Jirhu5bIiqfot CBOu1tTxECQz5EtFhaP0Xgy8+npqNJI9MX3J4cEaMxx9EPsLIcRcMkFRmRX7uph23ANjPBDd2Xm5 ztktz9HYxZZ2w0jm7RfXfqjIfWs8j+VNEGcPlnK5b0xPhXesEbDAkP5rNSSKdgjpovLOfIBX7V1Y C4VKciO1bVcrXbRojnV82rc17kq78sejN9v9Vs2cT/LVWZPEcgB3UCfOUX1w96sRlvXYfvaVPnoI vISycS37qQf0NTvgOgUWU1mdsnhSc75MhpWg95ri1fcOuzBr6i5LcaeD8zhDyOUxU8rmLBbUzSso RidAXP3XHu4/xkz/4hc9RHfwhyFEipHPPIl0zb9QIJQOC+k9hgRXMN4tvnukDSb2h8R313YzH9an i5hBX0rpBNxWhaNZtUJLfrlxwhoX7uhLJXJmcAt+0dvy7PShKgAPO9MhQ0jCnQCAuqQKQ3Cx+iQm STPdfpwQvx+WJOkDQ6MnGaXW3FLuUsMXq7D1IyL1YikvPA09Clij0RhJCjqsdSJSBTUJIQgCZqBb lB/j9E5Smw4Ju4fTVVyIK9B+mg805gLU0vBgPE/vY9Qlu9TKxVuO1VYAlOpm67/ja+AT0G+Or3LD wP9bG3ScP4pboFnj/vAE7ZYNqwoytnbTYegJOq1ykZ7eMxBRrcsFMJcKZTaRtH5ih/aRglLtPpTV lm8d5QpHZR4se7nvODlbqUDSN8cYD8FHn0wDWT0aNiKLqyCohiSLpF2DdwnCb2htg+vgUlCLbTvC 0tsw8sH0e1WCOVTCs4XNFVgazXxp/RJMrLs9CxicCTT0/QDNJQ2mGVY9dJ0XqoRqAPZMUMasyl36 LFIUmfpV6J07t95JvYPKZBgohUOd650YKjnmkxvSnEe0iGtlWMSsIN4/CtQulkDRHQlJqsiFUqF1 QgYe42RhQ0pGgwNEP3sLyYFgBKqc217G+TmTwacqNC7+xW7VyE85JibKpWvt6tzNrfh9Aqvl4eq3 70/9szp/bSERLgoHBwa4vExzE31NBV+abASOHgzmwdlfnnwb7NXmnTNjgxmLgrSZoYeu5HZF1hdI ZyoraHiGBnnW0It921sbEkb1d1Zj5uB6VRpiBWslb7TZkClSaF5xnLhsE5KP3tOsm0zCAEPrbBaz kZloNqD3aMd/6vuomRHeg8KAtWiq0JmqWyd+jX4WPviwhK8uIcmps/TQORVADIu5d4Zg6CXnmgAJ /l/3odpRU2V6fDvkWyeW/V0giYnl1S71DyWfGZEkJ5lozo4CwrVvXJb9KCq3dWsXNusSzm6KHo4y 625pMxyE7015TYxX8/s7yyj7wcdzCAgzBZ6WdH8Wc0WAbL11eZTfH2KeqDSIyiHaVwpME0EkOPjx mm4OSTGxrMcYiomrtArMrz6Sb8rDVLAfRbe529OfC7uXBz8JWdmuxFwETwlrCJww/ySGMi78JvIX eLwGNESd1fF/Km/Sgtb6vaG80AalNH0A+dv0lbxO2WLBJz3P+oiklpwiUaIIwyPDzqM/ytJJqxHx GnygdNYkLSZJo/OozV4GnoWaR9ISmIzRHW75oFqi7IEgwH06S0k7SFnPAQEtuy4yxV34tnsU4gFp m/Ss8TC8K4mWt1CBN+RqTs+Bm5DRt7BueRlEPzpurXaicn1od9Fhfb6GlggCMd6PoeqJOQO7Nkbh p3Ac52pWxscgdYoVAfIhu4z2Kn1Lyk9J0dyrSJtQlqtH6Wh2eenpVVRP5Z1fJNP5yfpu9XRqD8T0 UlduccAEc/y1lazSRROuDOt2E0mlvDkNOa39MsIXwxRhvG/dVHB8n7BLBvfOgdDGhGJXMb0x2Au4 Cth1mjK6LfYVh1eaXSxOWAPRVlqn4+gCAdabUw9H/iQULveqNAUcOrkgwTy9X2j/TFXX1RyAFOJ4 PastP+G6vO+paYYa2VfVgi0NVsJdumx1SC0lajhsqHPpVqkZo4f0GThUqdbAVLtnZoZrfy5Udrxf iAHaUTvc5xzmi3T2ULmUacoh6Qu35rN/S+RmsuO+MAMsZo6OHt5y2/4+LvhoKMiRXxkCoVP2pb5C Nis8NlSf92Hk7MjlA/Ghpz5MvqsamRWu2B+yQUnWnsi9zzjDMqza4ZZ24IlZv4jIZKML0MHr7khS t+qh2DSDE8sPqceguqpN32fEI2TVAnErm7kZNNuW8XTd+mx3BsAYPrBkz8XkdSBQKg53LY4AXg+x i2f7wGjSZteh/jQUVMPjPXOwgY/4T8PWlwye+ChLSZd38r0URwcUNvYyY8HyU9N/v9hl6hOzZwqg WZuS58UHQN9yLPhm52HhoPJUJrlR1wGMWoJ3rmZC66cl8PncDSOQQjRf/Jame+lNA0F/sgiKx62z zm37wEUl+3qYc8iM5Cxh093vsHqZfXNtkCXStZZSUzAR/VqnOYTkNmWltWx0P/D/iltoQIpvluc3 0R4TjtrSHaN5YXdikpgLWqn8wFRIVEufmZnSvqvGr+dMf1a9vg3BF9UUQuiKL/HJxj6JWKsWS7CL s6I3O7SS29a9I64+oKZwdwedyNZaNMzn4b4d978547S9DzzUxLCvoSwVeGAMo73Ubvowd675W48T eHNKcXbA4o5zWg8BqSqMKOcwuZzp3DVA0Fq6HipT8iewDJGnXwRTlEXhOCUgm5IcZ3+pqM0jA7u3 tFoO9FWyfdvfLaFsmmnoGablpUEpT8Jwwi3ag41J86nCJ0OSb7YTMC594AY/YH5cvRU01LHTZRYg opaP7h983RaL3sSdoxl/VO/JFZkY21r9gc4IsvxZP1X0y+JUbrpcmAkvQvKPShqcgWzZP96wJvwM u6n0MEjG3tzZ70bMIG8nFxH23xsc8iWDkxcYQma68wnyGiwX0QS//WPPXjZkRCIvpOS5lE+538ta mX6/srWdI97t4gMAj6z+alWP/LCtp/wNAsCNt3DAYZpCjHJeqXdVG2P0WmiuAnyJ94MNnFBamK4W Inz0dWNKOkYvVZdeZaDGiVgIu0/xIji2sBQGDULl2+5y4j38fE6tE9o80i+4Mi7EHRvO9IyAl6L9 4vX84fmofXIa8NDzNWsw7Awea/jrK6UKuOrPKbT8VSYdGnDJGcTMRiBaZg5ZZfZhwqPL7G+X1eE0 0SjCIsE7+pzOIeFl3n8PbppPo8NTqBmnZ+l5RJH0vZ0FviJOOe2wTqU/mWAGgoy5W3xe4lR6L8H7 uZBEaj+er6Oe8PrGe3zK4Ug3DS+3ODWwBU4V4RUxLT5sgfNZ+uZM+F2RoYd9VlRQEcqnVDukfSl/ ZmkmmKhvySVq5pBYg60TbWDbYkg9CO4th4P8gm+UDLj/yc6rnet/vfrgGgAPBbkiYBBX9hPK2dPG 7a8zqzRfjR2tHhiCW2wmz4dgnlUa8rFXrOP3CxU563U0LkF2iv3ULo+8SMyfNzu/PX6hUw+bRjQi YbCFHF0M7UQT5x6azzgzmNcJE4r/BkLo7uztZ4xHEVjaF2R8ofx/PBHQY14Y1VkVMzplMnkWUeed dj+iFQnTlyiD3LUFh1t6inrW3seQvFwsWivIWbnrlP5snQJg5EQ5bZ3NJzR6l7pxGZbBWOjQSWVH Uyu3QqR37+gE1MUOhuYmiScUBqsGf5rAgqEOpsj0D3QePfAM1JHYiyM2F8qdpT+IPWImTPZtanYo ZtPBgTkDxUT2zP4EeoJ0Rp3fk3Mz3ZPf8dzynNLYv43yTQD6nuoAcNiJ450QONPiIpS9bsBRNZxc /TzQO5mjfVVt5Zo3chRrxSRAyOucZaocM2gP1Se3ZlrM9PRwdnUoT6d3+qkSarooUwTjgvhyAYfq lJdXTow5mYLGCwceGVPYZ5Y5RTPhXZxmixUmrMjiTC+eQ/EToMRfq0qGSX2Qc8BqPyukhRIoFS7C SOtsnHXFGU/nCvXNqcCLq9n7z5VKDcQKUh2FmAPood7XD7FNqmqOeisEeRHE7Br9l3XnLmnMa/Nl QxpDbRZ7NlCZ7U6UsQyZIppzwgGr+HQSQSHRmrBMx286p/ZM/CmqUumkkqhPrHrn1l/X9OH5eTpd bgF4x7+FyajI4mlvVJCCMJhCNPoOdLsF96M1QPXgYIit99OOzu7FvVpY57JJp7nfZ5i7gsbHC+BS b3Lkh2vNKHvwjhxnMlr7M9ETrU64IZ8b8aMNZDXsz9sa+TKCQ99486qMxgBfv2/7YduGCYzVhA6q ngrNz0k2nlzXJMb7hCJa5ImTyv6yIPcq4nc7YgK3ja7cvmqcsRHBp+uJRHavWZk5E2lRmv23Pfg7 LuiMGo5xhG9P/gf5LZZIZ6z81g6a1di72BsHZk7dOJ3Xgt8lpVTed6+6rn+WHFfl6DrlJg8uuEBh gRNHwNLvLjvvXSq03LcwNVZmDllcErNqnbDeGXbDVmMfgMRO17pk2xDk5BJklO46fW2cv9fDrSOx tjxv6RCIkTAF18d/Edw8S2mWfl9/Rmtnsk90HcJUlTp3EK1sGwTmyjITLPI0F5ezLQVglbhXXx2x jxqv9hb2DcdWQQwESSN9U55iUJeW/5m8A6bH74UultrqJojxgwhTsSBI86faUb6dKBa9dvb3FTg5 28MEZ+BSdM1fRs5mINPvRzANsvWeCqFVche2u1DPHMKJPFRYNuUJve35RLx0EcRh8xWOLmxmsoEe ZYJcKdT0ERwPDBLCgGQcIPlGf203AuH2cj8wlvVVKQnZS2FXvAzhpXszAi9/dUe0joaOx2XVYtOJ IhVLArJLCo61CpfDWhFe6o4OJ9MuwtrEmOVfsNmeS+64JQsVgQsk70jrvwevVopZhXRK/CDAJUNE BRb6ElBTqJZ0p0HYJP7a4WQSwZYFH3m72Z/owxDejZuo7OYCPjuFmuF48AsvT5qljPLkdZA+3WGq 56bsCGYg/oI/u6eoT9M2ez7NEbU2Pq859RbklZCoswFG/FLNZbAyX4rpXbUdzflmhNG8NYrQMOET 0WKhcQwO9VFIF2xMdYg9HVjbVTmvcL4mORvkFrNQHOIb6cY9VjeRCHngmis3kht+g/iHcDfszFSG XrBmAWWfbwWcX02k3dQbhTDozTO49X6u1Hpz0yS/GcSHptvb0e6a72LSNmINycXF+1tTP170/aRx uq/ucL8s9V6oGHeZ+EyGmr0P2eyJvLCACWsZ4XcUeI6IF/tWqwPK08bwHgecpypBkmV1y8wj4moE RTmaMkQI//x6rgWKgaRL2wF2DvNYNKrXFebJJY28VuyNUE95A54HuuXhZQuoIaKlhiAS3pbDfTre mV64Ztd7pPtdB3rymu2wACyBXxo77Dg+bEkFPNTZNZ6EC20O4vvAQAM9HUxYf9Je3andl1ODE1Kl 4Oet4MjevaHkLl1Nwy9yH3sDAB6lLZcvOPc6it1tv6dDQ6RiOpZgsrr1P9LYA12GiWQ8Lql2akD9 uwgb71SX+TVNe7+FbtnXhwtIVVfmogO2uWwBxB+fOPS15FoAgNoXq7+azrdFawEoyvsBTsduSPTR PgvPNyjXG5m6Lo5iVz6mapag2QOn6UGVXBO6LwMyo9912kH4+NaLtxzuWzNtQXyw4nZaKgw7sJoB eM4xoBt7GukFmZTgXHHA/ilBmbEi1nW6iIA5z2WML2k/I9ux0V3nOpPZdx0mwiVu0nTz4Vfvf4Hy p/L08Kqk9VSFrYHpV0d6HmrP8TmwGPjtAaOKtJCYwZjUwzD0YakCyVHApHKCXdXMi7X0dmSpHTDj kLB31ll4a+eWlUwZCDjtasxiywAA+4+t+iZSEGlDUeTVCSIL9s/6+9Ebbg7eyXCZ600I0eZnXgON EE5vQDxhSrynCqA32suuD38idvrmq2YjAypkdcsFiW7DpzRtf//KDYbdA+tDSySgLOa6BfZXGPUu p1OhYOHF9sln3KGD3e7pbuyj47fjqu8lwqREW/a2J1zoXB5QRYCoHu8R2IwkodJ4zlc97RlyiW7+ HKjxibOh9YMAllIE2p6CbR4GCVV2pYcoJQQpkzBm1ee5Tv1b2VA03b9UyAk5RlhXzksfGOQcWQP6 3xWsduQt5oTyyfDB+NsJGDW8/tm6tF5qFpzEDi+ke9tTjS5C1oVL+bCfU1kIdEAtv5sMGHxzg6da MMIzqKhLg05HqcDHFMmsew+u3xu87//uky1zZbO/iHjJL5jJOs1sf6G2DKLen5oDall+4xWxs4Af zupfZ4chHLtDv0ciy2nJ8c5cAUr+h3tDf4+ofoQO0yWd64nZSfuIvwkBtq0DL1Lua6/tUg1D9VEM 9uQUxhF16Z+5j2i4xYLDQE69RUUtZwsiwqZvkUskFYcOrotY3S782i1ST1ZFgC5/+6Vl4UbY+yLX 4pzgSJfsX2hF6/Gt4/hh5xsTu8CzVB4AqY05oD8TIgt759G9yXRFW5m6i4TFSEqv/2pfS3Gl1f+T VNtkdymRnNTf4LhUfnAPp+xLrxBYC6qhV94iFi6i5IOsPB4YiwJuX/DujZecxzdsSo/ebIH5RMW9 xXX7O9o9UYFNBEELCHPeqpvTF4M1X9Kurs0wYgqArYhzIOr4ChZ2cgCwNvgLnL3Hl/1nJh80Sjt3 zeldaOthjDW+ZzAdcoYCrSXiT9/9NqtvBSCTQWfr3JZwW9u6lQRH3vsHjjBbRAeQyu05CQ8Bx4+g eMVNT3P+CjvEWA4b+rSkFXetf4dv/5lp3LC6z+e1LnTPgOnaFHCbnbrHCozitjHz9et2NR1OV8UA S5UbmNv3lqLLr4pR43wgAIh4srkSInglgJMXrplHdFN4TQ07Ep3FeIpPzt/6YIqNc4TAXqzSW4pE mkTRxnmGKphyz2yJ3pxUED1INvAs91wxhps2pn4xeANGHl8Wc8jsuLeAahPJxaor03Dd7H6RdPR5 z/zWv11SwuLZdyHEjAC69+1N4lReSOXTqyTMKAx4tpzovYA/1C7PUlP9VL9VUmOudXaCrDP6MHUV d6NvdIKkkvJxNEUHzeFDK8w0Hjapz4OCbmMEWvmQEVBa8jPzJJSjY3ebDxm1eHXPczUy2z21P9rG kVzCHEPIaJt16RnIICaQSjNrtmHzQ4rnp+k16kq84ULZrn+yMC1fppEjm78noQ8AQXUozq2Wb9HT n06ipq5Pjd62meHFenqlfkaERaHE1ZPdqX2CF34ShyELJ+gsUSd0n76BulrBMtqJf7DqcF0ya0S7 d5tLF66aesaRY9oxB285ukt9KkTXAF6LIoZvtA/qnhmkF7CRkuIYO5jEfSlj4DZN+n5mODs5BPLF d0Xh1Ekrsk+CVIEniC9NB5WrFvDMVA4DJrcuG/6vFjp4bHzRo1wAfC/DILLj0BX03QoBPLDxsvr5 Syaj8Rff2eICDsqe6xKQzJOS5yTWTWuyJ8lukEbepqyOxGYd7lYadl54UMTPkAHAn8ge+y2zQKN2 U+wnwqUMZwSKkjjVuYpVZ09Hx0ASTY3Yuh9dPRsJCeNUzbux292CrAGxG5XQDkTgEB5wS35haIkb s4B8hD1107ea8NUPhPpFCDMjAWSHZGH9rBFUZSDJoz9QhB/30aoT/AjueyWuV6a4rYd1N7MqzjSH i0uhegWxFM/iLMoLiISY9ur/GlIP6CvXCwP8XDlaY6CzV+4KJch4eInnm+KN5Ygimqes9bT9y2L9 JV87kz4XjXQZ0uf81JantjeaSIB22qTFvGT4e37m6+uk9dRlmHonPpFhQu8ThuLxjSMqdBpPDYvg yltRYMAZW8lhz+dAwy8Q61/I2j9BRgHUpux/MnlKHzQknfI7R9o1E+w5JU9oHHjq4M6BNwm4khYq jlg5lSZ+b8njiFAk73RTw/9WPs++CbnhFqyNNEhuyVgImB1VW8JfqBrFDhSBEdl/fbSAE3qz406T /pEet8yDXZJO1+7OzgxgETPjph/36ehbRFpSn03c5Bg2ea17TKZkV1kC3SZcLK+MeupbjSkqihA/ /WWSGLoISKjVZm2j/lxEG9RJH1PHWJPCCsCU7mN47kz5Nk461n//+hWo0KO8vt3rsI8pGubfgasQ SJMj+eltRVY9OgJwZLAcHglK50Ej5GtUHK8HrmRWOxEPa0NzNORx/iUdebu0EAwdTSCH+GbMsqSi AIBg0HqmTDwBdZQcMG19Vqj06RYpy+NgGXJLnEJ1fHmS6mzXuspPJce/ExJJEWMTG33DhhOz0GzQ sjaLaSz1dtGmhDUbw0xJ8DxuoZKnd0ING5JjYbfBW3raIjf9ljsiQTX5lCU2ziVUwz63m1+UaAGi ZorN8T4zBwknUU5qckiUdrFw9dbLkwhCNxgxnuREnvjpco4uF6tHbTaFAolzHY4NNSNI5tTnU9Oj HKciQHSRrVlY0j4psD1BngLMd4g11zKfuPINRGm8zgPyFVNoka7hYBSD/fqEBQYg0uq3DBS+EqIa hzhb3838wmHyqLaZedz4B/AHbCDoy56Nx/CkCqw0QwM6HMlpXYOTySyflcKG/zPSZVfTRLb1uvDf XdVJArl/V/91ZuIp1zGkXCU81Cxxw6pt64p0X1TcQzd6BZgGh27dW/kBxlBgLUjMhDwYZ6MgTRgt n5Mq81OxHgcJWD2kzmOIbjLK2U3lgNEQr4CxxvokYaCP/9QN+yU4IQOWU0VsiTpoGAUbpqx5fgw1 mmxJYjMTHNcrdB8YrHT4h2kBs2Aq2SGvM5MmzPh6t/OWNKI9mIvY6XGB//z/UbpJ3nNi6jNSDrws NJOjtlvepcN2YR6D1VeRgTrbGAIUr3xS8L5CeuiYzebm5kYJEqu38tNEQg9RXUX6oDfFj85auWA1 WXu914jKlOHCJPyu3ppZBpGp6yvdVT8pnfx6zXNdZF/wkwi4lo1eyPTsAqvw9VGzGf6h8LyZ/5xZ iDQyBmwORdAwYgxdMbgKqKXtSBowWsykmlGq9LaQx8wV9UiP6fOS4EpRJEp10IiCXYevt35296xu 8Phbw7gvxxmgGrWfx2EVWYj7l6W4epKdq1apoiBBZbdNJc85FEHSSdvD7xU9dkAvEjlCfJwFp9U7 QeGDyB1I7U5G0Xi+P1a13zxaUlQ7VU0bDvIFIznC+56aOzXfKXwDw1McjRMZkjOEWxoAmTR9MpWO T9ChCSbU5TawjR77lOj9g0kQjCA3nHJXIR7iFv7FKI3FFdseQeDsuuVSnmruKXSmGrLljar6n/Hs utavr6H54HwupvuFH6Ecn3J4Ec9Nn4iq1sMejj/7o3oY8Cc4Gg2BHbGcE9CYEhDc5h5kIMsL5K+f WoqD1EWG5GtugeZ40k826soSRWpsBuNlkmWK6soXT6upngVdyQpbIaAUCKEC2L5W04zQZX51vULb 3bcL27GjSYQWaBijBb0dodrblBkfB149QKYMhnVYwDvnhv2f4IHZJlADsUUtfISrh8F//rjvyD92 d3CPveQ/w2Iz/7NGUugQ1PchbTOrhOfl0S0SDY1RV4eG/f+Z9glxoJ+MtCZGXpcY23sYbjVXop/z huXYu31DdzeD17d+UWJmP8n0tCFQzGSd2vwhe5agwukQrz5yuyK4w0uQyTfJUYc+MlkUbxDXMXzR PdMHUWwR8sNlRnfAuKuyN6cBXV0toWRC0OQY7RqF9NMi5xYM6cjRGraQ6Tv0reQUI+22IFjBy617 JqlMe33Z/Ww9lf2wVOM+m5kgQ8ecln9TqwpCA2VvQbWlWfOhL0PhVX/Z07un0SZxfYUiHq2/aJkZ s+l7tJWkAUzYRWNWwlO+cD5l4AaoBiO5hsGhAsX7vSh6/DeqzSOR2moDEiqXjRp2wix14h9AzZv+ /wBpTfMee2l8oy8HlUA1avbJ6lZO6YP77+GEO/wYFKEAGfd/hL2l/TaLRFwMdsbZj51aMIryFCVu fMc8z0FF1oCkhYTiQpN1c+FzRLDWBRCxbS02os4aaUAlFQZALfOshmFxuN+nvnpmJ+N7Ac2eBt8G rpkkCnsCtdZOoG1k7vg9PJVdw+8Nt71xcaPAZx8QX2AyCdKpAlaB3AxgdX0LYffKq3lEjqyKPYYZ yWIu5oXqmWa2O6hYX+Ab9voOsfwzOZiveIUPTV/50gXRonWZ0B4sJ8qEK1VZ4om4B5IHCwNTkmFc orOGFbNp3dmZ2oHYDjS3oA/ZTylwUbwf6Zxcq/YNHtE8dUq8XNKR0CHJJ/4C/+2W1/jYaMq/lskg egTeFeF1nwbCbea23zapxq08s81I0Bjp+fBzr4MaATYy/S4BCpQVTZ8zfMtGFAdD3aVXgKkY/azB 6+YLwzrWWyFFWhjfz6npe/0DRFbjdYlLSgvNUq9aimHD+47UWYpwS17xOg6GfCprRbywuC0u9m7/ Esqc5jtnaHi/a5Dj6j5Q7dIPq4x/9ctpHWfYvlfMinjppC4tDD3m9oNCUUVKHIjDCT3GNEQZuHGM SPPt4y+o4cqlEH45lRLgaYLKXQ5/3KXUqoRyUoLxKzNJKfKj7H6KYpdRKeykah2gj9xbRi0UgNoF nw8Jm0tI9cITyyJQ4UiUJN7CwX4V3DNHGWsF3M1QlszF2yJnxsl2JNyG/lrt2D56vXLZnbA1y2Mm Q4linf5KvL2dXsjX7GPr84+Ma7DQBXDEj4gbElJzFBuA7lGPlndw2YJHe5RDXYfy373Tgkl57Vul pivht5xoleKxFAvBIDmUcBEIQw4aKLjMdWgDUuKkMD0ea1yZoj7F7/R7VGBqP82EH4TIlKTJJ6Uf ge9tVHssPZl3+FDIb+wkqs2GkC4CEG2ZQ//Eq+r/p35HhphJ+I7Dx8LwYgSYHwtcty77hnG55Zu3 zXubavlvbMkZd4YA+Tb0CIaQ2Kg8b4RXITqWGctr67ObqXTCKN+lNk8CNFdR5Y8M3I9z/OKqFrOu WRiEtOH1fLFQNnxOdfyPwIlNmtKwjpkkcQnTC6DKVyG9Ykg0tk4VrBhNWRNThkTsl7bTVcwBvQo/ HdTUTtEarymcCJ2QxqBDMslOV/fMqo3ki1dpsbtklycfpuHhUHrMMihmhrs+wOPuQ4xY4KNW1oOm nC29yYCrBhjUZrqRDv8Ay2PoCnFIn6e0UauopHxc6FKIk5DZG/gvcBRHy8uoHy0TUEy5zI4AEzXS RrwhdNJo3jKThT+mantlsAnPNHPWD03586a8gDVtqcacocBSnUH+nPNjukMHtyD6D82ouJxQ/or4 rU5hIflennSz1VCwNBnIoyUTiRoKrD7CW2wGfCsCcGdJNkVO/LdtA0dYOZiu1OtLZR523+DpDqH/ 5Q5gdNruCoKkmumOOnNidUquzNJ5xSuF6/6efFX9pD1WN+Sm0FTVDkGQD47gyQ44hqnN9ge1Dn/f s+9TisBmG2gglCKpuSFfamL8wTTTF4f0Wfc9dr65lgwTT6MJQb7g6al6+iuN6JtcEONzOc+XsLel 8gMpBqFdchocp2sxBfiYa6huUiG55mtlEtlQDH1Cn4eB5QuVCTSAikGAKTlP6AUWU2czYfjxEuE7 2qRafpdgmwa0wb9+M7G2MI1BBs6vyGp8ahl4sRYsH4DiXnst/KevytbmIdldCLwgxORa3qlHu00A th/fS/lXoKSYCpVM5ZBOsv7jguQt1OXfIjuVE3JYSOxRH/wc27qBqrvJdVf5f6kphjiVSuNk90n5 WCQwtV7ItJ0Avu0qEBl+ZzP9VVjQg0TOcxZkKvTwPMzMXcqEYSnN5K90SjYZLB5LZCaZNgk8wqy7 ZpWkQoh/Kl4vUkruoEnesWV1LR1hObETY/hn9AfyOU8L2MtBB8qQsgODxdMOA96bKUQVDtovOATo UiT6+mN82Wocm8O06Qao9Dju6wCB+XhTgCOcn+R+/nZzVbeEUjKQupuQ6DhJbGxv84Pi1A2iRDhX daLwax1EY6MoKQMWIT1OyXtwqHSMhsAQuZ1i+YXMYcgg24wDrJP+/kHwSVTXOCG0aUKCp4CDoSL0 Rk8ZptNw9k1xMTSG4wJLwTvww3fSMva59aLlSEGlFR0y7kFgUH1kusVU1SzwRbM14xslgYMs8Jgs 4Du2pfVjVEfBxlLGZBVG04BRxxC7uDsXIAbqTMK9fZ3PKgNyTXjaSND27GmcnzkU5COtCJP00uXL mzie0N8jVR4u9PUbWb74FORv8+j139CDeao7DWbjDNzVB+FSd43r0+wvN3pWXgJfaFeERPtYhzmB Jzs48gQ5eKQbjidGdY6qRotqG1ciLHT/gUaYWj2FlmGm2DK1nRe5NoDfP9ldOk4cRZ/nScJ/LVne beB2HTJ6N//f9pPEr7UffE6fCAm3PeTikyT0Gx+QtPebDnihffP/cmNeA91Q2Ca0Y/ZMrweV2IPO 32h2CIVfhsPttYw8gk97qZzVYz2qX8jwNPZndlmwKNUMAY2XXAGObKXM6UQCLLKsLzsHj/p5SRVF ltnD1bbP03sHC9qEP0ZI4baPm9JBQ0KIEaPqs7HAPtn1LN02W4fLN+iIcg+wUMogftC1XObnmSDg QGTbVgkOaWawqwfkJA2k+X+xQwNf4EMZb5eICW9dgVkT8zFxA3tcVexhzgWeiAakzRzCsb8A0rRg m9JLUQdjVJFgU7vL4gKdkGSHVESKtKzrR8AUzgrtj05hwJpKKf7xGbo+RfXD018asTepdU/U97M/ Vg3kr+5A55DR+5wN2drQDAvmxLMmKfriTArHmaWPK3iG47nc3qPSsyGh2h9bGt15nj3aVbi+WgRU x1JWLY6InWl9JJa1I7AlrudFn8AJ1tz0jDG+2CwtvQCYJVkKvJjT+S3Gh8lYQleI4HJMhSOYsoTk h1bXhUFxTyaWyiLs9q6+ikEpH3CXMl/D8388jVEEw4sN0GVc+EA2DtgCBjWtRMQ+M/iIWN8Gr5gA bYeFlFI1tXOSRh6AKnWOA6V4gART1UGKT0KW/XNEB8+ledOp9jvBkAmxP/tkOzQWZ2qCyAr89vxg o8/fTddGdgA1NoRgLpRUCPuMjNM01NRH+nS3t2DUjdQ8M1YKUeItEL0oW1yQpm9RaLYACWnR32No SLSiP2V4sSwy3wbQ/Fj6i0Fq11D0GltGkKU0fSm968RIh3NZxhYkamLe3wb99ZcWLUvTUHC6aWbC 92Kh/BkqW0nMiMkw7Sw3m7633l++VHOp09RXRow9kAKZI1DwThFUcTHp/+gM2713HONIPm6yHnZW i0V/YJvZA15hSzi3NUxEV5iI5jq4bzAiqansY0wI5+Z1AYZRB1u7aoSl/QGv8FmIul3pANnxyNvl F72/u9BX7GMKVBO0YULEL4Bcyf2DqgkjcQCBiBrycogUa8nxBuk2SYUMHh6J6JRFZ+sG95i7UPzE Y7kVd2gDASVrp0/zDkfOcF1x4dvg7ne8q0GiPhxAxW/77my6WODK3NN74RKnXuSZL0AMB+S6PESz pBYfg5p78oMl+KZ0o6koIKzUQYa8sok3qjb5afO06lLe90R/JS7lMA+J76BLNWigo34g70MA3ydo LfC5bme9IRhQMTSiLvzo6EeerkXGnmSP30KsUBNrLVvX4axWXWdp6jj2wLC4SY5VzpLMMgpRBfsY JQlzW5yshKmSiCz1mvJWqf2X+rErgrxkAtV7RynKMQaFvVtA5uxlJEz9l0F+0Ti09bg4hy7b55vt cMKFTLGQIFbdH3Lvdg4OOihfeEFXBWx3nAzEYstnCyN5DuiUryKi3NF1BMD5yUlCGHuFtf87UlsH TbGjZeK3T7Q4JktTAp4Ji6vHagecf/OnM31EZyppbvrUBTAo5rGBEhmnUQWUVDe77Aif4B7l9zhV qMz2fQ/i+H7Ek1UlQSiAdTqlnmQmw09p6nAUZyo4TTmGkh7tpQuTmKzlFPgYAsPpqz5FO7Y/x8it Rhj9iPTqk12h2XQWLFWo1/BNWkMVa2UK9UPiG6D6A8eY8ipXs0HNtm3txuBRwoESkPujkneJmjsJ rUdAXhyfvdD44z+MUpZV2IDoybz5rNlUZWDrKh4npXGOSAii7Lm3TGngacvabCBRVTNugNm9r5vB 5tUC97v5h411jjxI9ArWAtJZXnmM283/rlA0LWQtbUkttSw7z1Vgl4DbAAvb1H/O/Ctg9JMFkywk Fe3V850ijGPBd+Z8D/hcWpDzqsS+A1ic1pL2k0/fPSsS+W1nYNagf4PEKwrl0G/acXtOpSFrEaUv V72q2WNDqxZyt17DwKiwoBVTfsIK9xhZg7F5i+jtGBbc3QfyMhBZI8zCZ4ui34PBvxH81Q4z/hqr Lyrpm++EhRHgAX1ZlsDPsi3djf93tAVdPkb6mbpGGAjsPT0rIRZ8LvD3LkbqicyYy6kIP/d6DOGA IzyHFwTy6WoKJgxCo1B5pqKPCMCm5bggHrInWoSiZqs4qHe0qyF5BPA60XvS7iOn3+cNA0boG1H5 yM1PhCTidTMELq1iv+U8UuPSAvuzvtngm8BR7jD4NSOpj8ouE8stgem8G37Cb0GkzdayrY7nqSua GeMXbtP9tZzxdWz6A4mZQDz4ZRdIgow1MRfEfegJUsumYP9fU5C11YnVujXUy9wujuuMWaea3G/W JVwwLIgn/tpCK4ZeznG8gL+yI/O0+FRS+UtYo4CNZjiec3lhp+Ua4QU+rE6UbzqMO74pWmASUJHt SN+YDgRA+gsdeLRQeeXu7GdZcDqM0ls92jiTHlcTtYdue14CwZIadSGyb/EHIYiqyozJrKeRNeNZ 0oKLE0X4meVfWkgmvtNJZCCis6JriauO9WgAJ8TSaE5qTViXrqNcufJ+Su4SSXDB9QNDqxv7UaW5 7KyhkeYx3PHn9+aVwrWUbyBMa6E/QrnQt0gJSYFMZL0WcBhxqtTFHKaP/1X57nt6uJKC3JO80PL3 beWFlgEqNORQKKT6NwKZrvkueVLilt1sLbfzS5g5k3PDaA7KQ6jQhFiFujhTrAv36fJrL2BPuxnU Wec/7l8xxBbs1Y9BX9D6pPxB7/L3+79+yuKWrPIbYX34ReSqFEB0S9Ux+Rjld8AS5iw40S8W5crG O+zXnX8iLHO69BW+SzkkIBTAkfd+n1+f2gReZWbpoGowS2aE+Q7EK+HAJOf2ao5KDuMU5qVDeDiE R2XJNt4au6AUe9XHEO00V6/7XWedmqqMvKGp+IRCx+zkgeUKXaVpEN2M5LmqcQDpeRhVKSX4Z2Vz oolXSS5BQ+r+kwzwLkxkFKYVXnf/QPBHA6eMLd0mr+DayZn0AT6FhIM9nCEer4KUVi4j6Wq01P11 4OquBrP/Gm280tIML4Wggdr6TqwOgGT5ivxy7edQgNDfuZlv255JpAyRXyCGK2dt4NhiizCOsMoJ GUVuHjzVml7YywPjcpVD//dWi4rp+dNY4GkO+waLaM+K/50jUJR1UzxIhK+zf/4qCJcXtR5/5Q42 8QOEDcYfyVzH3ESGYk9LplGRkTQxs8CCu0InMnfuvhZCVqKXZYG2oNrTajaS7j/F8+MZCT90Nv+Q 2Iw2V8VDnhddst/oh+H/xTfD9rm3B44QZGi/7K76pD+McNsPkT8n4iKCX1eGYYlTEuR/tmKTFHLu XFHPYXFjkowomsuOPInSEM7/SklFpu0VdYFp8DW/siuhoXBNyUn7VsbKMrTbUerNcTu2c6qnnW/8 jvydsBktQVM2xIc/HmZ/Nk9Kxvi9vCGLkA/70niBGAuNn7WZ3OHHn4PcYQV0be98IONp/zMZFmvW gESJ5HsTBk8JOZXjAfb2Cb5/fBEOK3YnjHBz1exITwglbccltwKWyLOsrfxcxEz2OwYVfi8yBuIb /0a/n49GpKDmQPPQE9Ljjg0t68orIKixeKzfNyg0a1TFlk7uluCtb5sltKBuIeOfgAeBLBbQMknK 3co5nb7oQwc+uyzOOISkgctyG64pdqxFKQDtYEYPaLDhsFDjjaPN4+qkvebbjELB8zkiCGHiJKf+ YbG/s9w0Gsc8YxoDnXrrUTpto2u90J71Mt9Msn6vIB8vLh/q8US1uI69tsqlRqyznV6RrAY/uApL UJhTq/me4ssOmYywnF7IQhDIoEH4CnjmEpt1DaIxEUKT1wYwPSXvG91kfR0oa/xrQwU0QUK4dF4K +mWZDruqo1zVm1kRFzq+hlq6bzR/b/rAlDIn6nHv1vpa3i7gOwQb0QDRhDdQ+NRk70czAyvyugQf U8BpRHeu/pk6S9q7deKXbdD9+32VQl90gW8QEthPIomr7uzFM/w/cWbyvI/hsnYglGhdpzy8y7f6 B5A97fHtgMMzCktxVzAGI6ZBsVzzJtW2SiE+4plVipdrZ26IQ1d4nEWeBkVlCu4h7h9U7q8Cq6+S lwnCk65oPVlVTU3A/+nqvQHJBCQo2VP6FjqT7AIymB5Dw6MUtrPeCObqhnLDOUFaVZYbuQbtdSIt jyDTeju4jbDYmDTmwn9AvksXTyvM4knlNG1jXOgTjXv/VqLgXS5pdsUZp4pjcTcefM9/JcyjY81l L7Iecb8nvb2rOYf7BG/k/Sr2RIVHzEUNzutmN9YJt84S6b/JU7BpoRyhaZHp47IKPBy3t5RAuMZe lUKFDMGiyMxqckAh3/ZTHNqbrML6Uy2ULZepytvSPbPVUVGZPl5XJSC4p/OosDod4gI0B/LpfrXE 5Y9TYK67wsk5a2mSgbn4QbSSN5KXARL5yTGIBaJME3bptUVnYVciGIE4CW/1/JpU2mkA340swvLi 1fB/dLxVga6RpNhq8aYlWA8V3hloYrDX7dKbavo2uQMzcftuSAejw7FdOPsNkWIrHIoWCeVlEHxa p0hZvR/HPGcYE5dJU5Hk+41dd74yzxSp5Xcr+HWSTiaEnhs7NrtAb5K0OEvFbEqNLJ7Wiz2ADVuD BJHhW71DPDbXKnebPQoW7rs65LQYk+rVCNQKRxlLd1UqPdriua2JgsS87GQ96X7A/Y5Fz7G5ERMF J//HmHy23hI18AjiONyRJqvTigZl2H3qc9S+pN2+fg0WBmPrbBHD4WejUDfI0905V+Vey0+FK5+f gXYMAiNcVVliR/SwuG2rOig43s9RUzrq1W3q0nFf5q/r5o+JhGW9wmIy4EkzwIEvnsHLnojS5VR8 o9N6HalsTtGAyyDM2n8CdfvESV4r0YpWJ/s1e6zJiaPZ5demyV109UHXd9vmDYjRik9+aqwuNkkp F6IiGuOwovg6W+eQb9A87mZFN5soLcrx91MTd7HVAjo85B6xsBQI2I0FccrZeTordQRJmlffiMWf Fi6BaIy2w906rPmrU1VSCs7nPOFPi8IvbYQLZsQBCQP1XaH5ooC75/m6csf4wnN5PSqCsG7nXM7Q lXTVf6yqi3oVqCjbrlol0tGOVkrBtlQkAH/2V8Bq9Not3eHd9YfFKGJCnwnj5eyEcp+8x3iLMoH8 pfl8zCCbNUOrcPuuee6Ah8L9y60Nk0JGsj60teplN2UBb3V733DA1quotfiUKyxQGKzLKZolYPRK xxK70C5uAxSNVBeRcZOywQpowNAKRQ8Ry5budk1XTSW5m0XBTM8tmEWirSOyqOJJ8agqCI793wk2 ddymK9z71aJu1VI1FcvE2mobzDzheqoDRCoeAx/qKDpfzotfzXJAXWwh2S5XI/+YVuxo17WXSbiC 9oFTb7yM2cVf1MwL+5amJ0bkVwiiXF+5evOuzuis6QUJrEGXB7wT/lZTSGF3JC13MDOlqcO31xCS d+MJep4yaqnggIcVLgQRbjJbeJ1F52UwkLa2lFQRKkx8It9CIupxv43l/ThEdIFCKEcsiw8pAHah qO5GYnJzoUHwem4dwAsot5zJUr7Uy8v7jUEaGBUnJaJP9LPh9fgm11Aj0yU69qzxnhFh620TeLST 8y/DWRRj+ogH29VnTn0Wrk5CigXpF615qmIcoKIArumwts4UfhAUIXXWhHZfJO7Lzwo5Xkxu52g9 j13si8rHZegSL6XssrVGNizq71oyF0tUAMsNlyyMdy7Qx1ADIzXlHMZe/mEnh78QRw5r1W5Vuv+M edvRzXJ+rCFk055kyCoeZw50nYNuN8VE4ZA1CXYefB8HCQLuTp7Af+GdfSPqjXF+6ylAmLxopzFG XWMv58KXcJ3E2TQJhjbR3QNAOBx74ucuRTITrwNAqRwhGQt8/Nykq1fn5KiTHMlU4eDqcMH2hXT+ s2a/DIV5vMyxngWK+jWZs7H10sw71u3HAvkBzFyunYP/S5oD8VTHqXAtgir+/JdL0j+VTTnCad0o Ns4mLm1vrhuVYODpwLHUcAnROtICRUG6nGe1ksYrgWmvlYbV6IbH4O+9a6VcURBbOggS1qKq7vhT EIOzuynrsfxvcOITZOmFZ74xWpEOdIAd8k9dulosVlF0UrzVP2sLp0W/DHIlBgaju67a/f+a/OtT Avs1mUfv+B7ZTistefmQ8mNkvlRHx1k+X8PtoHMWzBJf8pNBuVpEX388tI0Mr/uFqKz/tZPMkUAn GtKulFd77cY1SCfji0DKbUV6sXCHYQVHsPugf26oVI+WvUHFIwoFqRWhASQoVDY0yGEEyjiP0LON CVR3ieAPwYRWUbL1mAxqUt5OCS9RAUDaAfeKfQNhjehEWlHPDtWUdoDPGto6HjkISpZQ0lRzozjI wkWU4kvb6Nfm2x0xJGpjYMETJyzm9rgAeA7e6m9/mxDC+5NwVqNIqOwqbcXc3+KDs5StuSzI08LQ Pv8/KH1GFi2c5QfM9HODoMbUiZ07yl1X/dX8NcUsigzGN/eSc90C3s5D2rbGhaLKAGM3ojwhKCdY IpH2waToZ7kOXxJrOhoxJHpOava+OnH3f+kB0aEVvOlUNSntLiqbrHr4LjsV5Aa4y/90jVLGr6zM +YfvscUsNrSO7fPzNRcTFyByPtTwUtZ16crlLG5n2m2oK0kpwNTkhhp81rPsaGtMusTI8ydhV+j+ m+go8NLdrc7FKYm6vpIaq+u6Ci68KnYRjDzqOmkSJnDOk3Tt9J683QHl66BM98l88Thmo74S8pOC 3N/FsEF+XPNVd0tv4DiVMSgc1sHe+oMT2FDtOEaxfBA5xTDwx67dBunX5ETcxSZUeDYlx5HxeQ7F tzA8QZINa97f5NMA+xwCy1+HPyJuK1mbsSzajXFMRpCPSDG15T5bQTYiixNwqQahutOjomD33u0S rnt9pU7CM1jRSygzord6tEc2jaZA2c4MuZV+0hcXsaZGUgN0m/y31a+47P+gSu9Qw536AHsnJhUG AEqHAgwpVFXfJwFHeOgVUfgwbcFTV6Pd2fYoVG5pwyoqGq13h7PvNZOYZF8r8syQHMewuH/Ns7oz xPQW8c8Y5bwdNC4fmEZ6MhUdFJkd0JCjw+VLyHoD7jAXzTSWbpzDAXk6gT4UC1/ivsJ2Im5ogiC3 +aehvWGbsuIeNmU5LfmsOsqAHPAfDOxlDa/Yq9jw7Vx78886MX6BzfTJLzrznrG0vcNNxT1EhB7S e5M/Qsd93KKbli5QGSnTXrAiPglEqn1d4tR2c9+A6qzulYNGvJFdJ7ZL2xEu1lW4kNl4M0GSHkf0 pBPixtyzGEI93GU9+vwQS7/+McxZgPYgUn3fWTd3brDxIIOfjEICCQ6qN/0g7L7SGjSq9QS2DQeH 3aRF6yIkgrQZWMeHajJocKgCKritSo5PCcTNl5yNkTjDdB99gNTfvewj3dBBSN5n7HgZ6RDVSfUj gIX1PtPGa7sZoJEI2g6t9FtC23RXwGnM3Oo95jJ+g9s7SkB7EZUscAa7qkQSdQ/oNCO9GZgvgd5l 9lSvgxK1NCqK1xN4jn4fm+pu4ACHNH3jWJ4QUPqIfnlOx+rclpygLcUhtyH9OgTq4ChmFBJaOBsb 85i4wME1bhbZaxBcN5dAOmRfnJ88bsInBIpKJHotl9OXowL7EqSiSFtosWY2f9FvwJC/OgNI0McG Zue8ViMVYYL+PUkp/pW6eoxnmqxp15J10z4CpO8VMoB8O0YelmKAU/kGtA0zXTEAq/vGRFRawAEz ynydWt3l3CzdKp89L4YmLwUzlBksGFd0Kqt+1a+Aoro/KBZPPq+ChkU/FApPATjl+YL6FUkbJxbs FG9oWe7+oJtR/O6fRX7U1zmUamw/CNedlReUamJibVfeoFRZtIetgDeb2zZn7OGPh2GwjDN2Xrnp oN+aRzWIGTuc9B3Vys7uagfHx51zJQvBH9IacMgJ//cEINoWyZ/njdCSReAlA3tFyOLRDvjdOrou 7flFhkHZZLb2UxpkpuoIwuJUAaRZwK5dEB5Pmx8m7GG73Xv18hrRMeBFLocb+Hd5ixIAGCG+3MhX QjjGBTnrvm/7B23gVDChi+utvzDmc3qiLiX+9ZvV47lrjPNuYUyz81hXfVfYzRy17KqzDvbr/Rbp EtFdU0t5D+mSduhQAAOarC2KU6nH1JgNwrBeIdoHgks40Sto4S97QPUntjAvvtGKRV0BxEJKvv80 CmuwyYb1ZjDSFuRbvPRyJu3QPi2apup54SKAKG416zfHwzwXgwtBRR1yZBh2U4kktU+8N3Wf7vOm COEn60KSTfmS6/Ue6SPVPmxf0dyURDdU4YCyLhXqsjvfuy1YlKLullS8uPIDBAppBZ85RY/nx4A8 F/Wok9tFqjEDyqbAB0hpV3nynb5M2qRKlMqSsTkTR29Bs7cF1Nmfy+MngLKUxkfS4hjFLKvbNc8E Fv7n8YEdA5c4W2vWd8mJPFw84zQ69rmpfWlo4DfGRO+GhNyWBJ7MEN1GcJsBpEC73OOe+zMUfEVb X+mnImIjIrfRzCxDr+Js2U13nafZZopoG7PxIidalCdvsQJ7B3V4sbbdYDIU8vlSUO3Mby3GRZFA xLqXR0kcHehkOFsFCK60qXXanzWbE17BTwDy+e2IlGgHIEs8j+6uU2QrXMceUo8hxPkgGxHt8I5u Qebl5ZbedI3oTTSc+Ue1i9hLc97DTQVo/lF0nz0WY5TVrDnQs8EDHFgmbvZvZyC6KwG2bnD6kG79 FRAUI0rBHnauvNuJkyDBsvGm4Jioag9Bz+XsnbBGnTq7/vAglu/l/R+O1kQcsiknMZLT0X4fnQmi ptxP/1M4+ehltVOtH1Ki/A4OPcUa2P3eJmnfTNqjkgRqR0UR4MXd1TMC+ozCYUFlvGRyLlKFi1SJ WASr54R/p/OpzP5UfHvV7xr0xcIFrI01C69WvkFk5Pz85hED9OJNY9MOK2XzNVCRxAOetf2hqKRu 15fQ50aFDsCLwamDEoMSbcrC4xytYSZB+PoIswf/ZZL32Q8x71MwVhmhiU3f7a9DYEkTl0Jo2MP/ PpNETene7coM7N3UYlVzNFoC3lFr7o5oUZZNTBTOx3s+kFTgWwGiSRs68xPeJemUngIeJMsZkuZA 5njAGZLwj5UVz9njxF/mzDGGOG5CInG+6hQtQ7yCz4yxcF13xxL9XGJ2JV5m3aeDpLWp63BzBIPp Vm8IOKfzFu2fiQt97RIe3gZXYUuLo5JqpvFFE3jTpJN1WRgi6C4Es/oeWscXaMd8UA/G0GkX29bC Kq67XOWCSv/bxQUmZSYDtr9gZm8iAo2p/jD6126J3LY+xP8ehw6f/OGP3fcuANDYfK8bfXzD8G+7 e4szF17zrPM+GIrZam62MruUNfrR0zHpc76BGrk1xbJTbObE+S14F9avReLe4ZTKHkHgmPkV+QIn HWsddwWKRrGBNl0pqijIcydaRoRhm3G9jt9rYYTwW4PohEAUiWdbUMOQNkF6b3wnhZ/IvJpqFaSp jcUuMp2gB5csLNZibJJlhCK/0u4lJNh22UhFHdfJeMwHpogM3PHFmiFEUiJo7DeqGU3eNKb0WLHL FkLTJNPKUsHsspt/2KxzfnlTPKVvXRLRInGVHUlXBU4zMCPULx+FYNgml8i2srZwyOeSpwC7M8CD buSlnsOpR/PfCnP5nMJ87Taia2shOPM0QZ8Fm7smsNSJIoGqLgyd3eMRxE4XIJx0O47sDOAQMj9P hQWeLK7qXofhc0e79F3Hj6OYkA5TjBaeLEcYAYT2Oz4XQE7/xAzHKaYn7C0cf79doMxZwdtOJzhP W0zjflG2D4Dkv4H+YymhS31hM2iJzO93+WMVsUI7beR6WW/ypjGkdK8f/aAgnz/p5qGISWtafYCb 4CUaXz7R1C9mUNh74GDJimpQe5FGN7nqUw6W/shuWtj4fXH6A+xt1qtbl88T8wsDKRqw9nhUu8DA dWODovANIEnHvemSkeuHuk9ah8LTlJ3ZcbarWH755N8wlj9+Hvr5ShtPoGZ5d+hMrFPa83iCROjY ET4Vj+dMt5lVZNqV4hi/Z3/ZTDJ0UdBh1Ce5BphMqdNql7DBenX57+cqWmIyMFCLmIUua87ATR71 5Mue8R9poPBYbfAb5ErnVYlsya1TPtx0O2tzsiu48D13OIgd/bzjMfBphmEb2+h24BNfwsmIS5L8 TDtlveIG57G0jc2KH29I+3DAvCtDr/fz2ry4vp1DeHOfeHGeFh8C6qQlVopuBFKRedl6LdGjtbdR WeKHG/kk4yOWX/dzCkgmee5fksmkcH0/kvyY7aDYbJYnpj0iwecpYlnawTRjvkQ3ekCNPvEn3XOa +Lfy320H7jxG0rhOfcPp0CKIIcu4W3QZ76FJa9QseRbusUNAoNJvM/Xcs/FVE2qCA0iraabL6rro TjjsLxW/wj5w35CB3ceQ3IsXvkjlIxb6foFdkRkAbvdqAvuGfmKZc0GgJbCusUtmfGNxQHHBR27W r8kgXuxn4qSmlWNul060nl2WuNKwVII09C9G2ekQa2B4c7yJaCq6tT7r5KrlScxZiTkkg002UTdH FuIRftOyZFM4EjYWBxRlNgQWZS8PGAfpE6VC3jeWrrdJUnO7bXFDHi8n2G5pSzUkP5S5/I3WjKvO c95FUa2q7oIcfN1H0YtIdyjBkJDOv6zxDwL8FiTrBNn3bIY+/TwDLO9u3TGFPhtuzCCnS5Cdy5ux XWbdDqBpi64YVpFBnti63slOLhyj36Qku0dduii5lw6gg5kaPOEKdFVIew1HVY25I9zFEqWj7hXL nkxnZG6Nab/8el5C2D6FyHDcvDWzzQOzdl0Em4FZOGMas3z0NI8eZcSf1XHEoXEMRUljrNyPcC+A 16U70Zf543ZzLqTSLALrySumNNOHLnnG8elIaaTvMlUy/wOfufDgu6M4yjRAI7U0VkymMVNSsozw YrAOL2ECM5IgOosSNbNnkLDuGOFjcXpkMlIl5ce+gRu2slMqK9ToCWOt+DKJT0nWDLa2Jwmnr/rz PlNVkF9N3/FefDKSe1bTN6Lb5AxByUU8pCjbbEXqdVs2sfsVlKCcN0CkjMzxOZ8lkOlUMfzNlTtq elZK3kNxdPBor1R3Fehpt8lwCzsVLCP1arjGYIIjtnanwuiVbmVhhl329QAGS8RWDOGtyQTIJtY4 ITBRC8SiV04kCkaiSrhk8hIv97Gr/NgIEEfLtNgxEFE8ZWiyB7S8NM+nToUUvSfbb8+8kw4lUMox 4yTNkVU7dBYzTLt7CrpZOo1xOd+ZspS8+k9A748UDM0YCqCTcta6Jn4gVTyhqkCidnkjyDxo+EKD x53HWIvf7LxOGNEeBPaYTozxT5sxrEPRx7Y9U/olgz0dLUASvu9NAnIIAGxgUXB6YordZMtLnwQJ zcKM039g1oE6+Bs56His3jWgwyGao4loH+81vEK1x4KJvfjhs8OE/CZYzHLvyxE4tbLu1mwdSSGn t6ZTw7dGPsHHmlTumUwk2oGx+ZYticlDHq6YSozYvDrNpqNGInvBZqAIQtxHGyeJ5N9NOkJBCGfs R9AjzXDLtxn1w7zJwktQFMUYBrcp9AAI4k7809DNjCUb9cnGFW+inDL5hpzllIgrNkLkEq2CWTFl ejsxBC/0RzWvKiLTdSlgkWg5teraA3fhIJiI9H9MZ0FdRbmgkfNN00Y5KAIvu9GY2TBarBbKBgSj FnaYsK39e9tSm/fC5vFilP+Kp+BlERE0QJF++4Akj9Eq6pcUnI7stKm9k2SAsFkMVTKbdinqmJEg TiDnUQCmQp3Nr9FLWGDXby/OwDLU2fwn2tc8AEjfctqb8vhr3o1BpIOf6/ySC3I7tvFLYCKXOG6H UFEIai1XX/QI8wAsfbj4jIenyz5cx56wousrUW3ACFo+G6//WCPc+14TESURb7aHQnpW/jmwLUVQ ZCKTyTOUV02sicfzQjgvDmC6CJBKdWnLerRnYQ6/Xk+oSSo9KdiJsfzZgM0v8fI7aatBnbPobw27 GGhQ8bqVBxopUQsDNIhGNNvS1HLAB5oR6WdeMWleHZT2LROsT6lNQks9uuMB3flwOfdxr7z/9rhJ 0ppxGZr4sqAOHb02eM+PuCLE3CtyOo8hWoKJLMHxIytdyWIpDepJprAiSaYeiX9dRA4tGViy6lFJ +hxvFSpym8vasXlE2cAqoYGS6ZlE5ZzKI4AU1/PYxt+Ict+RyCcUqnZlw4aTAJDE8NNgkAUJIR8S KkE/aqraa2FvCBtNfVV1oSK52xPDz0HEZrjJkK80dPMqW/LHVaiCYAXgUyRjNU4JU/39174R+mHM Im8VAywW6jUqou+xgVBbZ/qeNSAkQ0g4vpdQlKtg6U3AlBUZjByEwMPj70L3VwxZCtNgyyoBI3YP F2S5/e2EKnAJVU3DcUiRezJl82A2W8Hte7p/Qe2ajOxbPH+PnYGIlI7qta6EaxiUkJYOPjtLIve1 FVoJSYp8sI+ewYGSY7YjgDZjDzxsBn/XZjk0oxnDtLeAKTcgxe8wizzX0nL2RjJBMq0UHS/b2oO1 i/IyASQm+F11s0jKL/CNMDJqsV9dbhjGGaZKXH9tQjChv9EsSQf9tHq8zdBt8XSrZC3c0AeXPRu6 1rIinKK453xU4uRYHbwdgmSPGHnjlv/7pxDGaFm6V11DvCy13sajw6es6zenL1SuCNELlrK567H+ 60anSL5i4ICKOY0ogmw48CG7oKxzHo41+sake8ZMlMSQwqhOkXuciBzOzdmvKlWHlOhFQstXXoB1 +sx8+w+AALjsk4X4OqxB8VYga3XSnBJ6QCs11GG5EmwroLzZxi1NrmqkRpF/BGVaMdqBYHPqgkss tvmZnsOCuDYGF5jppJy7++DuOEX4UBCscNQ3GAH9b8B1UNYq3zGMYwnM6pQv1kFQyDBWMi+EfVL1 0DircXKlNSWQsDCYyGnZhrRzmFJe4/B0w4YJvVq/deTCBpGskz11lO+Et2Jz2HdNennzzbOoXnDS f/cWXgyJ6DEQkk9IGMAbCbZxgJC3FC6ZNRqhDn/NmSycofyzxSdc/2Jbkh9J9j4Prcq6/San6p4f blQfhxiKirpL+dzwIGLBlyY+0GrmgEDysotfKckOtxkvnM5O5gC+np+nIj2BKGK9DZQoKYs8AxMF CvAFEk3g7CKa7YXwCw30i748UzHX3b9XGhAYakVmlshwi1rbfGkvq3JR7aV/ToLVCYXi7VpUpAAw aQk33wwaueK+UEa2+pyvB+hhwG5l9gCWgnOliMaZp1hjnJ7FAMq5yu/beWeXKNGj3yooKWnpjZqZ WyQFGPWEYqvX6s9PnqsxuC70g3xTXDengZCIk/n1EBYv2JphgB4oeKM/1U+G1yA6ycuLzouNumXy jr2MErZbOioigbfQkEkpKapJvX35iANaku8f390Db1Y4+BuNNRkSWRSTAjbnuxqnT81yup6kn1bm SIlDTKeKA2/K+a3UXNyux0IMIYxIqSRh47XglgDXRn9CQIMoBnitLsrytmOc8+/EUlR/3CS6D7fH zSSlcGLGMIGHgQJeqxd/jAdAl7Z3zSPrvaWxeQUl8qdNxrFJKvMkja6/xapw576pPl02AJbRdLCR Ru92Brsr5ME2zEnPnz4QdXiKBhQ3PzJb/Pgx/r0v91gsjE/eW06sSY5rueLASa8qvFMOeKf+iHhE FOpXt8KQAnh+VYeE8it6L5s8yve/YWbuME1vyvwPGeauMi2NPb5xmelX+ca22mOZGZYq7pOZbZ9Z pPU7ShZcC+50YeQ6U+puq8mAIr1xx7fq3tBmvNzn8SJHuS0KKTFr6LHxLmk1bQ2NCNFuoyD/UXel i1FOTFbfwNEdHAOCnzEZZCMdX7L5OdNxrFnoPPbbKhV9uuIJkB+AFGlA6Th8AKtic5f3B6DjwD61 ZVGsTPoHxDYlluTlN7J5YlCqJSxK3boBs38bGEbVzwmFGZsX7ej76YWkOa7wCZWL5UU29m02X0P1 nhCZQ0MIhIz+LkV4Ebn9CknBME9O17NcKSBzfb2ptAIHWKsCfQFbGDix9Tuj0v0SJyCxQspjtUTX HCDAgKpbjb89yLVgEJ0XtfbNTZP6w0o9S5SF3RAv50PwjFP5LZbZt2AB/AQr/RJ0tYv7ms0jNPgp +FWASsxdBVtKwKuVfggkhbldz0FEAWpFDSiAqVFtIjMBRQZEvRhREensp4fSX89R1jBvjHlXQ8HM DhfbmEYPZzRZwWpyC/zwe78gZCZue5oENvTqVFiHUMbDmWgScbyhANGTsFQxcqmsabLIRBbQPD1b +4rbq+NTF+KrAr+iKyudqy3ZolB03c9woSoiRaYxW/sF2Ja6lEfPIafDxs9Idy9mn9Fch34HuWc/ rjsqUPW1opQ/aRGML0H9ASL/RpFTYyZa8C425AqOJiXfJPljds2McHb3bU0nwr0fs4QqsEPn6G0V vdGLbpU4DLOuq/ixOo0vf+cWlgrYFzNDSIml0cOOdpoO0lMTJz1pVlFZia3EN6550vk5K/708nwl 8sFWpy7exzqtMkFt+W3zgT1LGVAlkvPt+hcXkT6gW+JHXKsgim/6z9rqip9aynIGl6qIeeqBTShD KFvAuCUH9H0/XlVqn8TVHdPjUI2y2E87cg8T2EM+6Rewv+UaKSGx1n7GZHFLWN6C/AF3Z/k6Q7M6 q6/PJsWHjC15Te5mzvAoXRXlWn9bJdnYX3dRLkGprwnXi+ZBJV+SDFESR1K+GvSBrwHRf/UQQL8F cTA90jmXBwuVxbML3nBOI5WqBy8n0mA6faXsw5R+AOLLp6+qOEO5zzCQefi/Ro2buhd3HMxhviOv TIHTs6y+6hM3eNdcXbvdQigyFacU02kNpcz/4HDN2Sfyy9Pms4H0KDT2khxgDrYo2WSA3ROYjXaP pG3me9TqBQshZj76rbjXzBm8zbrVgRMgIam6AsISw9wq+F+VhrEwDtKg3tV4TAL1OxZLYhLqwNN4 5suYCClbF2UpQaxnpukW7+NfIo+FIAVsff2EnfynO9lH9C4x5vkk5UonKlm5a/RbDAdJTitugsag QUt7zlh6Pt9U6XIQC33tawtm+H2YrcIGC1BELsZlcW+NUseiiVJ3MhX3w/AbWXhVmhBkqxLiR3ud gBael8SjPX2OyKJ0jPmRDsYIMJDcs/z3OQ5vtf3cjpcGQvWxQMEK94XpBOQUyfeP6gKRWc7Gwa61 qexmcp+eljHHQBcGIpPHui8TyhGJroz7vN080bIRk6nfq0ILb53GNdpBNYEdHWpAg+VJiCNocok8 BhFLZYqZWfDLCwGDlfpNE9PG8kApotRGBtBH+JCrYpj11QrUBIdWjwLpN2yc9aNEe6TCF6nlNGzE UYQKS8q5OHSDW6726vDXS7kFv86DuKow95YPzgqOoyB0nqSUULI4K0SNXswYEstZG45SH6Oxn6qu dLRuqG4Fidsr4lSKbz1Uh3Ak41/y7yg6T555nC4TQHTfiSeAsVAnkSIqbs9zQ3/TBpej13Slknum 5oY0RTmmO28/LNa3/yApKtmP0dYwvdjngomu6lWQK4qM9zYsfuftwMDfHasVWbQuBU3Z0Leh3EDc 2rsRVH9ivNOI8p0IOPIzQAnUuisWSqT1C7XnmNXLzKptZCzU/QS2qSYHfWuGJOEmUc9EstR15mgj 9INBxgWUQ7EFPudBp47TQJZTx29yQD1hlVGsZxgNyt5a8PcT82xnIJbJKcXPInt9doWhobxPz1wu pcto0A1pIc8uEqk57VrzC/ff3zK5TLyt55iMxJgFJmE5WX/T1UsFsXKCeFqr2H2hFe4AeyCE56QW 8mVHdOnD0X4VUDB4UE4AKF3+QGpHFEBwBWtkAPmweqONBA3DADabspPJ8lEO2E9VSObRq1KtJe6+ 3yw/1IuYjyfS7h/L6Tu70mMphYjqQGaM6h791AvCn3d7yc6IfkHzOrzbrOw+9ctMcJn++D/hwEu4 0UtR8zH6XsTDp30k843alrDjilHTXKey3KL8zoZGivzamF6eUrnEUeyz/mI/PWGJr+4qnEsfh/Ek NBvmjk70KwrllkpFvkNOsZRm3/0HCJfJy5jHmQSrJ6IZHFS4lmQ+a52u4Pj9VIeu1ydMUsXK+G0f i7PaKT3W9In/A9ilatd3Xh6P+mtu4c3MGZUuyOk0NzpzPx7V4FolDFTgxUT47is4sGoC9dPfTN/e b4+du3Ey6ecRALnv8PoENUTqKOW/iNLt3Gpe2VK+PRKz2Mf3Mn0pCJRfg4H5EE8sBKhBZFuN8Cf0 AdaJ8k2sg3+kMBSW0kWUypia/i0ebH7xKOjbdMK7msv5M/cFh9sQRH7otxlLsr0f5B/x9PBn9niN aZP8OP1taHbCuVHodEEi+MBUcH4rXLHSwRyOLvMxivdkNx8OBM6PaOMx9OzsB41ZQyg2izLIqwoF fFWOjNzq/PnzeaxcvA1S8jaV0vOV1m3grlhvNz9N/PO5zbcM6cY1NMyv2mjYbm6XrIy8PCKDJzlj 8oKXt26vI3lj5yK8+iI4Bu77s1hbcm66MyP4oLtAUN+ZPI49NiBsNkDvIMIDy13pdW6o05zDR2iD RFqW18z6XAky1y0BWvRU7NIjT4UoiViPbHl2j+t3IeS7pBfPRFWDQbofNN1jqcEazLWzgAprmcBz CIwetuxwZlOTAUXJ97jixPuExuxqPh78d8vmXVCP3U19QXqEFvcU17ygBRC1ukxS1tKCmCI4kMAX 0P5oVltAugDF+sBrSGIJfIKgoiT3ILsi/R8ro7/uTP3sY+YpxtqUNvelDbKMqqmklo3B7DSajCP+ ZwwpBxvHVzxxvzaHphGMrGm+QwzNwyG5jxP0xBTTgoDCJ5joYMgj9if34KDWzczJr8N1NTgR7zYZ r+Q0pwUfG+qucIL3yxBGsE+fVIpmOx6gOrk7O65LBlj6s40opsURglWpv4OXtIMoAX+KqHJ9nRQg SN/h7+ipzE8d1SlllifgeD1YeczMmxiPTJqzv3DR3k1MtssNWnFUT7ZonHjNBfBjRBOK4o873f2y mZVhFSZvYnDbcn/vQSfsu6fNIwJ1mTLN00VWT94a7gDi5twJlxwMln7rXkMgxR788YhPLFFtXLHX /qAORBd34aH8fR2zNeBypeqTn6Xm9HTQEFfuMuLBM9KJSaWO0EakE84LdlLcJJm3n7ce+kuFKu5o uteK8Qrzg5YDI0z0b9e6wbuL8bnrbcthiISaX/OOMam2kV40pNZX9rkszJus9XcoeVSgzHFjnCSi GLWogyoxfyp7vLiN28Rw86zaDL4J4HZp1Y/6jyZ8M9b+hhwwUUW48kSdhbQhPZaABGGMgTtXZyBc lykUCt3DUiIhJP+T8JBkoPjXpCduB4sLxBTiMb5C2IVeUZutkE4f5aAgEvqGnx1IdCdQ/+9Wv0vE /isSgR3l4g3edFh4v4dTV9XdtRC/WS3I+sRdt1eGfxiwADjVtJrMJBX5A27AlARB8JGn3U6U/B1t Ps0XjbwndAf18tkSh99VxvNZhplciGMlI/XmCybNggLDZpBzBqA81E4juRrp9TtYAe3uasTzwLC4 iElJx2mwCIx0MZAej/iF4O9+KmYNss/ScYGrkWWFHofhyMwFwdm78wY+msXGdjdZxz4qXWe/s1HT Q7ixoOhtqRRwgGJC70C7yUha+bwcg70PeNrF5vCosf0+0l+yZePkMkj4ti2XPjKkBzWC/1uv8dNM k8KJhrYifT5+JFQ+xCT3RDtqB7S+/6x4K8hGmcG61ERQcEpNDyBk1EIs7VrDYwwpC1Y3Wc6/eXug pN1SjcvGD+kqkt8UytpEm3fXEkvodoIYhdyTPhznNN63j9frt547qv7Lqw2iOCjF20WeK2xzuY46 A5Sw2i2wlNlJlY+jST9Fg2fPYFsqdaIcstBmvaLSg/wpFzpGMYHjGlMo3qATvmndMujHb9fdnsKW XqEHujtiwiBAV+4vbvVnEw2GaGFZyEXClByCLk6PA4H0XQzjt2KaYRAFBMVXZkoU+Lu2fV7dW634 PdcWqIkub5nTZXjXDIbuAvDrz0NpnMISD4ujmcsaIdm//FePJl+N0kSzYIk0704i5SZPbUF1ifCT BM7DsE5KGALTuHs+obWbKkilUadBfvXeAasB4Anb0SdNbngS9N4wg8fisuWovFuozWIkHJxeB7zL KHDkye2ntIl1QaadjIEhSSf7+okSI/0WHVYZJ6SvDZHaSft8a79eV9ljh264jZIdvRw8gtzGzMja LHFbgLIt6XId3+kQzybNUmytfjnLq5yzj6n2CEYSpJj8qd0XZIIZQ6MzYa3uLXl8TvW94MjDW3VA bmBCtVO3Z142CyuIv4LcDb29mqDFGmQNqXpUC7IuzmXkNyBuNdF+RHExyYRNrSHVB1Ahe2NmMgEU LyPPrxd8yGTcSburDyPJFleHhK7+fwvL3EDXWsfkZp1/R9nZAmifcJCYRQMM2vlxfrQVZaxSc50L T5uQpKugTvOBNU4LJtizoDUXhLrgxVn5K1+NpXGh6J2Mp7Fb5NG/rKHcHUUg3PSv0YRB+o5mpIli 7THRnQB7TfSBdaubPlM1ORXKkmNUGRpStssQ8+QMMEkWuQbFHc6DOmaDpQmWMIYBBn1dA9TT00bF ou1i+UcqQoW2NUBqZ/VHBDllpXY9GU+VwzRPTWTRxkz4FpvZy/nLst11ErX5p3So8A4dPekgGohW GCLUo5MjS6Y1B1NA795CLqhaUeiX72y2VHkYkfJTa9C8g793UFZPqVhxJRBh2Ogg6YlszRMcsRa2 s4DjrOW4/OoY2Npx89JNW0Y+DuXdLJIWNyWqC+kW4FN6HxhO1Q3LwxE8qYE2811FTf+G4CQYQl6L 1QxXJU7eyYLbKNxG7Y96Mi/hamfnSXnj//rpviUmtt+7mavB/puDJWF3NCz9cSeqY48MqWrDPOMf s5nzXFEXk8JZDFl/WqYsg2wO832C0Hmx52iwm6/2oMvmP4k731eF4iKm72ERo04PoTmvJTjCREsj 5JD06SwCI7cTBjoYhxu+yMXNHanFgVw8e57moVwdy4daXKGxmup9RNwim6RfUrAlx+G6Um6Oqb0g GYYMFnL5P/ZR4wmJhWUgVEd35qw4hsuPvFlm+f86LWMBVPPAzTvs1uOo8gso1yKj/9H6lYthbpHP UKVi7PkCqzjI1gaacejoWKn+ELEINUlBLzmMMpFUfqeqEhHEE3UCVgB5BwOflTmZWaKjb8Q5y8sm FbJ2HRqYCYNtqOkcrxW/7ZKTFSiqVM2TbPaoVtAkZZ6AejfM03+uRKW/OrWNyOC0RbYQTDjJzYQr vb6a8n0ljG7ZATV2eMxEzeuk/EqC9UvDvvX8Thji/xkY6W7Umjsp2krVHIbFvg0YJ/R0XDwawXTo F2oI1xchvgRCAQwzbsCwTsvI+QEi5osI1z5PK7j+0Oq//wWtgS+LAYl7dD4Q65UDclsWitDofcRP wWLuxh+rBQKvEdVp6yg76jRr2kq+oJEzr4Ng76ex5kf4wJ0HCtQSWlwitvNZBR1nIf0tcu4vQl0C vAo0AG9/Bf9/v6Lu2c9E9xiAChNVLo7M0KiP+5+1ttmXgemrYjMjbugGMK9hv6EN50lFgXQ225ye eGRB9r+jtuNpZNmYDW1Om71e4telv209npqnX3LXM9Gb0fYcVsZfrdVW8Otf24ePjhthNA5METoZ 6+hUoNNrrnmuio5/hLFAYVi4bSTgYz8BmbLmz9htql3zXC7HKsN7QZOL3oF16oJUV1gZpyqsh4B9 y/2wOjtnYKcN2seRpqmMBtNGexL6kQkoC+9uAnGLAFRiR/yVF4+mK8z1Exf3++hRciWdL2Ia3JGr XbImpT1MgaPDWYMPCSUbJtjK4d+J/ccPERUI+KklDjzbLXas8qH6ZzAC2UfOFat+w+rKY3gTfCWN rB5kDGlMknan4IqlfrvtoJTwEmt8CR2818ANo12LYNANgHZ9c7MJRw0ecuEifCxD/rhGXA7JXK1/ pROeFgvgR6FDUQ86elBSNdvwk/xEM3aToKTXGEOqLugezg62iRGmWSg2dzFO1NMJTgwxqZ+WEquf cEpfQyPHKlQMqWg77EAh7d+RyEEfCsiAmeov4w8Q8RJ5Kbh76J0pZIykESuHxTfjopDqOsme+v0c wbz1C/AF+Gol6mIRPugUd11mW19HtRpB1rAsrkxvkGug5Xh4SHVPI5V9o3J082PqUhNh8AyUeLMY wlWPzyz0A+MKhMrf/4GjYWltZt8rKo1YpveEKwPK3o+sviiwfvckE2gjrc1I7qRx1L2lNBIos1tr brjCsh5FQzmX1a5UlJdl/IOW0oHm43+z/BkC2HDzbjT2sPbaZFx6Tk+iuwNMLHMIu4bkiVL+po12 1Sf4HijyYiSRkLcTlEqg9ArMVwpTt/Mwgnhgad7uYC334h2Wgn4/EaRkG3ORr/sQqC+GZVrNiW+Z wN1MqKXf+XzB0qdQnZ/yx8nQbYdv5bwnwiGa+7oCHM5VXE09VLZ+5E/kveV5/pfXnGDv+bPrO97X J82U2n0ZZIXlzKDXMeFytyMrT5RSVDWwfh+vEvl5LXHljk8b1RSJRjqrk21Wg8LkQWxSCVf0jHaK 0TGs0g4D/ICA8FnBve1hXMzJeUxXvxvCz0DttwNMZF3sWV7A2Jt7BdSylfjOZ5gATptuIEmrx4uy 2ZCZvHCiBoIOKztBZxmHleuPmhkwOijgqXQWbWgKcJy4F/k62VtxUu9JM2XkIXPF4nttibbVQ5OV DMj3tPW9E3Ojx9GHsW/3ze6IP2OmqMc980c6TPco+7GS3CVZVazEYKgcMhMXXeguLU4hISpqX7py VDTrfpXlsGz1jD+dfxXxRDH/IBvyfpbI7k3K0MxvlBKOZW949P3tP6mIi8CoMmn6LF/gtmGrEMAA bTN9CaYCDn7CATWZ7+yZfLQVlakee/RquOIm5Zpq+SwsqPYZVkx0KIck7lUar+dqiu2u1Pqnwe58 S57/pE4Gdt3+vpu4GVN1QGvzsiJHB0h0cc73Quhvk32UUGUcIsB3ntB6fuwwt6UX7t2/G7DevwsF Jzp3PknkkNShu0jFbh5MThmJPtz027rVqxApRy359lm8ZJDWKdhSUxD5qmPZbL1lsttKwZ+CnMzy 1WBCBVqjEwcyq9QrbQjo69gVtH+1/Ii8E+C/6Qfxv7QfJ2NgvfU4OhmI+HNxZgmFFfRAW/JCThq5 EHuPJ2SwnkaEDRaXDYnC6eTKnM1rXETpWrXXI1Qd4/uTfGAslrda+0izpfjCsfw/rBMlccTWlgwh HAvSWqNWpzkTX8MrH9TOLe+zVKix6Fc5insnt61tOfBWlackwy17I7/XQMChM82XKhdOwQiy2+iX PwA222TAH5wd2EJbMfjhUcMfZZg7vFTGBOEQ0pajD2xlJcaOFTYgwmjdDuw3F0tGaa2gb1XLymzy 6CIOL8W73GIAj/qS/S2HFpP/mTgjoSCh7VHa3TQS1vpHHEz4ICyNAR04gUdR9g6x1kfYRhURl+OL aXwUOnUw6N1PIcq5AbAhSPMRXSPhze51xAzmuGRXdxyoi8TURXGKXcg3lYveENib4Lw9R4HtDCDV I0T/VPbPoeN6B6Xo1+Qdmbs+vjOI6hUqOH5kxcCehN/pf+6Si1YmxiGvfYe2QXhk/ILZk8UkUW+E ajON+SgQ8XLWuOWL06MbWUcbfceNiEXm3rRkqXWxA6ntbU8V/aCgniYD8w1/QdIdBAOVIHrhIVq9 ncJgb2dPfK9kJWAuRuOQIWz9t0xen6XG/p5ldEv/ZA/W454mfsG5Xk7eHi53HrDqC1ZefbEAp8Su 8o9Qe+EDEVVWAGG5iEpePaybZ9XEA1AnHA4lNAKLjQDK0xw68Ive2iVzfD9NnWAiZzfNjjiLC3/W KdbHZ6KCsk+LULQ8LrvrMirS2miMRRrPicoJMRUBvDhyFE8R6yjMJDoAqkJ4YUz9OOIxJQAu8s0e o8cygCakl1cHB6hFrZWejvo+licniCeJA2rF/LIReqSol8txHg4Q9NkrPZk+Y4tVC7OZGhR8x+G2 qRHAITZc0EAgnGqvRpsH0HqJWUDCb+UeU+Sxb8A5l4PqjtVAEyvQBypEkTS1HTgT04dQCx8yibMe FW2WV850Pk4w6tcM24XlVNZivPTfV+hTG+/Q9xPy3MuQU748j7DCUtx6n7ABVN3pFvUQ1PHZgnM6 25NQfUEFaN0Nxjbzpz2sjEojhBFURtIURtBUY8HG5iUfhXerY0hQDb45B4bM8oboumXUvAE0g+O1 AVaZDV3aLL1Zcwr1RDSOtezz7H6NmMq0EydsIhO4QoGTI+uztU1tYEuDFQXeWN5CBnkL63j4cgsG Ta9xLtEyJ37St7IYBfzHLU2AiMhLTZYhqll4j2ahluyViJvwpDlr1LMOAE9jPbqyqbLn5vVjW0Lb ucIucmDZxY2bod5D9TXRKmxHIZyeZ7nqvrP6Z5Jhw0H/3v9N3L7c6neWXLV59XeJUP25gfcElfOu 5kzT4tjT/4jHFd5CTyYdA/OL+XQJiMUmS1L2KC80KqLhkiOH9ZesYwn2S5z9JXYuAhZmRQcP7eGV e5XtfWh+Dr9JDYpSLijO+osEqf/IOCP/xVb/y9YVtOKRnLTltsP9U5uXtzytfoHSN/C4VUbWtrSd HFdU8k6MohjY8L5zMHlexeGbEetR/va1wmNQ7WSjI2dh2xdrTXvWDTim2eRdhdUBkZ60mqBFIMqv Myd4qx5YT7TMtgdw9gWc8luIViWFUbCIIbVkwLaV3fm7Dfx+1hXlOcbhphKeQgr1RbC/Xk/bzUC/ nGhC7zQh0+fLCO4P6ebAyzjSe4A3T67JsIp3HUZlIuFdC2gtlu0rfAYmrqyKEPBKmIiY5zrV58cJ 3Cpbpn0Bk2xEv6TDM/K+L9PnV/JKQwjIvO2c1O2oQ+R+vyU7CUPeTAJwj4HHIxM7AXNkmDSsLsY5 iE6V0XWMKpYzcdrmN2o5+jJ9fxHto7p25/7+4zcGJ7W2da9H4a4u5qb0nbF2kYaBax2OQbJm9PTc ipYgMETPNHzjigbH/4oiUgH11wcB2tASmS3fiCv7m7MStBuRbiMFcisugAQlrwWa1Vsolewaetp6 f8GhlRg4I4rsHfrgFVsVdYV+h+05y7IxijK4X3WGVOV2Zi8MYlU+KShXM+kd6/mwj99zOjiUbeio 1EtlbGrCtYhLp6Cel6rh9FvWddWsAZF1TksXBFDgKawR5T7rJcbWPhBhRYiguhzPwlHd+gx4eN5m rOsct3xAyvNcNKOVgiic6TVI2Vmd+gJonAOn2ORfQdFTUZd2alvKgj9+NyPbBKAGzkSPFaFJJ03M Yv6KSUSVJf2BXUEY51oY6/19k7rUHURujQtGl/GrW7JUdOZ6Dp+lItrDhSwKQ+3eXH7p6JqxamKJ HIk7furqbV6aUB8IP0EZ+PQZQiyUgUhOr66C5Y4RuYJAs/zPCWNS7AojYdjx047MKZge+FZHHxgE wqDrDzVkM5Ox8hYxXmREahYuxIJn/W68uPl48VlZNbZAGy89bTU2aFAV3z8EtqfITVIFP0F2MFwi hOz406nVtF6AL1f3vkpbu6/axmxDwPwStsM61WBDnRCBv+IIvvDi84yn7rZgZpjJKm4dDbMdCB/x hFAS6K7CgjUhHDuXsftld2JsvuZKZKFAgXlOYm7kLFJC1iUA5Gk7+sjwjaebN3osZZl/qXdgQgj/ Ud7S71T6PRyQfI5V6BZbTT+nSt68cL0twdFlJWBJhH+22f0YSnUN9qf9Fm4vheGNMJfwjIezVrR9 Limihg0uE8RUMh38F2Og3932nczqrZ9HaDXD4GN5NenKhDslbBYQD3fen4aBNP46B+uQplK0xjZA AzDIafzbsjPiKJTiUDFs5+5MnBDCMuCO7mBMJB38pilxP85Zah17efcpTADjCRlfzCbdv7ctY6c8 habCdmYvt4kASp76Aw/GiS4uSzb2UKQN+WCiQbuQrm42NBuP9wzwffzf6w44kUn6fvYDLFRoSK8i W2eLl6+1vgthLcYz8um/vm50LDU+ZV2xZ1ipSrgy3zRD2QNeD4Ssok4myTlXQDw5ljB3czyuk0as nb5Q/bSf27z6O+qLPRfj1QM7kwJCysGWOerha4+Vc0swufm9MOBNbRD12dH2RTDzLKu1nAWnMKpT OtMSLKrucA7mOg0pPMYqpjbjFLO6Q04Aw8EjCNYncBPY5jXApPaxjbKLkoboqR3/syHhFAZ4Wsol HYhAdPY2dizxfZuzP/PS2BBP02b0VYFwJCEJ5Bsnw2dUCcX0u+/i53kDf7pNGjTZfAmYo3dpG/HP Lp2MzpHjBbx4Yo0XU3JauzOj6puVhQ3v6ppqM4/tmnKhQosLXPL3ZFwhdjqlBbSlONDliinmK7pM fBTLncnaMhDdwxIhTBinPPckHj0WZ3G/hoFOoUmoKL7/twIeSt+71oatziLlcdvotTKSK+smlxDP 17c0bR6LoYaXu+k/TQd0L4rc+dmJDT7MDFzc2w0A/DotEjraWjmSo3QDGt1GxPqx1BL11MVpaPep RMdRaTWkgegxac96HBhdBR2ssSCliMnGaeVq8dPmHqWVAyvB3nRwpaQxhbvRkepmV3oUo3kyoNw/ 8ci6WxTJZBUnlJ2zxSYUtIiF8QOx+YXru3PTANUKl8YSp4RI+7sfdMmFgsamRGtmNdSpmTga3BGG Ym3BHmASQNCPomm+LnSuEJgKGaMpMI8PfyLKDtdmMwJE+ng9rRZ0VdliFhmWxcr9AQBieCa2bap7 Izy2QXR+00G58rPotRxgpvtM0HIWVOB/ZzC2gxFm4pwvOEveDUEKk7/T+DBLkQpHYMdMfHG2il/4 TM1y/jSzVKWBbJ+bNMOJ2cH62kL481lj/OoRco2zx+KHmcwSj8DxDL8LMfnRfZV+uXAOPRBzCDJ9 Za2S+VyJ/cDClrvhRBkxKw3a57+9LrI9KvUZViusv9ZCdHzexdTrLg4g9U22DKafHbIZ3FIg7f72 kpZmSj+bR3qABwblqirTbLKRYoshXmQQ+YonTns6GJRbacI6rYd/1FNWZWzKG/PBlJsNy5EL2Gek OjQ3wCPRoLKXgSbBMv4ZhRUT1DKFz17trf69JUSXSzYzh1p4SiE6UmERn/nBcmAa/eZ5aSRJw9I6 Xll5LI+7z/uglBJt+/ThySbGRkUZcdceDgLkhwhnFEk1lep/3CD3MBSCqVbY6TOU/Gml2/DQTd/4 CfnlQpUvN8OxJtm5dzsvico+ltQLxNWCvA72/ZKt0/sVKhvqDnTCOboRseUfidZLf7pQfOnuz/eH KF3e0ZQlAByiP0QAywc0vIZSSglUs14bfyq2ED/EGwcrZM6pdS3OTeFpSLXXnL5m69xkU9leA9FU eq+ZoVDZdO+l4il5ylT0hfmTsb4w3V4c8p9yfZB8PwuCqw2vNfC+1ODv5wQU1AKT2MyaAnTm378M Emr1V9QsP7HsoCHNF/3J9h2cl4uUgYLcrkhPp6JVndaUrOUBTkZ3KgEcjaN8VCxBb2iYRspWpWPN Gej3NxmVfNrAK3p0+GtDgI0oqejKzcQdNEPcgIeM0smu2+gtAbxVT7zDGOX+WpY6T4CQS8sf+x+N bQH4u8E7v10CWPo2slIWlLGGzhfJbLkWpqrk5tLv28S3KzgRjAAErDCobYCSO6uJ51Py6p2nM1HK HYGFTi+NCgSYl8RrrOuudcmH/Zb/Z6lYx6H8qimYSb5TGaryHOQ5VdewJ2eao42H7pdagteiANMY ic/AvPxtITKt2WxViJH34TTE4FdTV7DkSZzEtCPSWJn0C9Ol1/5EgRUu5UFtXfQ4tr3r02d3QLwt vjep59xd2RmTlUvOX0ZaOaqGsBktCS17BhctB3UmFD3u5gR2tt996tocBzjKpMCDETZi1UHQsMOT kBaCrjyf+y2ymwxUIfs51WngY9OEF8E0NKABv9UqRKL9XMpcnM58WMpapduynGzhOgs/TjVxrg/U 1X6EdNil8mk3O+ER6ICeEMS6WOnxdo3IbYGm5szifFB9JbfYmu70dWBGJVOkXbWPk5c46pVRVb8Q IO1x47uyV7jAZt0APRrtgRdyxafNRboDn3PAw4qGqTL7RWrtSaDpMxkpgxb8yQLdFDa90BUL4C0I AzJq2woA+IJ8qURm+yMmQ19UDJ67gRfnkHH1UTonlb2ZxdbBv4Q9sxUsaCg6+yeXuMvUvQY0RcrE qIiCJSozWipVcuUjLvskCwlpUvDpgVleqVyUZPFL0S7lhYpbY8zt4x2CC27D9n4DdgybWcCAzq/d jrab68axLSN8iknxEwSvYy4DK7/UEu7I5BhyIqZyzT+ab5xG40ys684VJqn3gJ9Op4HkNTFy7ckZ Y4OtjA6mugBwpmdNkPNsYOapz4Q2JoBcrb3SaOpVVCKG4tJTgUBc4C2BT0x5cIDTTaQrjeVuHq5c g1ws/w91rFv/Ted81IvgFVoiepY7gRs2XoCavhtg1MZyU9tPaTMDAH6lQDvt8fbAZ0YDVMff7kpY erFm8Xqu07NxIbNCqA+6gGNJB1vj/AONW/7gjB3bGWJGbpaTskL6f1Foz8oynSdm+WsgIXDNBrTm XS4YP8QT3OKzO/wFGYhAyTpXB+F1tjj5oF/bEPn8pNo5BT48Po7yMfhCvyQKByfKFoB8pWp8RjRH OpW1n9kkIOZ7kdHMJ+YQyTvS5D89GJpiGoRp4zuR9BTE2b3Q9QNkbpW6tQ8xs/xq3PqDIyQ1NRXB /pTSmG/IyqMHhNSez/QmIiq043CocT63nx2svGOa9MIsQxquVYl4WrwHr4l68sF2RLVnxfDugSSa UXiMXQnxeqgpMUrMOM9+bz3bjQL7Bkb7VgPe51RIzZuYj6jOmCz6UWMKjYek6NH4LOKtBiFtiL9Q dqkPjj8wnYvNvR7ijGrOuZpMqDQiEpfdkS2BshfvW/YmrTNJdA8DwygJxAwQ2LYW5uuQBN1nhbM5 4+qLD1TsjOal7TKgyFacEl12PDJbL7PAp8n6d+w8FlglhkKIspdenhoqlV8v2T9L8FVQT3KeuzPk aIckAe90Q3RCN32MghacwBL50XKOc4gFCOjLVVcg/JNnzVyErMj6GrWeod4uoBPmRzS4Ml6dQdxP 1IMUZlPYXzTCxN61GDjzRjylr0USzIWVmWIgFthsSuqTxT83HhW2r8bYJKdRqU4Dj29jjHyb9i02 5usL1T70+jRMNUbRWW7SU1ptGKiEP5e00emVz/pma7BxkDhhOwN0qIZu8OJkIUhsoAe0TvuCgdmg puv092b8ohYEzW64VuJvw2L6hVk72OSCC6IbCKRTqxAD/Y0ffzY9zuJjwj/k3fImwoKByh4nE/Ld lxUks7YnC51sIKBKZ5oT6PnZx1DzdRI4D0YZPb7udc7Uul8CXlHDIkyVcVIqNVIhU+e5TeVZV5Et litS8egEVSGBQ47vqvsd08ylWKPBksgogNEdxj3usx5F6g9kPExosUVCM8OoTHdjr+dFQ2fcnHhL TR+9i6/cWrcVwzWwWwYKJBJRA5cr/vmYbZ4D8Y+vyg8zLNMm8juMVn+1O7YeC0jVjl4SP6OXRnoG SCS1Tuh5bUCZkOhNmll6ai16UlXLnrinLmrz3bTJGlX1kuwyhTfchkVWtkTod6jlQ5VLYMx79KK3 i8eXw6VSwbcH7aLb84txCT5LA0eNI2UOSEBVlhOyC15KEYzgbWQFkjeEGwwgr9hjdH8QheRLMg34 ZTGkwXw0iPZoCQ/8sm0wcJmaqsZu0fo35k88Rl6EeBF8ZhjbLoyLDSp6k18WK0jDY26sz7Rh5FnX Va4FnrvZmgr7MiByTuQcSi//XonkpEfLPEUa6S0zISFMTJzSe9RiSzXPDN3QB3+n5A5+03klV9Jn HeUbBlcxP5aUc7Nd+1AOExPfpJIcRscza/yEzMuqVle+DmisNvon38sORyPfMU4ocwC+cqEet7sh etBiLxAhYiBYaOawG8pIc45NHUze86w8Wub359Zw+LnAfoBT9Ux1P7VDukh2OXeb0CT3b1/EtqhK 4G74d9XBxC8YSjhjFR1FHv0kCtU5btLbgkZA+yUK9+nrVkdnldcq0rjVwp1rPxrQ7b1g6qKBFl/i eTdQGuM8onIzvW1t64lW0jmo1HZR6b+t/w0Ckasq54rrn5vHQfi46D29v2srSm9mcV0i7lW5YSHd DHUEX9qAgNpYmQ7xQO+iDF6YfK/qnjDHEklj6psWDvbkWlrC95sKIdYBto3fm5sBNB3CnrqDSG2o RhfkprkAohyYHKwi8r/u6yxDoIi2VerJdYFoESEmL8tYxWwcQAnf38x2tzQRP6HLnCt+C4S+j0LC F0TIh9bHew6ZEKZQrVnQQgVG5pSHdxj/3eZFTh5E8sR3JSo2NNxDunODy2IV3f+/ow8lv+fnuHeD mXSRoyfUVyY9wj/xKrhPG7SzfAxvZtIZ8A+4L0yZRBUukmGnrPto3Kc8nPrRaC9itT1dP7q9QyRu sRFShX2hytthA7vF0IOaA69KukU0cIRG3EtmNB/WGM19gymFsaPkLI1Riv79ePjsbrseE6WmSAwl FvP4bNCxvzqCxK0ZUseiCaE6Oe2UQ4zDfvPkyxrGWqXIBqLWD5CxYlG3K4lQyClfPJKOQLm2elfx jdxIqGhFoUWag4izfG19B8GNnVmSH0tMIWDfY2d5jDDLWjoQJQsslreIt8aoFZ3cHNvz+NxFJPFA llRdjyENsk4AGRkI3q0VPQNcnJv0dN1WDqyix0MyCz/aB8FPoKPPMnT2OI/FLJC+6SaY/jxDBhG/ wbRIxQ2lho8Se1g0J8EUwOL7Q2UpSHUHKMTVrWAeBBh4yjkFBVXOgBLpqOAvtRGjVGwA1lVbrAD+ pUQfFwhKmJ/4mBVggKS45BTr1snvOPpjcW9FeqbXz0LZcOfkPUnPeTQ1Me+I81xmAxO9B+krz4uC 4l4uUo4eJpC51t/NKeMOKbB53FEbNJuwR3YEKOjtzWjEnFF80ujw4Ny/wN+8dsHNxPMlCM7pWoY7 5ffe1R11JARK6EnAlMZVIUy2DSE6uhSIYmRuaipGs2SzZ6C8RVY11x7JQahL4dqdFPXsXzF9fI4c kRRoGEG9/jbh1Y3D8fIT94DBpqFSj5EV7bQgrt6swSbmrRCdvHhlnVxACgJULEm25P2Sb5QWF33V aitp5aifum93dtLfuLH9iNX0kIQppegC4u3Q1K/gSM7r02lmc2oilMY5qLeFsx677HFtnx/wp9np 58UckvSdmiqLYM+ct3K1/vNiGa7xUet5kjFHEoACWpl91gLvo8CmheX0hKHRF9fZs3k3jyzHBhUG D7DGLNeORZ4NZ77EVCnJgOnzfJRNEfrJp+djPX1fA6LwzEr/Ty9DYOi/7OrWBoQpSpSXOj6pz2fy w/0waeTL6I7KoYTuwAxtVe1N9RzVFEXIdVJoBdmMoghKYm1aAUqGsBMfKrQtFqa88XZFlti37Qi4 7qwjT2zV8nRfHJZzeOuasq/Dk8nUel/Sl74Q+GXvDt1lfv7tYF8UcND31G4U/4WcoZFwEgydu5Wl cShdXye49LRb+hXGA8kvU/qtdmDcI0XhlV3eMPpZCFpB4H0qHUkRnn99+EWllB8qEhf7LuqyysXC 54J66Vw3A1U+sTKZsz7S4ED1/H0qmPn0Lry0oaWVU7UVzIMiRnS/GQ4gEZ1Z5f71V0PpnWMyPSGS N8FY6MiWjXFOUoqf0InkRfcPOQSZc1rSVw4f3VMkdZjQq+5lng6P/TWfkA4nMwzimwzwkrTKtIuP 5MUzsR+HlJDQdJNO8YqLPclBCz5rmhJ1tPv/EdgHaHvpJLHCJdO07tbLnIRaPi6wIGKqZZgkgaIf 9bUIN48WjCdUjzRDx2S4xtFFnesmArCXI5wlQoK6OgAXTHdA+jkMmbNLdWJKSvFbkFOWas/3AgHK hKZ98Ce1fRDWEn4WrCx2NBVUhpNKXdtjqAnttdmQ4aWyfnnnSEeNj600nA41tC7VFEnV11ZMXM5C sehV9AhIGjAzqfX1el4gCiS9dlUq5WueEbKiY3Te6YPvHCso1Ms5qKStss9FfYIdjnVcTgPYxDOm DNODGILofXT8bIHht/gDkQRr34Y7oZiVHi3jAw42vTrOmeWP9c5Gf5y2Mk+6ndcPRX8jY0DC+pOM z1gdSaVTSeVnZT7z9DUKUn6g2+zE6vilFPWR7gV54a6LnCgO01Kxs2CC7BkuqFDzb0Q6cc5jkhNk f6rtV2n1dIHwcxFDW6Kp86StroT7cIuvNoYrOr7O2L9N/CpDUSsDEbrJc0Hew9YyCfsGVZplnPo5 SyAbGiRsv/AdXiodtfeQyaaCnage0YYoAYg3SnMjfuD3BKz7URW3HBKn9lB8Iy72Vt2xirsx2qkE 1oDJS+bd7+5UH1gqccvzuU71R/H8XVpAhQvdBxWHeFXVDbvr2+C1g83TfUq8fVCaaxbzqjMla/2W YS33xR+R0THyt4bWtoW6E+7LQgiGV6LsOd2XgQyK9YVylK7KpU+5VYFo/1IwH4RmmRG6D8BAumnZ 0dWovbiOup9EIXqWaenKDfVldtKeltrdqRAntI8B/Qr7DgLPJS7a0/VX2cKyh26GwFkU4afH8W6v 7Sj2dneh2MGVRNRfW9PbDyXotSyNYbxxo980fO2zX2qlYe0qQN03xSFR1mK5emlZ2ahPU3hpjE7f OVhcpzcd9LUYJ+l1o/RjDrzpOnvtCs5Lsejfe/U53ax8AW6JaLCKeXTuscdUKQ7oO7zazTf58isp Hw4oNgOu9TVNX30CurTNloyBx0sN9G7tuerMf/+/aRhDxdpXMFvrBodNFZr+SvnOYPsmXqwOYrSU UyMZSkAzO/KGYD1tr3YsurOv/8/b8sYjV9iU5nMqkF5vwNaU70xZ9gMk4WIp1EC+CZSNIirg3bkh IOZMfgAg56Y0w1d2gWiAErJKFUknKxS+VkTTlAzAcNzAyXmvBCv0RR6YO8f8S/EoSCX1xpRXGGOy Ttsn3YUQRKORHvn6is8mBjDjiB86ha+Vr03B6ut19CXdYZBXamFLYIPeOiCvTeItnvdRLb+49Qgf kebWeZS+vAW4MCFB4XLO9mmcmI18ZCLpgyGI5pUdGu/Lif+9ra58NOX+XZmZ2HOQGV+C5RlSt9M3 ab+hfASByBDbh58hoSc0fsqZtEEn6XpTi1g0cSfREqYkMzpphA2OSQ+y5Ug68DH2eFxS8DIqTDf8 ArQ7PMojuugMqfwmxuAzpRU2x7cNwyWFsBmECXEyVIHjC5IMHUCrVM0pOPVAg84+9CdTXRZBeKes UviiI+q3gnM+6kvxbUiLNDpYM0JgdW7R3F5xc2VrWBUtLXzHhTzSscJ0qEfYTU9MVnJSLfEcn2eB KqxSfpCsYKdX4SfR3RWXxzi1jxbK1bPnnJstlb6KZjVCOnuJj3LiPqlxfCueBnFVTsuLX0kyzwR1 ia1mYqAzi9HWHPRhyTf8WzUwHoY/uA5c6dZd1/iXWSm3juiYAQAljzcVXbmQkJJpVrCdBz08KhZH FTv5oqinYd+iDP4XkV6eFu7Tg1v0EmKmzmdyYZjME7VeFtxr9irtY14SYvawu6OM5psofNIUQ/9N np6ABfWzCUm8b6pch6QR9PrlXv96wvmhYWVOWsAIBVe+N09+WRLzksE1qz+Zq1UrOzowytp8x+TS Y1Jc/zGc2mM0rSRdSQfke6fqVESSuClGlxM2YgZLidHOnVTst5a9J4Fz1MLQIt0D8QQ/TANqJL3h my28/CBfXqu/idMu/rqlxk6Mf6SfSDcm1JEemXjxhR1V2Rb563L3fRWspQpIjgmxoYGEH7zBP/DS gPb1y1iD5yKO1frvvi8j9sWdr3I1yQCwob3o38R+7exmJncEIqSfJWMun23dCGYqPtXLC2V0s38U 8sBDIGQNzMa9zwQGpY5NGtUfKGdYU1EpZd8eDxWhL43kltbBoLWEVQWZwy3eepNbPkZCFtHwueAg x7ha3EAOLGQ9kAdUhbO1lSkdmogYFm6ZnhWcAwWADghdHFPkejwVXurEo9LA3Z9jrB9VfVey4GVX osdtDPFEQ5ZYqhD2Ylsb2HfeKuWepKRen+axknmSkztV8PZVKzT+hf/wz+3o70p1l8d4p30qSEvc hzBgfPj/jLl1J8omLrCHDeC3Rg+lX1922VXItBZs/Tvah3NpShctT90OEl3+653aSPrfpGqRkjMw zpxc0m6KytlhzV6OERiZMdT1LhcDKAzDn+TyMVBCXtIQyxMN6bD0nCSryNTHirnfpA5z2D7nLQZI ysA51mKAYLSFiLgGF22AZl17MwI8TIfdV6aFVIMyftaiJ0n8S4pgIFtsAQR+/X9VGlZZMlFgBkVx 4oS5f6I+jgA/xVkgEOy3kuOaDTJ9YO0MhizVkuCl+zelliOJ2C/qY8z4MHcPrzdqnUmXOUovsaXv 59bZzsm2VMR/z6Pl50bonB8oDQBszmn5pqS802GA4EwvZN5ErSLnN0xPuvFmjkRR5i4XFPMn5DjM GMXHYf+5gor0pNQuEj/2FhADO3Bm22y/osq269R6W8uxhhO55gTnDQvfS+y1HuHDlA2tG0KiZwde c8WNRCl+d7/vtcstmtYJSr4Aqu0BSE8cCjMXZdATSo8ZW79c+vsqiNg62VeAoQnX4gHFxRR9lFfL sFqWqnPg8dpav26TUg/nmFMEl7ndcu77z/OFgk+WKCUv3GEeqkGyiTnmlMo/nmT+asMZJutGKO5t 1UhG4scqwrrMAzGv7/Hw1Frp2DluhniVfmjIDE1KTF0BXkoIHVy8rA59gGB0x4aCHIHhNXlSPLz7 uNDoXkDlb1pPGrECwLrU0+UBulgNca7VVgVzp/jVIH6uvxYehX3O8SpMZ1aqUHgVKDwqNnO06edK MAQLJwSBU6LAxRdZMwAbeH6Sm8i9HASDTpiX/ju37STaXtE14m72SBP2oHwNYVd8KHQMtuemwaw/ 2weUqGB0wZjevQP3CI0tpndi3UN3FBIvkxrYYV1Dn6HKcw1lMvpBI03AY7RFpJleBE+Y/MNy2mWX BV/ZSdLw1wYZEAlDdTSjFTdMtN7WjtLvdKPfOxYhDf90zvYb3F2SrJ0evtoEjti3bw3SgpftO2xv fkoRoNY6rDaa/nKms8sb8iuN/cp0YsMT7qX2B49MrZGfg74miQ+MCHx/RL0Xfo2I36spkbyk/CyX H7/MESOEBG+F0xnpGbjryPJFQA0aICBTk5MHVc0vWeLf8dGSiNIDQqtd8lgQw1EaHXfEl+pyGCV3 iNSP46nM6jDJQHfX4Wk1fBlzH1HkFMVd3xd0dxp/+Syfv5fnmR7wgnPtIuWUC7cxhnCqYWTxG020 /B3gzVkMGm2zxlf4xfuO6IxpQvOr+9e510PAU4sUTaUBqeiO/qqa4HaS3L7bLkQJplVv4cT+D/wu r7ZyWMNDRICnaoZICCgW8mha7wdPNwFQMQDcvpCgIbdUTQJbz9Bc/5tOHJMaNKUSgS1KraRTDPEc 33SCLeQuQogwAQbIruXDjsGOP8c9aMc9KbPN+AwD4dR8H8iXwu1FnsjhvHfjereGO+MLx1x9/PfA IRMvBR9jQBOCwtXxTgYuKwSpHcmtGasiNr+foc/Mj+fYEpB1yAp0OkMxuGSPMGtPLcwVegrFwvem viQ7hyaW1ov2zHuGBd9dW7hH/LPmBd4iozbznkNkbms9vJBbRrbWuT5LwvUUwhaXHPcQNR4agAGy QJbKGyqQrrboWw6P60LgFFAaN9+tGDvfqhGfbBPN9ZIjLr3dEeZ7F6Aamxxw8jrKUDAUVPjnHE6t VXuajHE1Plhccfe30bOpSYFK9AtvAuZNDbRUMhHK2XHXCaOXsnKUf0BJVz721CwXVd5EaYHn717f 1eWaQWgb4kCQBwot0Lo1jjX4QXLwVuVC/IUmxQZYA5B9TgM7+oIOqdkGERrevm8II6KzqZt3XCPH rUae+GbQInXpjndyT/F4Q/ReW8bhCAyDAiuLHCFqFVHB2wAVd+EZT7L6dqkmM7zPGGMSzQKfYd2L Mk+vQw0MUZKMjK+W0myF9ALqV4du3skQtO3+LGfa1wo6QP2z9B6mhzmIo8CXRZQRo+QNsvmRg6hc 5pFlo7/1BmtuQZGVfoWrhLKjg1RuI4rSvYqKe7Clk+ndnhWg2V1o6dUwJqxlnHx1w5KFWeFAbht9 McfBMzUG1zzdfbrIaMrIfjsiN9VbQ0aNUpbAA9k3Qd0nzCj5GKCM/2Ju1Qun4cgyBNNqXh3j6U/k t23SQxFZUTOVHXuXfG67cvgs6cxzT/LzlJCLo6aRTmwPZbj8qjbfCwwrePSolCt6+s41TmhmM/Rr d0axwpSbt1prwAUABQfWfz/2KI6PVyzFJzplb/r5WjruTFJ/8Vdt55QEU3K6sW2WWVjVYPYmdOO+ L27fRq0QDpxCxjJKc+omUx7vU+4jlexMQFqic3if4JqnNQLrYYddESgPfwellWeb6BmMvWYOXXpJ JE8XcBwtjjMVZwpdy1KEyqO5A/w6r7REb6sWq1pp7ZZ4jKLKcEFTBy9MaAG4+2rY1UpD/oGxetqr MwlhsFC/T9qGNxJcPwiACSgLwJ169ZYhxEzradzBzbPeZrX/NnZv9HcuFR7NOW821i+P/DABwpys StZ03dNNEcXfQ+EODKijekJhAMAaDEcLxHmKiIbjSUS+RzMzmoT1sQnYQoOwlKqiXJkdahk4xTzh 2I1EPzJbC86xUcVMwUYKoTjhyRVMk/ipARA/W8/3QToeoKP7S65wD3Usx3PLBq9X6v8Rz3evfZHd 9GxO1mSDx9G0u5jw0tTRb2jFCjb611B1VyM6Tzm+SXuRKsmtHQWm6wc4qiJYR23F5jyP3b4NwY9e DZOOuwuOsr9VHTy5oahYcCWMH8r0Fjt+EOG61/tRTN5ielUho/InzpMLwkGFCezMN2TvM0TDd+nh qiK/NLbSxQDSGtW4eQ/YtJQMq31Ope+h809ORxgtOy4vhQlXSsl9S4ExAwNaEPW9vZ9a6hXproZ+ yh9SoCxRfDoztugLT4z1A803fDrk3se7jKFMIWP9gOD4iCYi464AbnlVYMQbQAZk1ewMJK7g0BL6 EPpdYfcQa9tL3Grnn+wnIAZbz+W+K9Y7FIIz+YlMoYHvMlw1myihzAOJQe3jxkONLQ7dH9b407do KHgQH17Lr3e3Ug/BXwwqqlLQNQXazMX9o9w54PShOgd2jOto+6GpI0Y742PNyc19FIdHeZy5pcQn XJYmYoJ/hYkelLdHG6xwDGHnwohiGVghVzfrzxqf1PWBNeRFcaacfZSaiE7miNDXI3QJ/7vQeo8y hKcPw4wuix+Il2brhIJ6jmPoPOKRzJ7+GBnClWX6m8ISp4DbUmqv2f3QltBYv9dAYGrvZd31qHqU mQ263zhJybCwcf4iDfARE8N/de00BWG9edJQv58PcqXlQOWPK0QYAG4z8pJm70aeQLeOW1+U36Af irUuFKyEE/kfncSQZNoslp5WjMW+KdDVl1684h3adZlU9sYVvWkZcmBtKZuNqm5bHuJC1lGVgLyI 1CdmMey5d5J33bPTrMyY4cLrhtbHHqVeXQk40ljmPgVLb3N/kfxTfeSfjNCK+BViD5wqIllVT0XV 6u942cTOaMbJH4Umt9zCgrYex1La7oBLisO1Wy5HMPz0l+lFUurrcATGNC2I8JrNmqHH4UwhU3yE wbv8JLV4PbXiRPXr79fs+qrWlfHElZotJyPpDJGBCUntW7eNWwBQaUH6x0SQlL73WRqAigB99gCX hv/eluO9MrxF8mvGl3i6gUV1rpOZBqD1YMI0nRW9eQtJz8ag/N7hniqLR+cXnw5BJAJ9o9GnMupK O9FblTo/8LQhiOMALUKkGZtevmP/hNCissHXOObO6AhnZAT3kiu8VNtS4DcE1+fvZXzx1mMoxBXb eRzG1i6Mgm87Inn9iHYeoqdfusCBjCTTIibLhBfrkLNnpA3d7MxtRUV4RE+SSIbvHfBEifp/nZSM qRhKKJ5WuU1lmkHvV/XZ/b4vS4KI8pqv/KkyeUITsoQC0rrDiid8UfiRvj46HL2+qwELSoQhtNTS CiW1pXsSdmtPGqP8wznORnsw6UnMKYd3ud4aXibrpLQ8/GVibVptL0YtfmR7QnsN5wYKlBQs0RjE SzuTOWnTijcg+khudptyp4KBn7lwntoKTzuvCtX+7uzEXqhi3dOBGga+C2AX9HYCU4Oc8T0L3R7z 2+dV8r3fqBw4o2JwsV6G363a3rZMr9JuKmHzil72EkhXTfu25d/T0Sj4jbg/G2GnPAVQXV7nJvr5 piRK4CyGjLYptlBGOpTnUQ6k2X12CE6jtbs+ZvwpjNgtIuclk2EZjMiHS6Se `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Uqfmhhe32U+grK02JBnFtloD9R75vrZwSRuZPqR1VnqBr7XmHZ9tkHJS1sJOLfG8Zd+796XYlEPr esn8WdZgAg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SQ2JFvkiP/6Sc73sJ0iKdnS6VCowgR1u3Z45BvJSh4oM6G9yLO60+MTHZ6334rXMreWy0IuTJVfx YsKGLRIp1v29jn1JqL5X4+K/1XG0/oDdQD8qut3QXA/Sr4fQEfjJUYYMqnTvQt0dKUWt9V0hhst/ 25yj0qy5VOBC8jSzi6s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WJ/I+yHH8FvqqFy1co+ttqZkj2uaQDeZQb5hC+1bA3SuWwRCgvFumZLJdKX2yfrxkBeND8BSdhek yG43nJnIKHgmtCpSTXIqDmD/8cG7eahI2BTK48q7plbNzSW3CZdXSn4RL3CL2JQCZKc+m/is7KXO DSgsBSMWyLrYMnpfcolh2Lm4vV9btRLcaBz+68qYXExE1DCa6DpgDDy4qv0YETqlkq93dQ0ha/Rt H8A3DG32kmMl7yK6s9PMAc3GlAp7xwDBU0GPyJOd19glVdCAAIBSPFIBIQ2HHLdJSYL1Xp7anroL tgXNTs8HJ8Zv9Uh6cWotDFfPYSYyjwyUnbn+hA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p63c4l9Cue9D6FDOAkQMAJUj+Hg/3ruXtR6nWx6J6ktFuaeu2QHd/dww6hkLZbBhIyG0LXCXtPD8 y15+0t2dgRkquT+Kn7umI+RIxYI0YGMDxmxNW2oeJQyHBYUIcGC3Imia84h8pjT/V7z56Kr5XfgL xs5UhHtArBz0OhWEXuc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KUDZm+F3LxExF2Qe9dGMQ9l+lzR7Z9eJz2jl5fXRWxdXkwrIZi+J51Qb9ZqMTVb0RUwoV1+zCLYs XMnqhBhAPnrzL0y+uggoz92NQ2tDaAWGNPBYI+Zh6/HWnieo7Pi5qDrVLLqFq2b3vNyr5775+Kop x/zQq7CngRYoihhAXk5plZioD3eP/bJQRN37016KTYtXod+OZUGLS99HPEEyQITYf0pvagARKeEs kVnvueeuq2ktx6eWYJFRI/hTLJV8QXZZoPUMdS/Zxc8Fi/9yTVI86xCXA+ESM4U3OBGxLhJQLoWl vjV+YjEDbxzMzWDuHdg7cXYygWrc6k76gB+Slg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block v0jjqkX5YBHW1GSd4SbkWQ75fs1avkUdjZMYzmET2csXr7wGkNxlY/CAvt0ToFua6xyeTlywtDI0 F8p5j3umE2mwUDDCONVj3Aeuv6FWn8r/IOnMMeRWfmadS9Rm/Sh3R4OEHAE7U57WwgiHIKPK4cvX BpZdESmiW05dh1IBrBYs3/Qsm3n4sVT7ie8qFDk5cxNK8o/DUzsuiyqJehFyt38RW8389MHQ/XCz uq/8uEm0jA1tq4czQ18sWdjsNpEqf2SqsuKqHYKcBKFhTMGKUYnefg5U1+CK3wYpyrhg5wUsaD96 iUFx1wQXEl84ik3qRzq6hv/TSgziiiyWZ19vA4vAB+YQgQkUDcny0txE2c8106EOeyJ+Z0h7mHoa 9XKL2mqYVUs5iJ6V3GGOL8PCaCpa1DIeuTH0JnXrn4XuefgI14jhqn4NZfSrV4YlqymfPvAXpuw3 QuAwWd+a7XUGTUzlLsIz4Tvj3T1WfGe6VJYYROPN3WCwYfwjniEU1gEcU5MpesQGLXE0fBue8ylE t7JkUHJedskRxH/St8X1oI/ZBC+DT7ADw0GwZHaHCe442kKbaJNZpLtziwqAFz5Fefqu3hBHI7Wz 87Xrd2cvgbHCNKx0PASmNO9mAIqYnDP7QVqB5DO5hH2JjYaxUHclkqPXRXoEygnN7oxzvNa2/ng7 GBpquLb267I2ixn4JWa1i8Vih2MTHL+Wk+8H5/1OhAnNS2+fb/ysVQg0mEDdoKTMEkUD6xq5K7Ji NZZ3H7bd6wzXUXduzMZNlnx6B9AIOGXl8ph32Gzjbs6nhhjxBU0b+JlSvYKj1WzieOjxr0iufPTp 40E3qWeaLpKdS7rA83IRg/qZM8b27zbCVmLZXNNk32uI4Ptq7xyxaLCkZFUxOhz/EmPJFRwXUQRT LRpZ9XyebGE9jB0CFVEwDsrlSW8D2FMrUxLUUmdMhDZzrnpQBTU9xXPQFy+h38cZqAC61GupCKaa MjUh+JlYuVSOgdPieHuparWbVLbGgeQK722szQUTZ8rg5wKABwn0UTX4HilsVf+ySvw8wTIhfRwG +0XFUhAtJky5m+HGevma9DBipvAQ/mlGsrTctkUC1OpMZX5/4Ws1nWyYiwsRUeLHnOtemZT3Fdbw DOzRJIio2wWDQd96ELO82p/t8mjzBMYTT2nE8ZCqqCDm/6yl1G55DYclUZsafGRUqFkqiIOWYD7r zWolrEhAUVcPrpe5I+ZUD8ullGpoI1mhOqxRPECrOasCCl81dhLu7KJbEEpMIPJ6kGaH2MFg4SZ/ V95+XdEKvCzKLvpuoqwM2Xd8LDTl8yAwHZBodRB7wHl2ivSntGDG7IrdbU07K0faFKEuHUq/UOMt mb3ws2ps/bnMH4EWSOloUqiGtzl4cP89/5DsOLnT859LBERkywyVBjIIBmxIpV8MBKCTJKpQCbuo th3K7zMZ7NEcM3iatxsx0yrYGsCjiDRzFtscWqw/V+6VEy+YMjXO+eeFuCEug/kaPRlvzmSp3G7K EQ/MZiU6nrmP8POzhF5icPhUeSn/z9GtEj/Jaqw4s0yx5KHHJZnAg4lC4LoTpvu+L+P4nYlD23oy Ylb46sgwdOFO5jsXKmFP+zaSKmMSNg2ztz0z12+R056qryP0LLeMubDj29+Kaqy/wAhfuPr1mUGu QapJ62DMxS6ofMYIEEBOl5oUh2rp2cih3XLY3yXoQ+84Y6e9mjPZVwO/ChIgHDOdsWIwy9h6FwX1 se7zLsIRvi0wZKs1u4CnMwXj/fBTk2dM55NlHmZJ0uit434cOTHmC4o0i5aJ3HzzNUcnZX184i6R Bx9PThOxzsURZMwrD44WWD2teEJIJ6XK73ny3lRM6RxU5/vjOa4AXaVp+a69VDjcry3NGGRTofO/ VXnw4F4L8fcvBHJ0Wnu5f2kEbmM3N7B0oH2+CTl9OoqEzs0ahfu6xGFkpsDMg8m91LTN9LS1gFPh pUr/Lqq5rR0nOPXCzZkGWdAZEPM1ltM183BaorMMYhsa6vB+/DMUxjTFcB1ExsvP6YrP5DmqqF9L VKES2ui5SzOWa4pfaLDHgBkuEW6mwTYDNqaev/+SzN107jKE2AZCNezq+Ol4jbVCWtN47t1fCEXs +riHZ6I0uqAPOR0GYQ+WSBhfu+BP64lWHDByjaLyeHTt+2q2wHm2dxInOUtmR6cGyzEZR/IjGPox forFsj7Z86ePnMziDfFDLvCLPooYUOapI9W9O9r4gltX/JXWU+f4cqIOBsCQDjqHx/NdksPIocMd iunQy2mKZzb4nCEXAcP7fZvAIIuE34IOWty9xYyxvzmUJ52BUWo0JTrdwYXFfcA7ik3w9GTn91vo QB1WXVmZEge2sklcFm4Ncym0O6j9jQWufjujJUGCeEcVzpi2aeq/BzXuySgc8b+vcyXli0mEm/x/ WtERMzY1S38TMn1j6E2IbR2bIguKIQu9xc3APp8AKpQtt7hoyqCw2cpFS+DdR+g0MRdiaFjbIur3 3YqwTHvtoAkz0ftwvtFqjX3ydO4hsgW8TmhjazxYbYB2jj2ehRbL9ZwWGWfSFZ/iMGL2lpysZGdm 5CSXVOgwXsCqZKS6VK5m4O85XZI0zx4NW0F2Tg53XIX/xF0O4uSzha/U8V9oJhq4jEv4qxs/KZcO MxafCE8A9NaPzX8MKnT12iQIopjMX805JKCk/0ZyYqUih0B6fVSikLGnt7AkDAdsUMhL5+HjJDyg 09wcj0wnM/zBTMlbXMx0UFa4Zq06c2nMkpedL2GEbMGmRb1/G+EZIZTgc12nVr3cHBXYA9NUanLy 3oyOHvhO8ckKTrmH9RblZIZkrc5G98droz6EgI1W7Hy3kZKETTGPwfqAibNpEZyTkMdxl4fTpXaa r50s0aIOO8evLlJ5IgXvct8RHe6qHfHEJzB0CxKwUWd0wIQM+ZyWwrT9zz7Zb+1PDCj61msnRsZl iXW0mTaCo3S7jhmL+7DYLpIir4ZtUiWvgIqcLfCf2mpppeyakKwpFpaKGHX9DCiBtlVBvkmfXMVG YcQcRPt5/6lfN7qzPlgW1bH6DaEUwADR6CY4CoDAZRjHaze4fLI4vmTYIfjsBbp7+7WWHhGFGeHh QplV3e/hmRdUUyNyMSLCEc2+hP00aBgxGOD/tY7YJ8L+MVBxDSbbxhmKhQm9dEwWC+xy3Mudp00U QCMJiQzgtN8b94wV3RVWzYY9RBmMaFTLp4evr92JRq6sTUFQOjyTfjJi/InGqrDJQTfF198I2YNe SXgYczkgebsAzjTnKO+tJinUYt++nS+D+2i9KONrKv00/S/qeKuLjqbISB2RyxmgK501mO8rggqT 15aX+wuC1aOoUYN0kmh4N7oInbLI3iZTBezFR2ojy4A/Y6PJi0YrZtGWtvwoG+B3Yg1roT5Pbleo bgrpFT3/vycTemdsG/SDkc6Ojr/YMkoN8Psgx9SLlvG+WWzSwrBfYkFwltFHRdKGngidP55QAtnW K7p+tlwc6Vmvmosmf0ngme27CMTozu7ue1AEO+woGCo7YUfhuonVL3AMgc2OnyvuOIlZf4/QfWqr 9PX81MLrWGJA1YMpjT2PsOorbXLPPo7PLtnwYOt4jn7RwJjbWPfyY7+Ln0Xuh2vSpOiUrd15t/WS M9NW2ziKyFrECRLa6Qie3IfQXj9QpvRzbJ064AAuFNyrQCl04k0zQcunVeQaXGar71s/Zxw5RVnL FM+1VMnrdSRDyqRV7K3rZakudbkpMIzL/rS9yQkcK8ISIDO7fFuID0J9fgvqliORZ7jq8kM23Qph wEfg+pyA5qqkf7DSR7wqx+b+Gnq84uywN4YG1iB3jN/Who7HYZqRW4K7+5k6thmMThUVR0blOyhs zPSZY7/o2UC5s312JfUt6TW3Pn04NNQTIpQCpoWq3+kuOjuS5ct2UMvrvI3Ze6fIroUo7RZNGJrc pKhZykA2XCv6A6qlfvkKCwN+I1p+tQdm1toOV6ksfVe29UBrWUshVsSpl3du0c8j1onB/XDYgNgh ovYnrSZRBatNljj2pQHjMxqEOz8EsS4a2xKSe3bQfqvGOKxqnssk+vcWcZLkuSWel1bphs82MwpH uRYiJ4Y/64XPHwDp2UpR0VIIGlRdEljuTdg5IU5CjAMJ4kCFbEQ83Kl6xxyxpmK0vMQuGEz6LFaP GfJFd8fRJHyG7j1wzT4b6oMeffKOUGCfwh7PehyTaasPL9KM9RoCDERr5pfKr4+GNW5vcfMXKC4H qqBVDU48iMJ/XbdNJAHVaDVrFlUv/bk50Ec0wJ1sf/2ITb5Vqm+Rej0+SMkTDJsooh0AlNCY9e+6 8DSuQxUyQ81DbtvqREp6AnFJxBW651zShsDphaHQhWu24I1iKoDYVJ501uz+vbWNxo9CIl24kPk/ KbrAsKkir1AJvuGegZ6rkTS9Ey/CXM6C5o7x5RkrQfKOoMdWQl6tSfIk94sFacE3GGE2V5MinzAf xQLwzAnD7CJEWlNC+THQF1jJYkMdzl4hpZWSnFf+rqCtJhMsghTvgCBDxIFYQO8OzWtt9G7/kBQ7 QpCkbRsEkz/+oKRZjLf+I79PFckws4XOSARtv8ZxWc3ssis2uI3Wyucg6epjVeCGU46eJcBrVMKa oSO0m/TQyTyK11vvA6L0CPS6H9vcJCrwCQOIPExG1Cp3GbgHGppE8LZPk2hANCZmjCJLrU59/4lO 66MASBfoa+bqHT8KZC/Rr+S0CGU6w75e5KWbEjHRXjOVYU3ZIu9NM+6q5gb7zHt3sP+XmBJYVgBt Aqc+8sVX+0KQheLVSQyB+uHrUYlGMGwXWtr7Ukr3Hc92Xalrg3DJPfsCat/KM+09+VYxnzwuDlZJ ga10moUiJZCxgfMY0RhR9Wwinget2feUWk1MSODMFbY59mTL2xBb4b0WQFWIByHd/HlBIRKhg9Zl 2CaOEt+Kr1wsk4c/YhkgKZQwzvndvqNQixBhnSb/o3De+f6MxOH5ZnZqcyRd3NcrNa1Zsdd7rhpB x/nuTL+aPpzcxVIke9WMoqFhMgTnNbrSip+tRAjMK5j01XtQdEQtBBW7xLJ0Qb0qTPpUKZSae2yZ kIaLZIzx6KRV+CQlgyqbBZFvtglgFgBx4uoS983zdApYN/3y3eV4IuLo/ASrSl3bVfpuX8fNAN0c D+/IttJhXT5cGoObuzH/lme+1U0AUbC8vu5fhkih6H0xrFrG3DXPa9Rw+xvzt00zDde5dAabRHOF c7/iBgeTNhQ9aj4dsSzAvqqyZZC5/W+mUYCgpaAishpUzRtkfLXO8Zj8zad4oNUNU4ALGbzZpUaL 5LMoXCcknvRYFQOuxs5X/2+tzNe9RopDClURf5c441dy/elVyapyj0n5TpGJ++Pyl+WQN8jHoDZI tceXcBXAyRIabjnpqnAbSgOxs/pmLVxkTgf9EmlINEAJUHzXIS1s7FpPc/LP3mFgqn17RYCE2aZ4 mdUM4iBPgh85vjEKhUfWxEm6LJ55SlgmnW7RXPdX3eWUObVbzkR4g80OU2Sg3DF+xYrXTFvOvN0P HDUbJICtN3U5k6raJNVhM8s0588W0MjlbAW/KyDWnC1L+OgigrTOEMKA8K20ZugpfBX7bB3F8Zkl hqr/BWLoeuy6PiZbi5l2IGiYWfEs3R1QrGakB6+/nOzGzIMhBDuIzoRaq6S10B1bzziRi+ApFdo6 wflOGFoGRhalvRTAYUDThiZWnWwbAb0H9KKVsF9aU2yQzuCbQuyrNgQnFFHpLgHSh01KPTvx3tr0 XJrX8X1dNHfpMFv8blY08FOj+AKsWrMkBywmyCGgKpKsDK/66gpxgfvOvzkxu7+kMkO0MouRRYlE lJw+i20UvjGqTk/tUIcTuI8cNgAy5nsWAztbGV46vRwx82L/tb3jG0jCyGXAAwxl9XJq1vtPzlMg kaT+y7foB+kHcj+l644ex+jIEOfJtXtzrml4Mmd7B+l5lEHn4W/ILuS1+2nsk9O4zxeItXBPeJGn 7cXwby0T/ZFbZl7oAugeam3LRzP8N9KwmmEMkVsux2vSAj6glRRMfuL7tefUoryHfRC4ph34IFm/ yvdmMUt6kBoSdt8JvziIbc8AktqhnhuSaIyowL2bp3Ebnur9EDbpdTlnCV28uLPFyVTkS8MTiaJk N/Q6bT2Nsx4PdrIULKaDOimoLiccpdBmrLbioWhYhyB0A0prIGd24k6L02ItmezTMIu/OkSpiSiQ 28Dkkr5kxHFQ7WEOLLi3GK2J2oh18hJ7LGwE5HpljVTFvPHI+APqxla/YhHSsyLwO+FvYlxWyjWU IaWeatFGN1+v59DsXlCAp49xV68cDmSkWNmYbvmrokB8dxCZKONiIV0Ia2oq8oWca6cCNKJpGTK6 rQgDY1LxF9GvQihJ96dBjIBI9V7Iv8XABJCvrpPU4h1a5PRyJYWpfrNRPm14eJGfZ2mPEbYEl1kr ozi0TCd1Ko6XPh7z2McPykTARK5/Zr0PV/UcuNoGcjw4TOBxnBKKlk3XHCStHtCjBHVjAWuAHaR4 qngI3LucbdAvn3x/nDWAvE9/iClYg9s9m9FXlHnD6HIFPmTbXQnrCRpFJTvHDJHok26ChfKde/8P MPupIE2BNq6IWXn10VTK2wS5TNhbhVwZ+jUbfHMxUc7lk1589ij8pcvjZTBxFqA+63dXcZs78Hpj 7yhJxYTCSbizZ8pdox/TRhp3o/yfhnCHizmq8f/qT8HXikg+vkSy8Mj6qAzcMAKRQOgvYgp0EsZl HbDoD6T/qYTEXVVKTJrRxfViGNteVLAKYD8l6QKu+m1r8DaHKrTKH1zJRF1+br+cLE2Kgp4uPBXD RbVpjCkyUHymdjuQsmxFVzgUAZdeYCBYWTHl8s9c0zvSI14GUbuZQd1vip2E5FNVh2hDxt1oBr00 qJiRCMIa3JBuGvTpDmaX9J1LRKriyXabFBY/rjLuap4igYv6FVGBA2qkTeaWHAqly7810G78HKAF BHNGgqa3xHaW6ZMxKqjNm3UBIwnOOjMJxF9YYIWdbjvU8ZKhB4BIU7BYOkcjdT8UokOSVO1jG2IA y8zT+TN7yToZ5J034wYB3DLQZX4FVfFlNszAT73l1iC2y0rd+XXJrlgiWgnrCz059XZsiKgBrJyv RHzYvCn9COKFBaupsO4y4iXU2x4+yDJRyb/5TqlMNHfqYLcsqba4TckGihwZs+VeQnXFvyjLBJsu Nj+WUjYKFkXa5pmIF5iwaSpoIM8ipfAEBws0LyWk2lzNK/QR/vVXkGlI2IA1khxK/HG+Qon+buBZ nFyvTNYwArz17HpjrYeVHzjBMLauT7OrkqtrbJ3LPQLSZCbTe9niUiH2ylsF0AR4lzGcqbaYkQfu ewTd8KEsC8TEnnTRj/An61TPfFLHMidAnkN++C5VVmBjNZH7+gd5cQC9vlzQhgQ4sSWwiioGsLrK b8KSmIGINJLBn850qFzEDKvD8e7Ngqxd1MsLLXZi+mHNCf8SPbsMD8w7EjMcjj0F3Lh2tj3oxAPC CLJoVdsmyUTTjpvTIHUPpxLU9BDsaU7kXV7pCyqyMDvNg5Pcj5MwsCmyf81A1TGU6Mc9pMymdoVj Bu/zqgDE+R/fcdg7NDFg72xKMIWEPjWsEHg14lZJlpVdamsRsLfcTNG3qmnb4T5xsjoIYNSC811i I9/4298hGxaV6HI8e9Re1k+cjmFCLoHQk0EmWss8ijyorDGCVoAWVmF73ojq9GST7pMlz8P5K8T6 QX5gT2xL4ySiGkeK55IdE36lTmocT5TDu39w0jr5hPWe4EBo+tQ+OjSKInCMv8gXxUi/hk4zrbip ayS0+Fj3nmv/CIKDjDwYLzia5msXAHrtg6UksG4vyJrnurh/GoqHSHd9/fzZIZCi72n3U14nL4EE LB7jOge048gslmsP7KWqXvPpR1kqKKC0y7xwn5fiGYNWa7fVtLypzpb1BqjvO5zC6IherFatQ6Jr gPMs8MTeLeTObkvSqg22DlCNSJOJfmwmL417v11hbbdn16MQUMozjJgBpks0J8EpB1IUVhOi1MFg frZhxx/xmiMyfGe1L6CoPF+3Ka3XJHoUv5dGVWk/cMun60Ip+mPukF3gW9FfK84phu4azRWo5EDY SfJWvgZ7v9W3HzZjZ1RX4O88bItGuvRGETKL1rT6Y3rjtqePKgedpbezSFdftIBl0OCZ2o/gm6wE dcNvAWoGASL0c3Vq2UvrWDeMnVXa+XXQ21e13nXuf7MPvZ9kGhBb52IMY2JbpE1/IEbXC02O4ijJ zlQIdxO46w6LO1Hi8a8CRVow6xE8hUOwkerJXc5eZfWs9NqAdluMr/dUu0PO7Mhsc23+8h7IQCWw lQ1GXsauQXSJgbJVSlRy9uWCZpzsH0yb7r+vMZelgkIZ1jEkOkRkNj967rhMFD8yrgGjP1NmcvbM 6kAH9wG5rYHPkIWWy47Q7UmxWTNXubMU8kntTpl6a/juZYO6PLoTztgLLa+R5K490GqeI/EpBszH saY1+3qYnn/j7vapOwdMZKgk4X78NRaCIqAZoZWS/Ruq9JdkItaUCZTO6oMGrWreKfy+gugKNn91 CS6qeO0soXim6nKgtUHECsjsjjOjtBpn30CW9rLBsJfz4YtW5BKpuT5OZZ1sMBOccDQcag1Er6XR UjShiTZRKponwgVO46yoldd0ZumB1aO9YwvQ6t3piEPSwX7M4dWGMrVlnt+38bBI2XadbUuDNXQX YsAYg1o1jJwNJdcZidw2J4DgWdYugQcFmmQ/AzP3q75yVuwO0uEC4oyRIvBHYHtKa5zDobZpVggb IeDSfea+LYAKYw0u8k9fGUX7P3YUwe7fpZnpzz9xc8DKWT6gnnMFY61G7Aus0/jMz5VlgUDNtG4y KHjbaFBMwg1ybUmdBJUm9eDKuoW4vslRsx3Ofr2po10RyP93rLXPZMnm6+UJs9kGTNk5TnjPrkJw mXRsGj8tKMIjVolbRege/W6Vu//zgSD58rsw23W9cA3WlY18CIomY1RZTLgiGXWWrOl2PB3Csm7v ie5PGph533jgUR34PEmmsiJQjtnJ61VfYt8VN/kQ3IzOUn40YOxD/tbVu4C1QS+bjuk1L3i78kJy 659I7MsA2QM6AY+Vd0B4UB9gdWxdtS614PMQN8qwC1+l1by3kcDYFtbZoPGtOmLVjQH7sjEOD3MI 9R5cUovdH9eVJnQEspljsT273GIjGFAWG2BLBl3N8YGxP0S2b8Gcsz1UZHU2klbW12Dc4qkSHESu GnhasQ2UVoUbdwsHBd0I31Br23exRiNc9GnBoE2G8FHoeiXjqCxRJtWg/tFK2VZbLGVMvK5H8p1+ HqI02+vkUatZW3K2QsE2GOtAy6cbrIWRiyFuaNlMk++H0xxKQ1i21n+jvoZZc2Uk+ZSCV+ppH1j/ +GzZ+39A7Wtcj5H3TTTeX86uNhCLgJK5FtaAkEkwNP983oJQuH09enJZqldp1G5dOl5ygxLtjLV5 FRTRPZNpfErJbXptNlBHbSM9rJvLuhHNRtIk8zAGfzm4r/pA/+twKmwUOmTrtVFjZjtDYqexwIpC TMJM9s9k/Rap5m+cNKE4TjzWTcJawS7a6RFd8yfsbyWTeQXU43cxwASOz3+W7Q221ltQrotQFXOs pjrma3h25xZRGK/NnIGvC9JhhzVleGvhwPQSJ9Ic5Oy91QiYkKgolaBBF7nUClRAD+/b1Ak5prg2 78rsN+uOKRXxyjDG7c9FbXpLFbWxPL11BVmkZHbnk5ZxwfrgH+Lq8K9aqtbQ//o6RtKJ5Xoe7xGr +IxEJHSLy/QwnRYf9o89z+oXjIAxSb8khWqTvmjaARl28u1YtvaDFaQcQM0Szf76QOavILGunWFP cG5zhUCYzCJxnlJI/flLPl3Yh6WCmRTIAKLK5JOA7CfQ2HIBIn2a4iZ4veyU/nexGaI36fqtKVt/ YA1szf34JyuuTo0MBhd6iuXzipzs44AaZfV+9GZDS/+S64Zau8PXaKjKN8BJq09/fB8rqU/y2Mz9 jDkrnT1LlcvFFFT3cWfT+zzurCceVapqqzoPVnfvN9/CKZvYM4xNrTTSBq4C2Cbsu6eet1VNI1LV Ou+b1ZAFXiGUPMuzl+hqFkCvuWsIoRRtbUUO6wRvP3H3DxFW+zRX0L3fuHdGvbIJofeKhKQuYvCH QpWCAJIoulk1TA91A9tI2ZmM+f5xpqw40CC/sdbVKh6apR/5fLmTVZn1OflATz6UtELJF9NN6+jh H76klqLStwTGyZRckvpEup+8Mm+hCofQPfzE8JjxdLoNY9FsZC1Nt9McJRPUweu4ODpIWn/w1wVj vkrVKkJLbQsAI6qXpEZDdh/FScwl84XEu2RFm+WCHm2MjumUEwctCYAbdOqogITlJbGX/HJRrQJ5 SaZQ5pbkKVHmw8lxJrNy9+1ytUGXb/k1wAqHF/ZfrSbSXh2hmDjrY+BJ5W02Vehh/0otHuIv2jD1 bTJ+VCRu7+lDnmf6LKcPnpY/hvd2Wny520nCAvgKdwQ8smtM7yfTSbQ3UFiqlaD/zILAXAQYBxZl Ll1dnlrA7lvTCz4bvNSvi/7CMeEWUHf90ZS8JBS6Sn2oMKakjDijosfOI30G8OpP9F5KKA1wAM0O 2UX2g29TaP+vcrsMUbgEBFWawsVP+d+rAXLEqMJIqlZLB+uwOWNHD0j3ZggdMiL9wTltRhcHzaIB qEJ072sg8yjYeQAaebRJ9PPj6XDJW3mkucpA633JaV557ucMihEZHnSbF+LnxuwZrlo5WllbfGgr WS16u4Mb52vJwzxXvqVRgLJ58qt7hmBzW0xc9kxTFOrT+TDiiNYTNXJEzmLI0nPgvAnVbLs68I95 s71didG61G2iNHvtmTthzXY3ej+mXM6U3631c06pfpPAoORKuu3Nqx9ddQvO/6Gczo/WburBNGP5 zgMPtQFaqvnov8LYbfLzjyh8JJ0g/ZelgYxM+S5B8NhxSk0eJc+RZ1jI6n5ihW3nf+fQ9/hgQ94y 9456Wbh1/qnCCj2drvjvNz/mN5fBvzuB4M1f/3b4+8SFEzgBiGLd6LP+rrezO7Wl/TfV37gCdwTY 7JNNuzfZKU/aZ7Nfjp7exUcuIAgautOpWxy6qgtJzVtdYIQ3lLWPe0YQnEvbAPEtGW/Rkc8eWmbX s/R/mt7BFNb/PGUjq2c6C9jDuhrvFeKa6Amd/Xf4clYp6a7NT/W8HSBGBCtRmdAmi6IPdWm8cIjz SNAFi1V5klt/AdkeTmjet7C2VUJb/Y+eP0rclLVgdBPLduiP6Egcu7PN5+yu6NNr+/0HgPE19TCm 6NW1HnLad3cR9w98djdN7/4nEzewssFlQ15iBGMQDKD83+I4Cntb0gMvmstA/Ov3/iXf4cOI/FtN n4D+lfyZXUp0kd+kHAR53eM82yXKZ4Y3K7UOTvepHO+54YzAaFJJ56u3+yE8cD1cVMHpnHogdkiX Z2ZrS1ttmlrZTEi1AWN+Exa3R4S1ti9nxllG0dEWB733dZnCcAp6r6ldDJwv41e0NE2WKjOKWBiY zWT7ntZNnJZPpOCqyfs06hDgRqCUDjwZvd+R4c0sXPDqSsqDBjV+22rKit/pmiEYaiC2adZQjdOr qBv1FYABwmA5L3hbXLyHpoqnVIR6LqJpvepzlzoSjgdLM+wWBU+RcK2lBVPKwVYISyIwPmlvpwe7 2YywefzEjybe5HI37S/slQj/acuMWz7nHoeRx15WemmX7t3wWj9bcIR9WLCSnNA0zhM2DCJHLKIM ZXedJyynaDz2E+w0uwqVmgTiyqwrBzPz33csqbBv+0CconbR7mszPh1sHFPaoKPxLtyaIux+pznB PRg7OMuX/bBlhlplPIXabGUnWNFs4QQ1IuiGSRR3ULHtpEl3Wu0S9gpQDuDEU0cZ5bf0E6zuU6Ud uStHDz4IIQiepaIV/kPfqlFJ1j00pc+/kEVOMxXazRKCMp8kOwbcMGlFig4opoYtdqD3t206dxb6 +BAJkunK4n99HQvWFr6OjXn1QfJnpb6yAfS3wVfW0DvrRDtnOsEQy9TZhQ11bulEWabl87u0KlsP h0n6p87Fzi1HGhSTQ73J1dXDhWyyD1nKSarXecPKRzUfSWHq5pUz/nqJxfgZHudXo8mgb8jlarZo cV2BNT5uFreguCMpSaMjdaxdld/UZ7tSCi1PyIAG1Cvh4Tv7c//+azi69BIb2gl/AjTht1lC5hXQ QjQh4r+C0Hy3k544tbA+IOAXagXOxhYzwyw0Hduq28beWwK5z+w/PPJ0ATNjjsVoafHZhWMjghmO F94kBDWdpJ4j+RtPQfMJPlLiNVqPjzi6VQ2l6iN8QwLY6MAIhk9wi4su2V4C5hqwBvO2SpggvqQ6 efjol97NLW+25UKeOfV65vFFNWVak1CoUGe6Bxl6kDiVEHh3bDCeawmSXUBfErERwq7cic3CK2jQ yV2YQG3SMXaZJodOmT6ShCMJLSHAW0zVxoArBlayjmSQlSptBQcVJOlcJfFudNu7z/pPE4QG5vz/ AsdFK9nSDfXX5kTPxvkUF2pGH1xngIWqqb2pKfZyg3d8y1Pg/i3c4O2vUbKRMxk5j220b2QMilKk EtWmQFs16PLli56G63E+ktc+8XJYDAdA9heuAsTSHWvNcqDZyv+Lc/kSx2UoQh9d4WvEIsywM/RS ginPfcC23sNPjLxMSzTZWtLVSSDPMgXx0W7SV8ZqFR4YqL8jcDUcy2uiNFUkkds+/67rDcjlzsSb tBzE/MllYBukRNEEuRwPWPl7ZsLZ9fOMlbCDInsUjBcp7eWVXBYdn0F1/1Yo51OdZej2KpGhYjTL dt9WIZ8GfOed4dtgaevdP++vVKj/aTlyBiZjhFMZqcRsEO3uT+5oOqXR3uzuIT3aednRzTvQAy3h CJPNdCKyeccln2yvLBD31KwTU4DOqPNYbrBQ3gbiNPczn1yKVJ45Z2/9+q4UEel5oOr3W9cNuB5U O+t5Ki9CajzEW5YneHoQAXu8RRl2dJQ3L7/zjuDyQaPnJ9Lg5Wa55bkDu9SWvAwpqEfehFjSHBql nfqq4oOxDFvHhf9rK733p486cTgBRxwk3u0Bncj/eD5hfnHm8LKIkUcr6eoRiOoy90JB48fcrZ8M BdkW54qdM8Xm7zfiPfNic7905uWGagYBiL/wEq2MCnAC1AwI9YV52RFa7SULAcaQ/T+f9X9cnwAA qGRNkFetGpQosY1ikhYi4SFo2fp+MhWx6eycZYStgr0s/Dw8JtpRnU28xQMNUSuMQs8c+ijNgpFh OgBKLR+FZR1NFRdz0WtdtJm9icgLZZG0IZdaWrNeki6kGesJ7x6CJ/WgNQG3viI7K1Lq1Sy9GZ8G rcNL8MfDfRAI4gCfPz0LZuu9zmA8XVYGEjDaSv1VVrkRKGJhxunp8DiIOXDhkekpYyThqdU/Uh7S OElkFi5G4alTP0oTWKXIIfEH0itCaqL5dYj7OEZIwQYvkUp9QiiBQw19oe0wVyfI09Z6jIP6ZzDs vRxoxIBM4g1WE/rmW+MslrrGHa5JZ8oJCQfSGKtlCpaBnX95Ii+eUoHKxqDbBA4S79F17PXt2zkH RJ0yci9n7MwLn0iG51tx8IdGOxMzmEdSYkbLpBK6Zr2frdM8eKlBxe5kbhCBSOHEisT0tl5znVLP mpAKQahoYXDglW6pTBo+9Gpab3MoBVepi6Q3KWmHFmVVzNyItwuWF1WhL3UPp3pgEiz6aH6kPhxv +a+JsreKyWziewL5Yic/9uLlqugwYhLaTPv+IQP7ysfmGEuS5D4Mvwb8fuwumsXYqvC3gkjeAqBz YhLYJyXN+5YHXK7XgHmS8NSDrjpCFsyN0xb6sH2h/8fY5gzpknInMQTQnuTTYBb946mEPVamycAH WtZ9oUXuI5XqqB/y2GU7kazOhFchEosNzL/c4hVqfzsTRNphoWi594TZ42LYI2ey+ret+FndjNsR Ogcp48dBYKU3vncV8CwApqE9xXcsRGKKJMHLsmkjnxc+lAiL4zEdR0x6PPsv3tujmgclUtBU+gHJ t9erAl8YN6Zp6GcXCYcDBrpPOV9rn1TpenkPo2qfm2/0LwMS8k52Flp6GAELCEv6px8uzD7CAYK1 NdgMgeJjRKsxG4kbFPOoXp1en6OIJuY03kNsCIE7Jp45B0n5XuT8UeXCQpjY1nrhMWb5yANjJ9f3 tXGeh29oRWPinytkNAc6URm2X6fzEADu7NsKu9ZWjN2T6p31oW8EeAicLnXSf841qENZkjorQAWT umq00NKSC3wgYSdNIaKzV4OqfE4k3fiuNLCNt5LVuO9xAt+3zVueRYrvatFhsx2BLURDp/l9JcEW 3MVPbptD2YSaqk054pSyTj8HeB2kaT8seUBEVtx3aM3eOQKutikEYvvunoWQ7AmZW+PAPheasXIf svmjZvqrOzY8OtMjzTjdetgOmr95v575uW5YneH81QaBV36J008xpnFICZK0Hv5WiCxHkjpy6dL9 7PsZzmE/fLnFMvzQ9EpZ7F5/+u15dkHSvIxv/D3XXqzV2uqKyPD25sVcvf4fSroyjlO2YNz//x37 l1sZFj1QnYZGDUVTVR4g/m8cb08isXK3bgclkTmul5V5sDV/BO5qBfau9yZRFWh0TS0BGv5um4mR 67+yf2qAVnLYdQ3Xtam60JBYgi9T+eSUsW8VX7RegkzdnEC4rZ/eh/NCm0JhagqRoVBOflUBpy1m MsOZTviL0eaWTGytxJv6JdlyVUPKcqmnRqe7J9FyfGm9Jh9qodyH9puRY0px0YrouKZVWyJkNFLh k/6fvsf2DAso+c89xEZT9207KfkSzzQ1QmnJrXYGtIZ7iD+qRoJNd/YmtMnOhufEaBbzvJCk/0re o1+Rx5LuRvtgCIFVrWqv8IboAjgGolKPTw160JMaSaxoKK21CPLuN6XEf8KaYv7t+uzI754JaA75 IDSyNF2NpidIsQjhHVa1gRQn/buJoISKuPHbTxS3HYQ4SGn2+Uu2bEWvn2Jg9V9x0fkh3XCmHU7H Ve2eRxuILE5bU29WbK7vFOxx+zSB131izl5Ar6H6b/D+xSj7/DhjthaJh2+5lstt21gM0kHa94LW 9HaOT8fJBAVH/nhQ20pn1ZUGZ1Z8GOIeVmhA7VgQYBqWzzpu1GmGuqJAVROnspt2h/eJHygerbel l7XjCfZFZUBbowOx2DA3ADzqAQ/ToMgfp72KVxCcJicS+z0KKOfwmQkNnrBA2AaohfFmw3lY/Xwt 7vETA5pqxrlFvZdk2zcjnT0cPNf1JKKenHc7G4oS65e2ZouJt75pHDbjR6SN0HC5R4FlZjlIcTqX xZcac1iwBlEOP4e0IF4ZM5OT2FpAP8btlnpmd6WO3Q3Q7Ggywlh8p6YFcE7S2NM3mHLkAccWBxgQ Q/uRGSJ2SuecOiNBq6UWx92662C/Ao/Mm88HkPhexbzqwUXWzxBBZ4nvAman+UF1JbqgnqCfvCNC zPmC3SacgQ6OeyAxGrv6ltAxyZ+MYYjulqWYcs7l0NDwFZ+KMnndBwOpReXQpA66cxFGZLeF8laK fRCJNcYkkZsIEJA3hyovPfRVY2FO5nhZvIhy87yDu4ECpcE9dt6L/AKPxDi3eiXnXzjcXNnofmjD mEIC03oHuz4uQyKE4JOkJz/lq6nhbj6N0l4vz/5W3DKAXSkEPI9qVLlCAzoap/AFHEnNj/M+FKsW OPtyCaimpu1mu+PM8plVv5MQh+fgxZYyHAqFzUh6Vx3RmDR5vmySsyixrpT48/bqrtbSBMsFBeG9 gb4xm9yB2tfByAU7MMEwfTrTXrsPRg8trXSabG1Mx7qzgLYeEksrovW1eF8QOopk5tGLa89aVZpP BexwLVRMhY7xdE7NpIQtwtHmE8nJaYku/g5z/Mz8SaHbbGpuQf+Yahvbf99bAjXL5EyckX4sCDgv Xi0EhmE0wrnJ3z5GAdSA/4j2ti4sIoufSxLDNEiwiFl6UJIdXu5U/GMrmJdAGbTBJqze7eUA/Cem 55bHuFwTnLzdydJqWO/oXeSPQZLpNGeKflGj9w38R8gdOnqhsY0syQIsXSovLfOjzR0Qonw33Z9u mL0GxuWYQXbceVyedX6EuGxmq2GJYWRvIDB86IPd6ePE9l43AeY8SiMSmncxt6F0qLsTcxV8N6i/ nBvl9Q5VAqSUMaaK5FkGRd9Ew+4ePyrEaNkwIa4BkvgGslFPan+g5IZGFQcF4fQMBS3TL0JH6H2L 3Ga54jeGfgHAllIJsMx/hsV5AUsQdus0k4kurwEU/kc266ZaUt+35kgNbol2NqRiI/NDhUeUMC0r yi8SqtCrSNpEzFIFlW/9FFbdF8sZuiDte4Q0hlqlKsWoi9zdwDX6KxGBOCuOha5Kks/5NwZnB3s1 3dKEoGZEbW9Jq9z4CXW3fwchOeIIWyzWBo/3TtzezDvNflMhaFR+dfN6Nu/a5p3CJEhFdhtcEcUd CIt0ZnwNormgoekfhZzmNWwHe4srrzXKallaqoUedOWC9u3tBCeCx8loP5PW7so1cGQh0biidAD4 /Nuw7SXkriLOrD1wwJ838QUnBDQMotqGUbJvdXKknK1V2+rmBMGEBGw4HVKU+vTAtul/SkC0gug1 8VssROFlmZOZnCQ7xUBf8zQNxHAMqJP7p4X9o1BlnTPQXCvHNUxKpfP+tf4559UvWL0npdGJFIcy lAnowB01rlbwXW8PjA5qDFCLwKY/kwOgxtzTLQcuxx0RTn+zcHlBUllw/J84EDvR7tkqLDBE4jhr oLnjMzZwkF68WaLCSy8GkT7wkw6YJSSBn75Xf4QjXHE5RJOQ1hjdWcF1rkeqgXXGavV+vDCzbsBy D9j5CtFWcFPCMHV9nQVeRYBssqQOc5igxWQw6ykDavbkdxmwDvP23/y0+LWicrOowcLh4y681XIn gTb6s7Ekg2yA4Y3X51zbRCq1vcmsq4sJDARsX1Mtj+Xzli2hMofuq5/ZDcehyKvYRTO1fw1w2FKe zCYH0DG6ZGSW9geiBUnluVahqDVEmuc6m+EDfbKUqrNqlK26OG8DgZqRMfFQX28rmF0GvdiNh1dD 1b0zcPkkRWccmF58sAUqaNnF2JwI8ZtPGVdkcFBlKamp51E/Kb1b4vxJkqg8tQCxYYj8+mT4/Sd5 dZ7C2zNUuQUj9NC3EerL6RwGY10+nhZmLDaL8KBwMobNAEKuqjl4TCZUIAuUdrhvcZa5V0r/lJAa NwojAiJafUZWVaIVEzShA8gL5QDNxrD5MV4XgUe2y7WlKx6UxJdXXTI3mvDXvpU2ZPU2NX3JGRdE CFOvHGQ5yxbkmbDD4/hIuEFITt5v3AGnC3/ZtIb+gBObg5b7JWXKMV/HJNS8ivKrnFrzNxFZhxkf aLpx3sbPNnZpiFpXwhdEH+6qseovG13LyfUmMzRtnx04ZtBaCZYIQwzgTJDDuJhICA4Zix97ljNc 85mRAFwcojrqG6p4xvaT+8tavFna6HF80T7Xi9z0Zug4O2+QjLz9cxF/eSwV8uXTERKqgZgqC4VN hJCvW+4FCFrh3NqRC9g2wdA4M0e5EUjRoDgvFse+bh4KH15bloVwzebQPShH61HaTxwLpSFbaS/g LDKmtZoE/RRzNUiX6/esaBrSbeoZGt4YSROQ9SW+pVssTU/Kl07hVR8W9m4FV3g7n46W5My+0z7t w56nTHknb4kMg6TNZqTCDfkw9xxO406k/R7hfBgUbFrBnLWS//Sw1q5D3ZBq7o0rHYMnzWzgmRgo fOfyCGhZBHrS48KG12sMDUPL6/nYY8kRohLd3+A/PjnMv8UU4iYMWjuQazp9en+i0JwnyE+1lzKP QH7w2pxtwxbdwmZ41eqkxAPPH98oBMC1sftdcaYQjyHuuYy5vIpmjnLwE+607x+Ggxyhn30OrvQG c2ClyRja/K5xi5bwfjcPbYg2EY/G4z7jb8PdBgIhAMLIang/7srjyOeagLY4O/OD5uKrSHiQuHfb VCa3vmGuHMJHA/+hHZeEYcYbacQnlaxGx3vRl6QUOrNLtJCxa2ODUefQVgTxAdhUVBQ/vrkkBot6 DpZ6WtNjdeNEPfjLpf2KHehRoe+fYIw3KJzrfJSRQpA2zp332wI4sy+X50X3c+luE55AAF0jx3gA 6C1xDYXh28Lk/AHzcj+WLKHNz1vmonr2pfKkWLv2D5txXtRDTEO8sB+xuMRnCr8K4Fd91zWpJBz3 Ytrs0UylEK/ZEH+Xcx6RyMulpUNnjfoPBOzkcbuzqb7AEr1NPmnlZ9lsq2OazHLvPBPa1hjdOpbW WbAzNVpITYE0zn7gbj92IQmaSZ9t574j1o0IVFfoD8w3nYXLrA2qvUQob3EV2NGGIru8xGTe272Y UcodFRTEQDHu4Q2CcZcE2WjcqFEmVswwAXDoiG2cBaNBND45BXz89rI0a8Tv3+SGQt5JzdlmVcQi vCrserlABtDFxmpVsUzcCcH5k6euijtODvfDb5BhrFqb/lkJ5MevTrnxnUydPWr2tKo7phs94ovI grzG7anaTSu0I8unjixuIxEaIFLHfOj3xLkJKov5TNzWRtdCT/5rFQiCbQuVUh2Rqfx4gVevSqv6 aoEPjpS8JxV4jUksdCb1AoQAvtFdlubS6bSORgMX9D7tkGjI/7nosnu6a3rEx/lqgtcTc6/AwKza y8ciNIsebxe+Qgu7Ywf6hQ9FIsZ6hF2JrqROfukov6GBgxgXLrRU8IH0YQ1enLjy+wdWIDHwWPl8 x2fmV7vUzQyBnfrI01m2u5pIA8CpTliscRxdqs9oZyonzOZuwGVqhXL6Q3Ke1rKvOwZtx+8vbVFG 7oTrpVuRneX1iy0acjrGpomlBb2bIOIPAh1kOBEEfpHZ/7wBIPhxwgDnDDRIlRjR67pU12DS/CSj ST7YKccZ2g3ezba8x3fEq+wHJ+Trg5WdRBbg6RNJmVybtTnrY1WhvRCK3n+hTiH+BUxiNkFd71dH vdFau5DNkT0Q/kfRIIWBDCE//xuDJijulUR2zp+/nHCfGSxyXYHxxRMVX85bJ7lHQs+bpOflXU9Q E22Mog1KYhW7ph7puwZxEo/DmgR5Mt/PQU9meIaX0YN+QByQnEl+QZZ6Ad/lPaYHEORkgJQXfK+X RAUTxoWZB2jfUy1ywV+dmw/3U2s25Mn7v9b1aoZZIVWJM+Gv+JOmF8N+K5T83PeCPgVszLI3UNYb 2/ihPvyONXEQZs7YA3asXPdMdG/o23n8z82oT2IFrJBCvObuI4H6EmVKNMOmxBk6IVyJkxAlt+bI U9bOgubhJEzEXHkZojAZBv1W9A7L0LVqWdQo1QQ02CyDeaBrFKiFq+y1GwGPT+3OuCx9nn7Tpuqu BzF99Y6Bd+xsekaFc8wPG6/JT3iyNNkCaEoMMTHmI12JCh5T/U3/2Kn4rqSs8vGIXcJkg7jDWu2h yEFaAFxm2iEvFDG1RO9/B1eOnfCo3MyjffaO59iptiOPVOmLXJwTuGi0xFd2bl4C3NmuTkZNgASY JxJ3B0bGTOw7WhCtDlY8Iw6TMcfPpCm8s69vFcq9DEbACmDYQf1DvNiwXRIXTOfWzckaDcK7tdLp RUqRfoPwP/nFYJwu2LJi+XLg2akcHfIqtjE1pu32l9dfPsZfRKnEKhDmJS9NSlVaaIXJTTlE+RJa nwi7wgtGEshdgwHUYpzu1gReTs5VDdtiQhPsTmi1MReJ0KJrhv7CQEpSuKrFYKkzfFwQZugIyTM4 OXyxyYYu0ToYK4WJKRUXsmvuaKBSMOLJqxHIC26fZL3+LiptCrtMOupAiqRRF3kUtmKFCxj0WiE3 PdF4LshMhtI1K/uK1tLkA+zciPWJQNwWHltELNrCUaelz8pvv/irfszKi5lnYFb+0JhdAoGKtdNH vqIgP7PjnxUHr42/D/GoxJESmStesvT9wxD6gjBVdELJSVIxhW6iUssQohrRgZkBnO7ciVddl+QX jrCiWW3NLWithM+OqHCm2YivAmKHXIQFtEmPRO2ci7mXGM6swQlCO1rxKq8DSbe7Mg09Suu8bGtd npVij9d4/N2myhgt7eLX7tzcvsFXgHj0qJz1fBZe5XnTMRqlyRCnH4fK/cWYsDjYwXsj8v2n1JWo 9gOz+eVlYzJdlkYdEoB7n8yWbhmemYg6pgv0B/9uIpibMSEQjpsEDXtNyHITn6gmyb6PsBRcNuhl sGfnR7p4TJfKl9HvN7u+3RKa9JKyXrSxX8EV2uf4gQeWukoC1w6n+W89bTBnZa40O9oknweW5SKW 5WRa4G8ohdYIdHVS9fmG1xOAdYrBnP75G8HppYY1p+M7EZolePNgMB55TS78XXt5uJ+umM8tVLuE uRVkYfQTHQirckIf0ONkRCHdnhqIJVXkdz1b5fMivJbhI4Iy5Inx24X0YDvXdPvizbrcs317t3vA 67NmvRY9S//q1VQLSrAUGIidlm24PA4csLE/IaOg/+KxUCQZPUB9VrRKe8f6BJityo2HU8w9KS0q DbKQilvZGVf0xI9LSB8XMdK4rubTqt2LghDfhhZZ83gaiY7wlKddpcATCxjGn/EJyqnUiQ9+CVJ0 HpmcFniAjaQVPlSu89yZSpjVJY7V8UqABr8FTnqmcirlU3yxBUxm+781gz/0JScRZcJm3AytHMcL CFBe0If3Imopyc/AnlZqaJ/veZEuIJk0rhmGV/iH25iedXRqXWO+LIFNWRBzlcTQkpLMYJMPK9qw Jn7mmcd410KcSx2c+7WyuqNrf4SGbCt3svwnosqwvIKhiBvZqWJHSQKEA9VMiBEovIgeA9bbgJp/ 4hH/K8pHc56KO1/+W89ERTrdLi5jUTF+KRK0En8+kR3e8wcZcokGPCFLno7J/Z53a23u0riQTiXV hOkfOxiV8+XMaiFqTtv17x+qk75sOrG/LK/TSv9ME3tabggE1N+9tiDDhfmEe8V6ZntA9zPNyiX5 nbg/AZ7K0U8Su1EegYhNB0kI0JlFC6qzu/xeKwVFph5LHMQEn+FhS9iaSWs6yFWzjnkZhc09TAva 1Mk2OH3Usa77Wml81LocaiVvBS4I67EWKARTlYvqC7dzq1+1IqAJTvAwoH7yuIP7VHy8vdlKwlHv R6jSnUGfDWQY82Fx4wZyFSM67JE19TuwoYJqyqqL4TkDIdPyby7lm0tdJCHmRVNQncadYoEfIVpm /EUlz7Hex2fOeCfQWh8Uj39BOAAwFDc68+jaKPnj/zR47o8F012gDcs8CDPVKjdhwWFd3Ah+VkxJ ffaPKEq7OkGuV+xBsrbtdgwsuiof8K2tUWhXn45GuRZaYaJmtEiesEE4Lyki0uSGsWHYn/6Pvg7E ORkcwOSS+K8EBXySvEdfu1DJ8bX6xHYUGb+UFl/WNT8wm1Tag8lfl0MDiztULU1UROqSaUFLYg7P C3CmaFoPwwaEGs2q/FzzEVK0R6OwqqURd3L0+aSETGhtO+UqDpSM5its7wQgP9msmSPSIPjRhfEK qkBJjXh5j8raoUjZnT0cmVgf8HNt3gT17v12CXLLI3SVeqv7JRNQePDjpdMWczXI7CkDanl5k9LM w/+5PGYlNESMqItU6KqMDZJ1DYt7w1kcqpj1AyF1PmNix49FQL0IIBts+HJxeiH+8k7QZOejFQZG NQ+2CtePqMgY3Gq0m3e45EMJIJZHNLYQc6JxWMYhXj5g8HiNePqz5kMg05iavGQchauLLPcYswcq 1zjqShd/tTeO3IqBMBcwpC45FpBbL4Ck2CAZDPTIjqN13871zize1gluXuhZBIBJmX2hkv88Q6na DrQjnq1uu2CDJEE3LKCL6GLeBZGF3B3wWcFI37LeqAPsT6iNnNcBx6QQLAUDMWfsuHyZoHIENl60 0PYEnqgimd9lxbIyjXXLDsxXqvbp0G9cQYuoxkZfPUi6X+QZjZSktP3xofXqKD4Kcvxc2rIQLRdG 90lpFfe0yl2sS8jArDSEn+dLIf2koMdTxhzPTq5vyXJVUwOc9Bv/BfHr/W2MQCCBEuTQaFSkxuOc /gq9ZMmkM6PXgTsm705ZnSX9qO0uetCJoa9mXVN4+UccW7lghr/QGMIKcG5z8veHLuAyo5w16bcM BQnZFE32Di5aOi1QktNBTJ3j+1ZPCAsLWb8Ebd3vkd1pcKQuroO+aXqJ4qkKdcOITeFpQT55K7nV /19EQObkr36mrMfLtkQMVVsLZHZEWqF1Oddt2pBh/lClmC+MQVnGvjpRTPcH51ZOMaXGmA84OAKX i0N0E1COpwk37UB95qcCfiEUA5ycf+pDTIQEnHfZGchIqPafW8iZqadl5+++8uyUHX4e7XQfSGwm soYVJt0ggmqVbz0TmBWRW3RVoeMKC/6sN71aRaotw2yjroNWbLx3SCqin1gILMz3VDdRtnInfnyF xlfOeOHcVQ2914Qx4/qVUSwVLCyLVFwaD8d1Me3lYaD8LnHsZxoFRs3dixFjOHC0IcQ29A3s6mJ4 PlJDE4RrCkniNeN4wtvlfl3KaqwvWpBS6YkPkqtt/qZZAFnpUnOd8xoVtCsiZsgOK7NoN5AI70+q 9CrhDiGG+4rwjobdtlJx+fxmSwxbkUgNW2enKeSf4z23wb7i2BjRHu8VRHad0lx4R+IfH3Pn5Gt3 o66vT6dW/486JyW2n0D9oal9s+RIYeI7axP91QLg2GMTQBSgx0Q4zlaWF8DBvjW+061Cg8w+1ZwT JgtPDYAeEMasQHRaxReVHkg0PtBMoc9mm7Jl5RYQLD5SBuk3qR0dq1cfcVZEuu5s5AeY9rIv1n1b Ti0W5eks6H515gLKy2t5hVJcj3xqB39ad3995D3pMl/OUJcOHkq6wHQvI3yKFsWMr/8IDi69vTZU 7IUIoZsilz6qXJEdRpJ+Wd0xHPgnkvi8Na0tRBIWUSCfP8cr7mAUwpkDRKwSL9SS0s4HS5H5Xrrr hKKs138nPohovbhCwRiCJBZ3+5LSiVmi6Lan4hEZy2zvYACwNCnDgi6QlwsdkdAXHqQI2mzXx9HI TxMVb6FVoGAeCIWpzcss6g/QDrkvtaZfIlNC4M1jJaI023UVRR71GkEgSOQtKHgV+JWGQakmPM9F oHTeaJFE6vjo0LNe5507FPT+7khzhDpwm7RjEmvt3YMjrsISJHuyL1Mdhjt9ssGYZIDy+lC8rCs8 FTfOKGAjFfqW5zTl900e6WsPq4cETnHhJ8a1btTb1+/ziFNlz8tlGFRM6y+kl1wVvDmyDASjhR4E 3lxCf5CEuTq2Jo9FptwpLM8Kns6QbgIqrtzY7yE5IGX0xV/Ewa/DZSHp8M7zXIRdNiCS30zYbFEX RvBVbb6chV/3LHq12zfnzxoPsLWxT3hKihRFU42qBDl3NKAnuV+OaylhmkyIEOH8EKUuL3R/5IGE jKmB3p7yoMXR/8wzazIXvK+zOP55djh7b8UK15yYHZfTe7qMgss2f7Kljueym4qxkNuoTyAQciUJ ZB6OfyzAhtTW0LeQ+0Jaohxw1R/XXo4salPkiqjl2bF/zFqM2FpzKkeoh4Bhn6GOkXKAaa7LBkch avTikfIvne1l7R7BdZLzKnOXVChP8oFqFHE22C86rQmY1m9v+b0mGZpUhh+VSz1UoiRUZih++zje eriQjEv3wmBQYcEyNH+et1erXbt0D4FRF+taXKUPQ0L1Pm7kn22VOC7QgztuTtjBjtljmBEeQo8A RVQQxiy79Wb5DbSwgWRIVEBivYz2ZC35DXd0OOUFUt9OjYoRZExRFkuH6p6P0LvndaJAuhJAPGra t36c/t08hgQCQ/fja1T4SpzhPUDYFKlCX25HYEPUkcilNZ8j+iu2ntN91h9V6525cYBl0T9pd9XG Vb/hWf+m1r4lrHj8pI7WiJdvQNktX8xDpBQ5WovEo1CF5H3IJ4HBGfn0TOo6ibSbxrIddsWyGRCn vNABznV38TQbjWSsfhFhRrw2pS2ZLYgyw+0fZLB8XXNwk5YCXmQ8fS26GCi+RGIVEXcLD06Dkb0K Gwt/ynV0p3eV6uBWLM0I5dOXyxGF0XFsRjGE6uRU4RAldoZiBrvzSimE9BSW6zvf3KdzwHtSLwAX SJp90SMbA+IwhLzYWljI97FifsuK+cyOwKbEJtXak/PHv2lWzjqtL9eHQRxBLgJGqhhgLqCo6QHQ m//ky4TXBOFrxnP8LSQQKGk7qg8fvGU0SCqvCOs0LTAoCBT+2Ftcb5LaIxZD3O5dTsq/Ey1D7O9b mKhTDLX/bL2hIHd+47PGtXOb02pNqy6LN+HR/4grhBMJ55d6CTJwovSWgzT/dpDVwFpR7UGyxP/q umj4ek6Gg/AYIxa8LxSo+dHTkPPTzcT0ApbUJi0p42GYWnwhoLq7qDUAM+Pzh/w6xTn2Vz2W5eXe fzmQWczZuOGuk/ehhpaKr+xc1jAPW4HhCa0iIQARidsTE5q42WZDAvOxDYToy+kFt/KbtNp0H7wI 4XhEEKp39ynO7l0vAXxc1Y96fSqjfyDsALX/0d5GG5BVb51arPFTkvhl+K6e4WSSryMauB3xxNQm +2h2mV5pZicCaTssRW7FjISICrelc+Yd/KDUOXRSeDPIy8qOSZeAl6j0edV2cjejUfYZv1eks6cu 2V6zlZR6TkwR3jRGDQ9///d2P+OfW/364Y0OuUBdx0u3/2sEg2tqYkOQ2tb7j8s4w26soHMRWIaK q/Pej6u4wlcg6JliM//URD8X4xwBRu5ZJER8mq1Cc6ExK46iI75HPvh4lyRBrmyUA4fJHqNA/kcq MJD9txMoYFCc6qTpLPyOFWdIssW4zesaY1TQn14aoJtxsVM6qC6ogE3SNBK/NEbu9R89EONvQoFI 9Rc4nnLLYF1gUEEDxz2p3brLlEEJNhIr4b2lt/ZCaprAOXCKlno4MQfcnZuSzZ5JdWu7x+G7Dkbo dlFuK35OdC8aC4fvnXzjdc4uEVboPfGoQhB3s6HBc0xWbrWBykgzOGOUqsB4vpZwNNvP/91WW34B NhTEOgPj4nqag9HPYHhqQOFq6FDXJVDEhxsij6+7SwB3Ea9u9dPrpCYHktJsCp6NSYNBsFHBWROQ MxmlbAwx95cI+IvafGsfJatL4YskkIE76wbY6xqN3szpQeXVoeNLmfzy47cPG+ssIZUD1z8dQaUt for6xbV7QzhoVI4BRi62nI0JYpmBmDBgRixN+4PePvrX+t75JCJUW2JAXT1zqWHeVvxqdX/yw2qW csokaNLFoOpUsxGSRlZrgNBSpG5lYQAWMGlWas+NJ+7mMwY/gcWplD2w6cF8AEP5iFgi6GJxcDpQ 8PQEc57WgKirzKustXFWmfrrLhf94oS6aYv0MK5uKX7kN3gyhyyl5mvJGccuvQ/jd9HmlwyU2jAV 9hXMYQ7wnnV7bhBXL0W3SJajoYSH+kDNRvII9ZiHVfNV1rjehK03moJeAEBwm9+ebYUQcvq/Qebz puMDcpm1XHbTt19fMSWhqMdZPBICVgHoN9LzGEOUX0rVRFS61XBeApihpuOJGLiwmZI3SxwRlvRs APE45a2iyMKI0/c00eJzTM1E9qodMdI5+EmkhsxMoh7PEq/o/9TjRNCP1j0UhgMn7T8A+aGIBtfA MdNRYNvXGbQO/178acZkSVtFzrT1SCHihdk63s/ChKQ0rsYDPVyNQzHnjuH3sv0blNPNyAc2O5+J 6kN1L+jYJ+Rp/WKYKcsn3O8HzKR1wKT80MRILHVhayhW2hCeJ3AI2IPytLrLXE7snbtD4WJkSgCF +McEDPubFMcncQHuPGlAvFSBerhGZwNJ9CaLnUpExS3Ib2hOODVtNF6c52PkAEPfw4xyT5PIFNrY SF9cghDrKdQwq9rERvYU0lWfacXAoeGJqZrCRk+5wd0KTdBmDzUtInc6pdLGbUMy7vGQ21E6s1fd VzYED2IgmvwHrBfT+3KnUualq4eTsJQBE9PNU8nKARcBfs6Mfj/5RLRiqZiIybpEj9x6VLsBVOOT GkRXQ9fP55INrpVHt7UENmqODClKt3dxcrGDOEOwT8KuYVJwSPqYVwnnA63PX7gAgJejMc5+52RM CWHrAgicHIlouOfMjfnvhoRgzIvpJ47k1YXcTUAdJN0qYn20liW6N6SUJVyzqegdL36ei3TWZAaC EzqI9B1JZ9vFsukS0h9eigwcMvfxlUvzuSxq/zomDbbEgE8m8siVtLLQdHgm10xGq05H7zcdzbX1 L3CM3bitdLVTD9djZcdHNvy7hF20OCSmx3xXP34H/XR3WxtkNm0d0peF6MKJng+oTGFjo04vvnrK kg6YBsJ5SWrD1Qra6AWojKISSd+TMHEMMbZRg6oNM4O1jrgYZb2jYDHo8i4VoCPgRWQXWXPHnQMj TM0p4SMjM0Igg/zapZq7wjGZ/gxx80F5B0k4UR6AfeoGfE0rMbnqyabLzNYj6DdKhXeogjhQlnMP JB5HE0oAxdDMLLme72FYI63XuwYs9LTqrKndr3hxw93Dkk+3Llb/MVuyXdGbIyeU+prCc5/iIf5i aVFjLC8tGPpAdTo55Bqnxixbumv5OKxElpATcjNlFk6VdBwbk80hKKqjqDGKjMy+PT6WwhZtcQ3o hsIkOln/jdLcgzSurENoZAb+k8k/sPPpwmFf/RPDD4njCePh6yiHFKvY9sgtWacI1j3XiP7N0RlW egp7dYeuCRUTVZ3mSnU3c+lgN6jKtP7ZMaH+E+DD0kMakC1LfJZ54QY7T/22eymjWKSnxM1+uY4N dEZfzlKgDGcdAhnrZK1m0YYhLLe0YIpgqztOaWYoEkysEhjbh4q5ueAje5r9VdpCnFGJtUHjP+6G vQCkdpv7xHtpM0j2rQrl89zcLzJ8w/dkKij+2URx/F1XvkaZnoeiVo31BZt5IdXusYiKRyG7pMCd YWUHpROTgQrxYzAamzv85HwBf/8HUOvw8e0LWTt6ssd5LGuXP2Wy06/22qOFU6YNc67AX8IXcB4b wc+AOhPElYki50i9fefvFoQb2gyIFopFRP6VbC6WaVdMyKHXz7waLiMNnyKUuAz1VQEZs/IyRAxE 372zk2y8JaojorloRGCVA9RcmhywMMzwWRck907CD1r2RSWUgxhasWvUnVgvr8Zg7HKj/miVMzRM aWQy1EMPGz8k+VzhArLP2E4aQ94GK9hS89vqHm+PtSoR8eoPezp4Edzz12ewToRuBYAP+NrXGP7g XOpPGs+pZbwSFvm94tRCRuqlGe2y+rq1uuWz3HNysNGU4H+Z+tyN3TMgQAxJ+19/+uVEyn9zF+yy HtyOus7M72t1IlMrR80O6fcx/BP3T2sMbPUhD2oGbKAQ65Vf2r4ZDYKwRwgJ2trbsJZMwO/ppY70 enqU5rbhOmTO4XNyTopXN0nfKWsovxs+hJ+TV52p70c7CD6zzlRDn6KdY7Cj4vtjQHmbGgwdLtAt wZR+unzPWnyyJ6J7s9k0wicgJLF47VWuAfLZa7RODdyTR1TSEu3cqEF5CwtNnxXQtUUXNrEh8fDP fALwnVIbCmmWAYzjhEYO2RlFCLvb0fiohfc2ZmQc7Pfo8BbJ2Xu152O2v0sxhfAac2IbRVlIGJF8 TANXG/Zmsfp2WgSJcWffsharzmMIgWlVbfZGWO3+bHHsfkvYIjWVOIwM4JEjY2nsTnp3FqssBgEy EFZkQw8GgZhV8hrrQPtDzdqDH7UyHeX4tOo1yH1Yad3PBiTjhHrKBnDbV69AWjTuoBnXUyt1KInA oBfyDdGbjdRcCgftG/JPM2eLplDmO6akUfmDPUj/9wucFPQZ89OdfuP/L5YR/F3o2x3/c5mBvwAX UDl/AND9uWnCHVzTau+wEEEIFpbkvXnu+PZ5s0GmhCUFhUU1ymH6f/sBVdR12CwdxZIXoENsEKG7 Dvuz9mGrSfqLfKwk4c/82Yv6YJP6ZnLpUbY0l3iurWKcjDpKmxa/t5BadX5xSzWuzr3lkNW6ftBf uzp/8QGDzSeTkDaFI9TSlYy/vabP7gYKL4GqP2kZDhMD8Z25lHdVHej+CNfFPInDZZPEZx2XQbdR nAAjv7rxB/i9N8/r27+Lk1u8Zt8PUSfE25Xlj66R7+qfpqMaGYXvjj18/WPCezRiO9JTlq5ggOai XvYHSa9N4CoYT0TLsIxvng4OsKRfbx3AZFo8R8031MySbGYI73qTRPjz0aliV8wS+vc4iyeM7PyV KrEsSkKZfXEbdd9Rp5iot4VAuYdUznfWHQbSys5+8Oi897n4DMr0gCA1PhgaiRVe5D+m10ktCulM mQYXirn+bt/hkuJDKKVnPGxqKdbF+O4DyJlMw7/didVfMW5Kq1CHoYxNq8T60QS48VvjLkaK13aF pD0pabM6hXTA3VOaaNFIAkDGsmHRMvpfr+ncnWmMtwjC4Cf1QZwJAFc1olWxa4byAX2z4XQdh96d V8jmG0P3XqbDcHqmVoC83+TkVcrHXAXBoM+GcElOmh3Q5P3OfbyAsmDnv9UyZ2zXiuBqWmwWAo+F FcpzmkO9QOG0VtZdYi5AImvQqoMDmryHtmvajqu5aGJiFJhxqD2f6zyGNZf0IaTZMJiadDMcLiBE 5+RNye8Rvk/jMTogAyB/m1D+t9gemrlFvZrqEZo0HUtzWiXrYRYki645OzTM9fE07pd7KxExF/IL cLawTuIPi4F6ANExuRnkoOkc/vStCU4LUX7R46l8Z8A2RdcjIu3fbLRFO60TJaZclRHWG3ruwMI0 PAOB9gv13hVaH91qpb/mZEpEGM0odwtelswzGiploBfXIkCnryw6Hs01iGZONYKtonWZkTLj6G+l 4eEuEL7Ar58USz90BRmLzdbrAYj7jVKGdhY235HueXU/kpOdAMXmvS1SvLBGLdvDB0SygYoJI8nN 3yOupiacvnVPMfGs/L3fmTuzs4Sa3/aPMXq9sizkZfMPHEYsapSSMIWaA4rBsRFlZKytzl6YRQv7 sx61XKH0cyZuAeSSR/F7TSNljf1ljXJDPMueVXV7qwILKeUkx1932LtP3gWMvKxiiCv1PhXPkrld GJasI/rVSgzAFf5nCEj1aL00YrZSkqpwdI/eM24fneXdoav2QTjxOxW8zbaW2LCcx1kkRfIWOpP3 M7yl4KmLWiUtIIIZWSG3nKuNwCKtjMyFxe2hSAZcAeeCXPv4m2FI4Phki70CcIJ0QAfHW+Wq07S/ V0mtiiIv26tEnK878sJ+w8V5jpSd54TKtMDmPp5kjXOD1g4JgzIQwb02zYprDXqUflmksE5wGqNz vxih5Huly44ho5deVw+9pAbB/bhSOYLKq+A3/aT0DKUynEXUKXm2RRuNqXADRKmIuQ36kPkujrWD z/pCO+LczedEFBeKDf5pzZCSzdXH3aawb4jfbLOfash811qqz/xa7x7FpGFRXVQdNjKucmVysTUm mhE1R548OliyNhhHwW6h4C0FxGqiamgnHzSoetWfHG4/Qg0F5hIcDxg2CnVyURryzweV66ebW76y 70afQ57N95z2n4tdJNDJzXnh/7jPv2B8LDV1oAn17fiQPzeN2976/Fz831KAPpq/17lb2WJrBOfd KLkgTr/KFhsySGWZQW9XDK12lXZGAW8Z6vv3OH+CcwQlWf7u2+I+6zvHGDDxSkoSFsDdXmNMjosO LKyPi/H7jR8aKmUk66zuiqdAD09HZUa8188/RO59OZIKq6scai3W8mxmL0F9wS9SfPcSQegFLuBi k3EuMPvxY6fNqUU0l6STv6hJPtkUVM27+0vFESWkDq8gSm5wLsvxcTN5jNZlEyO5fBNWE+vWCBFz IqVVsdTDiU0jJOp0/powgE01Bacn6CRa5gJvBxe2cBB27L2OmlKjdjE8L5od+W2iyShi33LW0CAr wnjuWaJODNJfAomb2nIl1d9SolVJr6k/ezC3ldbHyjgYX1q6zfUFXJLwMrqYT5eUZzhCuNJDm1+d aR6ZcZ+r9Kwzgt/R+0/lmJPOx3vKiF4j0neBWBj265QslY8J+EPmD3nFbvhKkK+2ldjV+M21Xpwg qvjRsMUjV5iJH+ayuQi72OhPU/h+zFSRNvQ8pDjLl3OxjoD/dC0LnI+0L0RCWbNctjJkqXxBkbVL CKs9jXd3Lt2ccPUj0CWvSwN2BWyriJNi5TZ8bX2VG1pPfYk0KwBgKWAWGSdlUKrdnmBABgPEpcSF d82PYDDwP9CT34GxKNIxmbMwrMxHN0Ahrp7o8DkswYMk0fxfXiTH+SirKisfIcqZB3YAEWRQrqZD bwfXiXKQdlFhnh6bVwct3BxnSJr0LQlNUvkFuGX8LQ6u+aWpX5hPFLal/Gxuth4FVxu/pqXJTAYn oOdvzUnUxdgy+X+ikciKgNZ3wR4xQ+AU15H+xqTXs5g5T1NwNoW/Qh1hwfMj4lHWyRvVSv2wrXsL BIHLVXekYlg1UfSVGkG9Gns82zcIWZXnjE7uMzAthkhnkVVbB86vbpFLzXfZ+PHEIXtSUb52C8NM KC0AWeD/xSdkedk+39kyAh3cMMYqm4YbP7ljs+npG3MSMS8jjA0Xbo6JZFQDpTPaJhqB1+y36LJx 2Mc/936L9CeT1Np4OGfuEZGwSSTEsmNSrsBFtnBHEIRsLNTPA3trOIIISSKcJq2P+YBL/+s1Bvp8 1G1WgyYMjJpVF8zZaO5iXMU7lfPsUAvoxn468uHkfKWNNcEJJJpI49xtlLUD5zJ+vDwcVPWUzOg0 Mq5tpLruvKwyi0vHqgtEBYB8joxsuE34JFriu5Wp+qfYCnil0XW9FqWdN2SYvrXUud6pQiOrpt1k HJZ1Hn6n3GfxPBO5PAgeaapJAogbY8oBHIGft71JbRwIYo2JE956tuAGjFuTfjg+6hhyfHZE8tEr 7OcS/hEwbdKvBXzez832DZtcArIM8Zgq4AlX5IdGrb38ogoeZkVP5pNjHNgmyXScG0MBmKNtNlei YXVO+kI+/5U13eArVvvU1ngAP/M5NQadqLG1e8nAI0Gcrg2c56XfADTHPKDPCQ8unStJpQVLHfGL 0ga/cEek4XEDTYVwE3a9AESKR365XqBgUjOz+KFsinLrB6kpkaOi8rOHy2UnbDGENIiws3UEW+R6 GkhbrNw73l/avELPjirc/SEUuvyDoW1GZZZQiNMGXISFH/7wJR/cZteZPZ31bBXoeqdVG7GOkVUe FUdOPkfmtxyAmOnUepQGlW/ip1p11wBkTgRS08bWrh3hgUxBCvD+TyasUu8ufv37DgXg/g3HyA1H NID1sieoC2oOndMvNUMk60Rycuhc1B5e4l3NC4ITYbLYaN6PL64LtcDgQY0fetHc14F82T+ctQrZ U802YcMS9xWnSIwPkq6Be1VQ3q71YOEsYJw0walIgIZTQ3ijf7RqkTmmf04uJUVNq7a2Ij0glhcw 9R4iF5kG4Pczp999VJaWLfzbHIqxSZcf7sLrcSU3rw4ligAsChi/+rSqYKWOBfCRQd0AynVt5Ncu NTDeW34m5ReH6nlVXrBYQo/VOY6gAUTdD5e4jUmLdnY48iVFSIuGPdQIcMRREpwpqR/5hlqrv/Wr 1HxYRrFhEszKn3lwehpHo9IaTFUnSDPcH/HG4WjmCbl2srVrpYiXpRGd1jFiTs1sAMkdbKlayOY/ lVlHBUax0D55yYfzegnDSf92qM4e2enCon+N+oXe3TKYFyyTEwIX/65F7KhxIqJg39XY2ykEEsWB hoeFeps7LxU0vl/1Hgzi1bqGG+vkYKb75ULzo4HVLVEJn2dhI1NLp4YG+lpQzfkJr1pBhi6LLgnr +JLITod9MSH0HYSZGBcLXOTZNu9nA11DCKXdqNhR64ryi0K9Z8GRzb/0CMxxXj1RopvjzZVO7gXe RKKRZ42lLslvK8C7PY1P1itGexYjL/rsAjUlz2Yn0o8r+h9/hzm0SiMNsEpU3DlCOJhs0wXzlfxu 7nP90QmjTGZkmlYZM9qlB/OnFxLOoYsWU5fDsiUQDJ9pIw67Fp8O5yQmU/KJPZrGIW9Jjf1bDd/T qqBcfJY1nmjlGqB/gFzaqIDqrrwVoJcJp7qkFR4FnvIzB4E79CrFpFf6UllMOxhzdi+iUhq7lQiQ xZSzjYHpm6UjvNBFqN1WTURdTdWZuyOku67YYNT8oCQP7WMypTmr0z1l3fnP38ATJLEpmkT5msSe uAZw7tNfclig5C//k72gRI17s0LwHXgZTqCKdolLc2yywMRTkrf0VD3npDQVx3MRhadU4yi0uNGk YQBcTdJ1yyvACydDH8UEKwdqMoJml5Qkdo0rWedDb1kk3iaziHnB+0nB2thXS3gpCQphDOlkiNJJ iGwfAvbjYxKogMKdqKJxio8zeEbhDtga280xKwWdLa88JCLPkEk/TRHj/IhA/jRS+/qd7CsXQMsm cS/im4Iwer5my9HEtNYIztnoYpfu1ZA5ZgXrBKmDY7C0dUBLQJ9jl5x7DbleoFwKqD4ohlfxMpEm TOSjjTDtctUeoy62eYAiGWI3byMGyJHgOVG75juQBfJUdNsga5Znom2EgIIYYefIQsTzKtRqY9KA AfeMZGkW/rSNsH+9eXWaJ9fTX1l9D5cld+AMUEq4lyC6QdO0L82NoIHaqW+VKGeeBbud21+eUBXb DaMU0D9iq63pyex8d3Y03PgDPSxaRptTas60YvXSeAb16maayu+ex2AqPDzGBBaHivVTXZZ0wwmC JKyotZQP9qMUSJXJUgOQ1wZ/9XyrICnZm43ZICLKDJNIhctA+Uz0ofFK9E3KcDtfaxegpIO4ObXc +srDEZYhZft3F+cK3cm5iMMuVhdCXO3Fs2gSg+OUxwdh/evJehInb4rIrMUX7JHos0GKNNLvC5tM ywo86e6nN11kbuRIlXMkLRw4rbvQg+suh1/ntdmqjx+iLLwTsUL1N1vJMwtqqERVmsuPaT0Ndmjr zu4bBNXOoMJxG6PMIYreqO/kttak0D74eapWDUDnNrLEKn1z25q80ixaWbHC1n5lRqM27AgRSSE/ Yx7vEzdwXBg0ASnrY7opLknLNeZz00tEgLJ5P4K5byJX7mN9J+MVCSEwBhinVUjrcifItP0JHknW 11SZLYddfzy4sdU94S3IzGgIdKOKGUS/pK/Ifq3rswFncZGLHdLNhtuBmLzU4bytgTVht5L6SLjN C4WdTcbPXyKvs+xAPau9e4T0UnUSlTZjY+3WXnSDDhZ9hbljiZlyc45TnWeHt+z9ct5QU3EH5RjB CS1Sz6MwtPz+ozaXwpNDb442TgDOB+yOBH8IuBLoK3PtZ7fSHZwBALmH1O1WCW1yrFB35nfcoc8x MCDq1CSDFGd66Lq/DUVEFtuw3KWuRU7njZlP0rF95sZ7nZBc2UlO6ZfRXsUyUQs1CJrpEZnIV5S/ ePGmX7vDsnPMFtFULF0Iom+oNmH7uHWZy7IAEMEs9SjfsIC1mCK/hy0lSe1rmk/cbAhguAnoyC/N NFRJ3CjJaa0x8vz472BWUKRwG4XRy5etyUkQ5fcFD5cJjyrveSS61psLOJo3Ad8voM6oXLAJnMMQ bTqFndprsIFXpSVC7P3HzD6dTFHtm/1vKuXxuaE3JT7yRw20dX6x4PuCivGiDgzM72+IuTwuFEMm urNTXYYX50BHJqVORPUjpHNcyJb+XD5b0qxTg46xUajipz1NwSMxN3A/dJNrrLfNj/1sUjfpfV9J AdYcUEwPsYNRJzcI72hSDs7edhLK/GnRwxdyikd6e8FpWe6I9+RMx07jd8nyTnnAvLB/pNeV4lSP SWTEi8hjrD9p9jWRD3xi1hEQnaby63QcRIoACBmb0l/dR5dGYmSYdV2xjNvVjW9JRb9X5uDev7K3 wMzYKOnnNxynYWPE80dbMwUXAjRAbebIZrLnYzTCWbn5K//dXvi+J/FS/J+OMKhJVrCrPoc5fVOZ LeYD0LA2ji8I6uJgCvVu5VXCZ+UFxZZQ0XXmOXgmEvGV8/BAjW83ld9pagEoeekRNp7XTEyrNjKD 4J1E5y8GybjZ582r5yk6MdPf1mazGl5M/lEjPhkuHvVZOG+ROeT+HmDQwi/mKin/GBcr1cJ+FBhD d9Y/1QXa0+FlVhGf0f3lNMQ/AFTNgraaHCssxESnlezTy8elMwkdSafeOB80sOLGC/o/S+CyLljG ix3BjkOAG2vB4BBz8XEjW9fVS9udDtYnf2j33tvqtpEWgsCaR7u0sJSBix639eqKppAeWee6XIsr IfoDymPpWPqrnMjLVJQj9HMb6TF82OPWudABxRB6fOzVCHjqKD5Zkdza5CQD6xuzqrVy/seRPGmB SbmRkaq7+cB+d3TKgSeONwbuSjzbQBIrhyAuD8e/PbTPvSskJkMkMJO+XT/FZlDPqte03m5i39Nx EVV77uIftvXrw7CXTSBlcWM8Ktk0qj9lrbW10TpGK/R7uni+Nkm9tgku3PcyIrxEqmPdbnBFy2+4 9wi37EMcazRrM7Xh46f2GQs+PkFW0avboCwWRBNTM2bgCzfTZgcqwP6YNQUmOwVd9kx/H6lPX4wV TODGAf8UUuM7lKFyM2z9QojbY0gQCf5E96DIpZKAkrTdT5+zADkIN+Px8zXhmrGDjUglEd4lEKz7 oZq9MmD/75Vd0CjOpbpJlf+J7eF8HzFEYS3vQpYGmdERtX0T4ZGM9uHMf8fpEHrtpu1RA1iSlKNJ fwwtuF5Yqa62fiohmcw3fFsrOkiYeiKSae847b7x6Wg1AwsMNjh4CTy+9dZ9EodQi3D8nvQKduE/ TR0a/z1D+xK5fmDWch6GPAJwHs6pmmtwXRQF8kJaVOpdJTxHOsNFMkpgXPFaufhtnwOrCnUJOJo/ JrlUhJysfhuhrSl3nuebAErq63Q5JExSUPXdY/aWA1UJGqm3fXSk3dq/zaQ9Od2zHDVE2EEMzjWe CUeiTrl/dghzi64I/40H9kpLMDAnUIlejHETFUY38y++NwyMn0qloe1VkOL8ll0sCSDNq+h6GEJS H7/NHnZEVxUhjomFjZIn12t4KLuzuNEt3vTYkX4mPv1kyzUvqhKo8kaFsP8M0jX2f5OJdW9uoGkH F3gJ5v7pr5BiKOIoeM3fSkCZO+9iQMFaEsJKgpbQSsTzrURTQtzY0kUuF31RSGS0MZLy1dqYomq3 vpv9ahZJ0md3qRrlVm9JFUn/C2wmSwETDqT9thAQnk2jcP6uf2fpUp9q3lTE9tdPG/AtIA5SZVYD eBkwJ4fNjOkQCGo1EvJsYCHebe3ByJgqW5dQF41VpVH1ZDZd1plgkZz70jfaQ5tdmR7TXhfe9+z+ PdyL9iT9PEz+isReqhP17xeCOwCKrcChP4fMhEPeT28NGfCHpSfCjeMQnGBnaYlZ2VKx/lAn4RoY LAA26LLTb/23p3dNuPBM4jrl3Wq0xpTac8kpWQQ+Oy2YiA3PkQm4pNtGG9DpCAhqAIT1kxTHsaA+ xr9aeWMpIuxPcfZkb1dsYa4a+Hc+y9QRBb7aUNyxB94wwJsNOzXtd1Hbz/Dj599N0rvdXzpro4/r Lar683pF3c3d3pjQN3CsjInT9ilm+czc+MhPcb2DlPuOuhlOAjg8pbbQU74uHMyX2e9FqC6njmDP /CdwdHKi3tklzFeO3v0kCVJN0lgnwaM8fTIfJRaBTtH6gLJDW2hkZgfXqPPPqP0u5rFeQkYxLAta 4Vw2lCs9rL7qxgyg2p2RpIAP5WLcXRg54VEM9zhi+mUMB7EpNjy+WV6CFMu4NOtYSeftp8yKXfnQ mbN/VM1pGfqMTwAJiBTseocXP3+otCqeDAfbzWsZNOxe35VOTToFp/imq6+gc6D4WE3OClwIy0XN xYTl0JmVhPWrgPxwxfkzf56qQgXy+pUrGzhf8RsSJQDWtdQ18t35trIZSCt6M9YkAMQJ+J25l6OT N90xsSY5f4A5B/uZqEZzx8NcCKmM4OMWuqW4DScDTkP5NUl7zR/wxjceFSSg3wJdH5v1j+ZP32fx GgbSmYr5rcJy43FRC9Wp4yVvXnjD7TfX8xz/lxHNErJRW6QsOmGhlVtST77DmxJ5ZTBzHpIpvYAl Lu7yxAD5MedyNmYWmxU/CK03EJkxdSug/V5hsA4/fxoxukR1OvvRmvWYwr1in0vOKAtoR3tpDs35 EKHyxyncxh0YY7NwWSUKbuhCV/ippzZ1HFVkjyp7VgSo9o3KdLC46yXw3Yalc6IXkYr+b8O68EQf 5iG3SZTMNU/AX1Hf8jvjHKtOYqIlHqhl3WyxAJFp9c87NvNKR/ZoxHcS3zmLqO3TEhu536uxmGub 18SXA043axJLnQUlZcxXFYvD4i605Fc0LHfLUNjapAGwfgu6e9nbm6G8HJCBMyRHNr7hNmVqD2A1 1vTxW34c1IXlffc0ZVDlnuhsJt1iItyBggzME9HrMcwXz3EvZkLuj2KNrG9klGLBBo9Dyjwduxs+ Tr029Zosro/tkE9siFgvm14eNLCXY/DHQqVzgKShgr8Gkbmw+iYCduFrEdOBGk6Ay5Fi6fleJC+8 VhJJVwvQk7ji4wC+VEN2gLUgPgc49eoXYUr5QqTNzym0VxTKb12HKCZh4+vORWXojQnHgtS2aoMS hdbCyYy23ppmLW43QKfPo+v9bjPYwwCrYf4hTvSnSQLoBv1pyDebQinROTEz6vy1YrMIzY69MYIk yfhFJZJa3jfne/5Z6kyZxHBwiAZPidP1ci1gFxDlypNIYWAeVSfWfeceIolze2f/i24raDftCdtk gvQZ5vCp7dh5daWlRByNS0KCWVL6cpHhQ7n9E+Yulfv0P7dws5bnn+cbznRuHZr4WZ+Zos4Ir+Jo nobV4o3MmAvMn6Yi5JShK2/LALT9K0ZiX4kRh3NlI84dII/YETUkMjtn2YrQ9lT0XT9C7WunUwEx fh40PvYsxEK/2NpV8r6ksO5cYjPXPYj/NhnNzvQWsCMs+HjQDib8YJ1rmd6TO/lueeSkrARvSZeb +20RVCKH1Bz7d7umY74XMngV3T1xBfOpC+CA/HHecA3GEoQkmROLt8tM6cQWMfq8ceyWKxlsutnS H1glSTK1eM+1D3QFZshDuyH+yA4vdxd1XZMztqYt634m356FO/Fv7YMm3lerK9+nzZzkuOERQOcK qIkJraKqr0dbghaWzaRnE9Ym37atrZXZrWHb10hqYfA+FSTVYD7BOF7gWtq698CWDczBK/Zqxhyi eaZMyKq/Qu6VB8atgL2+/BQMveamnaB+IcpciD3QGfmGrM0cJ6lK4JNtSlC7JTXPFYcVNmIfsaJW 7a0lHZlqZI3dUyT1lVWKs2Yr0xE7BiVZw4yfLn9ciSDAes8L0w1zr0D6ddWdTUv+SSVcnxTQ7bxI 3/MFSvwfRsxtlL8DhEFSqmiN0pvwY1UwqaZ3TCkUiagWrt8axTT/1HcXwYTYzZAueeaqGyKptbyk CWvB2ILR5j1hRBkolap25wpUjsjbNiXJUZAb6wYRynkdDZAMosH5rY9jJ3oZ9bRGwLc3Gt4sdbrS vRZCZNZRlWH6EpU1V3u4dcpdGzmdZINgc4dSdW/AGi9IKjwWZngXBn66tdRs/pc5caK1Y9PSAqv5 jb8hy2Rv8Tb2zn2p6c+OLmUqtw+iUZ3L6voUvRCNknP7bJ79mnu+FdVvigeereAkE12iTXjHCK6i MZV7nVR51Q0gFkPNNt0kU0dhEtfB1lZa0FKnWayfvWFPvbHDPnUjMScd3O/KrVKDlj3V3eDaLRGw kJ520QeUuhG0OqgR5pXJpiAtmSgReMvy155LfEctVPc3WHSnCvbPyYTZXutyjlyiBLYWPRD+k1M8 IMy+a0dxIRolTlQHo9M8acxc0w33tFwgw+eojQ3el6EYl+tym6cRLLEosWzRtlkpULN1WNOSB6QH bU+18CMPcRd8hceiPxdXowVheOYQx5Oy2oe6pPasNFns/IyyiWlylWg7x7d9CWlvkDA9TTFPO6xX wU21iMMitZsXmLP3r4yxUgBp2gUIuNPlhvQGVg8KK/Lr+Zd0YLcsOLhHlfahR1P9FobZs5UoFdGw OaqG2WfeF7w9rrIpmTe/pGF3LsydKpJUpc0kGEv5DadtuQk4UIFW3XvjFgUX16cwjzB2P//8L32j kvnogm2UFxIkF3SjjmBrtAPtFq5B4CYi5/6Vqqa9kMU+TdbF64elkOaimqXHUJhMcvtZI3rZIQ11 dZ4ej2b7Owu2AmvBRs5dRkqB+VtnRiUwdVhaoNkOuocH1Quy6EkviQf0ykkhunjWAxG45tOwroHK Uq/pf4xaQfDu0zjJlpu3L9vAc5CtyoLzoglHRTugtLLiOh3NCAGTvasNMAJlX5kI58fqpQNt52KH LjSVfKYSkPPJ8YqlFF0l9Mj/wiSDpPFi3ovhnnXGGZS/7/jeFE12bcnampHCbKJdBkJrhb8fCCkk OdjOEualTsiG6kZp24IzAZNgNlKWFglGkZbWOV6JHLKf7EI8yMgNVlOTB1iyJjwsADXsrxy7erti 6aAq/3mhqkbCTAKW1YU4qjFXj5Be1hlXh70KLVdVD3Bm7U29VPxv+tU6SJntg0/XwfxOxC6XgUCs nM7s6Ddvv6HfyqkXmbOGxjc5edhTuWjQHgo6sH/PUHZqtl2aVliSNaLRMvNf1mM/QBXkJ9tI2fmA 68+waHazpqqizSEqhxS4KUpHnq1elcbNC3URwApv5nSUzsp8K7gFIsrIJQqZ1M2Jtt3Sgl2zQ33A puDhd7Lv4YU2noX+VfuJfXhSNjG52ohJvvNLYNQVYaXYBoMICo94+zeKmlzpIuKD/P88mH142ScM ZvxLI6sHt80O2ZKraYL0zJC5AG9Ej8LjAwCx9rDjkE0cdwNG6+WkFREc2HPCXa5UtrQdKHZEvNl4 MR1agMTKLBN+Ok2OctOjYrihL3EwN8vvzBk/5Z4Pda+yXd2puELSd1j8Uho7hIe9BcSlyYu8KiXe vSCD6ilvK33751vHxbvXwhm6PlnQnQXpXYXZ3d6q2rYDsN3sYDUUSQWD05cbHX3qcPob9QKe9mVz vvttQo5TuY63V9pK6KAZs63pwfXGB/wBGdbILzSqJOAkFcS2yZpl9AdEeAA7zU4oHxFN2CkSkOg/ qFbfWWmBJeEX/KJc4CUaFz1oPGO6FVV7LP9O/rG1qCgNdE7dRsrcDCNwcHYjhi7S1pwC5h7aYsMd 639fzC/MoWTMRhtsswXEs2EBRbuWlH0PtqLedybB3l9bPtU9XrDHunZYzW43vufp0zKft1y9pUar xF+OU+161kDAmGFmdvC1QBNvbtlCSffhKWUsurDjoJyGU604G04bPN50aoQXNEdbm3Y5az8/hS6G /86CasqF86zyKhIBw/JUGO0ZTgXqlWicsFCJG5/8UwceI3PGgFbXPA7YrAc55/6yK0wPispDp5Se 193D649MD7AykLEeIG0RFv5jB4jPtSaUYjfbUHcu/oH3BEmHofe6BucTz4QRjfKSIXeBv9QGSxuP eJI4bd1Q4zE/ETGpPv+l7izKKg+4PENX4WZ3rB9fWm6/C1ocLGls7Arx+lF8bVNk1nOfeSPW/bnE UfdrMH6G7DL6C3t1uTl8iImXhCfscqMWl10FEsjSmUt7qwklZCviUQWANpZcMvH6ZrboFYV9JxzF +T7wVSnxcGQHHJrtgaAWC2ciYoq6ablbBMljpKqyEVGCi/yuBONAyn+Ap1avVtQ3sTQv24O48UqY RqJ3+ZCkyt/Xv6peR5FuGg/0KoTRyerkN1R+K4mjgNsuVEUMa1aHUBkpAaZVMyX0/+kjeMdkJV0d mon0a6P9SwRlcPKOzNfV8G2XW9gVhbLLhItaOP6DXAYW7Z6Vvomkchxq3CKCdogTHBnMYbf3B59y GA6ejchgzY6Mu4tLJSGApfuA58HYhNRXs0InArCAO5VSsqXvzFjGa/1P6d/tBpe+uUX8g59dY/Tn bRA1hnmdPfsKxkHldxZ+VYWj9eoABmXZngSPwbE6IPu7dBR2Xrfu4VoLDlpfgrH8uCdsq1BB6aec /bHOBpnrM1anNHBqoTXgaStZEqo1eM6HjajJPCC9iH6+ew3svPODmpovxEnjwTd+2EfYw1j/d+i8 VMZigxg2O3UGwjCFvwyoBif+elItZmHRs6oLg09NxfC+hZiY99pjmRzBZl+kYRsfKrZRaASToWkj 6QUQFm8Hmr2NymzN5U8CuE4LR25lQAbaY8FRie1pskwGOl+Jx4KkaQcitsloCshDkGHXBrXGAagT C0jNTH/R3J5jWYNYP80OXKdhLn1xFC50/xlqd+jZuKqVxY3Ip+leD8o9NQ+QKDZSxdcXnWJ1qpX3 zNrMj5XtpCzCPnqgnq4+ENdDdjeZvhn4ILGl6I99gqaPVLbHdJGUYf+z+hOwA3Ci/DD/SXMpjfdx p3UbP6eGrwqIVOWda24bTD/2QthOGHR631YLLdlCFCrYtIw8ApdsRUmQMCFypCmCIC6XXtCh6rM9 zm2MJlISJybghWM0X5e/mxt2CkAZeFqukug3Qr+9rdsbi4OfWMshXIA/jZB9nP7n+8ORIxo70TSg QFSMdtJRTvw8L4A6oZgEmcyBrn30Y+t4UbmHl7Oe6Ubez3hj4uaq1uf615at6ZqOIPkUfB4rw1Qg lzIkYSTyq5OGCcVDshf31tLnMU2dzbtHwEboyrYohcPRD6KsPqVoR4Rx+kTwKsrcfeLvQFZYVujd gpI8Janh2uVOyDA+/TMVHQ+xirV3NmSzGvmSYj3P/jozFV7eRasE6Z3MKoaC99M1PREl/CMYlhsG dGP5aKhPmCkV57WScW3/SXAvCxbeXbjUWKstzH3ifEl96epXWfZcw+xB5qD7ztPkcpVpxcKWK135 OpfiCAIGKVdft0WTP74qHRFdCRaiZrWbcTWJfo1e5ElMczZ/209BvyiY5GmpP0oczSgmgZg4Xr+z wa2K/eTJ3edK00HmRw/EQC8XNruXMPc3R8f+oBIu5MMCW293QVnNGsKoH4Pb+H8Dx0rlRP9elwwi Z25FsbuN86AvezU1iWGYdcqIVsWnv4+Mf8ts4+iuxij9O0Bw1pfOVEIsV9bmvFlPMmZXqJGbkpbT UbC/4smEoSum1jq2bxvXtawPKo27m0lvq4aGlj0HtJW8EImaiw6FkcYS9mAIyVX3nY+rhBPYM/Rl b+gnuqbML8iUHya8vMci4UuTslP/Yqpi/Lpop6YcF6lRu1yFeZY2s1z0pcQhM7H0S7UYOFcE7CD7 L36kRHS8tVGQMeMKbXHIN3JEtHjsABKBtRYVfmVzC3TG1HqHp/fqbQE2oS6ot0BLZod9VP9CpI1F 3j4IhckT3uMAGX20dPSZF7iZs3CFgiX3RaVEvsVpYVOubMkIouIOw8OTSGtoqNaZhPHrOg+HXH9M CVN7brCehHXaXwXGiNcLc15dVFPlS+UC1UN9CWMTW4vxgfyhv5uNnjwKaYfL26KMndnt6SiqirrB XSFiVbb0G7IgfRI67ZtLv090JKgzkV6PaWyMyRjb9jmdmOurxSPJaarZ27wNyrnotgEB1bFHL2ft 3ZtIQxC/OCskE0H1jUDIkGa/aTmpjSuIbc2nY5EP472raYjDEjJhdM6dUUFx8JxNiqu2PF83+is1 ICfNdZCGXWB6raNFvwm1Sy6qoBb4wPTo0Ytx8olrmYt/etyJXCTLN+8++yO4miHoIVHDEU6zXXUV CHXnkEOcAJLtEeJODxOZsoambKnK/tC6D/DRk+uLdIs46nbIMKj9iRN0U7kEpZqnzQX2iLXnKeJu 8udyw89ADaouSsG8xsu21gnYdDIfsw5xc7UPgKiY6nswhmU6h9z0pPh+Q1V0WkTEX9Z9QKTzYyNd fG3gaOhVtsz8uiJ39RGOIxWCngCyVuVDGy/Mvnqda4K08xGdDgrapAuIbxFQ4ZViohkaWOnUCSej PnuxN00a2p9ievCnDs2b3tYyTMUdh6MfE0u3fQSCT5oxA7o3yFDa+Ze4Oi4ygsY0pP7NV8ezEZ/M ox4Z0mt5OKNKstJ4YytWvSIWZYYEldvgdndd5I64NJ4B7Of1uLqAc4x3pXesteqRog5lImg+5RwE NhbLWr3CYs/yl91gDqwvh+N4c2SEXdmb1Zv+BlnuP8UDQ7dHCyO++bjxCCaenyNe05o3MSy/ZXzJ fS3vowJFA1yFBV83auiRQ4s0gmYkOQ/Pz5Zk/BanTdxPEN3d/A3CtITPmfIuKFZurSaTF6YxA+6X tqG62qOSy+6aQ8A1yKTRCEc9Gm1OmsKx/GLMJzAv8OU2xAglYHIQDC46dIpIAq++vuSTwZbW+pU3 +Blk1KF/BXKkv6al4wUx3iR0J2Tx9vgNYftIDTIo/8sEdj7uMiWt+MapVcTmqxQj+oXWRRNnO7uw DrVA6b8g+TNkG1fEEOIaRL1fEEL1i0lvrldKaPXTabx/ew7jzeVLLSXvLbLJVKLqq3RFl26/pRKw VpglQz8PyfgWQfBV9Xwbd/j7jZn5tvFioqpJjNF+dL1WNh+fzLQF5NJV9YTQTvIsT0ExuWogf69k hRrfYHyiVya7aFH4FYBZJiG50KB7flZXbA3N9red1JeXjCw1BiS6vRG4IVSNeGLkhwyMrQuLpqkj D2qbNWdnI0bFC6Vv2dNu6lOpG6Y/kt7ZnA+g0rMrLR+GRDp3l1MmfddhTMmTEstIvRRon5/MUkqT vSjrq00ThLCu/u7dUBQN0pt8dAueIQZrzLzrqu7HFZWrniiKK7Pk9mHECoA+F1Qr0XSlmbMtfvqn Km84Ro+11YZE+6r8epZTmvNDlErQCgi/2aNEA5JimsqsLRoedKXane1edKnafLgeIsneeCZKq3ck xD/0OkP3LlgfaEtTxcq18ToAlv99QlV20XwYutUR2qU4xniX1KewjuCLH4BNrevratOSZtOwrIKp kriVR7ODE0X19qfhmoDU4Smbt8kWQk1K8SUObU48PT9ffNLeB2l/VUWVXH22llYuOr96NmgNLM6q FtoTZXaLQmgI71mV67qd3LlFtQCrAmMvtNK5XfI92tSjPePbvtJmpuvc6Qr8VuLZ/eCWqOGUhMWe bhNbx3GyY7DqGbtntWjPTs1GOB4LvF+KQZmn67wZoNQ5AvCqe7r+KTopAcg4kv3q9l1YmfkNVq7L dlV7OZVdcQichVG/vzD6WxuInXwrWEpgm9KMBS85/ZooMg735uCSUB5cIeAQdmhKFFMKvYbHPAm/ Oc5OQzEVToqYq+aiiHoz0nwRy9sijoOGOKEW2SG+FqxTR88O+5M9ohBfMDj2SSe1QfUIqOCG+SL1 NyLn5/RIRToUhZLOGuK88cycJxUpxUBEy++I1SL27fICAPIkn/lRiptrvy3DQc1lPKS9dxsIWy8i auwG6yDFcRNpwL3RDmLCXsSDeuKv1tmDmX3TZQRCAWyto/uHYAFi+43uxKwZoCIVIFcSzktuWZW5 1tjIfo4yxb0akGh1isY6XiwXnAOznjg17dgMvR1mBzE7/mEALAtgeMJxqMndAnKC5SkNKr91poDf GjiPphqn+Zp/2uB+lAjp7HV3uxLU2WtsN93/sLBDgvaF+llTy/8iY5cZtm8ByIVxGHXPv3WNr+s6 KdT4hU3goS0b3QG1l7PAn/M2oXcZniWCMeJm50LyuwAPGyd1Uknvt8AIJE67vJdc5O2u7exHHMuM HVxnwhy3KTHxcs1DQVuj8fgyf81drZavUzPy+9ByOXg57vVM3l2oAy82TV78k/qrhUJv6i3EyN5o Zu8oxRaTLFUPAvLrD6YBETI8SA1JmBzgO1LLB9WxXucxxyp6TwuUpiC3BT4t8Vtuyp9hSsuQ75pS hJPFWMAUNTp8P2xY1U6gaCdlJUDDz3lX3WnFAv4OE5sLECx3qnzocXSnSSgmr7wxfO7bfZum2XK8 9pRFWVe2CRJRK930II+x5EidHFbFGRhx8UMdrz1XsDMbHzciaTaGJSUEnVX+XDezhYRwxChD5sXZ dBLiV1cKDCBkbvCyHFmI/lQ30qqbe3v5WJn6Rfn8MrqO71hfyfxx1WjYfZEdRPU6ELHt9iZ0fFXs /TAwugFn58e7L2OLPTXAVTzonTIY2A43dSuRcz3MRACJAvdxk4duDxTrA8dqaXCeVPvsqpjX2xlg d3xktoMPhkKrni6IFjEisEYhEawZESYa8KcaEU4V8GLGQ73L8cEYO+IVKL/deIyZCxKBmGlvExN8 E/Hef1y5wDzFgfv4OzOary6YATPRvgJRy6/kxmqHtxQ0SnnbeQgfuYjenvohkIvatmpubGWfLcU2 GedaYxRLLij6Lsa3v9QCM/SaLQlx4O9tvGfkOnZHL8ahQjbfXIJLY9FWF0tdQAzPSf3OU0K+4T14 Kr7r38YfC3k8bAXtnvBwbUBDgkY7QYQS37fMsDo4jV9l+/n49J9tjnCIOvr5SV+5+g5xLd/rHWxF pm7FCEC1KoQID0FoLMBf/F2bJyC4Qq2DUkgvGC4IVSWLp+WAODYvmFVjLQ1l3swvaeU2201OLe/s MUXlr2BuI4fJLYarGbHzN41Uh1tfl5KC2OVVHE90hPFi9dXv4VRwmmEebYZuzAiwCS9htVYU3Tp2 Fy4v3VUY+2RHGS+i5GCN+AZEUlSButBChx+VZYIqiKYqGkBvnNqrN1T4HU9CoCq0luUZatA81Db9 mSWbJE1o63OXGpUxE0HZPKyRfAOZowPUwwrYotEYmeYPHWlrqWyp5TtOEdFd4LwBTqCmoE4oebb3 NFUgDD7Daom6QOZBheSsKYmk3nuxkmsC+wPBgUhMrfccroYhStSGSP9Cn3cXXDcp/0witnhojW2G SeCnxX2aa+1PjRRGcswSPea9CFNTEdAZWT2RZFHpa83U+CjkuvIk1UQmxqngSEAWqC9tlgzSGaqB MkRXv1aNECXAv1n8MxpqQ1EJ4FJ7xNwM2Bg23ooVr2oQYiimJE5OBOyx9V5x65zUEYTxNNVCrjbf +iwjHcP19sFfDkYlF+YoeYmKwTn1YqXTrZfu3AI8A0FH3n1PNASKqWnFouLZ+427o1NknZHrRbOg n89/CX0kSICrCa9hfrg+zMI+j7ka4lRKpkBeAmVp2h7D3swfwoABwJkTtoLq8XltmAE2Ac2Xs1+w xhYvOEYBs0wSs3DBWn7OqATr3EQXL+fQD9j2SqX9TE5dKgY2ml018wcvvBa6cRwEmsyHUSzoAlDB hBzTjgXiEiyk75JK/P9MAk18lEA2+gG31miwWEjjCsGKbGJAl+z8343lXa4SyNQTr9Ote9w5tzyu oi+d4v+UN8L6e0+I/YjuKaQadRwUtJfWrRCWVjlEdevOjyOD+cJlORFzQpYn8vTUv2J18rgkX16f RYaoYE5dKeE5TB3wgoid+JOPMlkryyOoJycNUXSm8bvop+cAjefsCZVEolL+i93UQV5ADsKjT1mu NRVEp8vNn9i6Uu14ll5ENY89m3/FS2IaKkdjXJ3PONhJCbQ06MxkH6pCJ2VhINp4p4Qum+lJ+Uzq nMnu188WACeQxD8/eSH7h4b3UzPpvFYXXoxCdGGL9RcegE0dA9QQOLydeJffEEVuHmZucXdSkQ31 2u+zLXVhRhDV8wdxkdAqRwuiQxAowarQn/MzCt4lbvr0xEeEtKHJ650tSCFQI7C8m1MEjkc4/U3a 6OwshKPQl6coPl+6HngxJe+WD5Wvvs8oFunu7daYf/ziTaDs6bG1yDJJ7ra5GRyDYcFDUOGdqAvk pGXWmdVcTaHbzCiqitDx0PXhMpnoyd4gqSNj+oyQ2aqbjCDkNBq+PN/CIxrsbPES488cicwJoGP0 YV0SKWY6XT1IofFyjkOOFWydPOXCuJ8XgUmfDlbq9lpNPY+QQt8zDRQuDWEsaQMRDrunmNohK74y ATVQ08Vg0kfumCOz5v7C7PybDHIhD3JX5OIiipy79juP0++MwRNsCl712ZSCvgZ+tgRdPNEEsUWe Uc0s/8Yrw5hP75mK9ITOmxhrrnviC6hjgmQptQxHVgl8PWAMFZPTdB6N9lrWVgsqWmOw2Hs6b8YX M1lMvTM6ryPgP9l2V1Mn+zHv0Vo1YPkig2yie8r1FiN/5lhG826Jb7ttCqXcbotU8RX7XUD0M40N 8RVD+/sl0756wTD3PcVbOyW2ypwyxvQOWYcmOPLiuoBZxPGbvd/KHMvPEH20wXYCbC4Hg9Gi6Tha U1RsxP61grL6w4jH9qSsldiQhSCELN3DPYsoZ+DQVKqTpQD+Ne53O9a1FzGA4pBvgpG1cZ030JDn /n0yW4GOVJPfHEtiIT1qDpU2NsODzxS5Jz5u7BHAJN4o898r5ErC8saaD3IPddAvigETbICazFMw PJdnLGDosVtA6/vQgQu4HOiR0tBQRz+2bdNwLlzLo4Ouet0ZDQSAOOmIeRc7Vui+pyooka/0Y0+n hpuxsj+Ec1SYmTXPtLSqagBfAE2D6sFma/2hUCU5MAgq6hcmutrnn7Gu8KBUCbDsrJoLE6UAq4nm yfyyYh0BA0g9WdLzYa2pgEpoQd9ebcJF5BAH+U9guxy4RoYAz90VuefkIK8IkWe9Z3RU7+FqmQZ0 Vv15F9cSQO5wu0fKiIRTQuekzy+VNjYHkpoHZ31X80geSNMkiYSsxe6ODBJxQ456ZVcz+S2j9Zbs UfIVGMqMYYAUIUigqWJU8+lv9ASfEjjEldOnTEsmn2aPRNilQY5O6afu0I7G9Aqm9FCRA5YqEBfO Hr/PoSS0XtBfVJTNgNDEEmoQcijOEkYy5kcwCAeOLAul9gsUpHsRkIePBLPWNxNFOJ0H237vhego WaIf+xWH82FvC1Z0LomPSgt2wcfpEu3AXNBh92ctp/I0Ul81GSU72tyuWOPqll1nTxk9thTU4R7H JiZxSEFdxrwhu2EMRj9mkvIKZ9CKebfAj5utlSQttUUf5acuB7dqDtF0K3twZDGlYVX18b0oJ6zc YbEogANekMYKJyAxxQqTrePNgRzkvDUMPs6LU4MaiuFC2mKbFr48kj+BXQ3NpDkk8ZRVafITrWwg iZ4WnwF/63HfUsthryDIKbcdphWBFEuIHTjl04svq/16bZ1H0GlQGM/17rIy7ijxy95Tl0T+u7ub zlL7ivKUW2weX1yfH0HUtuucrRPfrtrQCWang5Ah62J69i8viJ5u9g6FdDU+DzXjCLobD3V9YEWf nbgxaHg0PYcSp7/JwquW8ur/m8jUYBkiPLDmW8zXq36ddSat+yMZHcp+V328+gcsk53dzdKnE0mR LoJl/XoXmIltvusLRfWgP5mH7H3Tlt1rwY05VBfWp1EHfu4Qt/K1fi+EWday3dVnR4sQKUg9rQ1g fR43lWCIA2qeRZy+18Ozvjs+qIVQElQzeP+8fZ7r8lbla4z8AJkRZcR6XqH8hZ9GmXema0LB6fro 9X7PC4KWxC9LDEob4ZvmPIrLrYhawbZLI0f6f6fGKU4mS0+DJUhnKCqde4kO8+iH7Tyh9ges9LFW L+2o2z/OMdOYnmum7CyCuWFs3oo/FM6Z5EewzADXl3CAsXZETfWQzOh64v2HZxkvHclJx/SCA8ap E4yJj0TO18g7YAFDfDGjCRQofHuSVX0Ae9raSC3PEz0Mh6hT720icQt8qldOZtfLYIu1KzKB4zM2 1tfxFW2sbYh7jF8g32pag21cZNfyBeKs/V35llXaoeVdt4tqlVnDU2p4SHHokrhWZhSUvSBs0ep1 i6TEHwF56CjDYK9i6yVPG+KdIylRSSJMAwFg+KwPrV66OjfYNC+TmhYr5qIWZ/LqByQ3ypQY0GLn arS4lShKpe1mZ7KGLLboU3Ev2WKkQRg3G2XCdxvCqFYd0RAJ6x4u8O5jNUFOatg7kx2l0bngdtXm dwB6zcT1GdVwrYS26gzIVrSadCWYMTbQTMXsobnmRtV5/fyz5QP4VkgjsmqMp0StTK68ruLVtgMv XL0xbKKSlfpBfqFbLhRZkTN6BwEcEzdiCa4tuL1AF7+q6rDHfj9DmeQun1yePrdkCPGY/okp2q9x 7B9io/ImrnE/+A8mrT1eFSfSSYPXm/Jzsw9f4ZLHnuslzchsTrg/xV7h2XvCvPMYgB+usGxnlPVy HBK/Ety5S5J6hPKykYC6i6bRBKK+JN2D2Awmv3vAW/AYi0L7ZWWfTdliAJ+zFZ1mcldl91wVp50G CRSQrgf+ry3ej2S7siJVUrCkQMeg3FC4J0UqNWGgwW+Nh7afFnO7RCnrR8qTtpA1yn2ZyT9AGKGJ OuAeJLwqGkiK5AsLkSzOMGx/wsjTmfFLxHOacCQDta5gLQS4FTEie5vf0Ul8KvK7v8N1UrEKGEip xDqLUtwvTlitQO+1kKWDplpc5VulUTGoDHYsrXbbZbBxDWFFVjT1u0uUEZBzQRLx97u2a+wo4HsL XGqX3bOfmGBeK0IROpfogWqE1PwB5qU5tMEaEkdGp7LqxbmF/kKfB0cvlNyEzH1L0L9qLkolyfh6 mHEv65jpQMh6h1gEslLSApyxboxIQn5gwfutuZYhQAViMHjQxmWxecEU0gpRjR3lmF1s+Zs1ncQE kdg0bnJv9xzg2wRxbbnv4Gdn2gfR6N/Le0ja/It7b2TB9ofoHVjxdz/wvY+EiQtv8B5ezuF5FM2r oR8ShDBtwptuCjuXh4ChaEi/VpaHGGDKGc6f3ckFAZb/XXVmni+y529WVXlzs66bZv2crzAms0ZH q5KTMtwWR3NuSemCCHt91SCBgrQhxdtTGuEB8Sd8j7hYXf5X/XH4frF5GW1lHNDJY3lBUpxqmkiv E80t1xhP9oIa5BqCwx8TladzaW0aY7iiWHmuwx2ADgH0/jxV9ka7ZSkJYnQ6nn569BOcKIve8DLu 31+tFsW6dCLvaOXAw9O7WAWQObZSbgBRnKPkZwiUlKEw0jvbZDZCFHYo7ejET84IGb4jMTCSS4Tl BQ0KFiabIIcdjSVr9CMQlEInu4H1wPEu4YOECHplr98A35pwrqUEtdDuWmp8cdbBqB1Ov26nQOGM kkXmc7hVIpdZMMUSsZAYVuNbWoeytcSHMQYzyrrjWD0RDyhkzcmgqLQgBnUzMQehlR5m+ZvvjTr2 cl5K22/3WdxltP42yIAvNN6/gctMxniBC+Ui8TnVf9Eg+kEOaoG7891TDAPYcy6Dcwas2vk/TgBk 486CzhrbmsFmZqHNein15gXhebn4NjnDLCoO7I1Re19hKtr8KfNXYQ5hSUHliDQ8P3ZYOY9k+9mD 4PcdthNKgQtyYhy0nXB0NsbpZLFY3FbtOlTNOBnF7utZIS58dUrFrF6Wy2RqnG65UVUw9ti/TaZF ouqMusisByqoaWMl0BC6YLRz40YNi3t/D8CMhCBuWB9NuGp5RKyHZIRHjWeOOupP2ETP9j4eHGgm Ohr3L0rtqoc0dqPuFwi3vEaz/fy/S/6KVZgR71C7cPZmOrTfdh9VtV2ptQdDDuYsWmyZQ3XOCyJb ARCuTwA5tdI+CZ7zTrE9PmmSd7Eigx53gWdUK8xhbOoASE5xAWtEJ1T+60eFkUpeh0rX1FV1orVN iW4D8SYhMnUN6RUkz7alEPmQIlqNuURg799BKMtzzs3C454YIjOl0kBDidXCbtoMsj/XfI9FZi5/ oj7GElEmtUTG3enACmr+cChK71OI3xS3XjJ5TzF1EYmWKMSuxLfeH9fdya5n5ABKSHj7bILhdN/Y L9Ge74zi1QH6YwgPM6Y+OkDd58Q6lV8iz1SJ3DvGbdMR8h1RR7DasafyblXuDhURNUEJV4H3M+vT SSwT7TBTuaqecwtd91l5E5p8DAzXI2r2E62JCc0g+dveuXZ2XIbv95pPF5BRBBsUdh1eJAb8QRyg mqZTrc78dboMfwz0L4Q2ZkEDq4FOcC1jORRuu3Ca3cb2pn6rk1W9EHgfc/QuPhXsdkzbRNV9AgzL za9gtU4Q6iGcxDg3rCidHimQYhR5YF90iszf3Ygyd2ffLcatMmvdEnOSomNHYdIKNw7zZt6Epjol uCEWKNVM3duG1ILODQcHP+sjQFNwyzD8imON5M8qKLBOk+6BCs/rr2p4p2iWvzgZIYrnO1G+VHr6 dAo+hVlSdXIVh1Es55RZ8ZlvSJl+oqREYzFRynnWXz2P0/vyjoPP/WJT4ninN72/stmCCROB7xnZ oPg66r2lCNsudXXjAVNAvtfd94fe0b6lDyEOUWuoLbMfGcnnBaLro2MU3E6eItYzzTydDTo47YR8 VLAVV78inxYHhdBaZ73F/zSs3v8gGDWKD8C5ANclq+42dfnoBKG7izowP730kkQthRcUpcpXkhnL Uuj2fZfa55WBGCgfF/Xy3eCich0maAt/qXZDpMX96r4EYJSpzXzjGJF7zrys9lTuIl45yAekA/ur l7HJ28Cp3vlKpdawaQDGLu5IPRKmLL/i1iTf+XfMin9jcIpu//Xg5KRavedBfxhxeOHqPP7k2C9Q Uu48qkvTFk0wheLsGvXpHCxuE/Ub1vYeKGo53Shnpq8Y0nIiWVns96jFUJHGrmnnJm6RmFP57t4Q oNGUtZYNoUOOlfZE51yncCQqkf07jXWu9pvy/hzSmec7/biVUxD6yvw7gLV3EGY2t+0Otpq6NObz QZE88bR6F0omzjxJV5xnQVYowB5JpA60cA4X0rUpgUPeh1RF8rAvmdlD5mbjKRO4IGLNJ3sOgxcF fSS61vlmi8kPLxAZVgEGFm5TUkC8O0iN3nD6GDhuKTLPdG0aATJi/Pq2nFVTwZrTgH4yk6F4ymOC NrFU+WiWz9nnM8MxUiD5OruAuvnn9sLStGiN+wEUifnEb3dtK5t5CAvsjyktcsZthIgafeNCPtdy NAWjL6l7ZjjkdD9EnRLjX4VdUPvHWlqRPjwMNmaK49AR3Jdoax4MFvKS8LElPQ4e/lONCIPkOSJb 3xEHkXmzkgp2GaOVhyjLSpLoVpSXolWhH4Z1diWV7KlPtgre2fczD9CxbKDyE6O+Ligs6XTktPcn T3VJT1i9CSdllnuRYny+NO7gNxYQPllo2uRMVlWB/5EMGEyKvR7vkaRKsJlKpEu+vuQr82KX/h8f CRA2091skx9ZNyAGUBDoaGcPVXx21IxyGb5bx7EqldsqtehZd2sHqnQuqQbpCutqPfJ4jL/J+r/R dgKq12nB4M/ZCLShroOWP66NNui8+sZNJrjO9vW3SF+BOeHAyoFMSBpRAWWqoWvtP6EKEsx+7Cun SCxrmgIOQGmC1buWIdyQGVxRLQCopCr3V3YDmwXhQuy/0/rmXxFVOfAMHIXZ0vLA7XS21ScV16j3 pqRGWhUM/hjEmkSsdAiI6nOSVVoh9BXPoXVf22QQWuF1Jf3qpmYDwAF4sSCEylJEEClVoeS3tH60 m2IHYk+s1+VaZlBiXyOelv4o8cHdPnTE+odmKvfchxvvG9LAcVJMgwG6s9BcOLta/i0X3X3cuNlB q9w/72sOF3CcC711GFlpKHRx3o1Nl8ac4CAeI1gYOmRy/3kdxiZeS7gbo01ifU3GvjmmqxiuCaer +iMK4dxdOAbUS60RDOG/L4uzrFiRmqGnckLGJZmaahmgh0J4shz0EMGhWXAwGpmn0fHPUoG/ACP9 +kkqC0N8UmUXIRWMM0zPIpF5EDGhLhxLLIK8elYaT7BFXS3YTFy9s8sZ6myulELfJEmuEA/CYUoq sXfWw2c76V9bYSQnBCcAV4cWeMIMY9/Nn8rwXv0SwFLtzh17VTXYsdx3warLYfJ03vcSHvijzTVe Gi5AYBcFpqBQXhwJrMIe1cQSA2JsMVrL4VfCguEciSEvP0PVDTmfb580Cu8ZERM+JTC0L5feEtTB kCrg5MdivoY78uaSpMigNi/DoMqbd663toT6ywwCquAfzfd9OnUHqKWTlOdtZfJJqz2gWcL6kNds IBr7unnbZ5lZNDwE9I4vnJKrSh9/R+vx4xC4DOCj/TYUJYDQ26I4yRh1iKP2oUNSnq7I+E0LFb0d RWOnOCWLEWM76PFTQqj4bjOsk8mDP9VWjIGEdSH6I2v3g5hPPws9gXGXRVI4u0bJfIm2CNJk7a0G iqCpKxVhLMwh4p395d+WFmfEv+ev7bbWOeCgCqO57C/2HThJctRB9RvgvACqVpX7oVfGk6yz7waz uPQueoXKPhQ5auAPLBxrr40KA3skX347z4cwfNDNAm/uH+HD2fneOI8iHPUQpXk4q28i+XKNot7s TLxkWID0HqcUUYwtjJm13dPl1Po66LEwhJgJQfMiIxdsIjCGtXb/Svyz8XGBglSpVyja7OOjDOws GTYScfAyqRQZ+ff9GNw4RqodkUQzReV3mxBY6HIg6Z4ME51E5GRfdYHaWJBT21xJ4Ce2kVTGWQFf 8x/aeePG65KS5EPDhtxppfkQkk4yCvUEhfDRd+3T8Qx1i/DugI8KCNS+0ohMKUeLjHnZiQYhpb2B wZCfaDag7JY7/D6qy5qjRtLPRdj/LyrVg/LAZ7oktPZ+W3nyuZKcLIdTvmiWYXdWgB4hgL6UrkXa WNekl8fwIhIEcJe7hQNvUXxL+adaA9rovNJSXEjauptioAdw9nSz+j2V2CRCdO+K0Bl1Koav6F2D mXJFjzc5NN3F5fMlhBHJx5SxaliUxIkZZP0x1gKdu2xZLKsiP5K5Unx48SBIN0DddnXEM6de2p0K CtpjL4Xv6likhGprXGmKplZiGChogMRSJhSu60ol6mcaSps8y45dv+vZuU/rRsuT94Hv2uzgCgP7 rMqNtjhi9+lFSDWx3yuA4kaKTbgfqxxrQBIvrUYyuOIs399Fvq9qquNMx3V784oE+bB9wkgxmg/t tgJql7ReYDEjoGecFb8Fn/0NGoVZ+mDgmCFNzFJ0UKhkrtg2gX3MlhinlKP9P3o8CbtpGqpVq00H sLvgMsMrkLkxqAh1ocPGK2HTYjb5+/fiwbyG95Nkae+WIZEaxl/+IlxHg5bJ9vBVe92GCL83cM1r 3iuyo0YnRBITfCSmoBzc6Y4n8PC1k9W2aLYkkiIzLDvMWpmDd0XTE1AGx9ZqTIwzBa1Jdop+zPay gaTs+y505yKGukIrachwiy6MGC+zMCbqIXsCERm5jxRxyUOOlqqcWisfmKgU3pc2noCYHeSAfjW7 gUyd3esJlXHY52IV8Ow3VOOW2xDNNVSfE4TGcGpyJpvMloB//w5h/GdB/taoBlvwEZZ7sOXMkEYZ piMdN44+3mVnF8BYIEeKnXMPdioGP4fzHPkqDjWsLQQl1+EMYoTFWI4HYESrdX/uSruF+qApMthb LZLuBne0ScxsEm4pa/dI+Qvm/1g8lLergHzHLOCdLMYBJSg82fIkHfzl/I2KUvpojFG2d+KVP7SY XD7bvUz0JiIJbv4SS49Mk9+rqLEVlwDqKapeFeBupje5lpKPqc0VC+pneuFzaG/XnwqhXwTNFzub m7U/ceCP3uXlAVikoqadG1tmejijA/JLDf2mbXzdapxl4ctbRHJQdnpYK2TwUzsSeO1MpCiA6DPL beaji96CdT+kVMETQ0AuXo9NB4Q0WeiBVYbzyIEnYKSyz++cAuUiKlBJdUFgC0riZoVnw0RGmT+J bvoMHPzwzE6e6ASatZNqhvl/GzYHt1xNTIPrTo3CVjz2uWX+B2lFf8fyfWQF5vyUivUGYnQZgZAJ aVNNnUBLd+eXLWGpx/NisCAHJRVk3TUQ3KF7iSZB0yok2oy2kMfnh8kvjt/aOJxnpF6eeWkn00TE 42OPLQA5rd99AtjlY5K/oL62NJTF6xw2/MlOuOBw1CmIniLfK5zsuCJdSKKi394k5kZ6PWKbCNaE cedXhb2f6jxt7oXJJD25+GBTqkFesZUlOADor2Bd8QrdVl8bgHYbKfB+/C6wpA/N3ThFGpUvZd/v I4q4WEqJLlaIUjTuY0vjqy0Ui1Qa3Ji5kVwNtFN+1QL59K/DedL8qCkkPym5znlpt4JQaJYTpfV1 tPbzGBuDh42ZVSFUbu8aIyhuHSV2BiLN79Ei7rdbC3iFtal5MxASWEIW/tIGoeZjBZSjSzIkMTl2 04rmmQ5hRLEaK8YSHFg04btxJa/yU323rkGrhJ3vWQ0vKUdjQmf/3nE4bVm2TVxEklFlQYOGFJoW OmW2vF5yW36Jrlt7p4/dG6pWSvnLxkPsKDNzb7vgK9WxpT+1xQJF7GS6dSe/sftSluF6Xn+IXzKV oeBW894sAXG3YI1eLSfecww2Ft44CQASECK1ZP52D/EIXSq8IyAmT5j3gw6dXh9IHc6br8de/iT9 um3b6HmJ60YTngTquWKunrPz5/qUX+AaET8I8E/lTH+lrGqfzSreWSyyX/biaCNdB7y9h+i3qCj6 sRqTUFRImyvf4u6r3q8+A5yULh40nMI0p7xLv75fjmwtbDPsltgfW9lc2vU7CnECQGxONO9KbMgI DR2FYzh4MzI+whk82IujURUTW/6RAUsq+jtAuDbiG3IfkxmUfXbSXs6E2s52clOHZV8RDyrfws7x oJn1RmSS7eGUM39e06UzETinFuZ8nJr5q0bzhvIEN2SZWgZhCnPh8gxR6wwfiLkOrLATGlA9U+1G pSV8/TZkHHTp9fxE48r6hyYm+PwZwqo1ewsL//HBniv0entYQKpNcgJ6Erd0QKNaIi9vb6yzOoHq S9EcMKwyDZTn7fP/Om5LlZbuAUCH5w4b0OBeEVULcKBNH9RMH7cPDREPJQmllSaPO0GKkSYD0f/e +ZknokK6hvAm+2tj07RjAUQQX5pn1i9SAwMyZT0CpCL0eELG6Nex5fcpcLSOUGqTkWHqp8aAOgxq QNFT80js9jmc08BcmM6ht0BWLBaGacoHGA1yFNxwbo7roh7j//jB90lOJXkJFIz2ejXntovLx6pm R+RlNEzjOPCdCR0w7Uux3yBCsfZwlwsnr/hzqwE0NF00xybZ8yiau9XbC8IJud5FZUxyhEHfaeBL q2i7hpyRUSzrbQGUuV9/7S1mLUa7NQ2/a2JJKmOr4F7NVgSjqDY+NBUfd80HLmsNKuuUmnf39SSv Yz1gCpFrPfOSV/6Q4GQOxDxK/nnbsKSkt+ouXkfumOJVZgh1iW/pDwNZCbikEuKPduVOh2F8s11P dk1ktVitl7YPFtcbRUyjyKJFZLy1Gl/EqqKiJl5GodHiu9sN8zYvpI+ft4K/AlDW0uzuKU1hs0Zt S30pv6UTlJgUU8dklFD1ksG8BKIpm8kPUfmY7EGK+WshrBdHlsSS1A7edKe3/Yt7cwOca85ye/B9 gddA/QREb6qtkzMBnQCRbDZ2AcTpMz7Gui1gEOigHkpJ6VxAKAl0/7ES2HWtMqDLUCF8G0CQxPLd BCXKXU34swJNiOfSV3v8g7HOKa4+3TIEBtssvJ1WCLQwNGPmyDG/37ebJM8+p9MXnanzfE3ikBYx vPvCllCCHcfKQoUgPHIKGNmyUc1duE0CCGRAk22wDpRBW5/rAH8m080UOSgfEyThFGYaVfD1ZMg3 nzpZAnvnLSIK6zRuVh7zZEG+lp31VDZdiwMrsqbFAdKLhqaeEgtZN0mfZtyo4JQDLHzv9rV6m4T5 Td7i1VPRaKoTGZ2vGgavFa8kqeHRNgz6VplY/isuMdHN2T12WrSwqX2gN5VmX4Lqo1MX7J/wA2ti inkUnlghuzdZ1C1PnIJO+Z1JePuQq7Tizmmo3rA9cPpoXRz506EjnAPZ6lthL6TDOmf21BY1zZIH jpduXe4P8o8dg853EwJ/nXfLx9wWbfFb1vdxTG9arlh9I41WOkimJq8bHKxsboX+0+kWWrUnNvjs rbdtiy/SWhYLvGehU+zP8rkeAtsARz9k2VpXJU4b/oUnSFzHvDevnEj23I1Qp743hUcwb8N2V21z C/l8Ukd+1tU4X6Z8p7HX9KovXKvHSPf3HvTjW08bBQfvvYoDM4XqYxDBau/aEn0vnA8QAz5BGj+4 FBW2AqytDuLCFT4m1GK5yQ/D73CTLkfMeEmxvuSAcuirNi/7ge0nWZ8DEFSZyRgwc3/ZB7TyMcdl VjZq8dCTdpx5WbIeogclhAW7VAOdy6PQRMi1lL29dgQFpDd6zzi9P38ph3kvsOferT5bGx91SeKE iOsqzLtZume3nzyffPtNDxX+jgdwgSK5lhhQKJNnfdWFHFVVl2ZIiDDOPYgV3BsPWASCA1QGOMak ka2M0+JA/NwqxD8wd+M5fvEUHTKsI3ggVO8NWrW9QsQPihhpiAPTAkdOgc6nhSDg5wWsMQSONJuP 3o057eXFr1PlGCtBPdqrSzoNQlQzSGzlcB5+Wjbbt5XPS6eXCuNT7pK8hQiLnLr66jf9hqvW7s2O 8awdKiIk3xG+9sfDt1SQNZ4Jk2vygmm1wFGRREim9mLWhSBuqYiQtSMa79CoRGGaAOXtCCmMClQ7 CkQfNNSbkwNCyRuC6z3Tr4Y/zOuAQsdmrN8N/wz2rrVMQDzHIuYuLRrUkTOj9zbjV/MhxeDXCHFr 0fqAREHy0Z7csBobf8FBLEQ4vV7oCsU5/z5Vy9PlVX1pu0mu09rpj5TwF45p4gLydgmOmsYisQq+ 6FE2yuisMnRIM2x9Di5R24UQghW8f0ZSUc3/XaT06JKhVeivZ5UdX/zfDXkvALNlGR/Go0gyFwcM UXM23vLm2YhwVj6Fj7uqNpScA9N596AFhP2PbjN5YGgHZGLU7RjwQ3gzdgKqulBu2mri+8tKvP68 zmu1Yq2iNeApwQOJHg8NMmYZkYqS8bXc0RFGG8Y1Y+EPO3YhR/QLnftLFCWOTdBs8ZRUIqlrncej MmmBiAeKf7Oe9eCqPDY6EN0LCw+LBBOTQXVKgFHU9fnOKYz+0rO54bGyQZmDURX+10OVDUotR8nN 5gftwHAaBKP0ceHWDbmVveE/29/JcvZNFGKDh9nCGTu4u6sV8f5Iu0rY4RK59mLXOOdgv65lqdUr W6mF9KmMMxA6hhmDMhlBNINBiZL8//VPqggfc0dfsOlUG9fKELvKH9aoskXTPUlVSPC0zell8wBw cc9KVQb3ZKfDFpSRxQuvaH/psgkGSmLIOPcQIWbmXM07Kq/XSJGBixRzwjaOgg6WIWiwg5lxzWIK Y3mXFr9viabOOuU2TneMy/AqOEEnTAI8VruyC6B6k6HgoEfm5ZJBGQkxDUn60ulBP+m/hY98AD/B ZfXzQXNEeqL+1CP1CSX41yCoJ6g4YVIK6QWuIfGQeDOCcbzdtvuVdp7NQEbuofjAzyjqNLwHQjHg M8zf0JyPKAk8tjkqKdMhPSAZepYfsVfx9Aqaq6uloVx1hWADTDxrCspzBF2sWPAzzKVxcr0vPDPD J6htJxNFa4/AEFW4jhxf7KvTGdFU5B8m28ienYxUp9AvEUQMq3fqSwwRlCSSazX7wsCHgJ0e412l Xh5AwNepZ32msSXBkKhyy1SAJT7G3Japlzdkh+1ZTkIx0OV/7e79wVqeHAUFTWXgYhPqFgAN9EKp Cl94EQ76+6hSphV5izYhPHZXV6aKUoEdcpk7j0j24yy2S3/63F/HF9+xohSgSqwjeJOJJjYRCW21 oVCmEJtwc2DBQH/AbD6cgXUf29UYZH/y1c66Hws5XXMKHMbvgbGgF+WOFU0BjsrsakyXpBI3bKwC J71vJlL0Quu4p9xhzQFiMm6GVeZnQycKsXSeISHBIE2lZc8D0SNR/drKM119yLikAVh8BtB+NMbQ KglT7snqOJ2gitCsx7YAxPtSDb7dOog710LB0KuXimJ9M84CQDGGIOjoBMO5TZfFmshNwaUCIklw pG3+/ePUFxlq20k3iFlZd5CHmH/LBQVDSW4zKSG2JUqNMvzvgnIED2v0vEbOCCjHjT0QD7cFQ8mo ymE1Grh3s4b06yPV1hTZV7cF0dzpfE5rFugJih0M9Hyzz+J3ppLCBEENT8A+HnV7ewWa3Z90FLQ6 c7vkP/+Ly8aBk0QmTjmJYU4AdnWSEmNUzDdeK2Tl451O9hJzy5GsFtcr0ZGbBYSWyOEOCqN1WsBk TnOMNRJVU9HXkmlDiSVaH064qUPU/HgShI6vbhVH5dPEBxoEy/uKxyscsXNjfC7FqhK2yKQLFW3/ e/xmWANl266Y8x8frqNfxdECkgX8c1SPHjMvkBAJZNxfGEEDE3g9NIHkPNPba/2QynCEo/CJgaB/ g7LcrPUf52PSRecgnkQm12T6d33zL24zD3bOlInL/0U/lVcYKjl5vF39cChKvUabrz8pMaKnx3ot e1AbhPnMvgL6XcNjNNmVLWwGoT8BjdNGOW+9Jx+dXWai/BeoNe7OPc9ul8mxSR+nIardR/eIekXx TZHL5xs8LdDmCS7kzDMaG3M9kvAe/JW3J7uO+yMQQg07lopRtL2AEU7t1tpeJvu3pWIv1wXp7iiy xFius2gF+FTcjsH2PJMTWYu9YgLb4sSUWIx/pEveiWHk7Zmk63KhmvUJgxsXcyvR9oox7NI03+3J xoQxlNJ2g4+NA8dTm30vOI2a/SLi7/FwqTm0UPzOKAP/qHKxlL//s2UES07s9awWE+UBu15evUDl FqHbge+SExKbCInbdbqlKKGZ9TCRPsKO9wPqn2+47Sib7k6Omr2UuVK6S8BgdgzHFJ7BNeO1oUmd En8tr6ff8smmcZMmAVPZV0/iDQMn+vPVUjz3RKFhAqMqKIbylmzHAGBX3caujjzU0xyszryWIHi2 jZF3LXdGaLF/ZetBhkd+RwkXTEQYQzN2Z7YkzQOrrVMY33Tf5j6h9Tjy/VLxLxvInStcGmOMuMGU eYVKap1DVHqDf7dQIK2twjuRgTnjzcGQa3LE8UD/zpI5k7StMS+RQdHPpJhCYH0mTfex+RAsaLFn U8lLnzOlfLY1RAGfF1SYzr2JQ0s8J6bamkLEqY4D0zbPmXRt1YPpy/S5YU//9xWt9Lj8pGPAYL0u 3r8zlc/SgBuEQ1J8e/zc/Quy0lvV84KLHgB77lHnt8Z+W16080vmcw2RKnmgLMw7TsykNAkzq0U3 DeMpPZOqxLGzy8vVUsElI2sLpujoWDB9SmWhBjFZ8iFJ+7jsFfzSNeyEKj31QemXU8unUUKkw0E/ U5dRmSbTXQscxGBEFQpwOSsjilV9XntVzf6S/1lPF5hY5I/xat0RpxjckWm4Bi/IZnlDVwNl8dSf 4p9ho7benUrtdsJztH3udo8fJY+J8cEW4lStkHcxyr5i7vpslwliJT0W7GUHaFww07yO8wDx51F8 Kno6k6xCmS8WYiNx8v9mSMHsPkzfZ4+NDAe+tnXuNhz1UT+xDkSJa3fGZGDV2A8QNW3d1F9qY20x Fy4NatFNZYFbYlfCzY5HDqZ+zZgABmbhQS5sraOx9Tf2ixzF7ZJNb5BsZuMF8h6/5eaGfz0fUfxj cec+MKUVee950uWfcIWdf12kxFOnyjGULMyOqfykSMDa8E1NLUBfVRL17j4FJerO8sMxYiDMb9pa Wit1JnVt5B+8WSH7Bab1kZ3fsPtTJTYMHixtTspLb18VcMjuBNvr7W/wNJM5GWOo/CO3C+hzzppr 3lOHiaAz98oyS1s4JBSl3veWssU5dM0Do6LKUvEPEGJcLmJKVNhlKUwzxt2DRNdW6Hqj4xQbAqkf B3LgJ/tXbFT5VZNP0AWGP+52a9ZlUasbwFcqNNLYyfsjWHarv0m0aa7j1mXyOJkot1m1WXrZnFA/ dCSw3/QBh8/D2O0WPWxc494oMm8FY42nHQ/OOgDwU0Wt6EzUmemFEtIPNhSIZ2op7Ipl/L9C0knJ +LOxLCNXwRnvb0ClktnN6Ycnsy5Pgc3JuaUTpSuBk8uEgC7YI5owU3uWBwLxbua1S0f5auKCcKZO o5RHTzrHqGkYqk0XqC/VFx53RX2OPK1aA3XivKb4nPHGFZKmQjIVlEWrM8ccWgrFllSfRdipItg1 VQiPOvbU4pLfT9rH1v+1ukz6AxGHnFZr23ErRaULr3v8AownpNFKJKEPPNkefwovHVundiZgvOn0 CcLas020jJeGK6AF1dUL419ZLRuEcxddwsVxw8jPwSW5bh3WRVdy8OW9yfHdM59QR7olKYuOLYm1 pWKtmVjynCCGO0JkvoFvLhXcgO/XroQhbi93LZv5NV+t2GMG1iDFvvNLgM6CPtmADW2kgCkg6iif JoC2phaS5tC6h/I8xmQJn5TK20QKReemCJjgZIfpVo67ba8UW/SzC6vEqib9vA7GWOrwZiqX3czO bK5R0v0ZgT61c4NL7Rlu8zGG5gzuCCQJFLFEu1KheSNLs4WeeJFRK5ITgPq9icaooVIRuEZ47zuX KtJM6egEVl/RS47CqQrWmKVfZx/JszL6aIYqtLsydq/MuawZ5uikfUqrPQ8Zlw+CmiGTLdb1y7dg a8SrOhdlTYTJgJ14Jpe53ZyOX1oXWXHLQFDGsq/mAwnAbvUqRQhAcb14BziEiBw5PYIiOPR0xEwz L1LPcsshWZBt7e0U/pPwDkt+ao2tdI2h7D2wAInwrhq37R6HXcCTycmikfTN9PNS9pxLMe5tIgN+ 0pZiu9Zgu4K+xzxfWhlphhZNtaP9XJ99+k5paHX6x3dxlQ6t2cuhL0QHdq1wXnKeWVo8pBNA9Q8u F955XJtJAARnnsCnIqEr+mOABZmxa3YJ5wdRHYyn8M4I3JymeF5WfuY1O11vCpRWEx1QY3nxlNHF Tvz2gVy303MTt64nBOod2BnBc3OpricS3m1Oc03sFSwIJH0Wo9wFb7p5L2yhjQwUDWhrLk25QY8M SDZTTVMRnJ5zbGlSQ77zp5Abyl6cyYfpO0JW/Dv1d8x2nAyB8etyuTNm1/x3PoPCSkZMJWG0gqbQ 566PSYLtDJbVV49UVbis/2aGsTaYsOsp0ysOmkoCtGtKqBdTGdFwUr4YACNcgjZ4a7ddjPf3jUb1 O8RF09Lfl/5b//YUx6JlQ9nPKo0HsTsKhvGF4qFMdqDQjJV6I/YgNviKnkNyPCJnJ7l5jP0t3VMm /apn48U0WJrUJ2d5AypRwdZYiORMiXEfT6wEyJey2eb5hQ42DgpHp9fzQb8k+4MaVlCOkWDE4FHz VPrLkSiify1xDD1Kheml3v16M7+XAbC/leEdJ1O7CiCdtn7t9ajKEBUweuZv1ge+fVy+FwuUj/l5 sl+LWljLppDO1cAtK1ZS4Ok2oWkRnwg4dusk86zeJrHSPXRbCwJCO3f9gGBSg4Xiop1WV1QV3f88 YnfWN7mmJxOlHaYoGgtivO5bQW/pWwKdXbNPLqU/ID5EKYqG1UBU9mmcpFGwn7YM1oueirXyes/p q2DIPgLa2aiujOgTl/MfQMhB1u5K7UT1aluR9GsAZUnfS/V144a6rvUMJ/e2+gOQDbkwyFNbrkGY +ZGhEpe0+4IU9JW54UM7y5VblEhLezAcgvuyE2BJVRh4QrUBqumjRe7EUx5X9qDsayE2OMX7JY7P +ez7KudVeCknn+ajBFKUs0ENeMjOfKfiZ5CXTNPDs9QjYbdGny1qBtSeol0pKMX0xafS8pFzTw78 ZgDuQKn1wNmx3WME1jCNHm5knkALmcE4GoJxQj41exkdzDbT1Fsjxps27XQ77sNQlnKJGUsnT/4i iW+481tYC3/fonb0EBs7dLgnZyQra4Zin4vRQkgplY4Pia+OU0YU8MdgILl/+ya7HERbXGwV8iQj lnS9hlo52I/UKHx59ppveq1LlTlpYKllmrPBkVXYU0vRbOFZJN01YDFc/MXYPd4jZDeX1cbYMchG wpWweNqLy17uS/csK3gjhVPPNxXjax80I/h+hkevLesAVTxoNGV6qHW8Xjl9IPq8I4doOEhBxEG5 e+D8RPv9zopxs3aUd8goDn8pRsM68cuv3qmSh4UcauL7F9NoqD6mfkmYqB4cvqnpqNuQ3eeNf8Nr yhOTo0z4pYiYNkUnVZ22XxqyjkK7eyvMjL3flc4lHrlYeh4RcH46AlTgG6ibJgYF/kE58ys8i39f igHS0u7CZAUlA/kGqDkZWyLUw2iJIi+VGAqeC0iQB5a3kWiHIHu42vSKRuQSTtqKB8Qzv/h2c55R fe8UFIKK9erJ+pZKMIkjkobwmkAfsg3nv3GfCahorzXZdSSFcBen8Gv3wHLy+AAXMnFXxX/mWQdy v+ncsVekwfvhMScw9shAYdA48Ep+AhAq3fDZ4FfS5C1a/Tv041C8WGvS4MTrIQwape0uFKKz+QrO p0pI6DuYglYYobgg+gPPIvNeMPoU7BsVDH0xlLy8VMS+gea+pAPFHZudiXrqRHHjLigftKc0Edd+ WBFdkZSCuAVUaW80Q0j6EeGc36tGZ0D7o0SPKQd0QuZIBbth4iq1e0dv7cn9fJzuBIUIsG9lZ6qG 0e54fymz1+MhAHcxj9d4nes0CObWI9sz+AOQkUcXQH/TOT7aa+hEgWJn9nDRWZIWDH35CxRuzCd/ hC1B1jpvHM6lvZeYJSP3GHr/XkUwXoZrbM2ROyXEhOk7sYYmruCOKdDl/eg6mWxffelB+ftJzFSh hVlji6rDMdVFx+Hp6HuO4p+7GEroASSGpIGGm7MTRN4ISKAPBVfNgwqdE7Yptga/m6+1gd+X8ntq O23GhXvx7f2Rn7rE62GuA2oA3qyiQfot2uKYkbDq+qmZSy9Ey7si4vMTQkHhbDJxXFy9VJp+6b9f vgBo2V79yM0pe8soEdIq4qMbL8uMN6wOUkKOry0bf7UxFtCGoAhi4ZrDapMhzMFOToDXC3TNmx0o zFyXkVE6F4acU1l10cV+oPVBnrHOZ1itX6XoPL0+r7YgI8guW8gQE5RGxx2KOl3gfipI20VnEjZe sQzYSWLZqcLk0yHEn3f7Rv8IGvMX1VwTMy8f6TJntiLmKpdcrs8q5aWANBZlEXbUbazi5nwtZwXt 6/HFy039/26Qz0dnx1UjAuEQmfn10dMFZMcYMTsqHbVYBPl+GtxwwFm8l/tf4IpEZ2DHkUi5Boee WWh7jC38KYkW2FROa1uPpXfyeKK0dHwLeTQByPda4GU64ylj883VvyMYny3hC/hT+Ue5zMm80Zlh sgufeXcekUJD1mFaTXUiLjbKJc5cky6axjbzpMF4F3V7aJ7RQESYAJCLLviEn7x4WL7dHyNul9Bq tz22LFk8kzdC8CIWgUkfZZjmDMl9tHTCXqZrowloyjA50XBNZT9RajkYCpRSor6CbxSEnZ8D2hjJ rp6nWCg+eQUHmo97/iNN+HXv6mCS0XuJSh1BdnRXM81mQT2XxXMS6VHAwVVzZxmi+XPzfti0dJRg Edk2eNU0Xnk4wl8QnegWhf+biH5svy66fpLCdjjV4/j9sqzqDO66+uW9zq6nx98P0vkRwB9KNWA0 3MagK7caPy0n82VYVcmIQv2Mx50qLwg1ryNsaLTuAAgQcuDMngOCIERJW33fMox3ZPklSxP91h8v GyC2h/2p+CgHyNH9Nur2dmEwDzZmqMcQDF1RxzkiG9V7/NV1+24kXAV68gS/VT/53EhOHmTPDemD aIMas6we08slK4OQey8YpqciyxIiLn0kRokKmqxOQulqgjCm9OdYAJ+h/IqM1H7rF7posIuRD5Eq 2ivMZSTghzCdirhoMh3ZvWcub6fgEnl0GzYDr+KzKajHWiJK6zpJ0QiPXLMyIMYt5qKfr4OoqJeH ZWTCDA+X+IDUy5Zg4Opz7e1rn1lXVyM7mPI29LddTz/OAKYldakML0HM3Ry31pQSdBu7aPvOmUNk 6KmFVieB0YtBc731NQoQDvZWx4S+HMx4ywZG4oAUUz0rxh6u+OC19LHYjPVXz2TkDis/DGKY1ChD fgA3AYBC7BDtyugyEWOIigBCF5iL7bQk+D4y9f24iW3QMwNhntuKB312dt0/aAK79lR9kJ7B+mcV x/3OcJ5vxtdwSD6ZFTix6ZYOcSfNMJoaTdYchOJmjHGxmP3gXryKorhaqZsI7q8KRu4CvXJe7Dvm WG70uEjdHETJ8Ah3asFedriKgrxoA+L0RiRXEZpMiw/pbeq8pacYBP6dTWkpMlKrWVfxoZ1cscJt uoC5cR0XixWuBVhM2OVYdS88Akg/VfHSVX+b0O2UFIRhg91QOIc77/IEPuGk5LmV8UjMZ+hOOkbE 0H8RcxKiN8S1UKAx7j8takHH5oxb7QpUlgUBNQTh19/mk9mYZwLdOVVjSzNU3fD7YQo4096inxYO RqxVzDTWFO3Zvb4+0qQPxYwRwllTFJG5RKfZDqQh79Lqcas76LL0xvLYMPaL+zym71Jx3B17xvbZ YbZdcqKtO8+VOPcrVosDQ+t7OdHJ3cKkRNfDFdNDWQ/WsEn21Ff/xaTOlL61/EAvasN0gCNzBQPE ZIyOxsFJD6jA4Akb1V+DDlmN8zSUrLHnzQiwhpO7fhv0wJ6q1m7zkOiAPdgj8OfwKzlZ0GAQdN4Q /+Vr+dq2+DMhTQX/bp77JX0WRzqDlp83jV/T8Y3BlfKbdRjIrYzpMcgqmDtggmwMcaQ/fi+8oHuF qyrVPx0WMtB9ZDJzKBjla++HgEaBtMuevG2wqpYPLnsMGcT4L9zJlpuWOk6SW6ifLflcc/ump/tZ tJIVnkYAP2eOAgAtThkh7wV0NdZYMYtSOPtwlAhBeR7dlTMwlHo8UUvHnJbumoHWOlKAGypJ98Vu E1GQpaUWEqI1ZM0hiQRcUFb0k+W2eUf5W9GQw8PxaLAHGPTIpndYAsAH6ojnQQx5G5os546RVFGP uUCXVp+dr2BB20CTUypc9XdBWo9wpX4jD/3Pz2/IgQbmO2gx75ccm1Y+QqmzbG86YF27Ki7YjpJM NygzSLQl3xQnAFJ2Xq2SQj3lPHkKduT0H4AGpqKUAWNTzIKd154a4zQGD6+I5sW/WPy2L31Rpotg /szZ64+9S6x+BIM22Y1Vg4/MLymQ5q9F7C0HNFz9EvHPx4FZ4SrkZ+wMK9vDt+/yu2BFKz+w99g1 fadPrVElrNcsO+s0NsLVMFOTBft58Hva5NxwG+DudTFYF3dv8YAXIySar8xNqUIn1nuh7vPNIi+s uFib8hSWnLZZHA0bHvxsSrGl3x+rYf7ZexWqgLBOVxtXPvTmoXctjyS95iOYlEIDrzPZi5gDq5Tk VwV7eB1aERmkvSFolE3ee54Sq8ATMZVYvkoSEqFgaGJw10SXt4nFZMkaerKnK9yqqHiU5q/vqbYk BIem4sZrHQrm31D4rSnH053gUZyDhZmU2ZgLz07BJ6B1ostL3UmWvsbEeTJ0BKaEQWliz3pAu4sR HmsxQvJrURIw7GSc+GDmTlS3qP4+CSbc2B/yUXhpgRDu417Ip9FiKkMj6/YgcRWv/ZsuJekn95sC YW/B1R9lZNKSfyocL8rRtVWRI3awnRhBzNpNCSttDm6E1GXwqfVKW4G+Sbgzq5DBLHwZ2+0k3ZKb vINH/9QIKLGDnfGFs5zusS7HcfMPFDuscKvoS8aY7rhUL5qe+6K1+bB4AYEJsNXyUOV/WdvcrZst vGylD7c1iXk4FCSeM3vpEcifU2RWzVANBkkMr4b4MM6xFEpe0PXembZGm+Li0gM02cB32om8yFkb fqJMThpqpfmv5hDLi7NfxykTZhdbsUbl2Vt5aXeUoR9ehDNKSNBLgjy5E8bsadoP+kgfFGlltFPT TuyQrWeJ+pOHBhvo0c4hkS6AvejwWuykW1x205h9mFYUIOoqLrLF/AVjUhoJtAGdsoepYKKp1QqG Z82lgzZ//npumv3PpcBWYMzuYIlJq2RxLpl3/aP+TXAPAwMqrTyGZt4waYcYuFPBVr9ikimhbKjQ Urq8bNL7tEQcLDB/Wtx0CDQt+JJDEQQ8Ia1fNOno+Qev7aclqb7TN4HQ0t79tkQ/Jg8fcV1CLtNr GoquxbI+hnDxT9A+la8bdB+k++nV42yg6OUn+R6/mjBw+Wf5d0i7dnOVeKmxvn28ammjtajVA7pb uaUc2F10cbfwPJ8Sc+nW4M3ai/hnkDy8z61P0HTC+bgcDkVfV3geAQ+hyw2qdVHMnXF7PHPvWc43 8fXs9z8Sag048boVRXVrwPskcR3/out2jpSAVAUT2DUtTXLFbcuqb2pPOZH1AG4+QRNMTJDR66G5 ChFkIhaa6EoTX9SqDibGHwTi0NNQpbk/KF4O2ZZ2vinreBnPizmtl1APID2StRftJQL0VGRQP+Ce KoXHyNYX8yz3W9mBDVBx3ibvrn+ZO0Ve5KZ6k6pOwywujHRNzcwpQfMLU/b0VxMI6McHVctb3WIt x0WF2ikNvwCeBvExgEgs195wPzfHjVj7gFzbJD6bWuMieMX1LJaBErwyUgdCo8RQa+qh/Ek07B82 tuZZKaMzlD8lZ+S/JjOKW6dn/u/ZUXSTJRIk7XoBB7i7vcatOFIpU+rnRsx3FxcsLVd9qtezjceA kEW7jjAV8nm3hkkn6LZ/H35lck36NLdW2cnxibNCFZnzIsMaL0cA3YrKQCb/HKn5Y1/nvqnSjvUS PnHuL4D9rkZaHerpLZvfSY4x4kqfX4no+a7+ZTl9QO+z9IwqIXEeyjimrBeeMI7djrRo6k2EKmqv 1GkTZ+NVPX2tAwr7q5n4ruN4aPdBcEw3Qi4JBifmvGyQ3+wxkJSSYP0ohTq3fkRATdYtNbj7gChy D1RIt3WiBk2YsULItHNYjWUP/UVwmsWNZ3LezpapQcz6AsjtbIqz6zpwjIrCmDKFNKpns/xSAJ1X E1pCm89h49mS/Kj4tRNthQjbd+ImevVJ9b0fsbK9N7cNE0Kh/YnjhfYMV9hAlYH7z9lDbioP1KW4 Ps4VZW/9lKnSNczO2yUj2Z1naiQ5uhXDUUvbtlCfEuEkxkgXkuv1U5F2iRjQb3MSdJgWYKNXhN/8 9WEXYTQgZY3vAr0eGilJIezNPjmCwT7J9rg7DjHVUpyR8Vy2n4uc5v2N48++hp9XBqvIGl05BNXF H3c7xBBDbkia5e4jLRUvctLOh122BxzrS6rgP2hPcquTPtgP4eymaK28EwkBektuvoB8RTW/Est0 scCFTP5k40nKiQXq3ZsFegLIsEcRIFFovQ6Q/TqGUC97GC5Ez+xxfOuEPYWFdhZS9hKKLbYOsUDu 1FPDApedupli6M/A5gQIw3HTJlluW121hzkWauegxszRRRI6w2CLzManW+8A5ytXIQsD4THYUAsF +Lz0Dr4VamdPPz8lSLzno1z6IYCD4r2KWKBUDJ+OQCm/uX8AW1i+V3ECngnAGdhpUwiL06zsM7FN flRul6/zRuUWho0fpIT6qeU2dbBfLBPTD+oSN2Xu+WRCWDdfrfY34v/bY7/dqvHHRitrnqlnaloo tavap/JIGlfLLe60jskng+PSERtO9gRfxcjy4wSTFAgiOjFdEM6rkzOtQMV+ZGy/J4BX/OE/iTBZ ZfeLw8xrzQMjfX6Ga+zRKgNutzZ1j4oOJrrQXxA6EsUx3Exa/KtcEKCEw/TsKi5CQ2WPupgRSgkU MXJ5E3METU9UYbHJ0ysyc6yO/nNdl/1eTVwPFYXbPVHeNloE1tLY3y64tPL558rbpFxt3pX6XQ7j VBdK/cTTpmxcdSUq6EaSdq4aXAPlYe5ZLU1jEGjViOWj9hLV2HG6zUVOTl0u/MbOpvSTmyJcWpkZ 2lWSp9zGm1wtc0YOWHVzTBoZWr80rlDDLoKdfPbGW3llyzw3r7ax+GqQMkVeOIijKPyOY8w2E8xK +J4b0IDwB37LH+Jv5puNMl1C+rLwA8hlHrBPCdXloAsjGle23xOx/lGC9YvSMXxjNUNm6jLLRxFg NOoUiEGq3ES4zc/sMbZw7nFZf3c+yrxoegWWwS+ypxNro1QCryA37UneKfsrDQ/LF8+EAvWFoDgm IXu1p4m998jIJ8jPGaVV7IkpobTg3vOF2Noyv3cH/4UogXLgx+ZPbBhwz9K2PJPNi3AY1EOeYt3w /CkONvoAnsp0dM6TJV4/osTf+ij4Wo9ictoUi/CVHUHJfN/aKsDj1wqny+p4/mI6CHRl8RVUlYDu UMDvIe5WklPJkgUI8jhhdJWCZhUpCJBTjXgflf2IylrpnL4oo41gWV1wmXr9nWT7h2gmi5QfNZwM KlLBW6o3X9V7dvaX21U0wKLVHqEA4vo0CtDaUM4evR+PpEFXnFyHRN5iRMyi2WBDRuDV9cXxDP9e fh0U3j5eUZ13UseL1roiDM7ky4yGbKsB6YobxuYggSSALMBYZH9n0qglAh/j2Ej9t1lS7Gbuo3mx DlMVbpWK/31S8dSekap14B12paJO5gHaUV5W5O/zHQcWl6jvv4ZB27fCJwMs5pI4TyMwXRTpm76c kOAmhIVriJPMtPkt+wNtpKOx1W9D/hWWq3HKamE0GwHf9QBBiPFKhp9fLDv185lTPRk7XW7JrLL1 iBo93QTrJzAv7LNp3DQIG/zDRlpryXTHVyJC2c2dgEhw8P4bJP1ah/hZnJNJvzRQR0sLaxwX/I1Q cpFUpbrPc74zhZnzENxfKDNs+b19z6P/A3+wn6xx0V721X+thEjL5CnS8bPVHAuxwHR18DKOdSb6 Kx80DDDFK9lahUbMsQpEP8trJb5Xyeqgugv/PJvZxROFYiJuTmB0W5pbZ+Ro/bSn9d7sTw0oagd9 XdNFE0ipwVFaYxh3XONw8xkVkSWBPBeUnCxdD1AMBVMmh77sLPjnWoH3KV04hiTkfTtWQqEhLNfm PIO1S4l4VwOK7dNfF8b8nwyP1DQJQGchQ8SVuPRfixSQFBG7VmvRbdtfeD/Ka4WCkSmI9QEaKFRh ZFkdeOfiIoMyKWypJG0viQNSWtKflRaSMEb3+Sti/DtpSoKIY5mw0PCohR5VgrfoA1smvGB5siRR QnKrG0XdVC9Jpof5SWYTS6qAtzNigKH5/wjfkVVyHgdiRyVMIbNqfZDpKxUnKvM4XLtNd3w4Hq8V 5gg2xCRQp9IUMpeXDqAfnWjFnY0gtU3t4y7A/Ner2dty1k01GPTjxRdpCmXg4RkvxJI0Dya2xNxh HMFeIlc7VWwZIJFDukb07Fgc+ZdBD3Jj0AC/Kc5FTPlfSDU7hjMO+qbDoAQIn7VABcpUI4dyXlr1 K+gQ2knkGuKP+PrK78dyFNH0aAGHfpTkd1IdPxpcKpo8Velx9XoBsmFWoS51quLh+ehNr+b0RXjB v82lBMuv0FUHWXIs5UoPEL/+LFHGq1Z2dISg1XmMSb0onkb9ma+GZVJQ5tmTCej/TNKvuNKfuqro gwnKZe0O8yXkWEGGnCVdXW4F/+UyKPxTStxT85UW81/8CqSOnErnW5+oN0WR3oswRNBPYQBg4MFw vPs4ZzFNJl7od4uDAF51XUpQYKkH9jtNkb7a1SxIN0giCN1OT752k1U0aTijwCc5vpPWI8u1GPcx 72KnDVv++Khho1Lozit7oJQ4Rc5dk8kp9tizdGFrL0kCAh1tDroFd83psi7jtlF8+g5JGpOkXqBq 5KmPB0OFGqM0++ZXcwAAGN2Buj+4dNp9IZpTIZaMHCwTciflvCdPyXnkMdt9NRW7YYUbYhba41q3 u3qoko6B3bUCpk75pueLn9mu37GhdDFMgNzuus+0PgmJu6GIXQro5XMTT5rl3F8GgRQsb31dOD2K KKfj4QVZbmD9kQC0jDhHg/VJiO8WPwdAPLoOpXHV1Ow6THKGN+0ksy/EDYYf6BVmVXzYWLOGm3IT risnL1h7bbRXmHjaG5Ys33LLY2n/fPcEljYdcyzuSKoSTK9eMYRutB6hfQWTCqq4Qf6QnGcbn7Wi L+NRML1s/7vcQQrk+y9m10DzFRr2CJrv80+fR9pxFu3Vv53oTc6AdgDA55uLYz0qBCQO1i4H9mQl k7sl4KwnpGHWeT9/TbcgvzMqKy+6tNX5DZVNXI6F1uQQ2FUZAv6vTsNjHFp5npHAjWt1wzXyMQrG 91PEKlUWrX8HO3jewqzY9o7kVXl50TKZYEkJKBxKvz3wDM4u20LJiMjRkMFXcZh+8QdT8Ii/hQre AzsAIEiPVFkU4Nee4kVBBXUY1bNLXR3gGXAajUmgr6+Z4SoeWXarEFRc3fXDtLxT18/N9lij/U+q Lr/beXmud8xFjbY6O1K8vG/QC02eHuR7bpKqeLz/6k94mdViJF89PEqJ0i6b31M3KziJwyhFmKfG r06FDoC3k1RL9AqbFk/E5Ymp4u4XmC8xkJzbrih4jYETnstXMmcPMkxU20LSNUVGNZwygRbFX63l 5l23BNz3icvX2BJjDxuBzA+9U84FD6/mxhY9rdxE4G+SSZW6m54bNFCPs01ispBLLlsvCzTEOhWe IFTfF/8T7SwIssiuevAShC1WYvJ8e71OsOPdjYGlq+shYWxIBsX9aT015eP9yW+8F3URC7WwKc6S f+NOZWhjxBemepMBURuhIjfiEvE/lsEOD/iArmIEI96WTaUy8RqhrmEX9mf48yaf+xe4Owa8mVDl nrxLTA4eozlckhlCjmIvRgOIhWQxHNthEjTWdv8wwWs5IKEIqVPhPSojAe4YosbhcreNiRH6/2cz oXs8uwCcXalq2H+RFBePo58DjU/KKmckHiUXKGPzVul9UnsXS9Iq5FpgEqaqOW2sFgpETU+aNqjd ezEmzCGmbijYlGmgwQhz7mr9ZU1NhyEgUi1Gw8UAvDC5Vnuk/cO05yErbHVwlBlRNA8/vS4TIcEl xHI8bjMCoyI8AEQ+AQ6xdhxBSq82D+PILeX+vG1hyS8PqEBf+1mDa2/fPRmtUvlh9eWU/3F77iir V2vjm4PPLiYjeqffyPcF9ogqgP9RGgpANw1Wmb2m8W2ZmW4ocqK/+kQmino+QgrDhmuFawclFJPW F8qmfs9nbIetXkYGvFRG/UVzfSGM1+y9dsmZOqR/L8YmlFmRGoNxOyJooB617buJkW/rUMR8bnMR OrEuM3BgFW5IVtqwKqOvt17AcpTcMUFiPkOynJuAKNiGtP4hqkz5NqCLwUxbXi5sB1D4kHLkk7gg UmTGA7ZSvg2mNG955ELmgXYFc9w4PUL4fduBuBTiS2tYNKVqJBAhQrnw7HICr+uiAV/u9o5O7TGP N19ToLv8wN53khHYWTxH2joLaeWkIo1K6MGLAJ5CzGQPd3wb5Qz78kND2Kxk6NYf6SnvLX1An35e bCxAr87SmaLffe+bpdG8H6mizQCa2dNwzaQ1Mt/kedJwpGKdszSlgQjyiNpq4nIjTeaODq+tYN4S SyR0NHFdZ5/PNmYS5zfD+BIQYmWffF7TELgdLv8/skzvFizIDx3CAqDx1rONUJK0PEHVeso+xETc 5BAdkwM/d9wTRFwlH4jfq/mNomrbPdbMzigWAc5qjgz19VsgShDqbDjdOeLIYYZCj3bqFtq6uLve adQQxXp6kXC/JG7bXGqG+OSQoW67Y3ew5JRQD8HCA1KmFrp+oqleNsoRr0stc0Vo9YOHMYqeiGJ2 MlrlUEPvgnw31K56S4ynMMI9Dd8QF+Qy1KtRdPK+ZHZR3ZKkAYLtsebwbHlo8A6rA2EQSttpngxd dZhB5cG5nslTzrP0LPng1LQdNfHRW+bTb+KbWXAjzjdMYMfz0dJmjnHkC+72qcPhtmYVNyO5XAE7 NQcPudEdSdu1ynlEwM4ua2vLK4fmlI0MdhPcEyP33JVaXcEsn+JyKKrYZ9y1OVUI0jfPCMnLiuGX nWSPS8+OTaSS5PWbMryiQYhtrMP618PU/gS23KZwRdCya7zqRuvvEhcIaZ1y1uSGKFVMUjzbXdlE 57gFbEvruUh282y7c7//0LKgbAEX4qjPJvWsH+sOGmho+TiuhPDvFvAkt4zSfv3JxjIghP6ypFSD 2y70njn+qgrobRy57JXv2bmnaJyD4GGAWZsku3qYQWuXFwejN84kiNKydpO2/+e3cduzoLxvRiNb wJ6z/sDCZ7rZ20rTD5f/rm4qj06xybQrFyuQgjnxzosgpEY/RSPeU86v4aLlz+lmVuDY8g0ZPLgd FOGWxWwm2j502ugMDmT27+6RjZeUH+5pWY53mNg5YVbE5dACzPk8u8OFZBttEf+s3EE/KsaYjIdd aEI3AJ8PqA/7p4KvQfkR5zfuCGTq/B6eLiIQ6nD93XFYy5uZclwimATSFm+0k6YBp5i41PS+J6Y5 R75TGbw+JrP1zPm7bt45C9Z8H3oxwZgCF/QAHYO8FD0AT2e8vYSe59KY0pqqD2l9/qkC2aXzdum5 ywo4N0iY5b0oSVyvjVWJYqEYB2qYiWhrzu5tIaaY3Edgo8UoXdJjnNBr744DkKC3CtC5SAVqwWxP OsE5BqWyhoBDgqB+9CpBuU1weeuTitmG7NwfrDZS6+zVuf3LcHZNRflzMlYSj0ffur13maxXRmpC BFQV6ZdFCui3R2IvPA6ZSpQol2zPTsXeAfTvb1oNR0s0vt9rmRtw/0GRPUpmvNnCe4FH1uSI0Myj bE5IeRgQKwNmRRE4Apk9Yjub6wgx1Sy/QkhP5RnBCeQbQaR1P6o9DRaye9veWGXrjPH5Y7GHURdv Y0pzONN8fvrfVcNBG1FD9AHdyMimVOYAdSSIRIovOjgLiWiBkzG5uJ9OMfdpTylQytjea6MTcDPF PUGYdjYnZrQ1aoKjScwM51P39NGmQdPvt0hsGXkdRnzE6zkwkqPVdlBpsdIXVG8sONXVivZyc5hq 3PHX8sbfHsFr6UHCAb34l+sBqoB6zoL345CcNf5zZHljFf30KN2bPQXLK7yUIaNXoNR5wvNTVpok oRElM+0lqTxtbE8kV3nqWydCU4x0rWDDFLNkJighYepscS2X6sU/mPKjGrv0gz7LXfu072HYF/U2 CVfnOdk+8XKlbjAAu3nB8IUHUdJ5LnO+av/vqmM2m4QFYbm0t8eze9zy6PtPcm9JxAC/+qC1lDWa cqKUl4/DqIyummBTCNS1wEXYbeIPqTzUVP/9K9wDp+A2JDEg2os90qTXwswgOBeNTHx1DqdWKtX5 Hze9stjI5gGKdKfKSW8L3yB5vtstJy/T1dWMI9anZgJPuDpRXlLBXXJ2SW793TpRpCetOugOq+qW hk1SK1vi6ZzScifpFYcUfqBfWXQLGakDEcxYnXzgsggeKI7rPwnIuBLrTBIdKtufb2+WtBjyJlH5 QmfkpDHwfXhxr0qFjHIAT4Dy/DwT6hrmsEwnUCNf48YystfZW+LK3h4GDlU7nipItxgnczMDOl1s ymzL6hBLwfr9GhqWyylrwtOwh0zq6haBi3mNbKHIJW6hMb5/H0GUHGz8LDqCSV/BLJh/2Fz612Cb WSQSWEF4mHtNhylJEZ4dDWhKgfOOodNSrmV+Y8EnVDKddKTZP44o5abT1XCtA/lHS3FBuNIlX72M D6YAol5pEuqjRtO9C3+oTl8Q1USm5ro19Q7brqUuuTmAv0YDBH+mSj+We5Rq/W2kUsstFea7mYOA qPmvx/qLAWKyQqm67nE4TWlrg/6+5E1KK2sEqsyQunRRQs+UJhJYhaGgqvSTgYgYE7xWo776GQp7 5IXmfQcTDK0KZdQpQF0KaQtTTxr6AQNuzPPUuSjTnRPweuCc/ztgpEq0xYuCf6qAKU50osYsUAV1 YK7eZbPeNDYl4InwSQ0Zr2wJveBVJ34K/JtGO+ru3z+XRTkG8cYFLipRkMys+GgUsGP9/0hrletL KIo5+z/838fqQNv1PZtRVVchZctk7hsiQ1nnlbBJ7v0W9c55ktrguNz7y7/mS8moq1XZbv+pD/qO dPWyiKP5dkXLXKBSlC0Cxh0iLRXCXJEF5D6Ek7wl2tZZzzHqwwVRnEDH3KXW7+VzoEWLXfbEtk2F hvSqCbtV9K80TNbYTAiS5Nz+TLaiB4J8YB7ZaxuxSNWBVzkEyGC1qn4EpLG0ZeYshupt/OSyZQkR gvCIlQHaa9HOTpe/vA2jK9CPlIhpyaYMbKnUsa5RXNDYSYZ3toxNYeSLVudwKkV9gziasFUHjOss SeoQu+BDeDMCW+fl33do/ybW50nn2yg7H6Nu16G5UyRWlPoUig5KOpUxTQ5ZkbkfjDZ2SSwMfRgU eOAGR7UplqGqeSen80M0RJvSfRs1Syg+iFI9FquMT95fwmpv3wk18VB2XxC1VlD+A4BjT3I8g1rP l39NCUMtYFbs9GRoC65QaCtqRgvWtF2SzL5SDjhwmgikdCFn2FRWv+jJ9JCZXs1ENwqa09ikWZsI aiX2sM+jFSWB4o668+9cWdn4CEfk1DB1TnULEjLYPfkT8SvA3k8NcB7oJZFGehmlc6CrHEDxq38Q SbQBH5hGmZOfSPBVkTGCgfRfTEWg0F5iOy4trg8s8spWP8mUs5hm3bzkwpBbhNUdnDvQSNhbpkw5 DoAvRKfcp8OXfAh8I9tp2SnFzg9JzynguhnjkbNh+HvRRtQsnbuiIR4LEQqv0PQxqXgLUMJDeXue vb+ovihPCgXQKw4r1CLKUfPWf60m1EC6lOKAV3Ae1RYi7Awu01Cv3CHFUPVJwo+gPGAc2rhDA6Am bKtKGNOQLntjrCa4ICAdG8iuDUtj9dPa4zin5pa7CGmZQr6mPG+LbzQMiqCxCRJfB/U8IXMXqxTg JxRt76GKKJ2bdpLLhddj1m1Il07fT78oLHGMQi0BpKrxUr3s7w8IJXKjnVj4/VKEnksNdKEgTJoV GhiX3jbLxiwsdLoDmmhV77RvAndw68xNnW25L1lG2LiCPbxUiZyzrADkBarLtmK5IZ4/YR2rjAeG 4gum0GpcmCTjVIASasvDO/wjavkFbHr2boKSxLJcd7DBy8FH1czZESV/glS924VuGxVjMZ0VrVrK e/yEub94fulUQH9fYfvlRW/C2MmLRDjQ1C3qXI9doWUMN1IgefcsAGH6AUEIf276bkgtKHYwjTGl knqp6NPnyZC6xzwMx6TmG1Jb3MkivFC+YfLHYafOE60FaGnXdetvnXq8L/I6X62YobTgLZ4UA68a i6GyJ5ty+t2FdnxGTufRM7bva4tLq4njDdE8bNwRQKN6AQuTuZW8979zL+V2yDhFqYdJgiYSqBB2 fGWoIeHgXLShcyBrZxyndBBgSLs751IIh02S4S8jfTCKIk71NDhzxcAcXXKgBDAZJFH1586k0Q6q PKrjPdfX+hGUlICzf8IapGoEZ3m3DM+0AOiNU3Kmoe6wT7laGOuOdbdBDUmlOXLh/67LYIZWWqtR kD3ugisnF/jBvrVJNOFoufKEAXeQAUG0omUx8v+0f3274nEbepbTQjT5stgDyP5BMym5knojGM4U X8E5PlvJAiMFMoZHTIO7j9ffNRXQd78mHb6LA9ECyx9Uz9zF6+g4wGe8KAYhf9BPdC9R+Vvu4A1r OGvyGZc64mpnypi0/NxSiqzW+yDO5E5uD9xVnbUZZ0AUYOehILN0UMZ6M5J5uowyJ89gJdg2c5iV 51UtDPjkxk4qO1vkM5Qh7fmcMdJHMrosx5T4tq3AAuV8La/PEg0p5nNxkvhuLDPUzHMPt/toNouE WIG+XSE4q0ggNS2TuwnJIAZx6NCcczH38rJTs+jSA1fx1x4fHEQOQ8RZJmI71FKNmqgfwoahGGNI YSPdSS+nFXgNx1Fek9AVc3GqML4wma8IM7gk+wPuv6IIseqdRkOibkAJ0SJPVRrW7rEZwysk8fpv JMs3njcox5N2NY/PAGCpLElMSobiUWd4XCDRbIn6q1h1iNjdXLElyWj9mNj0xMZBVT6abJTO/Gqo m8vPymbU1SnrTAAv7sPyWsrPKI69idcXtrhZw8eIqiNicVodTlc9s2kwSExJungQlDGj3YgXLPCl OWGSMM1wUZMpMaU+htNTN9r3IVUqyZ1ri3es8icGPiNE0EsR/xireDN9XSKgcmC6paHQsVFFtuRt gY6rQJrbQaMlqSOoPbJH8s3A28VKudZMISu3AUEs9E/5Out7biUem7pHEoJdccENpMgVJ/GJhISG CRYBM4Z47TUuGNh1C9Fxj7iHDNTEu6DgAlcvZ+wmSDrBDFK4C4eSeqMLFJ74pP3rVT5FV+WvHvN0 Gz/4HnXbM5IkOuFHaWeu307W20AtozXghsnpPGKN7eRUlEm1eOdRp43y3+F52KT63TSimL9t5p3D /P4fa92C/4Aq575+sHah3MPSBZlelX4CrsMngf7xQapKaS/Yq6vyu7uPBnfDK4KTFu0u5r4JeVJt ncqWWxG4iZ/sCD4UiNOfNwR+8lMcnTQ1UMlIMjhBT+/RXjb1wfHBDsnvrhkTpUxiJjKOO3mzniZZ xdYMU0PW6FqJ+EMlh1LkgfIFv+lQd1xRgAzH9xYMQyMX1NX69XRJqcIk9GJIMMngkwwAts4iEDeE xzMXKFcGilBXm04eRnZafIAzR7Sa8sGvilXYJnkxk4BlQF72gUM91OJBwkxt4XHCnVRtSQr8ZW8M OEXP2bHJdmcwupvFZXm0FfAvWCjRjhpYHgIDXtMG1D8eM8g/5/nNO6amAdwqXpvc+HFIVvtCFylF L2bZ8gIbVyzrKKifaRZQdCkWPOIovoAB33iRtrY1szRDGldAIi/py4j/exs1mWS6khwqmtKlWmz8 0ZG8L+VJVZM0Nq8jev7TiSYuqCBMFWKRS/hS/K9u8KVuz2FBGyfySiho8+2PgiOuCpY928ZiHqjY Szi3zUtmxPWrTnV8xnO93Cr0DxhewH+OTSAwKI9GFYqtmNodYLfxAgNPBnzyLhtnIhX6in2TuZk1 MOBOLDIIQ8bc3Ojmery35wFVX82GTy1pYYPCyhU3lctprTh9LzD4iCWyfhghNuhuWzPhChajqPTb +pEu4hWivjoEHaJWSUPVNbySXiuVukpfHZJPqy5oRpaTLQfKUZOjh3KwiAHTolJLa5vtXXJH3HTi 0SdOAInUMXKiAufoqDhbgam49OXk5iLc8HR/sexGTp2BIgrodQ6dMAO8PRWyb6Yw+HdOtecYOq7t Q91eO6C0mfOR9QA55A+tAhnu9ik/CoCtF+w+eOqdDqWOGZxmKDPbx5qZOtXJZOzrPOHryCLwCiEH XRld64QQoyDMKg5k3i9tnFwbiqIX4sMkabErujjwnJssf1rWf/2pwHzHIEAfOQ6pLrGOXTWR6i7e Ed+feRLsCM/NZ7d5wcBqsiyA8UDfdFdIKoZ2u+kowjB1e2mDzG9aKgs2QrjTwPlxPub7Ggyd2Dmw QKX1EaxAW7K+/Q1wC5VdiChtRszYWQ0b4M+oUPxISIH9N3XF/5+vMrYkYymDZG4xLEcONqM3RYmw IcVZlNyOu0ba3SeKizx++lt0m25MnvtSRa0Dp1tRSN44Uk8AZV16HCZ2Ncx86i6AzTUjkpFuiUgH 80XtGkZM211MYoT/fyojraxFU6mVu/k7szCFkDKIyEzBydqasE4TsiCdpgWfLZ/6MNs+eR+LkYLd WfTaVQF7t0a2hOJCJ9xA2HmSHfRlNj5MCZ7cZ6vp4eyHOvURFMB7rrIPi7GS9aKVjHNqypdy5vo4 gFVCNEzkVOftuj6tPI4C38DVzWwOJ3h7V8wKqj61Tx2NtCXPCdlS39tRC/ZDHxXHQWA7ifp5HIVE rKXDFn7AmQGNpCmciRadutmAenibB+6Z8ynlk/X51gp+Wi9b4F85OZDcF421d28RlLOv9x5WatI+ zPgmrB3R8PF3TeTSiUVltvXoqnVgR2oOVP7J3vVJF4tGnpY3NsLlO/CHdyYlLpRKcXskceD62NMj eBiHOCgF4EGMG+9nmZF4xzFBR9cP24GarbdRYDmJWaJd3QqTXg0AlFcBczTt1+X2atsrUQQe608p Zbsn9Uq5urOfuh2bD44b2WA7REue+Yq665GIZCjcC/ybwBHQ9qzJCYOi9EjyBu7hIrlZn/lZ+sED QGV+0gClA6gULOKr5OdBAkipLwgUPpZfiUUhAGcpfE5rQOPK6IqG2p1VAn7RuZSy7Jk5Fe92DsED DIjYuaywGtejkeCW+DFm/3nOTkyU1RSZe4+94+u3t6e4FxmKTvwUkfiRb0svOgsD+cfSN88eLpZc nL7BWAGkNNr96uX948SFcFxsN6faCbtibV+sfCw1ddQ6svafh/++3Z9TU/FxWmsS7FbUmXPJhb5t bctvGC/KEAgpcLvnK++jowVMpV2zA5O3h89ozxdhIokw/AV2LUQMq8EshpbV13S20U9moBxfDPxZ oOqvh9xJlQjDVk0K1ht/Em6KIMlpVtjmURvfcaTpH9x0VysmXK2fhlQ+7nv9lYPos/QUXMzdO4oW LPqwDTjvQERg46i5NY2/SBLA7gsX3PUr9bwLz1iCGmmBSGXkWCtQqOSNdJbY+jU+GRlkUk93E01K kc0aDea+41PhumIjb8CfOArHTY5yADJjY8UdvG6uZCvCVhKNfOxJ/e7CzTIlvqf24ArxWwOlCJ8r HPg6sf36cqA/SsCM6Re+LbPc+oDuJjWRvYYk3ztswapX1AvBne2ghRyOfkuh9H8vdF+h4Iq+LcJK +aYnv/zvqPL3Lh9fWtm6o+kKSFBXHGH3bl5wcWOzzGSkCQqOPE/R0ApmBRoJO6iUL1+Mqp2Vtc71 8yvEyGcTXz3Mf2acQsRgQFriG11LBtFAJy7xb+XALW0JZfJyza6i/z7kYuUrz+jCYM9XsP2kO6z+ vh611HmUHxHCktXuv72ZpiZaeoTWdN4BB96RHk/4dB9aSr9gPIxLGFpossL5Xo/yj76yuYHrq6rg U8IFJeOF9Tji35nJFEoQ+4mYXdmLG0hCbAtg7ksGP10H7ePtBJWgBkqCHsHoFfgVasIZlCQB5+03 H75Md6WVqo+oYEt/Rqb6dgV+pWwM6obM5l7lPpr+8RCidVOXJq+p/BUGSh635cgWAKhG8V2LCzN+ eYwb8QSLyEwrPXLjKZjCsbqK3nYE+a15LovAAWZdjKxyP1AGb6NQ0n/ZZp7WyIFLwlqpHMZ8jkgf TcN4ybvMJv/CgHML2MTBdEAzCd7L4495GF/3Be7j3pp1ex68f03CT45c4s7Lt4WlY/J4MAAHz69h LhocJp6D4i48RsUeGCtfL8NlV8Lve7si1C+tJtZpOv9e1j1o6dbIGPhJR3ujoj3Tw+eHaA//GKv3 Gbeio5BuAa5LUs7cUGqrLVK8oq+nv0QmZMz+kpVWj534+N/JOSXJ9zGhCO990QNqtB18Ht6falLm NiKPgSeOFysJq6U4Ott+oI3QciFViNUj9igVSZoc9nQHB7ApebuQOcJYJs8E82YwepZ1TB0GEAGf qv8HldLXLT8I99CJ9qprY6NUfl5t1TUyoLi9gzR2gNi+Ou4RoCeoWF01lVH623T8iS5NmEBjXrmN 3q7QAJWIN+5aa73L7gtnYqzu9uaTAw19UPK4gzO7MKTf7Q6fOzDTKud+807XK98dxCaFkp9ufzCC NRlatEeg1IwQk+GDSQw/ajdJtOjMe3qBVWcXhkslGILUVHr2C+9SwJn5/mBJ3nBL9hBEnUGNf05Q qREu9RZlX8H6zRx43GU50ZoEOpaibXygwxkYfy7tDMAa4x3NNrGAqGuZQCk6gEAWvQlkc0TqgU3F 5KA0JUdPpny7CCte8u/Ch1U8rstE1YmldE244tpkTrFYHbxQlV7LvtgZqZRsBzIr3BvnDXsZHtOc kBEZdYik1J9gnv7HGRigpdDln2Y5H05gAtDuljJMJJLy3sz0fWrQZWNZRBIfSVtVZbtqoLFnS1+P H3DsnoZnsebFjtwrFJKu5sqGnQ7bJIOqchMCOyskG7oHCXGLVs7Q6Y8U9PznrObgVHN8pfWUoBec 0YwidoE0dmCg+2o+3Ck8+dS485muEIQpeMtsJje7he+NGG8GO/7Cm7BgIXpVCmuS3IdGIVG8FcCA k6INDv6Cs5k6HLSphwF4Rt1bpXRQptnL9UxkmCqnjVqqb8Xf3+5nptLwphqinUnQ86IGKjN7XRoF ucSK+n+tPJxGX7gIQEYQrEy6toLm2XzRK9SkFuZVZx9wCXA1qkFUh4keeSpOvmkEY9G3VKsV+huu Ot73GP8pkhmJ/qBQxBbyRUqmueTmRreXF3YROfp2QUEY5AXw0wydU43lC26hyJ67e/4GC784+tNJ 1vFPVNbGHH9+B8uYSoyrYP+5M72EP655olUrVNLErJSEBiDdWQPjAT+XBSR3ZGMAPg5pStu779PV agffptqueTHuE5bX22ZVuKRbTAm8grN/5taV5QBD22k+oYoM0Yh4eW8+yJd1JxBJc4JudRJxcRV0 AaMcH0Zt7BjmkGh5aRzgIhhmu1SEWqT9Z7b6PCq3/mY2HBjwZE3dIt2xySUyyiplUYw0z84wSh+7 2Yp0KfG2nhomy5S9tz8k+1iMa0muNvwPnH6+p4mksGRpwY3Xrdip7FQ1PwfTwdnykEEwr7oxsuQ2 cRshcPML7JNlqtSmMa8R4b8dYGOlIT/b47tP8+7X8J8V31xU3eZgAMAQPazmUdGmXIqKNHJqKsom u8MJ1jrNxCMjdfMIfAoF2uVDBUwgRPE+HtVSdM7preMcf3Pply/OLcd1Rb8OOjsXHYJ6V+Bpzc8Z PrgX+ctJzzXgRhsneYHlZS1kvcNcTddz1hy0SI3TReVecsc47iN4YHy4/NeXHmsUNzcBaBpEKJng R21aLJ0Jyys34iVA5zZQ8Bds8EFFEBqA8eBXVDeCsEpSl/FO4NOChCr4fDhQBI29AftuyGCoXB9c wIQUZvyPXEp8f321o8toUaO7wLl8lLngiUFrtsAxXEW3Jcdzt2LXtNPWsLr9izX5iRbsgO1Nwl5W BJ7cwnSkjItDfgliNdYZMUWrKJPJsMA6TB0SDaXbkSciMAB3ofzppsg5oegI729N6y5B9z2yyrG6 chv+R8At5jjoGvvN/7cXyaweJkz1CNNM1KJ4RrWSmsKBWejUduKJFmOlZqOw05bNs6BBw6gyLwrA zc2gVYp2sGyi01YZWHbYCemTqZ3qlC+qQmNglV3UYUpewNQeErukvZcdWBOQVGTPjCOdentMkeWu f9FlFjelwnFk3rb4Y++aq5xbUtJNNufedDspGIoVdpcGCK9FOYZu1O184I0A+f8nOmFv4qjAh246 R7WqQwivPUF1gtVxq+CdVa1C67ZywPcXftjLDgd+UeoIaMLTVDDL07tgI4BcF3bH5Gr61bASL5dj ibRO0A4zYdRIM1gbPL1AaZxJT7I9BOIrlFolgEhKaL1j/icKy3RQAlymXQKwv2zHV6Pkm+5sQJSG jqUwGLES1lXSf0p0G4oQXe4je4fME1f3+egaXHmPB0zd2Y/+jxxynqvbwIsbpmCWFynxN1g4XBtm 94FoHG6Xv4jWIecokOuYdnzVZt4zG328UnsJU5aJEEMzGI/gIQkJkDlieZX00X3pUr1rznoJwRfL fDNWKj4//rHIWUQnTJBkrRsHOMh1wHDOquyNArlpaVaRqT38zSCtzGvi5r5lZ0nLXpeqaJxDbqkO yDSoWnjR//RUF+fLa6q/e76ujCdsPYIo6wHIdLmexG44iRmOI1WwM0IF54ggWu5NXv/6p0cxpuCh +ZIa98Ggc8uj/LCvftRZy+nLeY4OPUGCmjVoWgBwlxRLtGgnVpG/JK6/8lErKCoa7mifkF89QrrI aJMFu0dJ4IGCqYJPnz2mCeExtlD9VcPkw6yQCDUIV3YOY80muAeihbFU2Pb9uyKbULnkm71OEKul NHDZuw3QhkObb2a5xgFYSbtVFhLKDDrOmuUIK4cp/yhMtfYVl7vHKbG2adCtTD/lcB5jJ4j7d2mH WOUWFMsnBOMz8QEQzQHcLJ2wFEKkotKfP4cIvT3RJJ/aZ066emTLJjmRh/QaI7FpmzW2yhWFejqM GJ61OdL/3Mgyg1cDPJuGL8GFP5XFH9S0mPx4QkJypw7LkuWJCfCwK0QodC4NmgsrR9NoR6eMRaQp 79bLmg9f/Pvi21Fp+RSaC0HrCLYnOKoITpCYahb8YvfeMkLnd44W1MvRqA9Mucy/ZFRa5lBprNQc r44wWKqbn6/qch/kDzrw//1NZ6eYUFlUj0zPRYWkQS7U0vGl17pgAQzdosL5dbDtjvU7NxIP9Qxd A/34evLiOr3MH3d0PlqEnipsmL8pOvCmvNuc2uynugYPNEhyxmDIjBbnO3AWGwFdrZY++23nEwGb 0mR8Ub8qHIjfJan898XB1LNImxsn6rSin/W5tS9R2cApaUlDUqHq1acwPuqYnlz38a84X1W6zztt q726WkYm2voMYsPFyGwZcFbJT5FSyX3qRII8m8seXKqmBQTuxEiY8iSjdbF1DG/7nwj+33nOM37G 5+iJqZBrvr85kWlXpPiWXWAmQMvaFuhr420rLFdlaBM51agiH8PDStOB5aJWJbfdQ5PkCDEOj2uO S79IQ84+LJxs9c9L2AG+b5FUUEI+nOq2jH3yrGq/arBMW+e7wuZFM4NUF9CGMKVj2Iovu0iW4/eQ M3VZogTToMi7KkHyrvyWn6O2Q9wF9o655u1hy5iI+gphWi6P5z8iHsszZjxrnOg5lNzty9+KeXZZ fwPafw6keGwrD+7057M2rcVBFzwJ2Eh5BFE7z7LI8tRWUwIAbf1qm6sAL7T0uLRQ9pcRCKNDY6pP dWZj7zjRZi8xdAlMd7OYpBQXGOv6yAZgCtxnxaBCDlGroK4KWTx0GFjTtVExOLaM/ZYYjrD09x1p 9t6vfadF5SVgUFdC+r9eu6Mrh71umHUXSsYrgJTWdoEk+0miOrdrAosX9oHx3jomIjHyvNjPYOkL VzfG7I4ia0QzViaOH8f+dMotu6y+6PTHC5du+Q5hEoveiyN/A+Y0UNuYNvYxFF5R+eFvjQcsLv4D NxCHs2W1g9o1Pj0ErvWdbZhEuKpR4tl1wMSedYpGbOWDBgbUBfFd9r91FBXvENiJ8Chvj5usiCQT CkkvKUcHQOEm5MxPSvQxE2eaZhciL6Qwk4HRJNFHff7xwA8Exm3RcatoXc6pX4WkLlOuScHSLF8z ttIOioUvD+Xg5kOOX681m71oApof20u/EXYP+htc8xiXhVDu2NNU3Xbg/nqi5mPXM51j8pHzAOsK JiJd6l0RN4h2i4V21wJe8B18qiCXlFxHTcYqt+nDpgVMgXSFI8zGsuzP/+N2NDHNdsxFr1X5OtVy 6qZJfmHROuvTFLnz/L2imuH0j/gJ51CDSa3R7CxjwwWyR0d8BQYn8WWSbpWw7ziG88yDKP0EImQ+ eufh3t2FZQTUcTVwxQYFHH20knepacD/tWmzUwSn7O6OJdOE/VR6JzCB3CtSvgGsDoKC8lD697wT UHehaUxJhojl6dop0cqhdbIyw1+MJK3dEIycNuiYt4LJ8+pZrDipSe1UX9chFV1NvuqPBf2diVhX sL20s0Ks0KkMoIAGQWu5W3Gokgzq9mqe0QpJdDVDhAgJLvrGNAT8fpBTZpv7ZHrkc3CvPPDrff8t m7kPGZ5rCkm3vNYd2nYfnUO26PRkxPMdbcBsO3QkgGS+iyhRlsElVArLaiRnDC6qf/2Sexa5IczB o9RecyUJdiOQxKIguBIKntnfNcPJKwiKLfP7YxXW3Vj1AIeqz/OoU7Yx/ul7ffuNnDCG5jMslLRZ 4RAXrgPB7L8j9h2ucH0gF0PGCjiIGf7XmYN4W39SFWFHRkJ6zogGNg0jVgJcOa5r+lpV0RVwqQ2z GiKn/KsXES8vG7EjSSubd+PnHB/N8GrfrsIJ7VqeK9HoPfFW+sADjrr28pYLjGeiv2+EBRZTDqXD fL0pLsSkmocjuHikaqhPcvThaSMkVPMPJVoiDpIMWOiiMnotpIIEBFeD4Rjj09gDahU0XvaV3epo 9IbUhdSA8NAoFjwXQXsvBcDHW814lAS8rWuK8TEu7TloITAXUFMnzkI57eBxX75iuOWvShvPiuDO 6SIRBb4VEUA7I9OvIlv38C+++KO588W5KbLF5Ly3b0ETq3oAAbnl1WCYAJ3ZME4Jirhu5bIiqfot CBOu1tTxECQz5EtFhaP0Xgy8+npqNJI9MX3J4cEaMxx9EPsLIcRcMkFRmRX7uph23ANjPBDd2Xm5 ztktz9HYxZZ2w0jm7RfXfqjIfWs8j+VNEGcPlnK5b0xPhXesEbDAkP5rNSSKdgjpovLOfIBX7V1Y C4VKciO1bVcrXbRojnV82rc17kq78sejN9v9Vs2cT/LVWZPEcgB3UCfOUX1w96sRlvXYfvaVPnoI vISycS37qQf0NTvgOgUWU1mdsnhSc75MhpWg95ri1fcOuzBr6i5LcaeD8zhDyOUxU8rmLBbUzSso RidAXP3XHu4/xkz/4hc9RHfwhyFEipHPPIl0zb9QIJQOC+k9hgRXMN4tvnukDSb2h8R313YzH9an i5hBX0rpBNxWhaNZtUJLfrlxwhoX7uhLJXJmcAt+0dvy7PShKgAPO9MhQ0jCnQCAuqQKQ3Cx+iQm STPdfpwQvx+WJOkDQ6MnGaXW3FLuUsMXq7D1IyL1YikvPA09Clij0RhJCjqsdSJSBTUJIQgCZqBb lB/j9E5Smw4Ju4fTVVyIK9B+mg805gLU0vBgPE/vY9Qlu9TKxVuO1VYAlOpm67/ja+AT0G+Or3LD wP9bG3ScP4pboFnj/vAE7ZYNqwoytnbTYegJOq1ykZ7eMxBRrcsFMJcKZTaRtH5ih/aRglLtPpTV lm8d5QpHZR4se7nvODlbqUDSN8cYD8FHn0wDWT0aNiKLqyCohiSLpF2DdwnCb2htg+vgUlCLbTvC 0tsw8sH0e1WCOVTCs4XNFVgazXxp/RJMrLs9CxicCTT0/QDNJQ2mGVY9dJ0XqoRqAPZMUMasyl36 LFIUmfpV6J07t95JvYPKZBgohUOd650YKjnmkxvSnEe0iGtlWMSsIN4/CtQulkDRHQlJqsiFUqF1 QgYe42RhQ0pGgwNEP3sLyYFgBKqc217G+TmTwacqNC7+xW7VyE85JibKpWvt6tzNrfh9Aqvl4eq3 70/9szp/bSERLgoHBwa4vExzE31NBV+abASOHgzmwdlfnnwb7NXmnTNjgxmLgrSZoYeu5HZF1hdI ZyoraHiGBnnW0It921sbEkb1d1Zj5uB6VRpiBWslb7TZkClSaF5xnLhsE5KP3tOsm0zCAEPrbBaz kZloNqD3aMd/6vuomRHeg8KAtWiq0JmqWyd+jX4WPviwhK8uIcmps/TQORVADIu5d4Zg6CXnmgAJ /l/3odpRU2V6fDvkWyeW/V0giYnl1S71DyWfGZEkJ5lozo4CwrVvXJb9KCq3dWsXNusSzm6KHo4y 625pMxyE7015TYxX8/s7yyj7wcdzCAgzBZ6WdH8Wc0WAbL11eZTfH2KeqDSIyiHaVwpME0EkOPjx mm4OSTGxrMcYiomrtArMrz6Sb8rDVLAfRbe529OfC7uXBz8JWdmuxFwETwlrCJww/ySGMi78JvIX eLwGNESd1fF/Km/Sgtb6vaG80AalNH0A+dv0lbxO2WLBJz3P+oiklpwiUaIIwyPDzqM/ytJJqxHx GnygdNYkLSZJo/OozV4GnoWaR9ISmIzRHW75oFqi7IEgwH06S0k7SFnPAQEtuy4yxV34tnsU4gFp m/Ss8TC8K4mWt1CBN+RqTs+Bm5DRt7BueRlEPzpurXaicn1od9Fhfb6GlggCMd6PoeqJOQO7Nkbh p3Ac52pWxscgdYoVAfIhu4z2Kn1Lyk9J0dyrSJtQlqtH6Wh2eenpVVRP5Z1fJNP5yfpu9XRqD8T0 UlduccAEc/y1lazSRROuDOt2E0mlvDkNOa39MsIXwxRhvG/dVHB8n7BLBvfOgdDGhGJXMb0x2Au4 Cth1mjK6LfYVh1eaXSxOWAPRVlqn4+gCAdabUw9H/iQULveqNAUcOrkgwTy9X2j/TFXX1RyAFOJ4 PastP+G6vO+paYYa2VfVgi0NVsJdumx1SC0lajhsqHPpVqkZo4f0GThUqdbAVLtnZoZrfy5Udrxf iAHaUTvc5xzmi3T2ULmUacoh6Qu35rN/S+RmsuO+MAMsZo6OHt5y2/4+LvhoKMiRXxkCoVP2pb5C Nis8NlSf92Hk7MjlA/Ghpz5MvqsamRWu2B+yQUnWnsi9zzjDMqza4ZZ24IlZv4jIZKML0MHr7khS t+qh2DSDE8sPqceguqpN32fEI2TVAnErm7kZNNuW8XTd+mx3BsAYPrBkz8XkdSBQKg53LY4AXg+x i2f7wGjSZteh/jQUVMPjPXOwgY/4T8PWlwye+ChLSZd38r0URwcUNvYyY8HyU9N/v9hl6hOzZwqg WZuS58UHQN9yLPhm52HhoPJUJrlR1wGMWoJ3rmZC66cl8PncDSOQQjRf/Jame+lNA0F/sgiKx62z zm37wEUl+3qYc8iM5Cxh093vsHqZfXNtkCXStZZSUzAR/VqnOYTkNmWltWx0P/D/iltoQIpvluc3 0R4TjtrSHaN5YXdikpgLWqn8wFRIVEufmZnSvqvGr+dMf1a9vg3BF9UUQuiKL/HJxj6JWKsWS7CL s6I3O7SS29a9I64+oKZwdwedyNZaNMzn4b4d978547S9DzzUxLCvoSwVeGAMo73Ubvowd675W48T eHNKcXbA4o5zWg8BqSqMKOcwuZzp3DVA0Fq6HipT8iewDJGnXwRTlEXhOCUgm5IcZ3+pqM0jA7u3 tFoO9FWyfdvfLaFsmmnoGablpUEpT8Jwwi3ag41J86nCJ0OSb7YTMC594AY/YH5cvRU01LHTZRYg opaP7h983RaL3sSdoxl/VO/JFZkY21r9gc4IsvxZP1X0y+JUbrpcmAkvQvKPShqcgWzZP96wJvwM u6n0MEjG3tzZ70bMIG8nFxH23xsc8iWDkxcYQma68wnyGiwX0QS//WPPXjZkRCIvpOS5lE+538ta mX6/srWdI97t4gMAj6z+alWP/LCtp/wNAsCNt3DAYZpCjHJeqXdVG2P0WmiuAnyJ94MNnFBamK4W Inz0dWNKOkYvVZdeZaDGiVgIu0/xIji2sBQGDULl2+5y4j38fE6tE9o80i+4Mi7EHRvO9IyAl6L9 4vX84fmofXIa8NDzNWsw7Awea/jrK6UKuOrPKbT8VSYdGnDJGcTMRiBaZg5ZZfZhwqPL7G+X1eE0 0SjCIsE7+pzOIeFl3n8PbppPo8NTqBmnZ+l5RJH0vZ0FviJOOe2wTqU/mWAGgoy5W3xe4lR6L8H7 uZBEaj+er6Oe8PrGe3zK4Ug3DS+3ODWwBU4V4RUxLT5sgfNZ+uZM+F2RoYd9VlRQEcqnVDukfSl/ ZmkmmKhvySVq5pBYg60TbWDbYkg9CO4th4P8gm+UDLj/yc6rnet/vfrgGgAPBbkiYBBX9hPK2dPG 7a8zqzRfjR2tHhiCW2wmz4dgnlUa8rFXrOP3CxU563U0LkF2iv3ULo+8SMyfNzu/PX6hUw+bRjQi YbCFHF0M7UQT5x6azzgzmNcJE4r/BkLo7uztZ4xHEVjaF2R8ofx/PBHQY14Y1VkVMzplMnkWUeed dj+iFQnTlyiD3LUFh1t6inrW3seQvFwsWivIWbnrlP5snQJg5EQ5bZ3NJzR6l7pxGZbBWOjQSWVH Uyu3QqR37+gE1MUOhuYmiScUBqsGf5rAgqEOpsj0D3QePfAM1JHYiyM2F8qdpT+IPWImTPZtanYo ZtPBgTkDxUT2zP4EeoJ0Rp3fk3Mz3ZPf8dzynNLYv43yTQD6nuoAcNiJ450QONPiIpS9bsBRNZxc /TzQO5mjfVVt5Zo3chRrxSRAyOucZaocM2gP1Se3ZlrM9PRwdnUoT6d3+qkSarooUwTjgvhyAYfq lJdXTow5mYLGCwceGVPYZ5Y5RTPhXZxmixUmrMjiTC+eQ/EToMRfq0qGSX2Qc8BqPyukhRIoFS7C SOtsnHXFGU/nCvXNqcCLq9n7z5VKDcQKUh2FmAPood7XD7FNqmqOeisEeRHE7Br9l3XnLmnMa/Nl QxpDbRZ7NlCZ7U6UsQyZIppzwgGr+HQSQSHRmrBMx286p/ZM/CmqUumkkqhPrHrn1l/X9OH5eTpd bgF4x7+FyajI4mlvVJCCMJhCNPoOdLsF96M1QPXgYIit99OOzu7FvVpY57JJp7nfZ5i7gsbHC+BS b3Lkh2vNKHvwjhxnMlr7M9ETrU64IZ8b8aMNZDXsz9sa+TKCQ99486qMxgBfv2/7YduGCYzVhA6q ngrNz0k2nlzXJMb7hCJa5ImTyv6yIPcq4nc7YgK3ja7cvmqcsRHBp+uJRHavWZk5E2lRmv23Pfg7 LuiMGo5xhG9P/gf5LZZIZ6z81g6a1di72BsHZk7dOJ3Xgt8lpVTed6+6rn+WHFfl6DrlJg8uuEBh gRNHwNLvLjvvXSq03LcwNVZmDllcErNqnbDeGXbDVmMfgMRO17pk2xDk5BJklO46fW2cv9fDrSOx tjxv6RCIkTAF18d/Edw8S2mWfl9/Rmtnsk90HcJUlTp3EK1sGwTmyjITLPI0F5ezLQVglbhXXx2x jxqv9hb2DcdWQQwESSN9U55iUJeW/5m8A6bH74UultrqJojxgwhTsSBI86faUb6dKBa9dvb3FTg5 28MEZ+BSdM1fRs5mINPvRzANsvWeCqFVche2u1DPHMKJPFRYNuUJve35RLx0EcRh8xWOLmxmsoEe ZYJcKdT0ERwPDBLCgGQcIPlGf203AuH2cj8wlvVVKQnZS2FXvAzhpXszAi9/dUe0joaOx2XVYtOJ IhVLArJLCo61CpfDWhFe6o4OJ9MuwtrEmOVfsNmeS+64JQsVgQsk70jrvwevVopZhXRK/CDAJUNE BRb6ElBTqJZ0p0HYJP7a4WQSwZYFH3m72Z/owxDejZuo7OYCPjuFmuF48AsvT5qljPLkdZA+3WGq 56bsCGYg/oI/u6eoT9M2ez7NEbU2Pq859RbklZCoswFG/FLNZbAyX4rpXbUdzflmhNG8NYrQMOET 0WKhcQwO9VFIF2xMdYg9HVjbVTmvcL4mORvkFrNQHOIb6cY9VjeRCHngmis3kht+g/iHcDfszFSG XrBmAWWfbwWcX02k3dQbhTDozTO49X6u1Hpz0yS/GcSHptvb0e6a72LSNmINycXF+1tTP170/aRx uq/ucL8s9V6oGHeZ+EyGmr0P2eyJvLCACWsZ4XcUeI6IF/tWqwPK08bwHgecpypBkmV1y8wj4moE RTmaMkQI//x6rgWKgaRL2wF2DvNYNKrXFebJJY28VuyNUE95A54HuuXhZQuoIaKlhiAS3pbDfTre mV64Ztd7pPtdB3rymu2wACyBXxo77Dg+bEkFPNTZNZ6EC20O4vvAQAM9HUxYf9Je3andl1ODE1Kl 4Oet4MjevaHkLl1Nwy9yH3sDAB6lLZcvOPc6it1tv6dDQ6RiOpZgsrr1P9LYA12GiWQ8Lql2akD9 uwgb71SX+TVNe7+FbtnXhwtIVVfmogO2uWwBxB+fOPS15FoAgNoXq7+azrdFawEoyvsBTsduSPTR PgvPNyjXG5m6Lo5iVz6mapag2QOn6UGVXBO6LwMyo9912kH4+NaLtxzuWzNtQXyw4nZaKgw7sJoB eM4xoBt7GukFmZTgXHHA/ilBmbEi1nW6iIA5z2WML2k/I9ux0V3nOpPZdx0mwiVu0nTz4Vfvf4Hy p/L08Kqk9VSFrYHpV0d6HmrP8TmwGPjtAaOKtJCYwZjUwzD0YakCyVHApHKCXdXMi7X0dmSpHTDj kLB31ll4a+eWlUwZCDjtasxiywAA+4+t+iZSEGlDUeTVCSIL9s/6+9Ebbg7eyXCZ600I0eZnXgON EE5vQDxhSrynCqA32suuD38idvrmq2YjAypkdcsFiW7DpzRtf//KDYbdA+tDSySgLOa6BfZXGPUu p1OhYOHF9sln3KGD3e7pbuyj47fjqu8lwqREW/a2J1zoXB5QRYCoHu8R2IwkodJ4zlc97RlyiW7+ HKjxibOh9YMAllIE2p6CbR4GCVV2pYcoJQQpkzBm1ee5Tv1b2VA03b9UyAk5RlhXzksfGOQcWQP6 3xWsduQt5oTyyfDB+NsJGDW8/tm6tF5qFpzEDi+ke9tTjS5C1oVL+bCfU1kIdEAtv5sMGHxzg6da MMIzqKhLg05HqcDHFMmsew+u3xu87//uky1zZbO/iHjJL5jJOs1sf6G2DKLen5oDall+4xWxs4Af zupfZ4chHLtDv0ciy2nJ8c5cAUr+h3tDf4+ofoQO0yWd64nZSfuIvwkBtq0DL1Lua6/tUg1D9VEM 9uQUxhF16Z+5j2i4xYLDQE69RUUtZwsiwqZvkUskFYcOrotY3S782i1ST1ZFgC5/+6Vl4UbY+yLX 4pzgSJfsX2hF6/Gt4/hh5xsTu8CzVB4AqY05oD8TIgt759G9yXRFW5m6i4TFSEqv/2pfS3Gl1f+T VNtkdymRnNTf4LhUfnAPp+xLrxBYC6qhV94iFi6i5IOsPB4YiwJuX/DujZecxzdsSo/ebIH5RMW9 xXX7O9o9UYFNBEELCHPeqpvTF4M1X9Kurs0wYgqArYhzIOr4ChZ2cgCwNvgLnL3Hl/1nJh80Sjt3 zeldaOthjDW+ZzAdcoYCrSXiT9/9NqtvBSCTQWfr3JZwW9u6lQRH3vsHjjBbRAeQyu05CQ8Bx4+g eMVNT3P+CjvEWA4b+rSkFXetf4dv/5lp3LC6z+e1LnTPgOnaFHCbnbrHCozitjHz9et2NR1OV8UA S5UbmNv3lqLLr4pR43wgAIh4srkSInglgJMXrplHdFN4TQ07Ep3FeIpPzt/6YIqNc4TAXqzSW4pE mkTRxnmGKphyz2yJ3pxUED1INvAs91wxhps2pn4xeANGHl8Wc8jsuLeAahPJxaor03Dd7H6RdPR5 z/zWv11SwuLZdyHEjAC69+1N4lReSOXTqyTMKAx4tpzovYA/1C7PUlP9VL9VUmOudXaCrDP6MHUV d6NvdIKkkvJxNEUHzeFDK8w0Hjapz4OCbmMEWvmQEVBa8jPzJJSjY3ebDxm1eHXPczUy2z21P9rG kVzCHEPIaJt16RnIICaQSjNrtmHzQ4rnp+k16kq84ULZrn+yMC1fppEjm78noQ8AQXUozq2Wb9HT n06ipq5Pjd62meHFenqlfkaERaHE1ZPdqX2CF34ShyELJ+gsUSd0n76BulrBMtqJf7DqcF0ya0S7 d5tLF66aesaRY9oxB285ukt9KkTXAF6LIoZvtA/qnhmkF7CRkuIYO5jEfSlj4DZN+n5mODs5BPLF d0Xh1Ekrsk+CVIEniC9NB5WrFvDMVA4DJrcuG/6vFjp4bHzRo1wAfC/DILLj0BX03QoBPLDxsvr5 Syaj8Rff2eICDsqe6xKQzJOS5yTWTWuyJ8lukEbepqyOxGYd7lYadl54UMTPkAHAn8ge+y2zQKN2 U+wnwqUMZwSKkjjVuYpVZ09Hx0ASTY3Yuh9dPRsJCeNUzbux292CrAGxG5XQDkTgEB5wS35haIkb s4B8hD1107ea8NUPhPpFCDMjAWSHZGH9rBFUZSDJoz9QhB/30aoT/AjueyWuV6a4rYd1N7MqzjSH i0uhegWxFM/iLMoLiISY9ur/GlIP6CvXCwP8XDlaY6CzV+4KJch4eInnm+KN5Ygimqes9bT9y2L9 JV87kz4XjXQZ0uf81JantjeaSIB22qTFvGT4e37m6+uk9dRlmHonPpFhQu8ThuLxjSMqdBpPDYvg yltRYMAZW8lhz+dAwy8Q61/I2j9BRgHUpux/MnlKHzQknfI7R9o1E+w5JU9oHHjq4M6BNwm4khYq jlg5lSZ+b8njiFAk73RTw/9WPs++CbnhFqyNNEhuyVgImB1VW8JfqBrFDhSBEdl/fbSAE3qz406T /pEet8yDXZJO1+7OzgxgETPjph/36ehbRFpSn03c5Bg2ea17TKZkV1kC3SZcLK+MeupbjSkqihA/ /WWSGLoISKjVZm2j/lxEG9RJH1PHWJPCCsCU7mN47kz5Nk461n//+hWo0KO8vt3rsI8pGubfgasQ SJMj+eltRVY9OgJwZLAcHglK50Ej5GtUHK8HrmRWOxEPa0NzNORx/iUdebu0EAwdTSCH+GbMsqSi AIBg0HqmTDwBdZQcMG19Vqj06RYpy+NgGXJLnEJ1fHmS6mzXuspPJce/ExJJEWMTG33DhhOz0GzQ sjaLaSz1dtGmhDUbw0xJ8DxuoZKnd0ING5JjYbfBW3raIjf9ljsiQTX5lCU2ziVUwz63m1+UaAGi ZorN8T4zBwknUU5qckiUdrFw9dbLkwhCNxgxnuREnvjpco4uF6tHbTaFAolzHY4NNSNI5tTnU9Oj HKciQHSRrVlY0j4psD1BngLMd4g11zKfuPINRGm8zgPyFVNoka7hYBSD/fqEBQYg0uq3DBS+EqIa hzhb3838wmHyqLaZedz4B/AHbCDoy56Nx/CkCqw0QwM6HMlpXYOTySyflcKG/zPSZVfTRLb1uvDf XdVJArl/V/91ZuIp1zGkXCU81Cxxw6pt64p0X1TcQzd6BZgGh27dW/kBxlBgLUjMhDwYZ6MgTRgt n5Mq81OxHgcJWD2kzmOIbjLK2U3lgNEQr4CxxvokYaCP/9QN+yU4IQOWU0VsiTpoGAUbpqx5fgw1 mmxJYjMTHNcrdB8YrHT4h2kBs2Aq2SGvM5MmzPh6t/OWNKI9mIvY6XGB//z/UbpJ3nNi6jNSDrws NJOjtlvepcN2YR6D1VeRgTrbGAIUr3xS8L5CeuiYzebm5kYJEqu38tNEQg9RXUX6oDfFj85auWA1 WXu914jKlOHCJPyu3ppZBpGp6yvdVT8pnfx6zXNdZF/wkwi4lo1eyPTsAqvw9VGzGf6h8LyZ/5xZ iDQyBmwORdAwYgxdMbgKqKXtSBowWsykmlGq9LaQx8wV9UiP6fOS4EpRJEp10IiCXYevt35296xu 8Phbw7gvxxmgGrWfx2EVWYj7l6W4epKdq1apoiBBZbdNJc85FEHSSdvD7xU9dkAvEjlCfJwFp9U7 QeGDyB1I7U5G0Xi+P1a13zxaUlQ7VU0bDvIFIznC+56aOzXfKXwDw1McjRMZkjOEWxoAmTR9MpWO T9ChCSbU5TawjR77lOj9g0kQjCA3nHJXIR7iFv7FKI3FFdseQeDsuuVSnmruKXSmGrLljar6n/Hs utavr6H54HwupvuFH6Ecn3J4Ec9Nn4iq1sMejj/7o3oY8Cc4Gg2BHbGcE9CYEhDc5h5kIMsL5K+f WoqD1EWG5GtugeZ40k826soSRWpsBuNlkmWK6soXT6upngVdyQpbIaAUCKEC2L5W04zQZX51vULb 3bcL27GjSYQWaBijBb0dodrblBkfB149QKYMhnVYwDvnhv2f4IHZJlADsUUtfISrh8F//rjvyD92 d3CPveQ/w2Iz/7NGUugQ1PchbTOrhOfl0S0SDY1RV4eG/f+Z9glxoJ+MtCZGXpcY23sYbjVXop/z huXYu31DdzeD17d+UWJmP8n0tCFQzGSd2vwhe5agwukQrz5yuyK4w0uQyTfJUYc+MlkUbxDXMXzR PdMHUWwR8sNlRnfAuKuyN6cBXV0toWRC0OQY7RqF9NMi5xYM6cjRGraQ6Tv0reQUI+22IFjBy617 JqlMe33Z/Ww9lf2wVOM+m5kgQ8ecln9TqwpCA2VvQbWlWfOhL0PhVX/Z07un0SZxfYUiHq2/aJkZ s+l7tJWkAUzYRWNWwlO+cD5l4AaoBiO5hsGhAsX7vSh6/DeqzSOR2moDEiqXjRp2wix14h9AzZv+ /wBpTfMee2l8oy8HlUA1avbJ6lZO6YP77+GEO/wYFKEAGfd/hL2l/TaLRFwMdsbZj51aMIryFCVu fMc8z0FF1oCkhYTiQpN1c+FzRLDWBRCxbS02os4aaUAlFQZALfOshmFxuN+nvnpmJ+N7Ac2eBt8G rpkkCnsCtdZOoG1k7vg9PJVdw+8Nt71xcaPAZx8QX2AyCdKpAlaB3AxgdX0LYffKq3lEjqyKPYYZ yWIu5oXqmWa2O6hYX+Ab9voOsfwzOZiveIUPTV/50gXRonWZ0B4sJ8qEK1VZ4om4B5IHCwNTkmFc orOGFbNp3dmZ2oHYDjS3oA/ZTylwUbwf6Zxcq/YNHtE8dUq8XNKR0CHJJ/4C/+2W1/jYaMq/lskg egTeFeF1nwbCbea23zapxq08s81I0Bjp+fBzr4MaATYy/S4BCpQVTZ8zfMtGFAdD3aVXgKkY/azB 6+YLwzrWWyFFWhjfz6npe/0DRFbjdYlLSgvNUq9aimHD+47UWYpwS17xOg6GfCprRbywuC0u9m7/ Esqc5jtnaHi/a5Dj6j5Q7dIPq4x/9ctpHWfYvlfMinjppC4tDD3m9oNCUUVKHIjDCT3GNEQZuHGM SPPt4y+o4cqlEH45lRLgaYLKXQ5/3KXUqoRyUoLxKzNJKfKj7H6KYpdRKeykah2gj9xbRi0UgNoF nw8Jm0tI9cITyyJQ4UiUJN7CwX4V3DNHGWsF3M1QlszF2yJnxsl2JNyG/lrt2D56vXLZnbA1y2Mm Q4linf5KvL2dXsjX7GPr84+Ma7DQBXDEj4gbElJzFBuA7lGPlndw2YJHe5RDXYfy373Tgkl57Vul pivht5xoleKxFAvBIDmUcBEIQw4aKLjMdWgDUuKkMD0ea1yZoj7F7/R7VGBqP82EH4TIlKTJJ6Uf ge9tVHssPZl3+FDIb+wkqs2GkC4CEG2ZQ//Eq+r/p35HhphJ+I7Dx8LwYgSYHwtcty77hnG55Zu3 zXubavlvbMkZd4YA+Tb0CIaQ2Kg8b4RXITqWGctr67ObqXTCKN+lNk8CNFdR5Y8M3I9z/OKqFrOu WRiEtOH1fLFQNnxOdfyPwIlNmtKwjpkkcQnTC6DKVyG9Ykg0tk4VrBhNWRNThkTsl7bTVcwBvQo/ HdTUTtEarymcCJ2QxqBDMslOV/fMqo3ki1dpsbtklycfpuHhUHrMMihmhrs+wOPuQ4xY4KNW1oOm nC29yYCrBhjUZrqRDv8Ay2PoCnFIn6e0UauopHxc6FKIk5DZG/gvcBRHy8uoHy0TUEy5zI4AEzXS RrwhdNJo3jKThT+mantlsAnPNHPWD03586a8gDVtqcacocBSnUH+nPNjukMHtyD6D82ouJxQ/or4 rU5hIflennSz1VCwNBnIoyUTiRoKrD7CW2wGfCsCcGdJNkVO/LdtA0dYOZiu1OtLZR523+DpDqH/ 5Q5gdNruCoKkmumOOnNidUquzNJ5xSuF6/6efFX9pD1WN+Sm0FTVDkGQD47gyQ44hqnN9ge1Dn/f s+9TisBmG2gglCKpuSFfamL8wTTTF4f0Wfc9dr65lgwTT6MJQb7g6al6+iuN6JtcEONzOc+XsLel 8gMpBqFdchocp2sxBfiYa6huUiG55mtlEtlQDH1Cn4eB5QuVCTSAikGAKTlP6AUWU2czYfjxEuE7 2qRafpdgmwa0wb9+M7G2MI1BBs6vyGp8ahl4sRYsH4DiXnst/KevytbmIdldCLwgxORa3qlHu00A th/fS/lXoKSYCpVM5ZBOsv7jguQt1OXfIjuVE3JYSOxRH/wc27qBqrvJdVf5f6kphjiVSuNk90n5 WCQwtV7ItJ0Avu0qEBl+ZzP9VVjQg0TOcxZkKvTwPMzMXcqEYSnN5K90SjYZLB5LZCaZNgk8wqy7 ZpWkQoh/Kl4vUkruoEnesWV1LR1hObETY/hn9AfyOU8L2MtBB8qQsgODxdMOA96bKUQVDtovOATo UiT6+mN82Wocm8O06Qao9Dju6wCB+XhTgCOcn+R+/nZzVbeEUjKQupuQ6DhJbGxv84Pi1A2iRDhX daLwax1EY6MoKQMWIT1OyXtwqHSMhsAQuZ1i+YXMYcgg24wDrJP+/kHwSVTXOCG0aUKCp4CDoSL0 Rk8ZptNw9k1xMTSG4wJLwTvww3fSMva59aLlSEGlFR0y7kFgUH1kusVU1SzwRbM14xslgYMs8Jgs 4Du2pfVjVEfBxlLGZBVG04BRxxC7uDsXIAbqTMK9fZ3PKgNyTXjaSND27GmcnzkU5COtCJP00uXL mzie0N8jVR4u9PUbWb74FORv8+j139CDeao7DWbjDNzVB+FSd43r0+wvN3pWXgJfaFeERPtYhzmB Jzs48gQ5eKQbjidGdY6qRotqG1ciLHT/gUaYWj2FlmGm2DK1nRe5NoDfP9ldOk4cRZ/nScJ/LVne beB2HTJ6N//f9pPEr7UffE6fCAm3PeTikyT0Gx+QtPebDnihffP/cmNeA91Q2Ca0Y/ZMrweV2IPO 32h2CIVfhsPttYw8gk97qZzVYz2qX8jwNPZndlmwKNUMAY2XXAGObKXM6UQCLLKsLzsHj/p5SRVF ltnD1bbP03sHC9qEP0ZI4baPm9JBQ0KIEaPqs7HAPtn1LN02W4fLN+iIcg+wUMogftC1XObnmSDg QGTbVgkOaWawqwfkJA2k+X+xQwNf4EMZb5eICW9dgVkT8zFxA3tcVexhzgWeiAakzRzCsb8A0rRg m9JLUQdjVJFgU7vL4gKdkGSHVESKtKzrR8AUzgrtj05hwJpKKf7xGbo+RfXD018asTepdU/U97M/ Vg3kr+5A55DR+5wN2drQDAvmxLMmKfriTArHmaWPK3iG47nc3qPSsyGh2h9bGt15nj3aVbi+WgRU x1JWLY6InWl9JJa1I7AlrudFn8AJ1tz0jDG+2CwtvQCYJVkKvJjT+S3Gh8lYQleI4HJMhSOYsoTk h1bXhUFxTyaWyiLs9q6+ikEpH3CXMl/D8388jVEEw4sN0GVc+EA2DtgCBjWtRMQ+M/iIWN8Gr5gA bYeFlFI1tXOSRh6AKnWOA6V4gART1UGKT0KW/XNEB8+ledOp9jvBkAmxP/tkOzQWZ2qCyAr89vxg o8/fTddGdgA1NoRgLpRUCPuMjNM01NRH+nS3t2DUjdQ8M1YKUeItEL0oW1yQpm9RaLYACWnR32No SLSiP2V4sSwy3wbQ/Fj6i0Fq11D0GltGkKU0fSm968RIh3NZxhYkamLe3wb99ZcWLUvTUHC6aWbC 92Kh/BkqW0nMiMkw7Sw3m7633l++VHOp09RXRow9kAKZI1DwThFUcTHp/+gM2713HONIPm6yHnZW i0V/YJvZA15hSzi3NUxEV5iI5jq4bzAiqansY0wI5+Z1AYZRB1u7aoSl/QGv8FmIul3pANnxyNvl F72/u9BX7GMKVBO0YULEL4Bcyf2DqgkjcQCBiBrycogUa8nxBuk2SYUMHh6J6JRFZ+sG95i7UPzE Y7kVd2gDASVrp0/zDkfOcF1x4dvg7ne8q0GiPhxAxW/77my6WODK3NN74RKnXuSZL0AMB+S6PESz pBYfg5p78oMl+KZ0o6koIKzUQYa8sok3qjb5afO06lLe90R/JS7lMA+J76BLNWigo34g70MA3ydo LfC5bme9IRhQMTSiLvzo6EeerkXGnmSP30KsUBNrLVvX4axWXWdp6jj2wLC4SY5VzpLMMgpRBfsY JQlzW5yshKmSiCz1mvJWqf2X+rErgrxkAtV7RynKMQaFvVtA5uxlJEz9l0F+0Ti09bg4hy7b55vt cMKFTLGQIFbdH3Lvdg4OOihfeEFXBWx3nAzEYstnCyN5DuiUryKi3NF1BMD5yUlCGHuFtf87UlsH TbGjZeK3T7Q4JktTAp4Ji6vHagecf/OnM31EZyppbvrUBTAo5rGBEhmnUQWUVDe77Aif4B7l9zhV qMz2fQ/i+H7Ek1UlQSiAdTqlnmQmw09p6nAUZyo4TTmGkh7tpQuTmKzlFPgYAsPpqz5FO7Y/x8it Rhj9iPTqk12h2XQWLFWo1/BNWkMVa2UK9UPiG6D6A8eY8ipXs0HNtm3txuBRwoESkPujkneJmjsJ rUdAXhyfvdD44z+MUpZV2IDoybz5rNlUZWDrKh4npXGOSAii7Lm3TGngacvabCBRVTNugNm9r5vB 5tUC97v5h411jjxI9ArWAtJZXnmM283/rlA0LWQtbUkttSw7z1Vgl4DbAAvb1H/O/Ctg9JMFkywk Fe3V850ijGPBd+Z8D/hcWpDzqsS+A1ic1pL2k0/fPSsS+W1nYNagf4PEKwrl0G/acXtOpSFrEaUv V72q2WNDqxZyt17DwKiwoBVTfsIK9xhZg7F5i+jtGBbc3QfyMhBZI8zCZ4ui34PBvxH81Q4z/hqr Lyrpm++EhRHgAX1ZlsDPsi3djf93tAVdPkb6mbpGGAjsPT0rIRZ8LvD3LkbqicyYy6kIP/d6DOGA IzyHFwTy6WoKJgxCo1B5pqKPCMCm5bggHrInWoSiZqs4qHe0qyF5BPA60XvS7iOn3+cNA0boG1H5 yM1PhCTidTMELq1iv+U8UuPSAvuzvtngm8BR7jD4NSOpj8ouE8stgem8G37Cb0GkzdayrY7nqSua GeMXbtP9tZzxdWz6A4mZQDz4ZRdIgow1MRfEfegJUsumYP9fU5C11YnVujXUy9wujuuMWaea3G/W JVwwLIgn/tpCK4ZeznG8gL+yI/O0+FRS+UtYo4CNZjiec3lhp+Ua4QU+rE6UbzqMO74pWmASUJHt SN+YDgRA+gsdeLRQeeXu7GdZcDqM0ls92jiTHlcTtYdue14CwZIadSGyb/EHIYiqyozJrKeRNeNZ 0oKLE0X4meVfWkgmvtNJZCCis6JriauO9WgAJ8TSaE5qTViXrqNcufJ+Su4SSXDB9QNDqxv7UaW5 7KyhkeYx3PHn9+aVwrWUbyBMa6E/QrnQt0gJSYFMZL0WcBhxqtTFHKaP/1X57nt6uJKC3JO80PL3 beWFlgEqNORQKKT6NwKZrvkueVLilt1sLbfzS5g5k3PDaA7KQ6jQhFiFujhTrAv36fJrL2BPuxnU Wec/7l8xxBbs1Y9BX9D6pPxB7/L3+79+yuKWrPIbYX34ReSqFEB0S9Ux+Rjld8AS5iw40S8W5crG O+zXnX8iLHO69BW+SzkkIBTAkfd+n1+f2gReZWbpoGowS2aE+Q7EK+HAJOf2ao5KDuMU5qVDeDiE R2XJNt4au6AUe9XHEO00V6/7XWedmqqMvKGp+IRCx+zkgeUKXaVpEN2M5LmqcQDpeRhVKSX4Z2Vz oolXSS5BQ+r+kwzwLkxkFKYVXnf/QPBHA6eMLd0mr+DayZn0AT6FhIM9nCEer4KUVi4j6Wq01P11 4OquBrP/Gm280tIML4Wggdr6TqwOgGT5ivxy7edQgNDfuZlv255JpAyRXyCGK2dt4NhiizCOsMoJ GUVuHjzVml7YywPjcpVD//dWi4rp+dNY4GkO+waLaM+K/50jUJR1UzxIhK+zf/4qCJcXtR5/5Q42 8QOEDcYfyVzH3ESGYk9LplGRkTQxs8CCu0InMnfuvhZCVqKXZYG2oNrTajaS7j/F8+MZCT90Nv+Q 2Iw2V8VDnhddst/oh+H/xTfD9rm3B44QZGi/7K76pD+McNsPkT8n4iKCX1eGYYlTEuR/tmKTFHLu XFHPYXFjkowomsuOPInSEM7/SklFpu0VdYFp8DW/siuhoXBNyUn7VsbKMrTbUerNcTu2c6qnnW/8 jvydsBktQVM2xIc/HmZ/Nk9Kxvi9vCGLkA/70niBGAuNn7WZ3OHHn4PcYQV0be98IONp/zMZFmvW gESJ5HsTBk8JOZXjAfb2Cb5/fBEOK3YnjHBz1exITwglbccltwKWyLOsrfxcxEz2OwYVfi8yBuIb /0a/n49GpKDmQPPQE9Ljjg0t68orIKixeKzfNyg0a1TFlk7uluCtb5sltKBuIeOfgAeBLBbQMknK 3co5nb7oQwc+uyzOOISkgctyG64pdqxFKQDtYEYPaLDhsFDjjaPN4+qkvebbjELB8zkiCGHiJKf+ YbG/s9w0Gsc8YxoDnXrrUTpto2u90J71Mt9Msn6vIB8vLh/q8US1uI69tsqlRqyznV6RrAY/uApL UJhTq/me4ssOmYywnF7IQhDIoEH4CnjmEpt1DaIxEUKT1wYwPSXvG91kfR0oa/xrQwU0QUK4dF4K +mWZDruqo1zVm1kRFzq+hlq6bzR/b/rAlDIn6nHv1vpa3i7gOwQb0QDRhDdQ+NRk70czAyvyugQf U8BpRHeu/pk6S9q7deKXbdD9+32VQl90gW8QEthPIomr7uzFM/w/cWbyvI/hsnYglGhdpzy8y7f6 B5A97fHtgMMzCktxVzAGI6ZBsVzzJtW2SiE+4plVipdrZ26IQ1d4nEWeBkVlCu4h7h9U7q8Cq6+S lwnCk65oPVlVTU3A/+nqvQHJBCQo2VP6FjqT7AIymB5Dw6MUtrPeCObqhnLDOUFaVZYbuQbtdSIt jyDTeju4jbDYmDTmwn9AvksXTyvM4knlNG1jXOgTjXv/VqLgXS5pdsUZp4pjcTcefM9/JcyjY81l L7Iecb8nvb2rOYf7BG/k/Sr2RIVHzEUNzutmN9YJt84S6b/JU7BpoRyhaZHp47IKPBy3t5RAuMZe lUKFDMGiyMxqckAh3/ZTHNqbrML6Uy2ULZepytvSPbPVUVGZPl5XJSC4p/OosDod4gI0B/LpfrXE 5Y9TYK67wsk5a2mSgbn4QbSSN5KXARL5yTGIBaJME3bptUVnYVciGIE4CW/1/JpU2mkA340swvLi 1fB/dLxVga6RpNhq8aYlWA8V3hloYrDX7dKbavo2uQMzcftuSAejw7FdOPsNkWIrHIoWCeVlEHxa p0hZvR/HPGcYE5dJU5Hk+41dd74yzxSp5Xcr+HWSTiaEnhs7NrtAb5K0OEvFbEqNLJ7Wiz2ADVuD BJHhW71DPDbXKnebPQoW7rs65LQYk+rVCNQKRxlLd1UqPdriua2JgsS87GQ96X7A/Y5Fz7G5ERMF J//HmHy23hI18AjiONyRJqvTigZl2H3qc9S+pN2+fg0WBmPrbBHD4WejUDfI0905V+Vey0+FK5+f gXYMAiNcVVliR/SwuG2rOig43s9RUzrq1W3q0nFf5q/r5o+JhGW9wmIy4EkzwIEvnsHLnojS5VR8 o9N6HalsTtGAyyDM2n8CdfvESV4r0YpWJ/s1e6zJiaPZ5demyV109UHXd9vmDYjRik9+aqwuNkkp F6IiGuOwovg6W+eQb9A87mZFN5soLcrx91MTd7HVAjo85B6xsBQI2I0FccrZeTordQRJmlffiMWf Fi6BaIy2w906rPmrU1VSCs7nPOFPi8IvbYQLZsQBCQP1XaH5ooC75/m6csf4wnN5PSqCsG7nXM7Q lXTVf6yqi3oVqCjbrlol0tGOVkrBtlQkAH/2V8Bq9Not3eHd9YfFKGJCnwnj5eyEcp+8x3iLMoH8 pfl8zCCbNUOrcPuuee6Ah8L9y60Nk0JGsj60teplN2UBb3V733DA1quotfiUKyxQGKzLKZolYPRK xxK70C5uAxSNVBeRcZOywQpowNAKRQ8Ry5budk1XTSW5m0XBTM8tmEWirSOyqOJJ8agqCI793wk2 ddymK9z71aJu1VI1FcvE2mobzDzheqoDRCoeAx/qKDpfzotfzXJAXWwh2S5XI/+YVuxo17WXSbiC 9oFTb7yM2cVf1MwL+5amJ0bkVwiiXF+5evOuzuis6QUJrEGXB7wT/lZTSGF3JC13MDOlqcO31xCS d+MJep4yaqnggIcVLgQRbjJbeJ1F52UwkLa2lFQRKkx8It9CIupxv43l/ThEdIFCKEcsiw8pAHah qO5GYnJzoUHwem4dwAsot5zJUr7Uy8v7jUEaGBUnJaJP9LPh9fgm11Aj0yU69qzxnhFh620TeLST 8y/DWRRj+ogH29VnTn0Wrk5CigXpF615qmIcoKIArumwts4UfhAUIXXWhHZfJO7Lzwo5Xkxu52g9 j13si8rHZegSL6XssrVGNizq71oyF0tUAMsNlyyMdy7Qx1ADIzXlHMZe/mEnh78QRw5r1W5Vuv+M edvRzXJ+rCFk055kyCoeZw50nYNuN8VE4ZA1CXYefB8HCQLuTp7Af+GdfSPqjXF+6ylAmLxopzFG XWMv58KXcJ3E2TQJhjbR3QNAOBx74ucuRTITrwNAqRwhGQt8/Nykq1fn5KiTHMlU4eDqcMH2hXT+ s2a/DIV5vMyxngWK+jWZs7H10sw71u3HAvkBzFyunYP/S5oD8VTHqXAtgir+/JdL0j+VTTnCad0o Ns4mLm1vrhuVYODpwLHUcAnROtICRUG6nGe1ksYrgWmvlYbV6IbH4O+9a6VcURBbOggS1qKq7vhT EIOzuynrsfxvcOITZOmFZ74xWpEOdIAd8k9dulosVlF0UrzVP2sLp0W/DHIlBgaju67a/f+a/OtT Avs1mUfv+B7ZTistefmQ8mNkvlRHx1k+X8PtoHMWzBJf8pNBuVpEX388tI0Mr/uFqKz/tZPMkUAn GtKulFd77cY1SCfji0DKbUV6sXCHYQVHsPugf26oVI+WvUHFIwoFqRWhASQoVDY0yGEEyjiP0LON CVR3ieAPwYRWUbL1mAxqUt5OCS9RAUDaAfeKfQNhjehEWlHPDtWUdoDPGto6HjkISpZQ0lRzozjI wkWU4kvb6Nfm2x0xJGpjYMETJyzm9rgAeA7e6m9/mxDC+5NwVqNIqOwqbcXc3+KDs5StuSzI08LQ Pv8/KH1GFi2c5QfM9HODoMbUiZ07yl1X/dX8NcUsigzGN/eSc90C3s5D2rbGhaLKAGM3ojwhKCdY IpH2waToZ7kOXxJrOhoxJHpOava+OnH3f+kB0aEVvOlUNSntLiqbrHr4LjsV5Aa4y/90jVLGr6zM +YfvscUsNrSO7fPzNRcTFyByPtTwUtZ16crlLG5n2m2oK0kpwNTkhhp81rPsaGtMusTI8ydhV+j+ m+go8NLdrc7FKYm6vpIaq+u6Ci68KnYRjDzqOmkSJnDOk3Tt9J683QHl66BM98l88Thmo74S8pOC 3N/FsEF+XPNVd0tv4DiVMSgc1sHe+oMT2FDtOEaxfBA5xTDwx67dBunX5ETcxSZUeDYlx5HxeQ7F tzA8QZINa97f5NMA+xwCy1+HPyJuK1mbsSzajXFMRpCPSDG15T5bQTYiixNwqQahutOjomD33u0S rnt9pU7CM1jRSygzord6tEc2jaZA2c4MuZV+0hcXsaZGUgN0m/y31a+47P+gSu9Qw536AHsnJhUG AEqHAgwpVFXfJwFHeOgVUfgwbcFTV6Pd2fYoVG5pwyoqGq13h7PvNZOYZF8r8syQHMewuH/Ns7oz xPQW8c8Y5bwdNC4fmEZ6MhUdFJkd0JCjw+VLyHoD7jAXzTSWbpzDAXk6gT4UC1/ivsJ2Im5ogiC3 +aehvWGbsuIeNmU5LfmsOsqAHPAfDOxlDa/Yq9jw7Vx78886MX6BzfTJLzrznrG0vcNNxT1EhB7S e5M/Qsd93KKbli5QGSnTXrAiPglEqn1d4tR2c9+A6qzulYNGvJFdJ7ZL2xEu1lW4kNl4M0GSHkf0 pBPixtyzGEI93GU9+vwQS7/+McxZgPYgUn3fWTd3brDxIIOfjEICCQ6qN/0g7L7SGjSq9QS2DQeH 3aRF6yIkgrQZWMeHajJocKgCKritSo5PCcTNl5yNkTjDdB99gNTfvewj3dBBSN5n7HgZ6RDVSfUj gIX1PtPGa7sZoJEI2g6t9FtC23RXwGnM3Oo95jJ+g9s7SkB7EZUscAa7qkQSdQ/oNCO9GZgvgd5l 9lSvgxK1NCqK1xN4jn4fm+pu4ACHNH3jWJ4QUPqIfnlOx+rclpygLcUhtyH9OgTq4ChmFBJaOBsb 85i4wME1bhbZaxBcN5dAOmRfnJ88bsInBIpKJHotl9OXowL7EqSiSFtosWY2f9FvwJC/OgNI0McG Zue8ViMVYYL+PUkp/pW6eoxnmqxp15J10z4CpO8VMoB8O0YelmKAU/kGtA0zXTEAq/vGRFRawAEz ynydWt3l3CzdKp89L4YmLwUzlBksGFd0Kqt+1a+Aoro/KBZPPq+ChkU/FApPATjl+YL6FUkbJxbs FG9oWe7+oJtR/O6fRX7U1zmUamw/CNedlReUamJibVfeoFRZtIetgDeb2zZn7OGPh2GwjDN2Xrnp oN+aRzWIGTuc9B3Vys7uagfHx51zJQvBH9IacMgJ//cEINoWyZ/njdCSReAlA3tFyOLRDvjdOrou 7flFhkHZZLb2UxpkpuoIwuJUAaRZwK5dEB5Pmx8m7GG73Xv18hrRMeBFLocb+Hd5ixIAGCG+3MhX QjjGBTnrvm/7B23gVDChi+utvzDmc3qiLiX+9ZvV47lrjPNuYUyz81hXfVfYzRy17KqzDvbr/Rbp EtFdU0t5D+mSduhQAAOarC2KU6nH1JgNwrBeIdoHgks40Sto4S97QPUntjAvvtGKRV0BxEJKvv80 CmuwyYb1ZjDSFuRbvPRyJu3QPi2apup54SKAKG416zfHwzwXgwtBRR1yZBh2U4kktU+8N3Wf7vOm COEn60KSTfmS6/Ue6SPVPmxf0dyURDdU4YCyLhXqsjvfuy1YlKLullS8uPIDBAppBZ85RY/nx4A8 F/Wok9tFqjEDyqbAB0hpV3nynb5M2qRKlMqSsTkTR29Bs7cF1Nmfy+MngLKUxkfS4hjFLKvbNc8E Fv7n8YEdA5c4W2vWd8mJPFw84zQ69rmpfWlo4DfGRO+GhNyWBJ7MEN1GcJsBpEC73OOe+zMUfEVb X+mnImIjIrfRzCxDr+Js2U13nafZZopoG7PxIidalCdvsQJ7B3V4sbbdYDIU8vlSUO3Mby3GRZFA xLqXR0kcHehkOFsFCK60qXXanzWbE17BTwDy+e2IlGgHIEs8j+6uU2QrXMceUo8hxPkgGxHt8I5u Qebl5ZbedI3oTTSc+Ue1i9hLc97DTQVo/lF0nz0WY5TVrDnQs8EDHFgmbvZvZyC6KwG2bnD6kG79 FRAUI0rBHnauvNuJkyDBsvGm4Jioag9Bz+XsnbBGnTq7/vAglu/l/R+O1kQcsiknMZLT0X4fnQmi ptxP/1M4+ehltVOtH1Ki/A4OPcUa2P3eJmnfTNqjkgRqR0UR4MXd1TMC+ozCYUFlvGRyLlKFi1SJ WASr54R/p/OpzP5UfHvV7xr0xcIFrI01C69WvkFk5Pz85hED9OJNY9MOK2XzNVCRxAOetf2hqKRu 15fQ50aFDsCLwamDEoMSbcrC4xytYSZB+PoIswf/ZZL32Q8x71MwVhmhiU3f7a9DYEkTl0Jo2MP/ PpNETene7coM7N3UYlVzNFoC3lFr7o5oUZZNTBTOx3s+kFTgWwGiSRs68xPeJemUngIeJMsZkuZA 5njAGZLwj5UVz9njxF/mzDGGOG5CInG+6hQtQ7yCz4yxcF13xxL9XGJ2JV5m3aeDpLWp63BzBIPp Vm8IOKfzFu2fiQt97RIe3gZXYUuLo5JqpvFFE3jTpJN1WRgi6C4Es/oeWscXaMd8UA/G0GkX29bC Kq67XOWCSv/bxQUmZSYDtr9gZm8iAo2p/jD6126J3LY+xP8ehw6f/OGP3fcuANDYfK8bfXzD8G+7 e4szF17zrPM+GIrZam62MruUNfrR0zHpc76BGrk1xbJTbObE+S14F9avReLe4ZTKHkHgmPkV+QIn HWsddwWKRrGBNl0pqijIcydaRoRhm3G9jt9rYYTwW4PohEAUiWdbUMOQNkF6b3wnhZ/IvJpqFaSp jcUuMp2gB5csLNZibJJlhCK/0u4lJNh22UhFHdfJeMwHpogM3PHFmiFEUiJo7DeqGU3eNKb0WLHL FkLTJNPKUsHsspt/2KxzfnlTPKVvXRLRInGVHUlXBU4zMCPULx+FYNgml8i2srZwyOeSpwC7M8CD buSlnsOpR/PfCnP5nMJ87Taia2shOPM0QZ8Fm7smsNSJIoGqLgyd3eMRxE4XIJx0O47sDOAQMj9P hQWeLK7qXofhc0e79F3Hj6OYkA5TjBaeLEcYAYT2Oz4XQE7/xAzHKaYn7C0cf79doMxZwdtOJzhP W0zjflG2D4Dkv4H+YymhS31hM2iJzO93+WMVsUI7beR6WW/ypjGkdK8f/aAgnz/p5qGISWtafYCb 4CUaXz7R1C9mUNh74GDJimpQe5FGN7nqUw6W/shuWtj4fXH6A+xt1qtbl88T8wsDKRqw9nhUu8DA dWODovANIEnHvemSkeuHuk9ah8LTlJ3ZcbarWH755N8wlj9+Hvr5ShtPoGZ5d+hMrFPa83iCROjY ET4Vj+dMt5lVZNqV4hi/Z3/ZTDJ0UdBh1Ce5BphMqdNql7DBenX57+cqWmIyMFCLmIUua87ATR71 5Mue8R9poPBYbfAb5ErnVYlsya1TPtx0O2tzsiu48D13OIgd/bzjMfBphmEb2+h24BNfwsmIS5L8 TDtlveIG57G0jc2KH29I+3DAvCtDr/fz2ry4vp1DeHOfeHGeFh8C6qQlVopuBFKRedl6LdGjtbdR WeKHG/kk4yOWX/dzCkgmee5fksmkcH0/kvyY7aDYbJYnpj0iwecpYlnawTRjvkQ3ekCNPvEn3XOa +Lfy320H7jxG0rhOfcPp0CKIIcu4W3QZ76FJa9QseRbusUNAoNJvM/Xcs/FVE2qCA0iraabL6rro TjjsLxW/wj5w35CB3ceQ3IsXvkjlIxb6foFdkRkAbvdqAvuGfmKZc0GgJbCusUtmfGNxQHHBR27W r8kgXuxn4qSmlWNul060nl2WuNKwVII09C9G2ekQa2B4c7yJaCq6tT7r5KrlScxZiTkkg002UTdH FuIRftOyZFM4EjYWBxRlNgQWZS8PGAfpE6VC3jeWrrdJUnO7bXFDHi8n2G5pSzUkP5S5/I3WjKvO c95FUa2q7oIcfN1H0YtIdyjBkJDOv6zxDwL8FiTrBNn3bIY+/TwDLO9u3TGFPhtuzCCnS5Cdy5ux XWbdDqBpi64YVpFBnti63slOLhyj36Qku0dduii5lw6gg5kaPOEKdFVIew1HVY25I9zFEqWj7hXL nkxnZG6Nab/8el5C2D6FyHDcvDWzzQOzdl0Em4FZOGMas3z0NI8eZcSf1XHEoXEMRUljrNyPcC+A 16U70Zf543ZzLqTSLALrySumNNOHLnnG8elIaaTvMlUy/wOfufDgu6M4yjRAI7U0VkymMVNSsozw YrAOL2ECM5IgOosSNbNnkLDuGOFjcXpkMlIl5ce+gRu2slMqK9ToCWOt+DKJT0nWDLa2Jwmnr/rz PlNVkF9N3/FefDKSe1bTN6Lb5AxByUU8pCjbbEXqdVs2sfsVlKCcN0CkjMzxOZ8lkOlUMfzNlTtq elZK3kNxdPBor1R3Fehpt8lwCzsVLCP1arjGYIIjtnanwuiVbmVhhl329QAGS8RWDOGtyQTIJtY4 ITBRC8SiV04kCkaiSrhk8hIv97Gr/NgIEEfLtNgxEFE8ZWiyB7S8NM+nToUUvSfbb8+8kw4lUMox 4yTNkVU7dBYzTLt7CrpZOo1xOd+ZspS8+k9A748UDM0YCqCTcta6Jn4gVTyhqkCidnkjyDxo+EKD x53HWIvf7LxOGNEeBPaYTozxT5sxrEPRx7Y9U/olgz0dLUASvu9NAnIIAGxgUXB6YordZMtLnwQJ zcKM039g1oE6+Bs56His3jWgwyGao4loH+81vEK1x4KJvfjhs8OE/CZYzHLvyxE4tbLu1mwdSSGn t6ZTw7dGPsHHmlTumUwk2oGx+ZYticlDHq6YSozYvDrNpqNGInvBZqAIQtxHGyeJ5N9NOkJBCGfs R9AjzXDLtxn1w7zJwktQFMUYBrcp9AAI4k7809DNjCUb9cnGFW+inDL5hpzllIgrNkLkEq2CWTFl ejsxBC/0RzWvKiLTdSlgkWg5teraA3fhIJiI9H9MZ0FdRbmgkfNN00Y5KAIvu9GY2TBarBbKBgSj FnaYsK39e9tSm/fC5vFilP+Kp+BlERE0QJF++4Akj9Eq6pcUnI7stKm9k2SAsFkMVTKbdinqmJEg TiDnUQCmQp3Nr9FLWGDXby/OwDLU2fwn2tc8AEjfctqb8vhr3o1BpIOf6/ySC3I7tvFLYCKXOG6H UFEIai1XX/QI8wAsfbj4jIenyz5cx56wousrUW3ACFo+G6//WCPc+14TESURb7aHQnpW/jmwLUVQ ZCKTyTOUV02sicfzQjgvDmC6CJBKdWnLerRnYQ6/Xk+oSSo9KdiJsfzZgM0v8fI7aatBnbPobw27 GGhQ8bqVBxopUQsDNIhGNNvS1HLAB5oR6WdeMWleHZT2LROsT6lNQks9uuMB3flwOfdxr7z/9rhJ 0ppxGZr4sqAOHb02eM+PuCLE3CtyOo8hWoKJLMHxIytdyWIpDepJprAiSaYeiX9dRA4tGViy6lFJ +hxvFSpym8vasXlE2cAqoYGS6ZlE5ZzKI4AU1/PYxt+Ict+RyCcUqnZlw4aTAJDE8NNgkAUJIR8S KkE/aqraa2FvCBtNfVV1oSK52xPDz0HEZrjJkK80dPMqW/LHVaiCYAXgUyRjNU4JU/39174R+mHM Im8VAywW6jUqou+xgVBbZ/qeNSAkQ0g4vpdQlKtg6U3AlBUZjByEwMPj70L3VwxZCtNgyyoBI3YP F2S5/e2EKnAJVU3DcUiRezJl82A2W8Hte7p/Qe2ajOxbPH+PnYGIlI7qta6EaxiUkJYOPjtLIve1 FVoJSYp8sI+ewYGSY7YjgDZjDzxsBn/XZjk0oxnDtLeAKTcgxe8wizzX0nL2RjJBMq0UHS/b2oO1 i/IyASQm+F11s0jKL/CNMDJqsV9dbhjGGaZKXH9tQjChv9EsSQf9tHq8zdBt8XSrZC3c0AeXPRu6 1rIinKK453xU4uRYHbwdgmSPGHnjlv/7pxDGaFm6V11DvCy13sajw6es6zenL1SuCNELlrK567H+ 60anSL5i4ICKOY0ogmw48CG7oKxzHo41+sake8ZMlMSQwqhOkXuciBzOzdmvKlWHlOhFQstXXoB1 +sx8+w+AALjsk4X4OqxB8VYga3XSnBJ6QCs11GG5EmwroLzZxi1NrmqkRpF/BGVaMdqBYHPqgkss tvmZnsOCuDYGF5jppJy7++DuOEX4UBCscNQ3GAH9b8B1UNYq3zGMYwnM6pQv1kFQyDBWMi+EfVL1 0DircXKlNSWQsDCYyGnZhrRzmFJe4/B0w4YJvVq/deTCBpGskz11lO+Et2Jz2HdNennzzbOoXnDS f/cWXgyJ6DEQkk9IGMAbCbZxgJC3FC6ZNRqhDn/NmSycofyzxSdc/2Jbkh9J9j4Prcq6/San6p4f blQfhxiKirpL+dzwIGLBlyY+0GrmgEDysotfKckOtxkvnM5O5gC+np+nIj2BKGK9DZQoKYs8AxMF CvAFEk3g7CKa7YXwCw30i748UzHX3b9XGhAYakVmlshwi1rbfGkvq3JR7aV/ToLVCYXi7VpUpAAw aQk33wwaueK+UEa2+pyvB+hhwG5l9gCWgnOliMaZp1hjnJ7FAMq5yu/beWeXKNGj3yooKWnpjZqZ WyQFGPWEYqvX6s9PnqsxuC70g3xTXDengZCIk/n1EBYv2JphgB4oeKM/1U+G1yA6ycuLzouNumXy jr2MErZbOioigbfQkEkpKapJvX35iANaku8f390Db1Y4+BuNNRkSWRSTAjbnuxqnT81yup6kn1bm SIlDTKeKA2/K+a3UXNyux0IMIYxIqSRh47XglgDXRn9CQIMoBnitLsrytmOc8+/EUlR/3CS6D7fH zSSlcGLGMIGHgQJeqxd/jAdAl7Z3zSPrvaWxeQUl8qdNxrFJKvMkja6/xapw576pPl02AJbRdLCR Ru92Brsr5ME2zEnPnz4QdXiKBhQ3PzJb/Pgx/r0v91gsjE/eW06sSY5rueLASa8qvFMOeKf+iHhE FOpXt8KQAnh+VYeE8it6L5s8yve/YWbuME1vyvwPGeauMi2NPb5xmelX+ca22mOZGZYq7pOZbZ9Z pPU7ShZcC+50YeQ6U+puq8mAIr1xx7fq3tBmvNzn8SJHuS0KKTFr6LHxLmk1bQ2NCNFuoyD/UXel i1FOTFbfwNEdHAOCnzEZZCMdX7L5OdNxrFnoPPbbKhV9uuIJkB+AFGlA6Th8AKtic5f3B6DjwD61 ZVGsTPoHxDYlluTlN7J5YlCqJSxK3boBs38bGEbVzwmFGZsX7ej76YWkOa7wCZWL5UU29m02X0P1 nhCZQ0MIhIz+LkV4Ebn9CknBME9O17NcKSBzfb2ptAIHWKsCfQFbGDix9Tuj0v0SJyCxQspjtUTX HCDAgKpbjb89yLVgEJ0XtfbNTZP6w0o9S5SF3RAv50PwjFP5LZbZt2AB/AQr/RJ0tYv7ms0jNPgp +FWASsxdBVtKwKuVfggkhbldz0FEAWpFDSiAqVFtIjMBRQZEvRhREensp4fSX89R1jBvjHlXQ8HM DhfbmEYPZzRZwWpyC/zwe78gZCZue5oENvTqVFiHUMbDmWgScbyhANGTsFQxcqmsabLIRBbQPD1b +4rbq+NTF+KrAr+iKyudqy3ZolB03c9woSoiRaYxW/sF2Ja6lEfPIafDxs9Idy9mn9Fch34HuWc/ rjsqUPW1opQ/aRGML0H9ASL/RpFTYyZa8C425AqOJiXfJPljds2McHb3bU0nwr0fs4QqsEPn6G0V vdGLbpU4DLOuq/ixOo0vf+cWlgrYFzNDSIml0cOOdpoO0lMTJz1pVlFZia3EN6550vk5K/708nwl 8sFWpy7exzqtMkFt+W3zgT1LGVAlkvPt+hcXkT6gW+JHXKsgim/6z9rqip9aynIGl6qIeeqBTShD KFvAuCUH9H0/XlVqn8TVHdPjUI2y2E87cg8T2EM+6Rewv+UaKSGx1n7GZHFLWN6C/AF3Z/k6Q7M6 q6/PJsWHjC15Te5mzvAoXRXlWn9bJdnYX3dRLkGprwnXi+ZBJV+SDFESR1K+GvSBrwHRf/UQQL8F cTA90jmXBwuVxbML3nBOI5WqBy8n0mA6faXsw5R+AOLLp6+qOEO5zzCQefi/Ro2buhd3HMxhviOv TIHTs6y+6hM3eNdcXbvdQigyFacU02kNpcz/4HDN2Sfyy9Pms4H0KDT2khxgDrYo2WSA3ROYjXaP pG3me9TqBQshZj76rbjXzBm8zbrVgRMgIam6AsISw9wq+F+VhrEwDtKg3tV4TAL1OxZLYhLqwNN4 5suYCClbF2UpQaxnpukW7+NfIo+FIAVsff2EnfynO9lH9C4x5vkk5UonKlm5a/RbDAdJTitugsag QUt7zlh6Pt9U6XIQC33tawtm+H2YrcIGC1BELsZlcW+NUseiiVJ3MhX3w/AbWXhVmhBkqxLiR3ud gBael8SjPX2OyKJ0jPmRDsYIMJDcs/z3OQ5vtf3cjpcGQvWxQMEK94XpBOQUyfeP6gKRWc7Gwa61 qexmcp+eljHHQBcGIpPHui8TyhGJroz7vN080bIRk6nfq0ILb53GNdpBNYEdHWpAg+VJiCNocok8 BhFLZYqZWfDLCwGDlfpNE9PG8kApotRGBtBH+JCrYpj11QrUBIdWjwLpN2yc9aNEe6TCF6nlNGzE UYQKS8q5OHSDW6726vDXS7kFv86DuKow95YPzgqOoyB0nqSUULI4K0SNXswYEstZG45SH6Oxn6qu dLRuqG4Fidsr4lSKbz1Uh3Ak41/y7yg6T555nC4TQHTfiSeAsVAnkSIqbs9zQ3/TBpej13Slknum 5oY0RTmmO28/LNa3/yApKtmP0dYwvdjngomu6lWQK4qM9zYsfuftwMDfHasVWbQuBU3Z0Leh3EDc 2rsRVH9ivNOI8p0IOPIzQAnUuisWSqT1C7XnmNXLzKptZCzU/QS2qSYHfWuGJOEmUc9EstR15mgj 9INBxgWUQ7EFPudBp47TQJZTx29yQD1hlVGsZxgNyt5a8PcT82xnIJbJKcXPInt9doWhobxPz1wu pcto0A1pIc8uEqk57VrzC/ff3zK5TLyt55iMxJgFJmE5WX/T1UsFsXKCeFqr2H2hFe4AeyCE56QW 8mVHdOnD0X4VUDB4UE4AKF3+QGpHFEBwBWtkAPmweqONBA3DADabspPJ8lEO2E9VSObRq1KtJe6+ 3yw/1IuYjyfS7h/L6Tu70mMphYjqQGaM6h791AvCn3d7yc6IfkHzOrzbrOw+9ctMcJn++D/hwEu4 0UtR8zH6XsTDp30k843alrDjilHTXKey3KL8zoZGivzamF6eUrnEUeyz/mI/PWGJr+4qnEsfh/Ek NBvmjk70KwrllkpFvkNOsZRm3/0HCJfJy5jHmQSrJ6IZHFS4lmQ+a52u4Pj9VIeu1ydMUsXK+G0f i7PaKT3W9In/A9ilatd3Xh6P+mtu4c3MGZUuyOk0NzpzPx7V4FolDFTgxUT47is4sGoC9dPfTN/e b4+du3Ey6ecRALnv8PoENUTqKOW/iNLt3Gpe2VK+PRKz2Mf3Mn0pCJRfg4H5EE8sBKhBZFuN8Cf0 AdaJ8k2sg3+kMBSW0kWUypia/i0ebH7xKOjbdMK7msv5M/cFh9sQRH7otxlLsr0f5B/x9PBn9niN aZP8OP1taHbCuVHodEEi+MBUcH4rXLHSwRyOLvMxivdkNx8OBM6PaOMx9OzsB41ZQyg2izLIqwoF fFWOjNzq/PnzeaxcvA1S8jaV0vOV1m3grlhvNz9N/PO5zbcM6cY1NMyv2mjYbm6XrIy8PCKDJzlj 8oKXt26vI3lj5yK8+iI4Bu77s1hbcm66MyP4oLtAUN+ZPI49NiBsNkDvIMIDy13pdW6o05zDR2iD RFqW18z6XAky1y0BWvRU7NIjT4UoiViPbHl2j+t3IeS7pBfPRFWDQbofNN1jqcEazLWzgAprmcBz CIwetuxwZlOTAUXJ97jixPuExuxqPh78d8vmXVCP3U19QXqEFvcU17ygBRC1ukxS1tKCmCI4kMAX 0P5oVltAugDF+sBrSGIJfIKgoiT3ILsi/R8ro7/uTP3sY+YpxtqUNvelDbKMqqmklo3B7DSajCP+ ZwwpBxvHVzxxvzaHphGMrGm+QwzNwyG5jxP0xBTTgoDCJ5joYMgj9if34KDWzczJr8N1NTgR7zYZ r+Q0pwUfG+qucIL3yxBGsE+fVIpmOx6gOrk7O65LBlj6s40opsURglWpv4OXtIMoAX+KqHJ9nRQg SN/h7+ipzE8d1SlllifgeD1YeczMmxiPTJqzv3DR3k1MtssNWnFUT7ZonHjNBfBjRBOK4o873f2y mZVhFSZvYnDbcn/vQSfsu6fNIwJ1mTLN00VWT94a7gDi5twJlxwMln7rXkMgxR788YhPLFFtXLHX /qAORBd34aH8fR2zNeBypeqTn6Xm9HTQEFfuMuLBM9KJSaWO0EakE84LdlLcJJm3n7ce+kuFKu5o uteK8Qrzg5YDI0z0b9e6wbuL8bnrbcthiISaX/OOMam2kV40pNZX9rkszJus9XcoeVSgzHFjnCSi GLWogyoxfyp7vLiN28Rw86zaDL4J4HZp1Y/6jyZ8M9b+hhwwUUW48kSdhbQhPZaABGGMgTtXZyBc lykUCt3DUiIhJP+T8JBkoPjXpCduB4sLxBTiMb5C2IVeUZutkE4f5aAgEvqGnx1IdCdQ/+9Wv0vE /isSgR3l4g3edFh4v4dTV9XdtRC/WS3I+sRdt1eGfxiwADjVtJrMJBX5A27AlARB8JGn3U6U/B1t Ps0XjbwndAf18tkSh99VxvNZhplciGMlI/XmCybNggLDZpBzBqA81E4juRrp9TtYAe3uasTzwLC4 iElJx2mwCIx0MZAej/iF4O9+KmYNss/ScYGrkWWFHofhyMwFwdm78wY+msXGdjdZxz4qXWe/s1HT Q7ixoOhtqRRwgGJC70C7yUha+bwcg70PeNrF5vCosf0+0l+yZePkMkj4ti2XPjKkBzWC/1uv8dNM k8KJhrYifT5+JFQ+xCT3RDtqB7S+/6x4K8hGmcG61ERQcEpNDyBk1EIs7VrDYwwpC1Y3Wc6/eXug pN1SjcvGD+kqkt8UytpEm3fXEkvodoIYhdyTPhznNN63j9frt547qv7Lqw2iOCjF20WeK2xzuY46 A5Sw2i2wlNlJlY+jST9Fg2fPYFsqdaIcstBmvaLSg/wpFzpGMYHjGlMo3qATvmndMujHb9fdnsKW XqEHujtiwiBAV+4vbvVnEw2GaGFZyEXClByCLk6PA4H0XQzjt2KaYRAFBMVXZkoU+Lu2fV7dW634 PdcWqIkub5nTZXjXDIbuAvDrz0NpnMISD4ujmcsaIdm//FePJl+N0kSzYIk0704i5SZPbUF1ifCT BM7DsE5KGALTuHs+obWbKkilUadBfvXeAasB4Anb0SdNbngS9N4wg8fisuWovFuozWIkHJxeB7zL KHDkye2ntIl1QaadjIEhSSf7+okSI/0WHVYZJ6SvDZHaSft8a79eV9ljh264jZIdvRw8gtzGzMja LHFbgLIt6XId3+kQzybNUmytfjnLq5yzj6n2CEYSpJj8qd0XZIIZQ6MzYa3uLXl8TvW94MjDW3VA bmBCtVO3Z142CyuIv4LcDb29mqDFGmQNqXpUC7IuzmXkNyBuNdF+RHExyYRNrSHVB1Ahe2NmMgEU LyPPrxd8yGTcSburDyPJFleHhK7+fwvL3EDXWsfkZp1/R9nZAmifcJCYRQMM2vlxfrQVZaxSc50L T5uQpKugTvOBNU4LJtizoDUXhLrgxVn5K1+NpXGh6J2Mp7Fb5NG/rKHcHUUg3PSv0YRB+o5mpIli 7THRnQB7TfSBdaubPlM1ORXKkmNUGRpStssQ8+QMMEkWuQbFHc6DOmaDpQmWMIYBBn1dA9TT00bF ou1i+UcqQoW2NUBqZ/VHBDllpXY9GU+VwzRPTWTRxkz4FpvZy/nLst11ErX5p3So8A4dPekgGohW GCLUo5MjS6Y1B1NA795CLqhaUeiX72y2VHkYkfJTa9C8g793UFZPqVhxJRBh2Ogg6YlszRMcsRa2 s4DjrOW4/OoY2Npx89JNW0Y+DuXdLJIWNyWqC+kW4FN6HxhO1Q3LwxE8qYE2811FTf+G4CQYQl6L 1QxXJU7eyYLbKNxG7Y96Mi/hamfnSXnj//rpviUmtt+7mavB/puDJWF3NCz9cSeqY48MqWrDPOMf s5nzXFEXk8JZDFl/WqYsg2wO832C0Hmx52iwm6/2oMvmP4k731eF4iKm72ERo04PoTmvJTjCREsj 5JD06SwCI7cTBjoYhxu+yMXNHanFgVw8e57moVwdy4daXKGxmup9RNwim6RfUrAlx+G6Um6Oqb0g GYYMFnL5P/ZR4wmJhWUgVEd35qw4hsuPvFlm+f86LWMBVPPAzTvs1uOo8gso1yKj/9H6lYthbpHP UKVi7PkCqzjI1gaacejoWKn+ELEINUlBLzmMMpFUfqeqEhHEE3UCVgB5BwOflTmZWaKjb8Q5y8sm FbJ2HRqYCYNtqOkcrxW/7ZKTFSiqVM2TbPaoVtAkZZ6AejfM03+uRKW/OrWNyOC0RbYQTDjJzYQr vb6a8n0ljG7ZATV2eMxEzeuk/EqC9UvDvvX8Thji/xkY6W7Umjsp2krVHIbFvg0YJ/R0XDwawXTo F2oI1xchvgRCAQwzbsCwTsvI+QEi5osI1z5PK7j+0Oq//wWtgS+LAYl7dD4Q65UDclsWitDofcRP wWLuxh+rBQKvEdVp6yg76jRr2kq+oJEzr4Ng76ex5kf4wJ0HCtQSWlwitvNZBR1nIf0tcu4vQl0C vAo0AG9/Bf9/v6Lu2c9E9xiAChNVLo7M0KiP+5+1ttmXgemrYjMjbugGMK9hv6EN50lFgXQ225ye eGRB9r+jtuNpZNmYDW1Om71e4telv209npqnX3LXM9Gb0fYcVsZfrdVW8Otf24ePjhthNA5METoZ 6+hUoNNrrnmuio5/hLFAYVi4bSTgYz8BmbLmz9htql3zXC7HKsN7QZOL3oF16oJUV1gZpyqsh4B9 y/2wOjtnYKcN2seRpqmMBtNGexL6kQkoC+9uAnGLAFRiR/yVF4+mK8z1Exf3++hRciWdL2Ia3JGr XbImpT1MgaPDWYMPCSUbJtjK4d+J/ccPERUI+KklDjzbLXas8qH6ZzAC2UfOFat+w+rKY3gTfCWN rB5kDGlMknan4IqlfrvtoJTwEmt8CR2818ANo12LYNANgHZ9c7MJRw0ecuEifCxD/rhGXA7JXK1/ pROeFgvgR6FDUQ86elBSNdvwk/xEM3aToKTXGEOqLugezg62iRGmWSg2dzFO1NMJTgwxqZ+WEquf cEpfQyPHKlQMqWg77EAh7d+RyEEfCsiAmeov4w8Q8RJ5Kbh76J0pZIykESuHxTfjopDqOsme+v0c wbz1C/AF+Gol6mIRPugUd11mW19HtRpB1rAsrkxvkGug5Xh4SHVPI5V9o3J082PqUhNh8AyUeLMY wlWPzyz0A+MKhMrf/4GjYWltZt8rKo1YpveEKwPK3o+sviiwfvckE2gjrc1I7qRx1L2lNBIos1tr brjCsh5FQzmX1a5UlJdl/IOW0oHm43+z/BkC2HDzbjT2sPbaZFx6Tk+iuwNMLHMIu4bkiVL+po12 1Sf4HijyYiSRkLcTlEqg9ArMVwpTt/Mwgnhgad7uYC334h2Wgn4/EaRkG3ORr/sQqC+GZVrNiW+Z wN1MqKXf+XzB0qdQnZ/yx8nQbYdv5bwnwiGa+7oCHM5VXE09VLZ+5E/kveV5/pfXnGDv+bPrO97X J82U2n0ZZIXlzKDXMeFytyMrT5RSVDWwfh+vEvl5LXHljk8b1RSJRjqrk21Wg8LkQWxSCVf0jHaK 0TGs0g4D/ICA8FnBve1hXMzJeUxXvxvCz0DttwNMZF3sWV7A2Jt7BdSylfjOZ5gATptuIEmrx4uy 2ZCZvHCiBoIOKztBZxmHleuPmhkwOijgqXQWbWgKcJy4F/k62VtxUu9JM2XkIXPF4nttibbVQ5OV DMj3tPW9E3Ojx9GHsW/3ze6IP2OmqMc980c6TPco+7GS3CVZVazEYKgcMhMXXeguLU4hISpqX7py VDTrfpXlsGz1jD+dfxXxRDH/IBvyfpbI7k3K0MxvlBKOZW949P3tP6mIi8CoMmn6LF/gtmGrEMAA bTN9CaYCDn7CATWZ7+yZfLQVlakee/RquOIm5Zpq+SwsqPYZVkx0KIck7lUar+dqiu2u1Pqnwe58 S57/pE4Gdt3+vpu4GVN1QGvzsiJHB0h0cc73Quhvk32UUGUcIsB3ntB6fuwwt6UX7t2/G7DevwsF Jzp3PknkkNShu0jFbh5MThmJPtz027rVqxApRy359lm8ZJDWKdhSUxD5qmPZbL1lsttKwZ+CnMzy 1WBCBVqjEwcyq9QrbQjo69gVtH+1/Ii8E+C/6Qfxv7QfJ2NgvfU4OhmI+HNxZgmFFfRAW/JCThq5 EHuPJ2SwnkaEDRaXDYnC6eTKnM1rXETpWrXXI1Qd4/uTfGAslrda+0izpfjCsfw/rBMlccTWlgwh HAvSWqNWpzkTX8MrH9TOLe+zVKix6Fc5insnt61tOfBWlackwy17I7/XQMChM82XKhdOwQiy2+iX PwA222TAH5wd2EJbMfjhUcMfZZg7vFTGBOEQ0pajD2xlJcaOFTYgwmjdDuw3F0tGaa2gb1XLymzy 6CIOL8W73GIAj/qS/S2HFpP/mTgjoSCh7VHa3TQS1vpHHEz4ICyNAR04gUdR9g6x1kfYRhURl+OL aXwUOnUw6N1PIcq5AbAhSPMRXSPhze51xAzmuGRXdxyoi8TURXGKXcg3lYveENib4Lw9R4HtDCDV I0T/VPbPoeN6B6Xo1+Qdmbs+vjOI6hUqOH5kxcCehN/pf+6Si1YmxiGvfYe2QXhk/ILZk8UkUW+E ajON+SgQ8XLWuOWL06MbWUcbfceNiEXm3rRkqXWxA6ntbU8V/aCgniYD8w1/QdIdBAOVIHrhIVq9 ncJgb2dPfK9kJWAuRuOQIWz9t0xen6XG/p5ldEv/ZA/W454mfsG5Xk7eHi53HrDqC1ZefbEAp8Su 8o9Qe+EDEVVWAGG5iEpePaybZ9XEA1AnHA4lNAKLjQDK0xw68Ive2iVzfD9NnWAiZzfNjjiLC3/W KdbHZ6KCsk+LULQ8LrvrMirS2miMRRrPicoJMRUBvDhyFE8R6yjMJDoAqkJ4YUz9OOIxJQAu8s0e o8cygCakl1cHB6hFrZWejvo+licniCeJA2rF/LIReqSol8txHg4Q9NkrPZk+Y4tVC7OZGhR8x+G2 qRHAITZc0EAgnGqvRpsH0HqJWUDCb+UeU+Sxb8A5l4PqjtVAEyvQBypEkTS1HTgT04dQCx8yibMe FW2WV850Pk4w6tcM24XlVNZivPTfV+hTG+/Q9xPy3MuQU748j7DCUtx6n7ABVN3pFvUQ1PHZgnM6 25NQfUEFaN0Nxjbzpz2sjEojhBFURtIURtBUY8HG5iUfhXerY0hQDb45B4bM8oboumXUvAE0g+O1 AVaZDV3aLL1Zcwr1RDSOtezz7H6NmMq0EydsIhO4QoGTI+uztU1tYEuDFQXeWN5CBnkL63j4cgsG Ta9xLtEyJ37St7IYBfzHLU2AiMhLTZYhqll4j2ahluyViJvwpDlr1LMOAE9jPbqyqbLn5vVjW0Lb ucIucmDZxY2bod5D9TXRKmxHIZyeZ7nqvrP6Z5Jhw0H/3v9N3L7c6neWXLV59XeJUP25gfcElfOu 5kzT4tjT/4jHFd5CTyYdA/OL+XQJiMUmS1L2KC80KqLhkiOH9ZesYwn2S5z9JXYuAhZmRQcP7eGV e5XtfWh+Dr9JDYpSLijO+osEqf/IOCP/xVb/y9YVtOKRnLTltsP9U5uXtzytfoHSN/C4VUbWtrSd HFdU8k6MohjY8L5zMHlexeGbEetR/va1wmNQ7WSjI2dh2xdrTXvWDTim2eRdhdUBkZ60mqBFIMqv Myd4qx5YT7TMtgdw9gWc8luIViWFUbCIIbVkwLaV3fm7Dfx+1hXlOcbhphKeQgr1RbC/Xk/bzUC/ nGhC7zQh0+fLCO4P6ebAyzjSe4A3T67JsIp3HUZlIuFdC2gtlu0rfAYmrqyKEPBKmIiY5zrV58cJ 3Cpbpn0Bk2xEv6TDM/K+L9PnV/JKQwjIvO2c1O2oQ+R+vyU7CUPeTAJwj4HHIxM7AXNkmDSsLsY5 iE6V0XWMKpYzcdrmN2o5+jJ9fxHto7p25/7+4zcGJ7W2da9H4a4u5qb0nbF2kYaBax2OQbJm9PTc ipYgMETPNHzjigbH/4oiUgH11wcB2tASmS3fiCv7m7MStBuRbiMFcisugAQlrwWa1Vsolewaetp6 f8GhlRg4I4rsHfrgFVsVdYV+h+05y7IxijK4X3WGVOV2Zi8MYlU+KShXM+kd6/mwj99zOjiUbeio 1EtlbGrCtYhLp6Cel6rh9FvWddWsAZF1TksXBFDgKawR5T7rJcbWPhBhRYiguhzPwlHd+gx4eN5m rOsct3xAyvNcNKOVgiic6TVI2Vmd+gJonAOn2ORfQdFTUZd2alvKgj9+NyPbBKAGzkSPFaFJJ03M Yv6KSUSVJf2BXUEY51oY6/19k7rUHURujQtGl/GrW7JUdOZ6Dp+lItrDhSwKQ+3eXH7p6JqxamKJ HIk7furqbV6aUB8IP0EZ+PQZQiyUgUhOr66C5Y4RuYJAs/zPCWNS7AojYdjx047MKZge+FZHHxgE wqDrDzVkM5Ox8hYxXmREahYuxIJn/W68uPl48VlZNbZAGy89bTU2aFAV3z8EtqfITVIFP0F2MFwi hOz406nVtF6AL1f3vkpbu6/axmxDwPwStsM61WBDnRCBv+IIvvDi84yn7rZgZpjJKm4dDbMdCB/x hFAS6K7CgjUhHDuXsftld2JsvuZKZKFAgXlOYm7kLFJC1iUA5Gk7+sjwjaebN3osZZl/qXdgQgj/ Ud7S71T6PRyQfI5V6BZbTT+nSt68cL0twdFlJWBJhH+22f0YSnUN9qf9Fm4vheGNMJfwjIezVrR9 Limihg0uE8RUMh38F2Og3932nczqrZ9HaDXD4GN5NenKhDslbBYQD3fen4aBNP46B+uQplK0xjZA AzDIafzbsjPiKJTiUDFs5+5MnBDCMuCO7mBMJB38pilxP85Zah17efcpTADjCRlfzCbdv7ctY6c8 habCdmYvt4kASp76Aw/GiS4uSzb2UKQN+WCiQbuQrm42NBuP9wzwffzf6w44kUn6fvYDLFRoSK8i W2eLl6+1vgthLcYz8um/vm50LDU+ZV2xZ1ipSrgy3zRD2QNeD4Ssok4myTlXQDw5ljB3czyuk0as nb5Q/bSf27z6O+qLPRfj1QM7kwJCysGWOerha4+Vc0swufm9MOBNbRD12dH2RTDzLKu1nAWnMKpT OtMSLKrucA7mOg0pPMYqpjbjFLO6Q04Aw8EjCNYncBPY5jXApPaxjbKLkoboqR3/syHhFAZ4Wsol HYhAdPY2dizxfZuzP/PS2BBP02b0VYFwJCEJ5Bsnw2dUCcX0u+/i53kDf7pNGjTZfAmYo3dpG/HP Lp2MzpHjBbx4Yo0XU3JauzOj6puVhQ3v6ppqM4/tmnKhQosLXPL3ZFwhdjqlBbSlONDliinmK7pM fBTLncnaMhDdwxIhTBinPPckHj0WZ3G/hoFOoUmoKL7/twIeSt+71oatziLlcdvotTKSK+smlxDP 17c0bR6LoYaXu+k/TQd0L4rc+dmJDT7MDFzc2w0A/DotEjraWjmSo3QDGt1GxPqx1BL11MVpaPep RMdRaTWkgegxac96HBhdBR2ssSCliMnGaeVq8dPmHqWVAyvB3nRwpaQxhbvRkepmV3oUo3kyoNw/ 8ci6WxTJZBUnlJ2zxSYUtIiF8QOx+YXru3PTANUKl8YSp4RI+7sfdMmFgsamRGtmNdSpmTga3BGG Ym3BHmASQNCPomm+LnSuEJgKGaMpMI8PfyLKDtdmMwJE+ng9rRZ0VdliFhmWxcr9AQBieCa2bap7 Izy2QXR+00G58rPotRxgpvtM0HIWVOB/ZzC2gxFm4pwvOEveDUEKk7/T+DBLkQpHYMdMfHG2il/4 TM1y/jSzVKWBbJ+bNMOJ2cH62kL481lj/OoRco2zx+KHmcwSj8DxDL8LMfnRfZV+uXAOPRBzCDJ9 Za2S+VyJ/cDClrvhRBkxKw3a57+9LrI9KvUZViusv9ZCdHzexdTrLg4g9U22DKafHbIZ3FIg7f72 kpZmSj+bR3qABwblqirTbLKRYoshXmQQ+YonTns6GJRbacI6rYd/1FNWZWzKG/PBlJsNy5EL2Gek OjQ3wCPRoLKXgSbBMv4ZhRUT1DKFz17trf69JUSXSzYzh1p4SiE6UmERn/nBcmAa/eZ5aSRJw9I6 Xll5LI+7z/uglBJt+/ThySbGRkUZcdceDgLkhwhnFEk1lep/3CD3MBSCqVbY6TOU/Gml2/DQTd/4 CfnlQpUvN8OxJtm5dzsvico+ltQLxNWCvA72/ZKt0/sVKhvqDnTCOboRseUfidZLf7pQfOnuz/eH KF3e0ZQlAByiP0QAywc0vIZSSglUs14bfyq2ED/EGwcrZM6pdS3OTeFpSLXXnL5m69xkU9leA9FU eq+ZoVDZdO+l4il5ylT0hfmTsb4w3V4c8p9yfZB8PwuCqw2vNfC+1ODv5wQU1AKT2MyaAnTm378M Emr1V9QsP7HsoCHNF/3J9h2cl4uUgYLcrkhPp6JVndaUrOUBTkZ3KgEcjaN8VCxBb2iYRspWpWPN Gej3NxmVfNrAK3p0+GtDgI0oqejKzcQdNEPcgIeM0smu2+gtAbxVT7zDGOX+WpY6T4CQS8sf+x+N bQH4u8E7v10CWPo2slIWlLGGzhfJbLkWpqrk5tLv28S3KzgRjAAErDCobYCSO6uJ51Py6p2nM1HK HYGFTi+NCgSYl8RrrOuudcmH/Zb/Z6lYx6H8qimYSb5TGaryHOQ5VdewJ2eao42H7pdagteiANMY ic/AvPxtITKt2WxViJH34TTE4FdTV7DkSZzEtCPSWJn0C9Ol1/5EgRUu5UFtXfQ4tr3r02d3QLwt vjep59xd2RmTlUvOX0ZaOaqGsBktCS17BhctB3UmFD3u5gR2tt996tocBzjKpMCDETZi1UHQsMOT kBaCrjyf+y2ymwxUIfs51WngY9OEF8E0NKABv9UqRKL9XMpcnM58WMpapduynGzhOgs/TjVxrg/U 1X6EdNil8mk3O+ER6ICeEMS6WOnxdo3IbYGm5szifFB9JbfYmu70dWBGJVOkXbWPk5c46pVRVb8Q IO1x47uyV7jAZt0APRrtgRdyxafNRboDn3PAw4qGqTL7RWrtSaDpMxkpgxb8yQLdFDa90BUL4C0I AzJq2woA+IJ8qURm+yMmQ19UDJ67gRfnkHH1UTonlb2ZxdbBv4Q9sxUsaCg6+yeXuMvUvQY0RcrE qIiCJSozWipVcuUjLvskCwlpUvDpgVleqVyUZPFL0S7lhYpbY8zt4x2CC27D9n4DdgybWcCAzq/d jrab68axLSN8iknxEwSvYy4DK7/UEu7I5BhyIqZyzT+ab5xG40ys684VJqn3gJ9Op4HkNTFy7ckZ Y4OtjA6mugBwpmdNkPNsYOapz4Q2JoBcrb3SaOpVVCKG4tJTgUBc4C2BT0x5cIDTTaQrjeVuHq5c g1ws/w91rFv/Ted81IvgFVoiepY7gRs2XoCavhtg1MZyU9tPaTMDAH6lQDvt8fbAZ0YDVMff7kpY erFm8Xqu07NxIbNCqA+6gGNJB1vj/AONW/7gjB3bGWJGbpaTskL6f1Foz8oynSdm+WsgIXDNBrTm XS4YP8QT3OKzO/wFGYhAyTpXB+F1tjj5oF/bEPn8pNo5BT48Po7yMfhCvyQKByfKFoB8pWp8RjRH OpW1n9kkIOZ7kdHMJ+YQyTvS5D89GJpiGoRp4zuR9BTE2b3Q9QNkbpW6tQ8xs/xq3PqDIyQ1NRXB /pTSmG/IyqMHhNSez/QmIiq043CocT63nx2svGOa9MIsQxquVYl4WrwHr4l68sF2RLVnxfDugSSa UXiMXQnxeqgpMUrMOM9+bz3bjQL7Bkb7VgPe51RIzZuYj6jOmCz6UWMKjYek6NH4LOKtBiFtiL9Q dqkPjj8wnYvNvR7ijGrOuZpMqDQiEpfdkS2BshfvW/YmrTNJdA8DwygJxAwQ2LYW5uuQBN1nhbM5 4+qLD1TsjOal7TKgyFacEl12PDJbL7PAp8n6d+w8FlglhkKIspdenhoqlV8v2T9L8FVQT3KeuzPk aIckAe90Q3RCN32MghacwBL50XKOc4gFCOjLVVcg/JNnzVyErMj6GrWeod4uoBPmRzS4Ml6dQdxP 1IMUZlPYXzTCxN61GDjzRjylr0USzIWVmWIgFthsSuqTxT83HhW2r8bYJKdRqU4Dj29jjHyb9i02 5usL1T70+jRMNUbRWW7SU1ptGKiEP5e00emVz/pma7BxkDhhOwN0qIZu8OJkIUhsoAe0TvuCgdmg puv092b8ohYEzW64VuJvw2L6hVk72OSCC6IbCKRTqxAD/Y0ffzY9zuJjwj/k3fImwoKByh4nE/Ld lxUks7YnC51sIKBKZ5oT6PnZx1DzdRI4D0YZPb7udc7Uul8CXlHDIkyVcVIqNVIhU+e5TeVZV5Et litS8egEVSGBQ47vqvsd08ylWKPBksgogNEdxj3usx5F6g9kPExosUVCM8OoTHdjr+dFQ2fcnHhL TR+9i6/cWrcVwzWwWwYKJBJRA5cr/vmYbZ4D8Y+vyg8zLNMm8juMVn+1O7YeC0jVjl4SP6OXRnoG SCS1Tuh5bUCZkOhNmll6ai16UlXLnrinLmrz3bTJGlX1kuwyhTfchkVWtkTod6jlQ5VLYMx79KK3 i8eXw6VSwbcH7aLb84txCT5LA0eNI2UOSEBVlhOyC15KEYzgbWQFkjeEGwwgr9hjdH8QheRLMg34 ZTGkwXw0iPZoCQ/8sm0wcJmaqsZu0fo35k88Rl6EeBF8ZhjbLoyLDSp6k18WK0jDY26sz7Rh5FnX Va4FnrvZmgr7MiByTuQcSi//XonkpEfLPEUa6S0zISFMTJzSe9RiSzXPDN3QB3+n5A5+03klV9Jn HeUbBlcxP5aUc7Nd+1AOExPfpJIcRscza/yEzMuqVle+DmisNvon38sORyPfMU4ocwC+cqEet7sh etBiLxAhYiBYaOawG8pIc45NHUze86w8Wub359Zw+LnAfoBT9Ux1P7VDukh2OXeb0CT3b1/EtqhK 4G74d9XBxC8YSjhjFR1FHv0kCtU5btLbgkZA+yUK9+nrVkdnldcq0rjVwp1rPxrQ7b1g6qKBFl/i eTdQGuM8onIzvW1t64lW0jmo1HZR6b+t/w0Ckasq54rrn5vHQfi46D29v2srSm9mcV0i7lW5YSHd DHUEX9qAgNpYmQ7xQO+iDF6YfK/qnjDHEklj6psWDvbkWlrC95sKIdYBto3fm5sBNB3CnrqDSG2o RhfkprkAohyYHKwi8r/u6yxDoIi2VerJdYFoESEmL8tYxWwcQAnf38x2tzQRP6HLnCt+C4S+j0LC F0TIh9bHew6ZEKZQrVnQQgVG5pSHdxj/3eZFTh5E8sR3JSo2NNxDunODy2IV3f+/ow8lv+fnuHeD mXSRoyfUVyY9wj/xKrhPG7SzfAxvZtIZ8A+4L0yZRBUukmGnrPto3Kc8nPrRaC9itT1dP7q9QyRu sRFShX2hytthA7vF0IOaA69KukU0cIRG3EtmNB/WGM19gymFsaPkLI1Riv79ePjsbrseE6WmSAwl FvP4bNCxvzqCxK0ZUseiCaE6Oe2UQ4zDfvPkyxrGWqXIBqLWD5CxYlG3K4lQyClfPJKOQLm2elfx jdxIqGhFoUWag4izfG19B8GNnVmSH0tMIWDfY2d5jDDLWjoQJQsslreIt8aoFZ3cHNvz+NxFJPFA llRdjyENsk4AGRkI3q0VPQNcnJv0dN1WDqyix0MyCz/aB8FPoKPPMnT2OI/FLJC+6SaY/jxDBhG/ wbRIxQ2lho8Se1g0J8EUwOL7Q2UpSHUHKMTVrWAeBBh4yjkFBVXOgBLpqOAvtRGjVGwA1lVbrAD+ pUQfFwhKmJ/4mBVggKS45BTr1snvOPpjcW9FeqbXz0LZcOfkPUnPeTQ1Me+I81xmAxO9B+krz4uC 4l4uUo4eJpC51t/NKeMOKbB53FEbNJuwR3YEKOjtzWjEnFF80ujw4Ny/wN+8dsHNxPMlCM7pWoY7 5ffe1R11JARK6EnAlMZVIUy2DSE6uhSIYmRuaipGs2SzZ6C8RVY11x7JQahL4dqdFPXsXzF9fI4c kRRoGEG9/jbh1Y3D8fIT94DBpqFSj5EV7bQgrt6swSbmrRCdvHhlnVxACgJULEm25P2Sb5QWF33V aitp5aifum93dtLfuLH9iNX0kIQppegC4u3Q1K/gSM7r02lmc2oilMY5qLeFsx677HFtnx/wp9np 58UckvSdmiqLYM+ct3K1/vNiGa7xUet5kjFHEoACWpl91gLvo8CmheX0hKHRF9fZs3k3jyzHBhUG D7DGLNeORZ4NZ77EVCnJgOnzfJRNEfrJp+djPX1fA6LwzEr/Ty9DYOi/7OrWBoQpSpSXOj6pz2fy w/0waeTL6I7KoYTuwAxtVe1N9RzVFEXIdVJoBdmMoghKYm1aAUqGsBMfKrQtFqa88XZFlti37Qi4 7qwjT2zV8nRfHJZzeOuasq/Dk8nUel/Sl74Q+GXvDt1lfv7tYF8UcND31G4U/4WcoZFwEgydu5Wl cShdXye49LRb+hXGA8kvU/qtdmDcI0XhlV3eMPpZCFpB4H0qHUkRnn99+EWllB8qEhf7LuqyysXC 54J66Vw3A1U+sTKZsz7S4ED1/H0qmPn0Lry0oaWVU7UVzIMiRnS/GQ4gEZ1Z5f71V0PpnWMyPSGS N8FY6MiWjXFOUoqf0InkRfcPOQSZc1rSVw4f3VMkdZjQq+5lng6P/TWfkA4nMwzimwzwkrTKtIuP 5MUzsR+HlJDQdJNO8YqLPclBCz5rmhJ1tPv/EdgHaHvpJLHCJdO07tbLnIRaPi6wIGKqZZgkgaIf 9bUIN48WjCdUjzRDx2S4xtFFnesmArCXI5wlQoK6OgAXTHdA+jkMmbNLdWJKSvFbkFOWas/3AgHK hKZ98Ce1fRDWEn4WrCx2NBVUhpNKXdtjqAnttdmQ4aWyfnnnSEeNj600nA41tC7VFEnV11ZMXM5C sehV9AhIGjAzqfX1el4gCiS9dlUq5WueEbKiY3Te6YPvHCso1Ms5qKStss9FfYIdjnVcTgPYxDOm DNODGILofXT8bIHht/gDkQRr34Y7oZiVHi3jAw42vTrOmeWP9c5Gf5y2Mk+6ndcPRX8jY0DC+pOM z1gdSaVTSeVnZT7z9DUKUn6g2+zE6vilFPWR7gV54a6LnCgO01Kxs2CC7BkuqFDzb0Q6cc5jkhNk f6rtV2n1dIHwcxFDW6Kp86StroT7cIuvNoYrOr7O2L9N/CpDUSsDEbrJc0Hew9YyCfsGVZplnPo5 SyAbGiRsv/AdXiodtfeQyaaCnage0YYoAYg3SnMjfuD3BKz7URW3HBKn9lB8Iy72Vt2xirsx2qkE 1oDJS+bd7+5UH1gqccvzuU71R/H8XVpAhQvdBxWHeFXVDbvr2+C1g83TfUq8fVCaaxbzqjMla/2W YS33xR+R0THyt4bWtoW6E+7LQgiGV6LsOd2XgQyK9YVylK7KpU+5VYFo/1IwH4RmmRG6D8BAumnZ 0dWovbiOup9EIXqWaenKDfVldtKeltrdqRAntI8B/Qr7DgLPJS7a0/VX2cKyh26GwFkU4afH8W6v 7Sj2dneh2MGVRNRfW9PbDyXotSyNYbxxo980fO2zX2qlYe0qQN03xSFR1mK5emlZ2ahPU3hpjE7f OVhcpzcd9LUYJ+l1o/RjDrzpOnvtCs5Lsejfe/U53ax8AW6JaLCKeXTuscdUKQ7oO7zazTf58isp Hw4oNgOu9TVNX30CurTNloyBx0sN9G7tuerMf/+/aRhDxdpXMFvrBodNFZr+SvnOYPsmXqwOYrSU UyMZSkAzO/KGYD1tr3YsurOv/8/b8sYjV9iU5nMqkF5vwNaU70xZ9gMk4WIp1EC+CZSNIirg3bkh IOZMfgAg56Y0w1d2gWiAErJKFUknKxS+VkTTlAzAcNzAyXmvBCv0RR6YO8f8S/EoSCX1xpRXGGOy Ttsn3YUQRKORHvn6is8mBjDjiB86ha+Vr03B6ut19CXdYZBXamFLYIPeOiCvTeItnvdRLb+49Qgf kebWeZS+vAW4MCFB4XLO9mmcmI18ZCLpgyGI5pUdGu/Lif+9ra58NOX+XZmZ2HOQGV+C5RlSt9M3 ab+hfASByBDbh58hoSc0fsqZtEEn6XpTi1g0cSfREqYkMzpphA2OSQ+y5Ug68DH2eFxS8DIqTDf8 ArQ7PMojuugMqfwmxuAzpRU2x7cNwyWFsBmECXEyVIHjC5IMHUCrVM0pOPVAg84+9CdTXRZBeKes UviiI+q3gnM+6kvxbUiLNDpYM0JgdW7R3F5xc2VrWBUtLXzHhTzSscJ0qEfYTU9MVnJSLfEcn2eB KqxSfpCsYKdX4SfR3RWXxzi1jxbK1bPnnJstlb6KZjVCOnuJj3LiPqlxfCueBnFVTsuLX0kyzwR1 ia1mYqAzi9HWHPRhyTf8WzUwHoY/uA5c6dZd1/iXWSm3juiYAQAljzcVXbmQkJJpVrCdBz08KhZH FTv5oqinYd+iDP4XkV6eFu7Tg1v0EmKmzmdyYZjME7VeFtxr9irtY14SYvawu6OM5psofNIUQ/9N np6ABfWzCUm8b6pch6QR9PrlXv96wvmhYWVOWsAIBVe+N09+WRLzksE1qz+Zq1UrOzowytp8x+TS Y1Jc/zGc2mM0rSRdSQfke6fqVESSuClGlxM2YgZLidHOnVTst5a9J4Fz1MLQIt0D8QQ/TANqJL3h my28/CBfXqu/idMu/rqlxk6Mf6SfSDcm1JEemXjxhR1V2Rb563L3fRWspQpIjgmxoYGEH7zBP/DS gPb1y1iD5yKO1frvvi8j9sWdr3I1yQCwob3o38R+7exmJncEIqSfJWMun23dCGYqPtXLC2V0s38U 8sBDIGQNzMa9zwQGpY5NGtUfKGdYU1EpZd8eDxWhL43kltbBoLWEVQWZwy3eepNbPkZCFtHwueAg x7ha3EAOLGQ9kAdUhbO1lSkdmogYFm6ZnhWcAwWADghdHFPkejwVXurEo9LA3Z9jrB9VfVey4GVX osdtDPFEQ5ZYqhD2Ylsb2HfeKuWepKRen+axknmSkztV8PZVKzT+hf/wz+3o70p1l8d4p30qSEvc hzBgfPj/jLl1J8omLrCHDeC3Rg+lX1922VXItBZs/Tvah3NpShctT90OEl3+653aSPrfpGqRkjMw zpxc0m6KytlhzV6OERiZMdT1LhcDKAzDn+TyMVBCXtIQyxMN6bD0nCSryNTHirnfpA5z2D7nLQZI ysA51mKAYLSFiLgGF22AZl17MwI8TIfdV6aFVIMyftaiJ0n8S4pgIFtsAQR+/X9VGlZZMlFgBkVx 4oS5f6I+jgA/xVkgEOy3kuOaDTJ9YO0MhizVkuCl+zelliOJ2C/qY8z4MHcPrzdqnUmXOUovsaXv 59bZzsm2VMR/z6Pl50bonB8oDQBszmn5pqS802GA4EwvZN5ErSLnN0xPuvFmjkRR5i4XFPMn5DjM GMXHYf+5gor0pNQuEj/2FhADO3Bm22y/osq269R6W8uxhhO55gTnDQvfS+y1HuHDlA2tG0KiZwde c8WNRCl+d7/vtcstmtYJSr4Aqu0BSE8cCjMXZdATSo8ZW79c+vsqiNg62VeAoQnX4gHFxRR9lFfL sFqWqnPg8dpav26TUg/nmFMEl7ndcu77z/OFgk+WKCUv3GEeqkGyiTnmlMo/nmT+asMZJutGKO5t 1UhG4scqwrrMAzGv7/Hw1Frp2DluhniVfmjIDE1KTF0BXkoIHVy8rA59gGB0x4aCHIHhNXlSPLz7 uNDoXkDlb1pPGrECwLrU0+UBulgNca7VVgVzp/jVIH6uvxYehX3O8SpMZ1aqUHgVKDwqNnO06edK MAQLJwSBU6LAxRdZMwAbeH6Sm8i9HASDTpiX/ju37STaXtE14m72SBP2oHwNYVd8KHQMtuemwaw/ 2weUqGB0wZjevQP3CI0tpndi3UN3FBIvkxrYYV1Dn6HKcw1lMvpBI03AY7RFpJleBE+Y/MNy2mWX BV/ZSdLw1wYZEAlDdTSjFTdMtN7WjtLvdKPfOxYhDf90zvYb3F2SrJ0evtoEjti3bw3SgpftO2xv fkoRoNY6rDaa/nKms8sb8iuN/cp0YsMT7qX2B49MrZGfg74miQ+MCHx/RL0Xfo2I36spkbyk/CyX H7/MESOEBG+F0xnpGbjryPJFQA0aICBTk5MHVc0vWeLf8dGSiNIDQqtd8lgQw1EaHXfEl+pyGCV3 iNSP46nM6jDJQHfX4Wk1fBlzH1HkFMVd3xd0dxp/+Syfv5fnmR7wgnPtIuWUC7cxhnCqYWTxG020 /B3gzVkMGm2zxlf4xfuO6IxpQvOr+9e510PAU4sUTaUBqeiO/qqa4HaS3L7bLkQJplVv4cT+D/wu r7ZyWMNDRICnaoZICCgW8mha7wdPNwFQMQDcvpCgIbdUTQJbz9Bc/5tOHJMaNKUSgS1KraRTDPEc 33SCLeQuQogwAQbIruXDjsGOP8c9aMc9KbPN+AwD4dR8H8iXwu1FnsjhvHfjereGO+MLx1x9/PfA IRMvBR9jQBOCwtXxTgYuKwSpHcmtGasiNr+foc/Mj+fYEpB1yAp0OkMxuGSPMGtPLcwVegrFwvem viQ7hyaW1ov2zHuGBd9dW7hH/LPmBd4iozbznkNkbms9vJBbRrbWuT5LwvUUwhaXHPcQNR4agAGy QJbKGyqQrrboWw6P60LgFFAaN9+tGDvfqhGfbBPN9ZIjLr3dEeZ7F6Aamxxw8jrKUDAUVPjnHE6t VXuajHE1Plhccfe30bOpSYFK9AtvAuZNDbRUMhHK2XHXCaOXsnKUf0BJVz721CwXVd5EaYHn717f 1eWaQWgb4kCQBwot0Lo1jjX4QXLwVuVC/IUmxQZYA5B9TgM7+oIOqdkGERrevm8II6KzqZt3XCPH rUae+GbQInXpjndyT/F4Q/ReW8bhCAyDAiuLHCFqFVHB2wAVd+EZT7L6dqkmM7zPGGMSzQKfYd2L Mk+vQw0MUZKMjK+W0myF9ALqV4du3skQtO3+LGfa1wo6QP2z9B6mhzmIo8CXRZQRo+QNsvmRg6hc 5pFlo7/1BmtuQZGVfoWrhLKjg1RuI4rSvYqKe7Clk+ndnhWg2V1o6dUwJqxlnHx1w5KFWeFAbht9 McfBMzUG1zzdfbrIaMrIfjsiN9VbQ0aNUpbAA9k3Qd0nzCj5GKCM/2Ju1Qun4cgyBNNqXh3j6U/k t23SQxFZUTOVHXuXfG67cvgs6cxzT/LzlJCLo6aRTmwPZbj8qjbfCwwrePSolCt6+s41TmhmM/Rr d0axwpSbt1prwAUABQfWfz/2KI6PVyzFJzplb/r5WjruTFJ/8Vdt55QEU3K6sW2WWVjVYPYmdOO+ L27fRq0QDpxCxjJKc+omUx7vU+4jlexMQFqic3if4JqnNQLrYYddESgPfwellWeb6BmMvWYOXXpJ JE8XcBwtjjMVZwpdy1KEyqO5A/w6r7REb6sWq1pp7ZZ4jKLKcEFTBy9MaAG4+2rY1UpD/oGxetqr MwlhsFC/T9qGNxJcPwiACSgLwJ169ZYhxEzradzBzbPeZrX/NnZv9HcuFR7NOW821i+P/DABwpys StZ03dNNEcXfQ+EODKijekJhAMAaDEcLxHmKiIbjSUS+RzMzmoT1sQnYQoOwlKqiXJkdahk4xTzh 2I1EPzJbC86xUcVMwUYKoTjhyRVMk/ipARA/W8/3QToeoKP7S65wD3Usx3PLBq9X6v8Rz3evfZHd 9GxO1mSDx9G0u5jw0tTRb2jFCjb611B1VyM6Tzm+SXuRKsmtHQWm6wc4qiJYR23F5jyP3b4NwY9e DZOOuwuOsr9VHTy5oahYcCWMH8r0Fjt+EOG61/tRTN5ielUho/InzpMLwkGFCezMN2TvM0TDd+nh qiK/NLbSxQDSGtW4eQ/YtJQMq31Ope+h809ORxgtOy4vhQlXSsl9S4ExAwNaEPW9vZ9a6hXproZ+ yh9SoCxRfDoztugLT4z1A803fDrk3se7jKFMIWP9gOD4iCYi464AbnlVYMQbQAZk1ewMJK7g0BL6 EPpdYfcQa9tL3Grnn+wnIAZbz+W+K9Y7FIIz+YlMoYHvMlw1myihzAOJQe3jxkONLQ7dH9b407do KHgQH17Lr3e3Ug/BXwwqqlLQNQXazMX9o9w54PShOgd2jOto+6GpI0Y742PNyc19FIdHeZy5pcQn XJYmYoJ/hYkelLdHG6xwDGHnwohiGVghVzfrzxqf1PWBNeRFcaacfZSaiE7miNDXI3QJ/7vQeo8y hKcPw4wuix+Il2brhIJ6jmPoPOKRzJ7+GBnClWX6m8ISp4DbUmqv2f3QltBYv9dAYGrvZd31qHqU mQ263zhJybCwcf4iDfARE8N/de00BWG9edJQv58PcqXlQOWPK0QYAG4z8pJm70aeQLeOW1+U36Af irUuFKyEE/kfncSQZNoslp5WjMW+KdDVl1684h3adZlU9sYVvWkZcmBtKZuNqm5bHuJC1lGVgLyI 1CdmMey5d5J33bPTrMyY4cLrhtbHHqVeXQk40ljmPgVLb3N/kfxTfeSfjNCK+BViD5wqIllVT0XV 6u942cTOaMbJH4Umt9zCgrYex1La7oBLisO1Wy5HMPz0l+lFUurrcATGNC2I8JrNmqHH4UwhU3yE wbv8JLV4PbXiRPXr79fs+qrWlfHElZotJyPpDJGBCUntW7eNWwBQaUH6x0SQlL73WRqAigB99gCX hv/eluO9MrxF8mvGl3i6gUV1rpOZBqD1YMI0nRW9eQtJz8ag/N7hniqLR+cXnw5BJAJ9o9GnMupK O9FblTo/8LQhiOMALUKkGZtevmP/hNCissHXOObO6AhnZAT3kiu8VNtS4DcE1+fvZXzx1mMoxBXb eRzG1i6Mgm87Inn9iHYeoqdfusCBjCTTIibLhBfrkLNnpA3d7MxtRUV4RE+SSIbvHfBEifp/nZSM qRhKKJ5WuU1lmkHvV/XZ/b4vS4KI8pqv/KkyeUITsoQC0rrDiid8UfiRvj46HL2+qwELSoQhtNTS CiW1pXsSdmtPGqP8wznORnsw6UnMKYd3ud4aXibrpLQ8/GVibVptL0YtfmR7QnsN5wYKlBQs0RjE SzuTOWnTijcg+khudptyp4KBn7lwntoKTzuvCtX+7uzEXqhi3dOBGga+C2AX9HYCU4Oc8T0L3R7z 2+dV8r3fqBw4o2JwsV6G363a3rZMr9JuKmHzil72EkhXTfu25d/T0Sj4jbg/G2GnPAVQXV7nJvr5 piRK4CyGjLYptlBGOpTnUQ6k2X12CE6jtbs+ZvwpjNgtIuclk2EZjMiHS6Se `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Uqfmhhe32U+grK02JBnFtloD9R75vrZwSRuZPqR1VnqBr7XmHZ9tkHJS1sJOLfG8Zd+796XYlEPr esn8WdZgAg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SQ2JFvkiP/6Sc73sJ0iKdnS6VCowgR1u3Z45BvJSh4oM6G9yLO60+MTHZ6334rXMreWy0IuTJVfx YsKGLRIp1v29jn1JqL5X4+K/1XG0/oDdQD8qut3QXA/Sr4fQEfjJUYYMqnTvQt0dKUWt9V0hhst/ 25yj0qy5VOBC8jSzi6s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WJ/I+yHH8FvqqFy1co+ttqZkj2uaQDeZQb5hC+1bA3SuWwRCgvFumZLJdKX2yfrxkBeND8BSdhek yG43nJnIKHgmtCpSTXIqDmD/8cG7eahI2BTK48q7plbNzSW3CZdXSn4RL3CL2JQCZKc+m/is7KXO DSgsBSMWyLrYMnpfcolh2Lm4vV9btRLcaBz+68qYXExE1DCa6DpgDDy4qv0YETqlkq93dQ0ha/Rt H8A3DG32kmMl7yK6s9PMAc3GlAp7xwDBU0GPyJOd19glVdCAAIBSPFIBIQ2HHLdJSYL1Xp7anroL tgXNTs8HJ8Zv9Uh6cWotDFfPYSYyjwyUnbn+hA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p63c4l9Cue9D6FDOAkQMAJUj+Hg/3ruXtR6nWx6J6ktFuaeu2QHd/dww6hkLZbBhIyG0LXCXtPD8 y15+0t2dgRkquT+Kn7umI+RIxYI0YGMDxmxNW2oeJQyHBYUIcGC3Imia84h8pjT/V7z56Kr5XfgL xs5UhHtArBz0OhWEXuc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KUDZm+F3LxExF2Qe9dGMQ9l+lzR7Z9eJz2jl5fXRWxdXkwrIZi+J51Qb9ZqMTVb0RUwoV1+zCLYs XMnqhBhAPnrzL0y+uggoz92NQ2tDaAWGNPBYI+Zh6/HWnieo7Pi5qDrVLLqFq2b3vNyr5775+Kop x/zQq7CngRYoihhAXk5plZioD3eP/bJQRN37016KTYtXod+OZUGLS99HPEEyQITYf0pvagARKeEs kVnvueeuq2ktx6eWYJFRI/hTLJV8QXZZoPUMdS/Zxc8Fi/9yTVI86xCXA+ESM4U3OBGxLhJQLoWl vjV+YjEDbxzMzWDuHdg7cXYygWrc6k76gB+Slg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block v0jjqkX5YBHW1GSd4SbkWQ75fs1avkUdjZMYzmET2csXr7wGkNxlY/CAvt0ToFua6xyeTlywtDI0 F8p5j3umE2mwUDDCONVj3Aeuv6FWn8r/IOnMMeRWfmadS9Rm/Sh3R4OEHAE7U57WwgiHIKPK4cvX BpZdESmiW05dh1IBrBYs3/Qsm3n4sVT7ie8qFDk5cxNK8o/DUzsuiyqJehFyt38RW8389MHQ/XCz uq/8uEm0jA1tq4czQ18sWdjsNpEqf2SqsuKqHYKcBKFhTMGKUYnefg5U1+CK3wYpyrhg5wUsaD96 iUFx1wQXEl84ik3qRzq6hv/TSgziiiyWZ19vA4vAB+YQgQkUDcny0txE2c8106EOeyJ+Z0h7mHoa 9XKL2mqYVUs5iJ6V3GGOL8PCaCpa1DIeuTH0JnXrn4XuefgI14jhqn4NZfSrV4YlqymfPvAXpuw3 QuAwWd+a7XUGTUzlLsIz4Tvj3T1WfGe6VJYYROPN3WCwYfwjniEU1gEcU5MpesQGLXE0fBue8ylE t7JkUHJedskRxH/St8X1oI/ZBC+DT7ADw0GwZHaHCe442kKbaJNZpLtziwqAFz5Fefqu3hBHI7Wz 87Xrd2cvgbHCNKx0PASmNO9mAIqYnDP7QVqB5DO5hH2JjYaxUHclkqPXRXoEygnN7oxzvNa2/ng7 GBpquLb267I2ixn4JWa1i8Vih2MTHL+Wk+8H5/1OhAnNS2+fb/ysVQg0mEDdoKTMEkUD6xq5K7Ji NZZ3H7bd6wzXUXduzMZNlnx6B9AIOGXl8ph32Gzjbs6nhhjxBU0b+JlSvYKj1WzieOjxr0iufPTp 40E3qWeaLpKdS7rA83IRg/qZM8b27zbCVmLZXNNk32uI4Ptq7xyxaLCkZFUxOhz/EmPJFRwXUQRT LRpZ9XyebGE9jB0CFVEwDsrlSW8D2FMrUxLUUmdMhDZzrnpQBTU9xXPQFy+h38cZqAC61GupCKaa MjUh+JlYuVSOgdPieHuparWbVLbGgeQK722szQUTZ8rg5wKABwn0UTX4HilsVf+ySvw8wTIhfRwG +0XFUhAtJky5m+HGevma9DBipvAQ/mlGsrTctkUC1OpMZX5/4Ws1nWyYiwsRUeLHnOtemZT3Fdbw DOzRJIio2wWDQd96ELO82p/t8mjzBMYTT2nE8ZCqqCDm/6yl1G55DYclUZsafGRUqFkqiIOWYD7r zWolrEhAUVcPrpe5I+ZUD8ullGpoI1mhOqxRPECrOasCCl81dhLu7KJbEEpMIPJ6kGaH2MFg4SZ/ V95+XdEKvCzKLvpuoqwM2Xd8LDTl8yAwHZBodRB7wHl2ivSntGDG7IrdbU07K0faFKEuHUq/UOMt mb3ws2ps/bnMH4EWSOloUqiGtzl4cP89/5DsOLnT859LBERkywyVBjIIBmxIpV8MBKCTJKpQCbuo th3K7zMZ7NEcM3iatxsx0yrYGsCjiDRzFtscWqw/V+6VEy+YMjXO+eeFuCEug/kaPRlvzmSp3G7K EQ/MZiU6nrmP8POzhF5icPhUeSn/z9GtEj/Jaqw4s0yx5KHHJZnAg4lC4LoTpvu+L+P4nYlD23oy Ylb46sgwdOFO5jsXKmFP+zaSKmMSNg2ztz0z12+R056qryP0LLeMubDj29+Kaqy/wAhfuPr1mUGu QapJ62DMxS6ofMYIEEBOl5oUh2rp2cih3XLY3yXoQ+84Y6e9mjPZVwO/ChIgHDOdsWIwy9h6FwX1 se7zLsIRvi0wZKs1u4CnMwXj/fBTk2dM55NlHmZJ0uit434cOTHmC4o0i5aJ3HzzNUcnZX184i6R Bx9PThOxzsURZMwrD44WWD2teEJIJ6XK73ny3lRM6RxU5/vjOa4AXaVp+a69VDjcry3NGGRTofO/ VXnw4F4L8fcvBHJ0Wnu5f2kEbmM3N7B0oH2+CTl9OoqEzs0ahfu6xGFkpsDMg8m91LTN9LS1gFPh pUr/Lqq5rR0nOPXCzZkGWdAZEPM1ltM183BaorMMYhsa6vB+/DMUxjTFcB1ExsvP6YrP5DmqqF9L VKES2ui5SzOWa4pfaLDHgBkuEW6mwTYDNqaev/+SzN107jKE2AZCNezq+Ol4jbVCWtN47t1fCEXs +riHZ6I0uqAPOR0GYQ+WSBhfu+BP64lWHDByjaLyeHTt+2q2wHm2dxInOUtmR6cGyzEZR/IjGPox forFsj7Z86ePnMziDfFDLvCLPooYUOapI9W9O9r4gltX/JXWU+f4cqIOBsCQDjqHx/NdksPIocMd iunQy2mKZzb4nCEXAcP7fZvAIIuE34IOWty9xYyxvzmUJ52BUWo0JTrdwYXFfcA7ik3w9GTn91vo QB1WXVmZEge2sklcFm4Ncym0O6j9jQWufjujJUGCeEcVzpi2aeq/BzXuySgc8b+vcyXli0mEm/x/ WtERMzY1S38TMn1j6E2IbR2bIguKIQu9xc3APp8AKpQtt7hoyqCw2cpFS+DdR+g0MRdiaFjbIur3 3YqwTHvtoAkz0ftwvtFqjX3ydO4hsgW8TmhjazxYbYB2jj2ehRbL9ZwWGWfSFZ/iMGL2lpysZGdm 5CSXVOgwXsCqZKS6VK5m4O85XZI0zx4NW0F2Tg53XIX/xF0O4uSzha/U8V9oJhq4jEv4qxs/KZcO MxafCE8A9NaPzX8MKnT12iQIopjMX805JKCk/0ZyYqUih0B6fVSikLGnt7AkDAdsUMhL5+HjJDyg 09wcj0wnM/zBTMlbXMx0UFa4Zq06c2nMkpedL2GEbMGmRb1/G+EZIZTgc12nVr3cHBXYA9NUanLy 3oyOHvhO8ckKTrmH9RblZIZkrc5G98droz6EgI1W7Hy3kZKETTGPwfqAibNpEZyTkMdxl4fTpXaa r50s0aIOO8evLlJ5IgXvct8RHe6qHfHEJzB0CxKwUWd0wIQM+ZyWwrT9zz7Zb+1PDCj61msnRsZl iXW0mTaCo3S7jhmL+7DYLpIir4ZtUiWvgIqcLfCf2mpppeyakKwpFpaKGHX9DCiBtlVBvkmfXMVG YcQcRPt5/6lfN7qzPlgW1bH6DaEUwADR6CY4CoDAZRjHaze4fLI4vmTYIfjsBbp7+7WWHhGFGeHh QplV3e/hmRdUUyNyMSLCEc2+hP00aBgxGOD/tY7YJ8L+MVBxDSbbxhmKhQm9dEwWC+xy3Mudp00U QCMJiQzgtN8b94wV3RVWzYY9RBmMaFTLp4evr92JRq6sTUFQOjyTfjJi/InGqrDJQTfF198I2YNe SXgYczkgebsAzjTnKO+tJinUYt++nS+D+2i9KONrKv00/S/qeKuLjqbISB2RyxmgK501mO8rggqT 15aX+wuC1aOoUYN0kmh4N7oInbLI3iZTBezFR2ojy4A/Y6PJi0YrZtGWtvwoG+B3Yg1roT5Pbleo bgrpFT3/vycTemdsG/SDkc6Ojr/YMkoN8Psgx9SLlvG+WWzSwrBfYkFwltFHRdKGngidP55QAtnW K7p+tlwc6Vmvmosmf0ngme27CMTozu7ue1AEO+woGCo7YUfhuonVL3AMgc2OnyvuOIlZf4/QfWqr 9PX81MLrWGJA1YMpjT2PsOorbXLPPo7PLtnwYOt4jn7RwJjbWPfyY7+Ln0Xuh2vSpOiUrd15t/WS M9NW2ziKyFrECRLa6Qie3IfQXj9QpvRzbJ064AAuFNyrQCl04k0zQcunVeQaXGar71s/Zxw5RVnL FM+1VMnrdSRDyqRV7K3rZakudbkpMIzL/rS9yQkcK8ISIDO7fFuID0J9fgvqliORZ7jq8kM23Qph wEfg+pyA5qqkf7DSR7wqx+b+Gnq84uywN4YG1iB3jN/Who7HYZqRW4K7+5k6thmMThUVR0blOyhs zPSZY7/o2UC5s312JfUt6TW3Pn04NNQTIpQCpoWq3+kuOjuS5ct2UMvrvI3Ze6fIroUo7RZNGJrc pKhZykA2XCv6A6qlfvkKCwN+I1p+tQdm1toOV6ksfVe29UBrWUshVsSpl3du0c8j1onB/XDYgNgh ovYnrSZRBatNljj2pQHjMxqEOz8EsS4a2xKSe3bQfqvGOKxqnssk+vcWcZLkuSWel1bphs82MwpH uRYiJ4Y/64XPHwDp2UpR0VIIGlRdEljuTdg5IU5CjAMJ4kCFbEQ83Kl6xxyxpmK0vMQuGEz6LFaP GfJFd8fRJHyG7j1wzT4b6oMeffKOUGCfwh7PehyTaasPL9KM9RoCDERr5pfKr4+GNW5vcfMXKC4H qqBVDU48iMJ/XbdNJAHVaDVrFlUv/bk50Ec0wJ1sf/2ITb5Vqm+Rej0+SMkTDJsooh0AlNCY9e+6 8DSuQxUyQ81DbtvqREp6AnFJxBW651zShsDphaHQhWu24I1iKoDYVJ501uz+vbWNxo9CIl24kPk/ KbrAsKkir1AJvuGegZ6rkTS9Ey/CXM6C5o7x5RkrQfKOoMdWQl6tSfIk94sFacE3GGE2V5MinzAf xQLwzAnD7CJEWlNC+THQF1jJYkMdzl4hpZWSnFf+rqCtJhMsghTvgCBDxIFYQO8OzWtt9G7/kBQ7 QpCkbRsEkz/+oKRZjLf+I79PFckws4XOSARtv8ZxWc3ssis2uI3Wyucg6epjVeCGU46eJcBrVMKa oSO0m/TQyTyK11vvA6L0CPS6H9vcJCrwCQOIPExG1Cp3GbgHGppE8LZPk2hANCZmjCJLrU59/4lO 66MASBfoa+bqHT8KZC/Rr+S0CGU6w75e5KWbEjHRXjOVYU3ZIu9NM+6q5gb7zHt3sP+XmBJYVgBt Aqc+8sVX+0KQheLVSQyB+uHrUYlGMGwXWtr7Ukr3Hc92Xalrg3DJPfsCat/KM+09+VYxnzwuDlZJ ga10moUiJZCxgfMY0RhR9Wwinget2feUWk1MSODMFbY59mTL2xBb4b0WQFWIByHd/HlBIRKhg9Zl 2CaOEt+Kr1wsk4c/YhkgKZQwzvndvqNQixBhnSb/o3De+f6MxOH5ZnZqcyRd3NcrNa1Zsdd7rhpB x/nuTL+aPpzcxVIke9WMoqFhMgTnNbrSip+tRAjMK5j01XtQdEQtBBW7xLJ0Qb0qTPpUKZSae2yZ kIaLZIzx6KRV+CQlgyqbBZFvtglgFgBx4uoS983zdApYN/3y3eV4IuLo/ASrSl3bVfpuX8fNAN0c D+/IttJhXT5cGoObuzH/lme+1U0AUbC8vu5fhkih6H0xrFrG3DXPa9Rw+xvzt00zDde5dAabRHOF c7/iBgeTNhQ9aj4dsSzAvqqyZZC5/W+mUYCgpaAishpUzRtkfLXO8Zj8zad4oNUNU4ALGbzZpUaL 5LMoXCcknvRYFQOuxs5X/2+tzNe9RopDClURf5c441dy/elVyapyj0n5TpGJ++Pyl+WQN8jHoDZI tceXcBXAyRIabjnpqnAbSgOxs/pmLVxkTgf9EmlINEAJUHzXIS1s7FpPc/LP3mFgqn17RYCE2aZ4 mdUM4iBPgh85vjEKhUfWxEm6LJ55SlgmnW7RXPdX3eWUObVbzkR4g80OU2Sg3DF+xYrXTFvOvN0P HDUbJICtN3U5k6raJNVhM8s0588W0MjlbAW/KyDWnC1L+OgigrTOEMKA8K20ZugpfBX7bB3F8Zkl hqr/BWLoeuy6PiZbi5l2IGiYWfEs3R1QrGakB6+/nOzGzIMhBDuIzoRaq6S10B1bzziRi+ApFdo6 wflOGFoGRhalvRTAYUDThiZWnWwbAb0H9KKVsF9aU2yQzuCbQuyrNgQnFFHpLgHSh01KPTvx3tr0 XJrX8X1dNHfpMFv8blY08FOj+AKsWrMkBywmyCGgKpKsDK/66gpxgfvOvzkxu7+kMkO0MouRRYlE lJw+i20UvjGqTk/tUIcTuI8cNgAy5nsWAztbGV46vRwx82L/tb3jG0jCyGXAAwxl9XJq1vtPzlMg kaT+y7foB+kHcj+l644ex+jIEOfJtXtzrml4Mmd7B+l5lEHn4W/ILuS1+2nsk9O4zxeItXBPeJGn 7cXwby0T/ZFbZl7oAugeam3LRzP8N9KwmmEMkVsux2vSAj6glRRMfuL7tefUoryHfRC4ph34IFm/ yvdmMUt6kBoSdt8JvziIbc8AktqhnhuSaIyowL2bp3Ebnur9EDbpdTlnCV28uLPFyVTkS8MTiaJk N/Q6bT2Nsx4PdrIULKaDOimoLiccpdBmrLbioWhYhyB0A0prIGd24k6L02ItmezTMIu/OkSpiSiQ 28Dkkr5kxHFQ7WEOLLi3GK2J2oh18hJ7LGwE5HpljVTFvPHI+APqxla/YhHSsyLwO+FvYlxWyjWU IaWeatFGN1+v59DsXlCAp49xV68cDmSkWNmYbvmrokB8dxCZKONiIV0Ia2oq8oWca6cCNKJpGTK6 rQgDY1LxF9GvQihJ96dBjIBI9V7Iv8XABJCvrpPU4h1a5PRyJYWpfrNRPm14eJGfZ2mPEbYEl1kr ozi0TCd1Ko6XPh7z2McPykTARK5/Zr0PV/UcuNoGcjw4TOBxnBKKlk3XHCStHtCjBHVjAWuAHaR4 qngI3LucbdAvn3x/nDWAvE9/iClYg9s9m9FXlHnD6HIFPmTbXQnrCRpFJTvHDJHok26ChfKde/8P MPupIE2BNq6IWXn10VTK2wS5TNhbhVwZ+jUbfHMxUc7lk1589ij8pcvjZTBxFqA+63dXcZs78Hpj 7yhJxYTCSbizZ8pdox/TRhp3o/yfhnCHizmq8f/qT8HXikg+vkSy8Mj6qAzcMAKRQOgvYgp0EsZl HbDoD6T/qYTEXVVKTJrRxfViGNteVLAKYD8l6QKu+m1r8DaHKrTKH1zJRF1+br+cLE2Kgp4uPBXD RbVpjCkyUHymdjuQsmxFVzgUAZdeYCBYWTHl8s9c0zvSI14GUbuZQd1vip2E5FNVh2hDxt1oBr00 qJiRCMIa3JBuGvTpDmaX9J1LRKriyXabFBY/rjLuap4igYv6FVGBA2qkTeaWHAqly7810G78HKAF BHNGgqa3xHaW6ZMxKqjNm3UBIwnOOjMJxF9YYIWdbjvU8ZKhB4BIU7BYOkcjdT8UokOSVO1jG2IA y8zT+TN7yToZ5J034wYB3DLQZX4FVfFlNszAT73l1iC2y0rd+XXJrlgiWgnrCz059XZsiKgBrJyv RHzYvCn9COKFBaupsO4y4iXU2x4+yDJRyb/5TqlMNHfqYLcsqba4TckGihwZs+VeQnXFvyjLBJsu Nj+WUjYKFkXa5pmIF5iwaSpoIM8ipfAEBws0LyWk2lzNK/QR/vVXkGlI2IA1khxK/HG+Qon+buBZ nFyvTNYwArz17HpjrYeVHzjBMLauT7OrkqtrbJ3LPQLSZCbTe9niUiH2ylsF0AR4lzGcqbaYkQfu ewTd8KEsC8TEnnTRj/An61TPfFLHMidAnkN++C5VVmBjNZH7+gd5cQC9vlzQhgQ4sSWwiioGsLrK b8KSmIGINJLBn850qFzEDKvD8e7Ngqxd1MsLLXZi+mHNCf8SPbsMD8w7EjMcjj0F3Lh2tj3oxAPC CLJoVdsmyUTTjpvTIHUPpxLU9BDsaU7kXV7pCyqyMDvNg5Pcj5MwsCmyf81A1TGU6Mc9pMymdoVj Bu/zqgDE+R/fcdg7NDFg72xKMIWEPjWsEHg14lZJlpVdamsRsLfcTNG3qmnb4T5xsjoIYNSC811i I9/4298hGxaV6HI8e9Re1k+cjmFCLoHQk0EmWss8ijyorDGCVoAWVmF73ojq9GST7pMlz8P5K8T6 QX5gT2xL4ySiGkeK55IdE36lTmocT5TDu39w0jr5hPWe4EBo+tQ+OjSKInCMv8gXxUi/hk4zrbip ayS0+Fj3nmv/CIKDjDwYLzia5msXAHrtg6UksG4vyJrnurh/GoqHSHd9/fzZIZCi72n3U14nL4EE LB7jOge048gslmsP7KWqXvPpR1kqKKC0y7xwn5fiGYNWa7fVtLypzpb1BqjvO5zC6IherFatQ6Jr gPMs8MTeLeTObkvSqg22DlCNSJOJfmwmL417v11hbbdn16MQUMozjJgBpks0J8EpB1IUVhOi1MFg frZhxx/xmiMyfGe1L6CoPF+3Ka3XJHoUv5dGVWk/cMun60Ip+mPukF3gW9FfK84phu4azRWo5EDY SfJWvgZ7v9W3HzZjZ1RX4O88bItGuvRGETKL1rT6Y3rjtqePKgedpbezSFdftIBl0OCZ2o/gm6wE dcNvAWoGASL0c3Vq2UvrWDeMnVXa+XXQ21e13nXuf7MPvZ9kGhBb52IMY2JbpE1/IEbXC02O4ijJ zlQIdxO46w6LO1Hi8a8CRVow6xE8hUOwkerJXc5eZfWs9NqAdluMr/dUu0PO7Mhsc23+8h7IQCWw lQ1GXsauQXSJgbJVSlRy9uWCZpzsH0yb7r+vMZelgkIZ1jEkOkRkNj967rhMFD8yrgGjP1NmcvbM 6kAH9wG5rYHPkIWWy47Q7UmxWTNXubMU8kntTpl6a/juZYO6PLoTztgLLa+R5K490GqeI/EpBszH saY1+3qYnn/j7vapOwdMZKgk4X78NRaCIqAZoZWS/Ruq9JdkItaUCZTO6oMGrWreKfy+gugKNn91 CS6qeO0soXim6nKgtUHECsjsjjOjtBpn30CW9rLBsJfz4YtW5BKpuT5OZZ1sMBOccDQcag1Er6XR UjShiTZRKponwgVO46yoldd0ZumB1aO9YwvQ6t3piEPSwX7M4dWGMrVlnt+38bBI2XadbUuDNXQX YsAYg1o1jJwNJdcZidw2J4DgWdYugQcFmmQ/AzP3q75yVuwO0uEC4oyRIvBHYHtKa5zDobZpVggb IeDSfea+LYAKYw0u8k9fGUX7P3YUwe7fpZnpzz9xc8DKWT6gnnMFY61G7Aus0/jMz5VlgUDNtG4y KHjbaFBMwg1ybUmdBJUm9eDKuoW4vslRsx3Ofr2po10RyP93rLXPZMnm6+UJs9kGTNk5TnjPrkJw mXRsGj8tKMIjVolbRege/W6Vu//zgSD58rsw23W9cA3WlY18CIomY1RZTLgiGXWWrOl2PB3Csm7v ie5PGph533jgUR34PEmmsiJQjtnJ61VfYt8VN/kQ3IzOUn40YOxD/tbVu4C1QS+bjuk1L3i78kJy 659I7MsA2QM6AY+Vd0B4UB9gdWxdtS614PMQN8qwC1+l1by3kcDYFtbZoPGtOmLVjQH7sjEOD3MI 9R5cUovdH9eVJnQEspljsT273GIjGFAWG2BLBl3N8YGxP0S2b8Gcsz1UZHU2klbW12Dc4qkSHESu GnhasQ2UVoUbdwsHBd0I31Br23exRiNc9GnBoE2G8FHoeiXjqCxRJtWg/tFK2VZbLGVMvK5H8p1+ HqI02+vkUatZW3K2QsE2GOtAy6cbrIWRiyFuaNlMk++H0xxKQ1i21n+jvoZZc2Uk+ZSCV+ppH1j/ +GzZ+39A7Wtcj5H3TTTeX86uNhCLgJK5FtaAkEkwNP983oJQuH09enJZqldp1G5dOl5ygxLtjLV5 FRTRPZNpfErJbXptNlBHbSM9rJvLuhHNRtIk8zAGfzm4r/pA/+twKmwUOmTrtVFjZjtDYqexwIpC TMJM9s9k/Rap5m+cNKE4TjzWTcJawS7a6RFd8yfsbyWTeQXU43cxwASOz3+W7Q221ltQrotQFXOs pjrma3h25xZRGK/NnIGvC9JhhzVleGvhwPQSJ9Ic5Oy91QiYkKgolaBBF7nUClRAD+/b1Ak5prg2 78rsN+uOKRXxyjDG7c9FbXpLFbWxPL11BVmkZHbnk5ZxwfrgH+Lq8K9aqtbQ//o6RtKJ5Xoe7xGr +IxEJHSLy/QwnRYf9o89z+oXjIAxSb8khWqTvmjaARl28u1YtvaDFaQcQM0Szf76QOavILGunWFP cG5zhUCYzCJxnlJI/flLPl3Yh6WCmRTIAKLK5JOA7CfQ2HIBIn2a4iZ4veyU/nexGaI36fqtKVt/ YA1szf34JyuuTo0MBhd6iuXzipzs44AaZfV+9GZDS/+S64Zau8PXaKjKN8BJq09/fB8rqU/y2Mz9 jDkrnT1LlcvFFFT3cWfT+zzurCceVapqqzoPVnfvN9/CKZvYM4xNrTTSBq4C2Cbsu6eet1VNI1LV Ou+b1ZAFXiGUPMuzl+hqFkCvuWsIoRRtbUUO6wRvP3H3DxFW+zRX0L3fuHdGvbIJofeKhKQuYvCH QpWCAJIoulk1TA91A9tI2ZmM+f5xpqw40CC/sdbVKh6apR/5fLmTVZn1OflATz6UtELJF9NN6+jh H76klqLStwTGyZRckvpEup+8Mm+hCofQPfzE8JjxdLoNY9FsZC1Nt9McJRPUweu4ODpIWn/w1wVj vkrVKkJLbQsAI6qXpEZDdh/FScwl84XEu2RFm+WCHm2MjumUEwctCYAbdOqogITlJbGX/HJRrQJ5 SaZQ5pbkKVHmw8lxJrNy9+1ytUGXb/k1wAqHF/ZfrSbSXh2hmDjrY+BJ5W02Vehh/0otHuIv2jD1 bTJ+VCRu7+lDnmf6LKcPnpY/hvd2Wny520nCAvgKdwQ8smtM7yfTSbQ3UFiqlaD/zILAXAQYBxZl Ll1dnlrA7lvTCz4bvNSvi/7CMeEWUHf90ZS8JBS6Sn2oMKakjDijosfOI30G8OpP9F5KKA1wAM0O 2UX2g29TaP+vcrsMUbgEBFWawsVP+d+rAXLEqMJIqlZLB+uwOWNHD0j3ZggdMiL9wTltRhcHzaIB qEJ072sg8yjYeQAaebRJ9PPj6XDJW3mkucpA633JaV557ucMihEZHnSbF+LnxuwZrlo5WllbfGgr WS16u4Mb52vJwzxXvqVRgLJ58qt7hmBzW0xc9kxTFOrT+TDiiNYTNXJEzmLI0nPgvAnVbLs68I95 s71didG61G2iNHvtmTthzXY3ej+mXM6U3631c06pfpPAoORKuu3Nqx9ddQvO/6Gczo/WburBNGP5 zgMPtQFaqvnov8LYbfLzjyh8JJ0g/ZelgYxM+S5B8NhxSk0eJc+RZ1jI6n5ihW3nf+fQ9/hgQ94y 9456Wbh1/qnCCj2drvjvNz/mN5fBvzuB4M1f/3b4+8SFEzgBiGLd6LP+rrezO7Wl/TfV37gCdwTY 7JNNuzfZKU/aZ7Nfjp7exUcuIAgautOpWxy6qgtJzVtdYIQ3lLWPe0YQnEvbAPEtGW/Rkc8eWmbX s/R/mt7BFNb/PGUjq2c6C9jDuhrvFeKa6Amd/Xf4clYp6a7NT/W8HSBGBCtRmdAmi6IPdWm8cIjz SNAFi1V5klt/AdkeTmjet7C2VUJb/Y+eP0rclLVgdBPLduiP6Egcu7PN5+yu6NNr+/0HgPE19TCm 6NW1HnLad3cR9w98djdN7/4nEzewssFlQ15iBGMQDKD83+I4Cntb0gMvmstA/Ov3/iXf4cOI/FtN n4D+lfyZXUp0kd+kHAR53eM82yXKZ4Y3K7UOTvepHO+54YzAaFJJ56u3+yE8cD1cVMHpnHogdkiX Z2ZrS1ttmlrZTEi1AWN+Exa3R4S1ti9nxllG0dEWB733dZnCcAp6r6ldDJwv41e0NE2WKjOKWBiY zWT7ntZNnJZPpOCqyfs06hDgRqCUDjwZvd+R4c0sXPDqSsqDBjV+22rKit/pmiEYaiC2adZQjdOr qBv1FYABwmA5L3hbXLyHpoqnVIR6LqJpvepzlzoSjgdLM+wWBU+RcK2lBVPKwVYISyIwPmlvpwe7 2YywefzEjybe5HI37S/slQj/acuMWz7nHoeRx15WemmX7t3wWj9bcIR9WLCSnNA0zhM2DCJHLKIM ZXedJyynaDz2E+w0uwqVmgTiyqwrBzPz33csqbBv+0CconbR7mszPh1sHFPaoKPxLtyaIux+pznB PRg7OMuX/bBlhlplPIXabGUnWNFs4QQ1IuiGSRR3ULHtpEl3Wu0S9gpQDuDEU0cZ5bf0E6zuU6Ud uStHDz4IIQiepaIV/kPfqlFJ1j00pc+/kEVOMxXazRKCMp8kOwbcMGlFig4opoYtdqD3t206dxb6 +BAJkunK4n99HQvWFr6OjXn1QfJnpb6yAfS3wVfW0DvrRDtnOsEQy9TZhQ11bulEWabl87u0KlsP h0n6p87Fzi1HGhSTQ73J1dXDhWyyD1nKSarXecPKRzUfSWHq5pUz/nqJxfgZHudXo8mgb8jlarZo cV2BNT5uFreguCMpSaMjdaxdld/UZ7tSCi1PyIAG1Cvh4Tv7c//+azi69BIb2gl/AjTht1lC5hXQ QjQh4r+C0Hy3k544tbA+IOAXagXOxhYzwyw0Hduq28beWwK5z+w/PPJ0ATNjjsVoafHZhWMjghmO F94kBDWdpJ4j+RtPQfMJPlLiNVqPjzi6VQ2l6iN8QwLY6MAIhk9wi4su2V4C5hqwBvO2SpggvqQ6 efjol97NLW+25UKeOfV65vFFNWVak1CoUGe6Bxl6kDiVEHh3bDCeawmSXUBfErERwq7cic3CK2jQ yV2YQG3SMXaZJodOmT6ShCMJLSHAW0zVxoArBlayjmSQlSptBQcVJOlcJfFudNu7z/pPE4QG5vz/ AsdFK9nSDfXX5kTPxvkUF2pGH1xngIWqqb2pKfZyg3d8y1Pg/i3c4O2vUbKRMxk5j220b2QMilKk EtWmQFs16PLli56G63E+ktc+8XJYDAdA9heuAsTSHWvNcqDZyv+Lc/kSx2UoQh9d4WvEIsywM/RS ginPfcC23sNPjLxMSzTZWtLVSSDPMgXx0W7SV8ZqFR4YqL8jcDUcy2uiNFUkkds+/67rDcjlzsSb tBzE/MllYBukRNEEuRwPWPl7ZsLZ9fOMlbCDInsUjBcp7eWVXBYdn0F1/1Yo51OdZej2KpGhYjTL dt9WIZ8GfOed4dtgaevdP++vVKj/aTlyBiZjhFMZqcRsEO3uT+5oOqXR3uzuIT3aednRzTvQAy3h CJPNdCKyeccln2yvLBD31KwTU4DOqPNYbrBQ3gbiNPczn1yKVJ45Z2/9+q4UEel5oOr3W9cNuB5U O+t5Ki9CajzEW5YneHoQAXu8RRl2dJQ3L7/zjuDyQaPnJ9Lg5Wa55bkDu9SWvAwpqEfehFjSHBql nfqq4oOxDFvHhf9rK733p486cTgBRxwk3u0Bncj/eD5hfnHm8LKIkUcr6eoRiOoy90JB48fcrZ8M BdkW54qdM8Xm7zfiPfNic7905uWGagYBiL/wEq2MCnAC1AwI9YV52RFa7SULAcaQ/T+f9X9cnwAA qGRNkFetGpQosY1ikhYi4SFo2fp+MhWx6eycZYStgr0s/Dw8JtpRnU28xQMNUSuMQs8c+ijNgpFh OgBKLR+FZR1NFRdz0WtdtJm9icgLZZG0IZdaWrNeki6kGesJ7x6CJ/WgNQG3viI7K1Lq1Sy9GZ8G rcNL8MfDfRAI4gCfPz0LZuu9zmA8XVYGEjDaSv1VVrkRKGJhxunp8DiIOXDhkekpYyThqdU/Uh7S OElkFi5G4alTP0oTWKXIIfEH0itCaqL5dYj7OEZIwQYvkUp9QiiBQw19oe0wVyfI09Z6jIP6ZzDs vRxoxIBM4g1WE/rmW+MslrrGHa5JZ8oJCQfSGKtlCpaBnX95Ii+eUoHKxqDbBA4S79F17PXt2zkH RJ0yci9n7MwLn0iG51tx8IdGOxMzmEdSYkbLpBK6Zr2frdM8eKlBxe5kbhCBSOHEisT0tl5znVLP mpAKQahoYXDglW6pTBo+9Gpab3MoBVepi6Q3KWmHFmVVzNyItwuWF1WhL3UPp3pgEiz6aH6kPhxv +a+JsreKyWziewL5Yic/9uLlqugwYhLaTPv+IQP7ysfmGEuS5D4Mvwb8fuwumsXYqvC3gkjeAqBz YhLYJyXN+5YHXK7XgHmS8NSDrjpCFsyN0xb6sH2h/8fY5gzpknInMQTQnuTTYBb946mEPVamycAH WtZ9oUXuI5XqqB/y2GU7kazOhFchEosNzL/c4hVqfzsTRNphoWi594TZ42LYI2ey+ret+FndjNsR Ogcp48dBYKU3vncV8CwApqE9xXcsRGKKJMHLsmkjnxc+lAiL4zEdR0x6PPsv3tujmgclUtBU+gHJ t9erAl8YN6Zp6GcXCYcDBrpPOV9rn1TpenkPo2qfm2/0LwMS8k52Flp6GAELCEv6px8uzD7CAYK1 NdgMgeJjRKsxG4kbFPOoXp1en6OIJuY03kNsCIE7Jp45B0n5XuT8UeXCQpjY1nrhMWb5yANjJ9f3 tXGeh29oRWPinytkNAc6URm2X6fzEADu7NsKu9ZWjN2T6p31oW8EeAicLnXSf841qENZkjorQAWT umq00NKSC3wgYSdNIaKzV4OqfE4k3fiuNLCNt5LVuO9xAt+3zVueRYrvatFhsx2BLURDp/l9JcEW 3MVPbptD2YSaqk054pSyTj8HeB2kaT8seUBEVtx3aM3eOQKutikEYvvunoWQ7AmZW+PAPheasXIf svmjZvqrOzY8OtMjzTjdetgOmr95v575uW5YneH81QaBV36J008xpnFICZK0Hv5WiCxHkjpy6dL9 7PsZzmE/fLnFMvzQ9EpZ7F5/+u15dkHSvIxv/D3XXqzV2uqKyPD25sVcvf4fSroyjlO2YNz//x37 l1sZFj1QnYZGDUVTVR4g/m8cb08isXK3bgclkTmul5V5sDV/BO5qBfau9yZRFWh0TS0BGv5um4mR 67+yf2qAVnLYdQ3Xtam60JBYgi9T+eSUsW8VX7RegkzdnEC4rZ/eh/NCm0JhagqRoVBOflUBpy1m MsOZTviL0eaWTGytxJv6JdlyVUPKcqmnRqe7J9FyfGm9Jh9qodyH9puRY0px0YrouKZVWyJkNFLh k/6fvsf2DAso+c89xEZT9207KfkSzzQ1QmnJrXYGtIZ7iD+qRoJNd/YmtMnOhufEaBbzvJCk/0re o1+Rx5LuRvtgCIFVrWqv8IboAjgGolKPTw160JMaSaxoKK21CPLuN6XEf8KaYv7t+uzI754JaA75 IDSyNF2NpidIsQjhHVa1gRQn/buJoISKuPHbTxS3HYQ4SGn2+Uu2bEWvn2Jg9V9x0fkh3XCmHU7H Ve2eRxuILE5bU29WbK7vFOxx+zSB131izl5Ar6H6b/D+xSj7/DhjthaJh2+5lstt21gM0kHa94LW 9HaOT8fJBAVH/nhQ20pn1ZUGZ1Z8GOIeVmhA7VgQYBqWzzpu1GmGuqJAVROnspt2h/eJHygerbel l7XjCfZFZUBbowOx2DA3ADzqAQ/ToMgfp72KVxCcJicS+z0KKOfwmQkNnrBA2AaohfFmw3lY/Xwt 7vETA5pqxrlFvZdk2zcjnT0cPNf1JKKenHc7G4oS65e2ZouJt75pHDbjR6SN0HC5R4FlZjlIcTqX xZcac1iwBlEOP4e0IF4ZM5OT2FpAP8btlnpmd6WO3Q3Q7Ggywlh8p6YFcE7S2NM3mHLkAccWBxgQ Q/uRGSJ2SuecOiNBq6UWx92662C/Ao/Mm88HkPhexbzqwUXWzxBBZ4nvAman+UF1JbqgnqCfvCNC zPmC3SacgQ6OeyAxGrv6ltAxyZ+MYYjulqWYcs7l0NDwFZ+KMnndBwOpReXQpA66cxFGZLeF8laK fRCJNcYkkZsIEJA3hyovPfRVY2FO5nhZvIhy87yDu4ECpcE9dt6L/AKPxDi3eiXnXzjcXNnofmjD mEIC03oHuz4uQyKE4JOkJz/lq6nhbj6N0l4vz/5W3DKAXSkEPI9qVLlCAzoap/AFHEnNj/M+FKsW OPtyCaimpu1mu+PM8plVv5MQh+fgxZYyHAqFzUh6Vx3RmDR5vmySsyixrpT48/bqrtbSBMsFBeG9 gb4xm9yB2tfByAU7MMEwfTrTXrsPRg8trXSabG1Mx7qzgLYeEksrovW1eF8QOopk5tGLa89aVZpP BexwLVRMhY7xdE7NpIQtwtHmE8nJaYku/g5z/Mz8SaHbbGpuQf+Yahvbf99bAjXL5EyckX4sCDgv Xi0EhmE0wrnJ3z5GAdSA/4j2ti4sIoufSxLDNEiwiFl6UJIdXu5U/GMrmJdAGbTBJqze7eUA/Cem 55bHuFwTnLzdydJqWO/oXeSPQZLpNGeKflGj9w38R8gdOnqhsY0syQIsXSovLfOjzR0Qonw33Z9u mL0GxuWYQXbceVyedX6EuGxmq2GJYWRvIDB86IPd6ePE9l43AeY8SiMSmncxt6F0qLsTcxV8N6i/ nBvl9Q5VAqSUMaaK5FkGRd9Ew+4ePyrEaNkwIa4BkvgGslFPan+g5IZGFQcF4fQMBS3TL0JH6H2L 3Ga54jeGfgHAllIJsMx/hsV5AUsQdus0k4kurwEU/kc266ZaUt+35kgNbol2NqRiI/NDhUeUMC0r yi8SqtCrSNpEzFIFlW/9FFbdF8sZuiDte4Q0hlqlKsWoi9zdwDX6KxGBOCuOha5Kks/5NwZnB3s1 3dKEoGZEbW9Jq9z4CXW3fwchOeIIWyzWBo/3TtzezDvNflMhaFR+dfN6Nu/a5p3CJEhFdhtcEcUd CIt0ZnwNormgoekfhZzmNWwHe4srrzXKallaqoUedOWC9u3tBCeCx8loP5PW7so1cGQh0biidAD4 /Nuw7SXkriLOrD1wwJ838QUnBDQMotqGUbJvdXKknK1V2+rmBMGEBGw4HVKU+vTAtul/SkC0gug1 8VssROFlmZOZnCQ7xUBf8zQNxHAMqJP7p4X9o1BlnTPQXCvHNUxKpfP+tf4559UvWL0npdGJFIcy lAnowB01rlbwXW8PjA5qDFCLwKY/kwOgxtzTLQcuxx0RTn+zcHlBUllw/J84EDvR7tkqLDBE4jhr oLnjMzZwkF68WaLCSy8GkT7wkw6YJSSBn75Xf4QjXHE5RJOQ1hjdWcF1rkeqgXXGavV+vDCzbsBy D9j5CtFWcFPCMHV9nQVeRYBssqQOc5igxWQw6ykDavbkdxmwDvP23/y0+LWicrOowcLh4y681XIn gTb6s7Ekg2yA4Y3X51zbRCq1vcmsq4sJDARsX1Mtj+Xzli2hMofuq5/ZDcehyKvYRTO1fw1w2FKe zCYH0DG6ZGSW9geiBUnluVahqDVEmuc6m+EDfbKUqrNqlK26OG8DgZqRMfFQX28rmF0GvdiNh1dD 1b0zcPkkRWccmF58sAUqaNnF2JwI8ZtPGVdkcFBlKamp51E/Kb1b4vxJkqg8tQCxYYj8+mT4/Sd5 dZ7C2zNUuQUj9NC3EerL6RwGY10+nhZmLDaL8KBwMobNAEKuqjl4TCZUIAuUdrhvcZa5V0r/lJAa NwojAiJafUZWVaIVEzShA8gL5QDNxrD5MV4XgUe2y7WlKx6UxJdXXTI3mvDXvpU2ZPU2NX3JGRdE CFOvHGQ5yxbkmbDD4/hIuEFITt5v3AGnC3/ZtIb+gBObg5b7JWXKMV/HJNS8ivKrnFrzNxFZhxkf aLpx3sbPNnZpiFpXwhdEH+6qseovG13LyfUmMzRtnx04ZtBaCZYIQwzgTJDDuJhICA4Zix97ljNc 85mRAFwcojrqG6p4xvaT+8tavFna6HF80T7Xi9z0Zug4O2+QjLz9cxF/eSwV8uXTERKqgZgqC4VN hJCvW+4FCFrh3NqRC9g2wdA4M0e5EUjRoDgvFse+bh4KH15bloVwzebQPShH61HaTxwLpSFbaS/g LDKmtZoE/RRzNUiX6/esaBrSbeoZGt4YSROQ9SW+pVssTU/Kl07hVR8W9m4FV3g7n46W5My+0z7t w56nTHknb4kMg6TNZqTCDfkw9xxO406k/R7hfBgUbFrBnLWS//Sw1q5D3ZBq7o0rHYMnzWzgmRgo fOfyCGhZBHrS48KG12sMDUPL6/nYY8kRohLd3+A/PjnMv8UU4iYMWjuQazp9en+i0JwnyE+1lzKP QH7w2pxtwxbdwmZ41eqkxAPPH98oBMC1sftdcaYQjyHuuYy5vIpmjnLwE+607x+Ggxyhn30OrvQG c2ClyRja/K5xi5bwfjcPbYg2EY/G4z7jb8PdBgIhAMLIang/7srjyOeagLY4O/OD5uKrSHiQuHfb VCa3vmGuHMJHA/+hHZeEYcYbacQnlaxGx3vRl6QUOrNLtJCxa2ODUefQVgTxAdhUVBQ/vrkkBot6 DpZ6WtNjdeNEPfjLpf2KHehRoe+fYIw3KJzrfJSRQpA2zp332wI4sy+X50X3c+luE55AAF0jx3gA 6C1xDYXh28Lk/AHzcj+WLKHNz1vmonr2pfKkWLv2D5txXtRDTEO8sB+xuMRnCr8K4Fd91zWpJBz3 Ytrs0UylEK/ZEH+Xcx6RyMulpUNnjfoPBOzkcbuzqb7AEr1NPmnlZ9lsq2OazHLvPBPa1hjdOpbW WbAzNVpITYE0zn7gbj92IQmaSZ9t574j1o0IVFfoD8w3nYXLrA2qvUQob3EV2NGGIru8xGTe272Y UcodFRTEQDHu4Q2CcZcE2WjcqFEmVswwAXDoiG2cBaNBND45BXz89rI0a8Tv3+SGQt5JzdlmVcQi vCrserlABtDFxmpVsUzcCcH5k6euijtODvfDb5BhrFqb/lkJ5MevTrnxnUydPWr2tKo7phs94ovI grzG7anaTSu0I8unjixuIxEaIFLHfOj3xLkJKov5TNzWRtdCT/5rFQiCbQuVUh2Rqfx4gVevSqv6 aoEPjpS8JxV4jUksdCb1AoQAvtFdlubS6bSORgMX9D7tkGjI/7nosnu6a3rEx/lqgtcTc6/AwKza y8ciNIsebxe+Qgu7Ywf6hQ9FIsZ6hF2JrqROfukov6GBgxgXLrRU8IH0YQ1enLjy+wdWIDHwWPl8 x2fmV7vUzQyBnfrI01m2u5pIA8CpTliscRxdqs9oZyonzOZuwGVqhXL6Q3Ke1rKvOwZtx+8vbVFG 7oTrpVuRneX1iy0acjrGpomlBb2bIOIPAh1kOBEEfpHZ/7wBIPhxwgDnDDRIlRjR67pU12DS/CSj ST7YKccZ2g3ezba8x3fEq+wHJ+Trg5WdRBbg6RNJmVybtTnrY1WhvRCK3n+hTiH+BUxiNkFd71dH vdFau5DNkT0Q/kfRIIWBDCE//xuDJijulUR2zp+/nHCfGSxyXYHxxRMVX85bJ7lHQs+bpOflXU9Q E22Mog1KYhW7ph7puwZxEo/DmgR5Mt/PQU9meIaX0YN+QByQnEl+QZZ6Ad/lPaYHEORkgJQXfK+X RAUTxoWZB2jfUy1ywV+dmw/3U2s25Mn7v9b1aoZZIVWJM+Gv+JOmF8N+K5T83PeCPgVszLI3UNYb 2/ihPvyONXEQZs7YA3asXPdMdG/o23n8z82oT2IFrJBCvObuI4H6EmVKNMOmxBk6IVyJkxAlt+bI U9bOgubhJEzEXHkZojAZBv1W9A7L0LVqWdQo1QQ02CyDeaBrFKiFq+y1GwGPT+3OuCx9nn7Tpuqu BzF99Y6Bd+xsekaFc8wPG6/JT3iyNNkCaEoMMTHmI12JCh5T/U3/2Kn4rqSs8vGIXcJkg7jDWu2h yEFaAFxm2iEvFDG1RO9/B1eOnfCo3MyjffaO59iptiOPVOmLXJwTuGi0xFd2bl4C3NmuTkZNgASY JxJ3B0bGTOw7WhCtDlY8Iw6TMcfPpCm8s69vFcq9DEbACmDYQf1DvNiwXRIXTOfWzckaDcK7tdLp RUqRfoPwP/nFYJwu2LJi+XLg2akcHfIqtjE1pu32l9dfPsZfRKnEKhDmJS9NSlVaaIXJTTlE+RJa nwi7wgtGEshdgwHUYpzu1gReTs5VDdtiQhPsTmi1MReJ0KJrhv7CQEpSuKrFYKkzfFwQZugIyTM4 OXyxyYYu0ToYK4WJKRUXsmvuaKBSMOLJqxHIC26fZL3+LiptCrtMOupAiqRRF3kUtmKFCxj0WiE3 PdF4LshMhtI1K/uK1tLkA+zciPWJQNwWHltELNrCUaelz8pvv/irfszKi5lnYFb+0JhdAoGKtdNH vqIgP7PjnxUHr42/D/GoxJESmStesvT9wxD6gjBVdELJSVIxhW6iUssQohrRgZkBnO7ciVddl+QX jrCiWW3NLWithM+OqHCm2YivAmKHXIQFtEmPRO2ci7mXGM6swQlCO1rxKq8DSbe7Mg09Suu8bGtd npVij9d4/N2myhgt7eLX7tzcvsFXgHj0qJz1fBZe5XnTMRqlyRCnH4fK/cWYsDjYwXsj8v2n1JWo 9gOz+eVlYzJdlkYdEoB7n8yWbhmemYg6pgv0B/9uIpibMSEQjpsEDXtNyHITn6gmyb6PsBRcNuhl sGfnR7p4TJfKl9HvN7u+3RKa9JKyXrSxX8EV2uf4gQeWukoC1w6n+W89bTBnZa40O9oknweW5SKW 5WRa4G8ohdYIdHVS9fmG1xOAdYrBnP75G8HppYY1p+M7EZolePNgMB55TS78XXt5uJ+umM8tVLuE uRVkYfQTHQirckIf0ONkRCHdnhqIJVXkdz1b5fMivJbhI4Iy5Inx24X0YDvXdPvizbrcs317t3vA 67NmvRY9S//q1VQLSrAUGIidlm24PA4csLE/IaOg/+KxUCQZPUB9VrRKe8f6BJityo2HU8w9KS0q DbKQilvZGVf0xI9LSB8XMdK4rubTqt2LghDfhhZZ83gaiY7wlKddpcATCxjGn/EJyqnUiQ9+CVJ0 HpmcFniAjaQVPlSu89yZSpjVJY7V8UqABr8FTnqmcirlU3yxBUxm+781gz/0JScRZcJm3AytHMcL CFBe0If3Imopyc/AnlZqaJ/veZEuIJk0rhmGV/iH25iedXRqXWO+LIFNWRBzlcTQkpLMYJMPK9qw Jn7mmcd410KcSx2c+7WyuqNrf4SGbCt3svwnosqwvIKhiBvZqWJHSQKEA9VMiBEovIgeA9bbgJp/ 4hH/K8pHc56KO1/+W89ERTrdLi5jUTF+KRK0En8+kR3e8wcZcokGPCFLno7J/Z53a23u0riQTiXV hOkfOxiV8+XMaiFqTtv17x+qk75sOrG/LK/TSv9ME3tabggE1N+9tiDDhfmEe8V6ZntA9zPNyiX5 nbg/AZ7K0U8Su1EegYhNB0kI0JlFC6qzu/xeKwVFph5LHMQEn+FhS9iaSWs6yFWzjnkZhc09TAva 1Mk2OH3Usa77Wml81LocaiVvBS4I67EWKARTlYvqC7dzq1+1IqAJTvAwoH7yuIP7VHy8vdlKwlHv R6jSnUGfDWQY82Fx4wZyFSM67JE19TuwoYJqyqqL4TkDIdPyby7lm0tdJCHmRVNQncadYoEfIVpm /EUlz7Hex2fOeCfQWh8Uj39BOAAwFDc68+jaKPnj/zR47o8F012gDcs8CDPVKjdhwWFd3Ah+VkxJ ffaPKEq7OkGuV+xBsrbtdgwsuiof8K2tUWhXn45GuRZaYaJmtEiesEE4Lyki0uSGsWHYn/6Pvg7E ORkcwOSS+K8EBXySvEdfu1DJ8bX6xHYUGb+UFl/WNT8wm1Tag8lfl0MDiztULU1UROqSaUFLYg7P C3CmaFoPwwaEGs2q/FzzEVK0R6OwqqURd3L0+aSETGhtO+UqDpSM5its7wQgP9msmSPSIPjRhfEK qkBJjXh5j8raoUjZnT0cmVgf8HNt3gT17v12CXLLI3SVeqv7JRNQePDjpdMWczXI7CkDanl5k9LM w/+5PGYlNESMqItU6KqMDZJ1DYt7w1kcqpj1AyF1PmNix49FQL0IIBts+HJxeiH+8k7QZOejFQZG NQ+2CtePqMgY3Gq0m3e45EMJIJZHNLYQc6JxWMYhXj5g8HiNePqz5kMg05iavGQchauLLPcYswcq 1zjqShd/tTeO3IqBMBcwpC45FpBbL4Ck2CAZDPTIjqN13871zize1gluXuhZBIBJmX2hkv88Q6na DrQjnq1uu2CDJEE3LKCL6GLeBZGF3B3wWcFI37LeqAPsT6iNnNcBx6QQLAUDMWfsuHyZoHIENl60 0PYEnqgimd9lxbIyjXXLDsxXqvbp0G9cQYuoxkZfPUi6X+QZjZSktP3xofXqKD4Kcvxc2rIQLRdG 90lpFfe0yl2sS8jArDSEn+dLIf2koMdTxhzPTq5vyXJVUwOc9Bv/BfHr/W2MQCCBEuTQaFSkxuOc /gq9ZMmkM6PXgTsm705ZnSX9qO0uetCJoa9mXVN4+UccW7lghr/QGMIKcG5z8veHLuAyo5w16bcM BQnZFE32Di5aOi1QktNBTJ3j+1ZPCAsLWb8Ebd3vkd1pcKQuroO+aXqJ4qkKdcOITeFpQT55K7nV /19EQObkr36mrMfLtkQMVVsLZHZEWqF1Oddt2pBh/lClmC+MQVnGvjpRTPcH51ZOMaXGmA84OAKX i0N0E1COpwk37UB95qcCfiEUA5ycf+pDTIQEnHfZGchIqPafW8iZqadl5+++8uyUHX4e7XQfSGwm soYVJt0ggmqVbz0TmBWRW3RVoeMKC/6sN71aRaotw2yjroNWbLx3SCqin1gILMz3VDdRtnInfnyF xlfOeOHcVQ2914Qx4/qVUSwVLCyLVFwaD8d1Me3lYaD8LnHsZxoFRs3dixFjOHC0IcQ29A3s6mJ4 PlJDE4RrCkniNeN4wtvlfl3KaqwvWpBS6YkPkqtt/qZZAFnpUnOd8xoVtCsiZsgOK7NoN5AI70+q 9CrhDiGG+4rwjobdtlJx+fxmSwxbkUgNW2enKeSf4z23wb7i2BjRHu8VRHad0lx4R+IfH3Pn5Gt3 o66vT6dW/486JyW2n0D9oal9s+RIYeI7axP91QLg2GMTQBSgx0Q4zlaWF8DBvjW+061Cg8w+1ZwT JgtPDYAeEMasQHRaxReVHkg0PtBMoc9mm7Jl5RYQLD5SBuk3qR0dq1cfcVZEuu5s5AeY9rIv1n1b Ti0W5eks6H515gLKy2t5hVJcj3xqB39ad3995D3pMl/OUJcOHkq6wHQvI3yKFsWMr/8IDi69vTZU 7IUIoZsilz6qXJEdRpJ+Wd0xHPgnkvi8Na0tRBIWUSCfP8cr7mAUwpkDRKwSL9SS0s4HS5H5Xrrr hKKs138nPohovbhCwRiCJBZ3+5LSiVmi6Lan4hEZy2zvYACwNCnDgi6QlwsdkdAXHqQI2mzXx9HI TxMVb6FVoGAeCIWpzcss6g/QDrkvtaZfIlNC4M1jJaI023UVRR71GkEgSOQtKHgV+JWGQakmPM9F oHTeaJFE6vjo0LNe5507FPT+7khzhDpwm7RjEmvt3YMjrsISJHuyL1Mdhjt9ssGYZIDy+lC8rCs8 FTfOKGAjFfqW5zTl900e6WsPq4cETnHhJ8a1btTb1+/ziFNlz8tlGFRM6y+kl1wVvDmyDASjhR4E 3lxCf5CEuTq2Jo9FptwpLM8Kns6QbgIqrtzY7yE5IGX0xV/Ewa/DZSHp8M7zXIRdNiCS30zYbFEX RvBVbb6chV/3LHq12zfnzxoPsLWxT3hKihRFU42qBDl3NKAnuV+OaylhmkyIEOH8EKUuL3R/5IGE jKmB3p7yoMXR/8wzazIXvK+zOP55djh7b8UK15yYHZfTe7qMgss2f7Kljueym4qxkNuoTyAQciUJ ZB6OfyzAhtTW0LeQ+0Jaohxw1R/XXo4salPkiqjl2bF/zFqM2FpzKkeoh4Bhn6GOkXKAaa7LBkch avTikfIvne1l7R7BdZLzKnOXVChP8oFqFHE22C86rQmY1m9v+b0mGZpUhh+VSz1UoiRUZih++zje eriQjEv3wmBQYcEyNH+et1erXbt0D4FRF+taXKUPQ0L1Pm7kn22VOC7QgztuTtjBjtljmBEeQo8A RVQQxiy79Wb5DbSwgWRIVEBivYz2ZC35DXd0OOUFUt9OjYoRZExRFkuH6p6P0LvndaJAuhJAPGra t36c/t08hgQCQ/fja1T4SpzhPUDYFKlCX25HYEPUkcilNZ8j+iu2ntN91h9V6525cYBl0T9pd9XG Vb/hWf+m1r4lrHj8pI7WiJdvQNktX8xDpBQ5WovEo1CF5H3IJ4HBGfn0TOo6ibSbxrIddsWyGRCn vNABznV38TQbjWSsfhFhRrw2pS2ZLYgyw+0fZLB8XXNwk5YCXmQ8fS26GCi+RGIVEXcLD06Dkb0K Gwt/ynV0p3eV6uBWLM0I5dOXyxGF0XFsRjGE6uRU4RAldoZiBrvzSimE9BSW6zvf3KdzwHtSLwAX SJp90SMbA+IwhLzYWljI97FifsuK+cyOwKbEJtXak/PHv2lWzjqtL9eHQRxBLgJGqhhgLqCo6QHQ m//ky4TXBOFrxnP8LSQQKGk7qg8fvGU0SCqvCOs0LTAoCBT+2Ftcb5LaIxZD3O5dTsq/Ey1D7O9b mKhTDLX/bL2hIHd+47PGtXOb02pNqy6LN+HR/4grhBMJ55d6CTJwovSWgzT/dpDVwFpR7UGyxP/q umj4ek6Gg/AYIxa8LxSo+dHTkPPTzcT0ApbUJi0p42GYWnwhoLq7qDUAM+Pzh/w6xTn2Vz2W5eXe fzmQWczZuOGuk/ehhpaKr+xc1jAPW4HhCa0iIQARidsTE5q42WZDAvOxDYToy+kFt/KbtNp0H7wI 4XhEEKp39ynO7l0vAXxc1Y96fSqjfyDsALX/0d5GG5BVb51arPFTkvhl+K6e4WSSryMauB3xxNQm +2h2mV5pZicCaTssRW7FjISICrelc+Yd/KDUOXRSeDPIy8qOSZeAl6j0edV2cjejUfYZv1eks6cu 2V6zlZR6TkwR3jRGDQ9///d2P+OfW/364Y0OuUBdx0u3/2sEg2tqYkOQ2tb7j8s4w26soHMRWIaK q/Pej6u4wlcg6JliM//URD8X4xwBRu5ZJER8mq1Cc6ExK46iI75HPvh4lyRBrmyUA4fJHqNA/kcq MJD9txMoYFCc6qTpLPyOFWdIssW4zesaY1TQn14aoJtxsVM6qC6ogE3SNBK/NEbu9R89EONvQoFI 9Rc4nnLLYF1gUEEDxz2p3brLlEEJNhIr4b2lt/ZCaprAOXCKlno4MQfcnZuSzZ5JdWu7x+G7Dkbo dlFuK35OdC8aC4fvnXzjdc4uEVboPfGoQhB3s6HBc0xWbrWBykgzOGOUqsB4vpZwNNvP/91WW34B NhTEOgPj4nqag9HPYHhqQOFq6FDXJVDEhxsij6+7SwB3Ea9u9dPrpCYHktJsCp6NSYNBsFHBWROQ MxmlbAwx95cI+IvafGsfJatL4YskkIE76wbY6xqN3szpQeXVoeNLmfzy47cPG+ssIZUD1z8dQaUt for6xbV7QzhoVI4BRi62nI0JYpmBmDBgRixN+4PePvrX+t75JCJUW2JAXT1zqWHeVvxqdX/yw2qW csokaNLFoOpUsxGSRlZrgNBSpG5lYQAWMGlWas+NJ+7mMwY/gcWplD2w6cF8AEP5iFgi6GJxcDpQ 8PQEc57WgKirzKustXFWmfrrLhf94oS6aYv0MK5uKX7kN3gyhyyl5mvJGccuvQ/jd9HmlwyU2jAV 9hXMYQ7wnnV7bhBXL0W3SJajoYSH+kDNRvII9ZiHVfNV1rjehK03moJeAEBwm9+ebYUQcvq/Qebz puMDcpm1XHbTt19fMSWhqMdZPBICVgHoN9LzGEOUX0rVRFS61XBeApihpuOJGLiwmZI3SxwRlvRs APE45a2iyMKI0/c00eJzTM1E9qodMdI5+EmkhsxMoh7PEq/o/9TjRNCP1j0UhgMn7T8A+aGIBtfA MdNRYNvXGbQO/178acZkSVtFzrT1SCHihdk63s/ChKQ0rsYDPVyNQzHnjuH3sv0blNPNyAc2O5+J 6kN1L+jYJ+Rp/WKYKcsn3O8HzKR1wKT80MRILHVhayhW2hCeJ3AI2IPytLrLXE7snbtD4WJkSgCF +McEDPubFMcncQHuPGlAvFSBerhGZwNJ9CaLnUpExS3Ib2hOODVtNF6c52PkAEPfw4xyT5PIFNrY SF9cghDrKdQwq9rERvYU0lWfacXAoeGJqZrCRk+5wd0KTdBmDzUtInc6pdLGbUMy7vGQ21E6s1fd VzYED2IgmvwHrBfT+3KnUualq4eTsJQBE9PNU8nKARcBfs6Mfj/5RLRiqZiIybpEj9x6VLsBVOOT GkRXQ9fP55INrpVHt7UENmqODClKt3dxcrGDOEOwT8KuYVJwSPqYVwnnA63PX7gAgJejMc5+52RM CWHrAgicHIlouOfMjfnvhoRgzIvpJ47k1YXcTUAdJN0qYn20liW6N6SUJVyzqegdL36ei3TWZAaC EzqI9B1JZ9vFsukS0h9eigwcMvfxlUvzuSxq/zomDbbEgE8m8siVtLLQdHgm10xGq05H7zcdzbX1 L3CM3bitdLVTD9djZcdHNvy7hF20OCSmx3xXP34H/XR3WxtkNm0d0peF6MKJng+oTGFjo04vvnrK kg6YBsJ5SWrD1Qra6AWojKISSd+TMHEMMbZRg6oNM4O1jrgYZb2jYDHo8i4VoCPgRWQXWXPHnQMj TM0p4SMjM0Igg/zapZq7wjGZ/gxx80F5B0k4UR6AfeoGfE0rMbnqyabLzNYj6DdKhXeogjhQlnMP JB5HE0oAxdDMLLme72FYI63XuwYs9LTqrKndr3hxw93Dkk+3Llb/MVuyXdGbIyeU+prCc5/iIf5i aVFjLC8tGPpAdTo55Bqnxixbumv5OKxElpATcjNlFk6VdBwbk80hKKqjqDGKjMy+PT6WwhZtcQ3o hsIkOln/jdLcgzSurENoZAb+k8k/sPPpwmFf/RPDD4njCePh6yiHFKvY9sgtWacI1j3XiP7N0RlW egp7dYeuCRUTVZ3mSnU3c+lgN6jKtP7ZMaH+E+DD0kMakC1LfJZ54QY7T/22eymjWKSnxM1+uY4N dEZfzlKgDGcdAhnrZK1m0YYhLLe0YIpgqztOaWYoEkysEhjbh4q5ueAje5r9VdpCnFGJtUHjP+6G vQCkdpv7xHtpM0j2rQrl89zcLzJ8w/dkKij+2URx/F1XvkaZnoeiVo31BZt5IdXusYiKRyG7pMCd YWUHpROTgQrxYzAamzv85HwBf/8HUOvw8e0LWTt6ssd5LGuXP2Wy06/22qOFU6YNc67AX8IXcB4b wc+AOhPElYki50i9fefvFoQb2gyIFopFRP6VbC6WaVdMyKHXz7waLiMNnyKUuAz1VQEZs/IyRAxE 372zk2y8JaojorloRGCVA9RcmhywMMzwWRck907CD1r2RSWUgxhasWvUnVgvr8Zg7HKj/miVMzRM aWQy1EMPGz8k+VzhArLP2E4aQ94GK9hS89vqHm+PtSoR8eoPezp4Edzz12ewToRuBYAP+NrXGP7g XOpPGs+pZbwSFvm94tRCRuqlGe2y+rq1uuWz3HNysNGU4H+Z+tyN3TMgQAxJ+19/+uVEyn9zF+yy HtyOus7M72t1IlMrR80O6fcx/BP3T2sMbPUhD2oGbKAQ65Vf2r4ZDYKwRwgJ2trbsJZMwO/ppY70 enqU5rbhOmTO4XNyTopXN0nfKWsovxs+hJ+TV52p70c7CD6zzlRDn6KdY7Cj4vtjQHmbGgwdLtAt wZR+unzPWnyyJ6J7s9k0wicgJLF47VWuAfLZa7RODdyTR1TSEu3cqEF5CwtNnxXQtUUXNrEh8fDP fALwnVIbCmmWAYzjhEYO2RlFCLvb0fiohfc2ZmQc7Pfo8BbJ2Xu152O2v0sxhfAac2IbRVlIGJF8 TANXG/Zmsfp2WgSJcWffsharzmMIgWlVbfZGWO3+bHHsfkvYIjWVOIwM4JEjY2nsTnp3FqssBgEy EFZkQw8GgZhV8hrrQPtDzdqDH7UyHeX4tOo1yH1Yad3PBiTjhHrKBnDbV69AWjTuoBnXUyt1KInA oBfyDdGbjdRcCgftG/JPM2eLplDmO6akUfmDPUj/9wucFPQZ89OdfuP/L5YR/F3o2x3/c5mBvwAX UDl/AND9uWnCHVzTau+wEEEIFpbkvXnu+PZ5s0GmhCUFhUU1ymH6f/sBVdR12CwdxZIXoENsEKG7 Dvuz9mGrSfqLfKwk4c/82Yv6YJP6ZnLpUbY0l3iurWKcjDpKmxa/t5BadX5xSzWuzr3lkNW6ftBf uzp/8QGDzSeTkDaFI9TSlYy/vabP7gYKL4GqP2kZDhMD8Z25lHdVHej+CNfFPInDZZPEZx2XQbdR nAAjv7rxB/i9N8/r27+Lk1u8Zt8PUSfE25Xlj66R7+qfpqMaGYXvjj18/WPCezRiO9JTlq5ggOai XvYHSa9N4CoYT0TLsIxvng4OsKRfbx3AZFo8R8031MySbGYI73qTRPjz0aliV8wS+vc4iyeM7PyV KrEsSkKZfXEbdd9Rp5iot4VAuYdUznfWHQbSys5+8Oi897n4DMr0gCA1PhgaiRVe5D+m10ktCulM mQYXirn+bt/hkuJDKKVnPGxqKdbF+O4DyJlMw7/didVfMW5Kq1CHoYxNq8T60QS48VvjLkaK13aF pD0pabM6hXTA3VOaaNFIAkDGsmHRMvpfr+ncnWmMtwjC4Cf1QZwJAFc1olWxa4byAX2z4XQdh96d V8jmG0P3XqbDcHqmVoC83+TkVcrHXAXBoM+GcElOmh3Q5P3OfbyAsmDnv9UyZ2zXiuBqWmwWAo+F FcpzmkO9QOG0VtZdYi5AImvQqoMDmryHtmvajqu5aGJiFJhxqD2f6zyGNZf0IaTZMJiadDMcLiBE 5+RNye8Rvk/jMTogAyB/m1D+t9gemrlFvZrqEZo0HUtzWiXrYRYki645OzTM9fE07pd7KxExF/IL cLawTuIPi4F6ANExuRnkoOkc/vStCU4LUX7R46l8Z8A2RdcjIu3fbLRFO60TJaZclRHWG3ruwMI0 PAOB9gv13hVaH91qpb/mZEpEGM0odwtelswzGiploBfXIkCnryw6Hs01iGZONYKtonWZkTLj6G+l 4eEuEL7Ar58USz90BRmLzdbrAYj7jVKGdhY235HueXU/kpOdAMXmvS1SvLBGLdvDB0SygYoJI8nN 3yOupiacvnVPMfGs/L3fmTuzs4Sa3/aPMXq9sizkZfMPHEYsapSSMIWaA4rBsRFlZKytzl6YRQv7 sx61XKH0cyZuAeSSR/F7TSNljf1ljXJDPMueVXV7qwILKeUkx1932LtP3gWMvKxiiCv1PhXPkrld GJasI/rVSgzAFf5nCEj1aL00YrZSkqpwdI/eM24fneXdoav2QTjxOxW8zbaW2LCcx1kkRfIWOpP3 M7yl4KmLWiUtIIIZWSG3nKuNwCKtjMyFxe2hSAZcAeeCXPv4m2FI4Phki70CcIJ0QAfHW+Wq07S/ V0mtiiIv26tEnK878sJ+w8V5jpSd54TKtMDmPp5kjXOD1g4JgzIQwb02zYprDXqUflmksE5wGqNz vxih5Huly44ho5deVw+9pAbB/bhSOYLKq+A3/aT0DKUynEXUKXm2RRuNqXADRKmIuQ36kPkujrWD z/pCO+LczedEFBeKDf5pzZCSzdXH3aawb4jfbLOfash811qqz/xa7x7FpGFRXVQdNjKucmVysTUm mhE1R548OliyNhhHwW6h4C0FxGqiamgnHzSoetWfHG4/Qg0F5hIcDxg2CnVyURryzweV66ebW76y 70afQ57N95z2n4tdJNDJzXnh/7jPv2B8LDV1oAn17fiQPzeN2976/Fz831KAPpq/17lb2WJrBOfd KLkgTr/KFhsySGWZQW9XDK12lXZGAW8Z6vv3OH+CcwQlWf7u2+I+6zvHGDDxSkoSFsDdXmNMjosO LKyPi/H7jR8aKmUk66zuiqdAD09HZUa8188/RO59OZIKq6scai3W8mxmL0F9wS9SfPcSQegFLuBi k3EuMPvxY6fNqUU0l6STv6hJPtkUVM27+0vFESWkDq8gSm5wLsvxcTN5jNZlEyO5fBNWE+vWCBFz IqVVsdTDiU0jJOp0/powgE01Bacn6CRa5gJvBxe2cBB27L2OmlKjdjE8L5od+W2iyShi33LW0CAr wnjuWaJODNJfAomb2nIl1d9SolVJr6k/ezC3ldbHyjgYX1q6zfUFXJLwMrqYT5eUZzhCuNJDm1+d aR6ZcZ+r9Kwzgt/R+0/lmJPOx3vKiF4j0neBWBj265QslY8J+EPmD3nFbvhKkK+2ldjV+M21Xpwg qvjRsMUjV5iJH+ayuQi72OhPU/h+zFSRNvQ8pDjLl3OxjoD/dC0LnI+0L0RCWbNctjJkqXxBkbVL CKs9jXd3Lt2ccPUj0CWvSwN2BWyriJNi5TZ8bX2VG1pPfYk0KwBgKWAWGSdlUKrdnmBABgPEpcSF d82PYDDwP9CT34GxKNIxmbMwrMxHN0Ahrp7o8DkswYMk0fxfXiTH+SirKisfIcqZB3YAEWRQrqZD bwfXiXKQdlFhnh6bVwct3BxnSJr0LQlNUvkFuGX8LQ6u+aWpX5hPFLal/Gxuth4FVxu/pqXJTAYn oOdvzUnUxdgy+X+ikciKgNZ3wR4xQ+AU15H+xqTXs5g5T1NwNoW/Qh1hwfMj4lHWyRvVSv2wrXsL BIHLVXekYlg1UfSVGkG9Gns82zcIWZXnjE7uMzAthkhnkVVbB86vbpFLzXfZ+PHEIXtSUb52C8NM KC0AWeD/xSdkedk+39kyAh3cMMYqm4YbP7ljs+npG3MSMS8jjA0Xbo6JZFQDpTPaJhqB1+y36LJx 2Mc/936L9CeT1Np4OGfuEZGwSSTEsmNSrsBFtnBHEIRsLNTPA3trOIIISSKcJq2P+YBL/+s1Bvp8 1G1WgyYMjJpVF8zZaO5iXMU7lfPsUAvoxn468uHkfKWNNcEJJJpI49xtlLUD5zJ+vDwcVPWUzOg0 Mq5tpLruvKwyi0vHqgtEBYB8joxsuE34JFriu5Wp+qfYCnil0XW9FqWdN2SYvrXUud6pQiOrpt1k HJZ1Hn6n3GfxPBO5PAgeaapJAogbY8oBHIGft71JbRwIYo2JE956tuAGjFuTfjg+6hhyfHZE8tEr 7OcS/hEwbdKvBXzez832DZtcArIM8Zgq4AlX5IdGrb38ogoeZkVP5pNjHNgmyXScG0MBmKNtNlei YXVO+kI+/5U13eArVvvU1ngAP/M5NQadqLG1e8nAI0Gcrg2c56XfADTHPKDPCQ8unStJpQVLHfGL 0ga/cEek4XEDTYVwE3a9AESKR365XqBgUjOz+KFsinLrB6kpkaOi8rOHy2UnbDGENIiws3UEW+R6 GkhbrNw73l/avELPjirc/SEUuvyDoW1GZZZQiNMGXISFH/7wJR/cZteZPZ31bBXoeqdVG7GOkVUe FUdOPkfmtxyAmOnUepQGlW/ip1p11wBkTgRS08bWrh3hgUxBCvD+TyasUu8ufv37DgXg/g3HyA1H NID1sieoC2oOndMvNUMk60Rycuhc1B5e4l3NC4ITYbLYaN6PL64LtcDgQY0fetHc14F82T+ctQrZ U802YcMS9xWnSIwPkq6Be1VQ3q71YOEsYJw0walIgIZTQ3ijf7RqkTmmf04uJUVNq7a2Ij0glhcw 9R4iF5kG4Pczp999VJaWLfzbHIqxSZcf7sLrcSU3rw4ligAsChi/+rSqYKWOBfCRQd0AynVt5Ncu NTDeW34m5ReH6nlVXrBYQo/VOY6gAUTdD5e4jUmLdnY48iVFSIuGPdQIcMRREpwpqR/5hlqrv/Wr 1HxYRrFhEszKn3lwehpHo9IaTFUnSDPcH/HG4WjmCbl2srVrpYiXpRGd1jFiTs1sAMkdbKlayOY/ lVlHBUax0D55yYfzegnDSf92qM4e2enCon+N+oXe3TKYFyyTEwIX/65F7KhxIqJg39XY2ykEEsWB hoeFeps7LxU0vl/1Hgzi1bqGG+vkYKb75ULzo4HVLVEJn2dhI1NLp4YG+lpQzfkJr1pBhi6LLgnr +JLITod9MSH0HYSZGBcLXOTZNu9nA11DCKXdqNhR64ryi0K9Z8GRzb/0CMxxXj1RopvjzZVO7gXe RKKRZ42lLslvK8C7PY1P1itGexYjL/rsAjUlz2Yn0o8r+h9/hzm0SiMNsEpU3DlCOJhs0wXzlfxu 7nP90QmjTGZkmlYZM9qlB/OnFxLOoYsWU5fDsiUQDJ9pIw67Fp8O5yQmU/KJPZrGIW9Jjf1bDd/T qqBcfJY1nmjlGqB/gFzaqIDqrrwVoJcJp7qkFR4FnvIzB4E79CrFpFf6UllMOxhzdi+iUhq7lQiQ xZSzjYHpm6UjvNBFqN1WTURdTdWZuyOku67YYNT8oCQP7WMypTmr0z1l3fnP38ATJLEpmkT5msSe uAZw7tNfclig5C//k72gRI17s0LwHXgZTqCKdolLc2yywMRTkrf0VD3npDQVx3MRhadU4yi0uNGk YQBcTdJ1yyvACydDH8UEKwdqMoJml5Qkdo0rWedDb1kk3iaziHnB+0nB2thXS3gpCQphDOlkiNJJ iGwfAvbjYxKogMKdqKJxio8zeEbhDtga280xKwWdLa88JCLPkEk/TRHj/IhA/jRS+/qd7CsXQMsm cS/im4Iwer5my9HEtNYIztnoYpfu1ZA5ZgXrBKmDY7C0dUBLQJ9jl5x7DbleoFwKqD4ohlfxMpEm TOSjjTDtctUeoy62eYAiGWI3byMGyJHgOVG75juQBfJUdNsga5Znom2EgIIYYefIQsTzKtRqY9KA AfeMZGkW/rSNsH+9eXWaJ9fTX1l9D5cld+AMUEq4lyC6QdO0L82NoIHaqW+VKGeeBbud21+eUBXb DaMU0D9iq63pyex8d3Y03PgDPSxaRptTas60YvXSeAb16maayu+ex2AqPDzGBBaHivVTXZZ0wwmC JKyotZQP9qMUSJXJUgOQ1wZ/9XyrICnZm43ZICLKDJNIhctA+Uz0ofFK9E3KcDtfaxegpIO4ObXc +srDEZYhZft3F+cK3cm5iMMuVhdCXO3Fs2gSg+OUxwdh/evJehInb4rIrMUX7JHos0GKNNLvC5tM ywo86e6nN11kbuRIlXMkLRw4rbvQg+suh1/ntdmqjx+iLLwTsUL1N1vJMwtqqERVmsuPaT0Ndmjr zu4bBNXOoMJxG6PMIYreqO/kttak0D74eapWDUDnNrLEKn1z25q80ixaWbHC1n5lRqM27AgRSSE/ Yx7vEzdwXBg0ASnrY7opLknLNeZz00tEgLJ5P4K5byJX7mN9J+MVCSEwBhinVUjrcifItP0JHknW 11SZLYddfzy4sdU94S3IzGgIdKOKGUS/pK/Ifq3rswFncZGLHdLNhtuBmLzU4bytgTVht5L6SLjN C4WdTcbPXyKvs+xAPau9e4T0UnUSlTZjY+3WXnSDDhZ9hbljiZlyc45TnWeHt+z9ct5QU3EH5RjB CS1Sz6MwtPz+ozaXwpNDb442TgDOB+yOBH8IuBLoK3PtZ7fSHZwBALmH1O1WCW1yrFB35nfcoc8x MCDq1CSDFGd66Lq/DUVEFtuw3KWuRU7njZlP0rF95sZ7nZBc2UlO6ZfRXsUyUQs1CJrpEZnIV5S/ ePGmX7vDsnPMFtFULF0Iom+oNmH7uHWZy7IAEMEs9SjfsIC1mCK/hy0lSe1rmk/cbAhguAnoyC/N NFRJ3CjJaa0x8vz472BWUKRwG4XRy5etyUkQ5fcFD5cJjyrveSS61psLOJo3Ad8voM6oXLAJnMMQ bTqFndprsIFXpSVC7P3HzD6dTFHtm/1vKuXxuaE3JT7yRw20dX6x4PuCivGiDgzM72+IuTwuFEMm urNTXYYX50BHJqVORPUjpHNcyJb+XD5b0qxTg46xUajipz1NwSMxN3A/dJNrrLfNj/1sUjfpfV9J AdYcUEwPsYNRJzcI72hSDs7edhLK/GnRwxdyikd6e8FpWe6I9+RMx07jd8nyTnnAvLB/pNeV4lSP SWTEi8hjrD9p9jWRD3xi1hEQnaby63QcRIoACBmb0l/dR5dGYmSYdV2xjNvVjW9JRb9X5uDev7K3 wMzYKOnnNxynYWPE80dbMwUXAjRAbebIZrLnYzTCWbn5K//dXvi+J/FS/J+OMKhJVrCrPoc5fVOZ LeYD0LA2ji8I6uJgCvVu5VXCZ+UFxZZQ0XXmOXgmEvGV8/BAjW83ld9pagEoeekRNp7XTEyrNjKD 4J1E5y8GybjZ582r5yk6MdPf1mazGl5M/lEjPhkuHvVZOG+ROeT+HmDQwi/mKin/GBcr1cJ+FBhD d9Y/1QXa0+FlVhGf0f3lNMQ/AFTNgraaHCssxESnlezTy8elMwkdSafeOB80sOLGC/o/S+CyLljG ix3BjkOAG2vB4BBz8XEjW9fVS9udDtYnf2j33tvqtpEWgsCaR7u0sJSBix639eqKppAeWee6XIsr IfoDymPpWPqrnMjLVJQj9HMb6TF82OPWudABxRB6fOzVCHjqKD5Zkdza5CQD6xuzqrVy/seRPGmB SbmRkaq7+cB+d3TKgSeONwbuSjzbQBIrhyAuD8e/PbTPvSskJkMkMJO+XT/FZlDPqte03m5i39Nx EVV77uIftvXrw7CXTSBlcWM8Ktk0qj9lrbW10TpGK/R7uni+Nkm9tgku3PcyIrxEqmPdbnBFy2+4 9wi37EMcazRrM7Xh46f2GQs+PkFW0avboCwWRBNTM2bgCzfTZgcqwP6YNQUmOwVd9kx/H6lPX4wV TODGAf8UUuM7lKFyM2z9QojbY0gQCf5E96DIpZKAkrTdT5+zADkIN+Px8zXhmrGDjUglEd4lEKz7 oZq9MmD/75Vd0CjOpbpJlf+J7eF8HzFEYS3vQpYGmdERtX0T4ZGM9uHMf8fpEHrtpu1RA1iSlKNJ fwwtuF5Yqa62fiohmcw3fFsrOkiYeiKSae847b7x6Wg1AwsMNjh4CTy+9dZ9EodQi3D8nvQKduE/ TR0a/z1D+xK5fmDWch6GPAJwHs6pmmtwXRQF8kJaVOpdJTxHOsNFMkpgXPFaufhtnwOrCnUJOJo/ JrlUhJysfhuhrSl3nuebAErq63Q5JExSUPXdY/aWA1UJGqm3fXSk3dq/zaQ9Od2zHDVE2EEMzjWe CUeiTrl/dghzi64I/40H9kpLMDAnUIlejHETFUY38y++NwyMn0qloe1VkOL8ll0sCSDNq+h6GEJS H7/NHnZEVxUhjomFjZIn12t4KLuzuNEt3vTYkX4mPv1kyzUvqhKo8kaFsP8M0jX2f5OJdW9uoGkH F3gJ5v7pr5BiKOIoeM3fSkCZO+9iQMFaEsJKgpbQSsTzrURTQtzY0kUuF31RSGS0MZLy1dqYomq3 vpv9ahZJ0md3qRrlVm9JFUn/C2wmSwETDqT9thAQnk2jcP6uf2fpUp9q3lTE9tdPG/AtIA5SZVYD eBkwJ4fNjOkQCGo1EvJsYCHebe3ByJgqW5dQF41VpVH1ZDZd1plgkZz70jfaQ5tdmR7TXhfe9+z+ PdyL9iT9PEz+isReqhP17xeCOwCKrcChP4fMhEPeT28NGfCHpSfCjeMQnGBnaYlZ2VKx/lAn4RoY LAA26LLTb/23p3dNuPBM4jrl3Wq0xpTac8kpWQQ+Oy2YiA3PkQm4pNtGG9DpCAhqAIT1kxTHsaA+ xr9aeWMpIuxPcfZkb1dsYa4a+Hc+y9QRBb7aUNyxB94wwJsNOzXtd1Hbz/Dj599N0rvdXzpro4/r Lar683pF3c3d3pjQN3CsjInT9ilm+czc+MhPcb2DlPuOuhlOAjg8pbbQU74uHMyX2e9FqC6njmDP /CdwdHKi3tklzFeO3v0kCVJN0lgnwaM8fTIfJRaBTtH6gLJDW2hkZgfXqPPPqP0u5rFeQkYxLAta 4Vw2lCs9rL7qxgyg2p2RpIAP5WLcXRg54VEM9zhi+mUMB7EpNjy+WV6CFMu4NOtYSeftp8yKXfnQ mbN/VM1pGfqMTwAJiBTseocXP3+otCqeDAfbzWsZNOxe35VOTToFp/imq6+gc6D4WE3OClwIy0XN xYTl0JmVhPWrgPxwxfkzf56qQgXy+pUrGzhf8RsSJQDWtdQ18t35trIZSCt6M9YkAMQJ+J25l6OT N90xsSY5f4A5B/uZqEZzx8NcCKmM4OMWuqW4DScDTkP5NUl7zR/wxjceFSSg3wJdH5v1j+ZP32fx GgbSmYr5rcJy43FRC9Wp4yVvXnjD7TfX8xz/lxHNErJRW6QsOmGhlVtST77DmxJ5ZTBzHpIpvYAl Lu7yxAD5MedyNmYWmxU/CK03EJkxdSug/V5hsA4/fxoxukR1OvvRmvWYwr1in0vOKAtoR3tpDs35 EKHyxyncxh0YY7NwWSUKbuhCV/ippzZ1HFVkjyp7VgSo9o3KdLC46yXw3Yalc6IXkYr+b8O68EQf 5iG3SZTMNU/AX1Hf8jvjHKtOYqIlHqhl3WyxAJFp9c87NvNKR/ZoxHcS3zmLqO3TEhu536uxmGub 18SXA043axJLnQUlZcxXFYvD4i605Fc0LHfLUNjapAGwfgu6e9nbm6G8HJCBMyRHNr7hNmVqD2A1 1vTxW34c1IXlffc0ZVDlnuhsJt1iItyBggzME9HrMcwXz3EvZkLuj2KNrG9klGLBBo9Dyjwduxs+ Tr029Zosro/tkE9siFgvm14eNLCXY/DHQqVzgKShgr8Gkbmw+iYCduFrEdOBGk6Ay5Fi6fleJC+8 VhJJVwvQk7ji4wC+VEN2gLUgPgc49eoXYUr5QqTNzym0VxTKb12HKCZh4+vORWXojQnHgtS2aoMS hdbCyYy23ppmLW43QKfPo+v9bjPYwwCrYf4hTvSnSQLoBv1pyDebQinROTEz6vy1YrMIzY69MYIk yfhFJZJa3jfne/5Z6kyZxHBwiAZPidP1ci1gFxDlypNIYWAeVSfWfeceIolze2f/i24raDftCdtk gvQZ5vCp7dh5daWlRByNS0KCWVL6cpHhQ7n9E+Yulfv0P7dws5bnn+cbznRuHZr4WZ+Zos4Ir+Jo nobV4o3MmAvMn6Yi5JShK2/LALT9K0ZiX4kRh3NlI84dII/YETUkMjtn2YrQ9lT0XT9C7WunUwEx fh40PvYsxEK/2NpV8r6ksO5cYjPXPYj/NhnNzvQWsCMs+HjQDib8YJ1rmd6TO/lueeSkrARvSZeb +20RVCKH1Bz7d7umY74XMngV3T1xBfOpC+CA/HHecA3GEoQkmROLt8tM6cQWMfq8ceyWKxlsutnS H1glSTK1eM+1D3QFZshDuyH+yA4vdxd1XZMztqYt634m356FO/Fv7YMm3lerK9+nzZzkuOERQOcK qIkJraKqr0dbghaWzaRnE9Ym37atrZXZrWHb10hqYfA+FSTVYD7BOF7gWtq698CWDczBK/Zqxhyi eaZMyKq/Qu6VB8atgL2+/BQMveamnaB+IcpciD3QGfmGrM0cJ6lK4JNtSlC7JTXPFYcVNmIfsaJW 7a0lHZlqZI3dUyT1lVWKs2Yr0xE7BiVZw4yfLn9ciSDAes8L0w1zr0D6ddWdTUv+SSVcnxTQ7bxI 3/MFSvwfRsxtlL8DhEFSqmiN0pvwY1UwqaZ3TCkUiagWrt8axTT/1HcXwYTYzZAueeaqGyKptbyk CWvB2ILR5j1hRBkolap25wpUjsjbNiXJUZAb6wYRynkdDZAMosH5rY9jJ3oZ9bRGwLc3Gt4sdbrS vRZCZNZRlWH6EpU1V3u4dcpdGzmdZINgc4dSdW/AGi9IKjwWZngXBn66tdRs/pc5caK1Y9PSAqv5 jb8hy2Rv8Tb2zn2p6c+OLmUqtw+iUZ3L6voUvRCNknP7bJ79mnu+FdVvigeereAkE12iTXjHCK6i MZV7nVR51Q0gFkPNNt0kU0dhEtfB1lZa0FKnWayfvWFPvbHDPnUjMScd3O/KrVKDlj3V3eDaLRGw kJ520QeUuhG0OqgR5pXJpiAtmSgReMvy155LfEctVPc3WHSnCvbPyYTZXutyjlyiBLYWPRD+k1M8 IMy+a0dxIRolTlQHo9M8acxc0w33tFwgw+eojQ3el6EYl+tym6cRLLEosWzRtlkpULN1WNOSB6QH bU+18CMPcRd8hceiPxdXowVheOYQx5Oy2oe6pPasNFns/IyyiWlylWg7x7d9CWlvkDA9TTFPO6xX wU21iMMitZsXmLP3r4yxUgBp2gUIuNPlhvQGVg8KK/Lr+Zd0YLcsOLhHlfahR1P9FobZs5UoFdGw OaqG2WfeF7w9rrIpmTe/pGF3LsydKpJUpc0kGEv5DadtuQk4UIFW3XvjFgUX16cwjzB2P//8L32j kvnogm2UFxIkF3SjjmBrtAPtFq5B4CYi5/6Vqqa9kMU+TdbF64elkOaimqXHUJhMcvtZI3rZIQ11 dZ4ej2b7Owu2AmvBRs5dRkqB+VtnRiUwdVhaoNkOuocH1Quy6EkviQf0ykkhunjWAxG45tOwroHK Uq/pf4xaQfDu0zjJlpu3L9vAc5CtyoLzoglHRTugtLLiOh3NCAGTvasNMAJlX5kI58fqpQNt52KH LjSVfKYSkPPJ8YqlFF0l9Mj/wiSDpPFi3ovhnnXGGZS/7/jeFE12bcnampHCbKJdBkJrhb8fCCkk OdjOEualTsiG6kZp24IzAZNgNlKWFglGkZbWOV6JHLKf7EI8yMgNVlOTB1iyJjwsADXsrxy7erti 6aAq/3mhqkbCTAKW1YU4qjFXj5Be1hlXh70KLVdVD3Bm7U29VPxv+tU6SJntg0/XwfxOxC6XgUCs nM7s6Ddvv6HfyqkXmbOGxjc5edhTuWjQHgo6sH/PUHZqtl2aVliSNaLRMvNf1mM/QBXkJ9tI2fmA 68+waHazpqqizSEqhxS4KUpHnq1elcbNC3URwApv5nSUzsp8K7gFIsrIJQqZ1M2Jtt3Sgl2zQ33A puDhd7Lv4YU2noX+VfuJfXhSNjG52ohJvvNLYNQVYaXYBoMICo94+zeKmlzpIuKD/P88mH142ScM ZvxLI6sHt80O2ZKraYL0zJC5AG9Ej8LjAwCx9rDjkE0cdwNG6+WkFREc2HPCXa5UtrQdKHZEvNl4 MR1agMTKLBN+Ok2OctOjYrihL3EwN8vvzBk/5Z4Pda+yXd2puELSd1j8Uho7hIe9BcSlyYu8KiXe vSCD6ilvK33751vHxbvXwhm6PlnQnQXpXYXZ3d6q2rYDsN3sYDUUSQWD05cbHX3qcPob9QKe9mVz vvttQo5TuY63V9pK6KAZs63pwfXGB/wBGdbILzSqJOAkFcS2yZpl9AdEeAA7zU4oHxFN2CkSkOg/ qFbfWWmBJeEX/KJc4CUaFz1oPGO6FVV7LP9O/rG1qCgNdE7dRsrcDCNwcHYjhi7S1pwC5h7aYsMd 639fzC/MoWTMRhtsswXEs2EBRbuWlH0PtqLedybB3l9bPtU9XrDHunZYzW43vufp0zKft1y9pUar xF+OU+161kDAmGFmdvC1QBNvbtlCSffhKWUsurDjoJyGU604G04bPN50aoQXNEdbm3Y5az8/hS6G /86CasqF86zyKhIBw/JUGO0ZTgXqlWicsFCJG5/8UwceI3PGgFbXPA7YrAc55/6yK0wPispDp5Se 193D649MD7AykLEeIG0RFv5jB4jPtSaUYjfbUHcu/oH3BEmHofe6BucTz4QRjfKSIXeBv9QGSxuP eJI4bd1Q4zE/ETGpPv+l7izKKg+4PENX4WZ3rB9fWm6/C1ocLGls7Arx+lF8bVNk1nOfeSPW/bnE UfdrMH6G7DL6C3t1uTl8iImXhCfscqMWl10FEsjSmUt7qwklZCviUQWANpZcMvH6ZrboFYV9JxzF +T7wVSnxcGQHHJrtgaAWC2ciYoq6ablbBMljpKqyEVGCi/yuBONAyn+Ap1avVtQ3sTQv24O48UqY RqJ3+ZCkyt/Xv6peR5FuGg/0KoTRyerkN1R+K4mjgNsuVEUMa1aHUBkpAaZVMyX0/+kjeMdkJV0d mon0a6P9SwRlcPKOzNfV8G2XW9gVhbLLhItaOP6DXAYW7Z6Vvomkchxq3CKCdogTHBnMYbf3B59y GA6ejchgzY6Mu4tLJSGApfuA58HYhNRXs0InArCAO5VSsqXvzFjGa/1P6d/tBpe+uUX8g59dY/Tn bRA1hnmdPfsKxkHldxZ+VYWj9eoABmXZngSPwbE6IPu7dBR2Xrfu4VoLDlpfgrH8uCdsq1BB6aec /bHOBpnrM1anNHBqoTXgaStZEqo1eM6HjajJPCC9iH6+ew3svPODmpovxEnjwTd+2EfYw1j/d+i8 VMZigxg2O3UGwjCFvwyoBif+elItZmHRs6oLg09NxfC+hZiY99pjmRzBZl+kYRsfKrZRaASToWkj 6QUQFm8Hmr2NymzN5U8CuE4LR25lQAbaY8FRie1pskwGOl+Jx4KkaQcitsloCshDkGHXBrXGAagT C0jNTH/R3J5jWYNYP80OXKdhLn1xFC50/xlqd+jZuKqVxY3Ip+leD8o9NQ+QKDZSxdcXnWJ1qpX3 zNrMj5XtpCzCPnqgnq4+ENdDdjeZvhn4ILGl6I99gqaPVLbHdJGUYf+z+hOwA3Ci/DD/SXMpjfdx p3UbP6eGrwqIVOWda24bTD/2QthOGHR631YLLdlCFCrYtIw8ApdsRUmQMCFypCmCIC6XXtCh6rM9 zm2MJlISJybghWM0X5e/mxt2CkAZeFqukug3Qr+9rdsbi4OfWMshXIA/jZB9nP7n+8ORIxo70TSg QFSMdtJRTvw8L4A6oZgEmcyBrn30Y+t4UbmHl7Oe6Ubez3hj4uaq1uf615at6ZqOIPkUfB4rw1Qg lzIkYSTyq5OGCcVDshf31tLnMU2dzbtHwEboyrYohcPRD6KsPqVoR4Rx+kTwKsrcfeLvQFZYVujd gpI8Janh2uVOyDA+/TMVHQ+xirV3NmSzGvmSYj3P/jozFV7eRasE6Z3MKoaC99M1PREl/CMYlhsG dGP5aKhPmCkV57WScW3/SXAvCxbeXbjUWKstzH3ifEl96epXWfZcw+xB5qD7ztPkcpVpxcKWK135 OpfiCAIGKVdft0WTP74qHRFdCRaiZrWbcTWJfo1e5ElMczZ/209BvyiY5GmpP0oczSgmgZg4Xr+z wa2K/eTJ3edK00HmRw/EQC8XNruXMPc3R8f+oBIu5MMCW293QVnNGsKoH4Pb+H8Dx0rlRP9elwwi Z25FsbuN86AvezU1iWGYdcqIVsWnv4+Mf8ts4+iuxij9O0Bw1pfOVEIsV9bmvFlPMmZXqJGbkpbT UbC/4smEoSum1jq2bxvXtawPKo27m0lvq4aGlj0HtJW8EImaiw6FkcYS9mAIyVX3nY+rhBPYM/Rl b+gnuqbML8iUHya8vMci4UuTslP/Yqpi/Lpop6YcF6lRu1yFeZY2s1z0pcQhM7H0S7UYOFcE7CD7 L36kRHS8tVGQMeMKbXHIN3JEtHjsABKBtRYVfmVzC3TG1HqHp/fqbQE2oS6ot0BLZod9VP9CpI1F 3j4IhckT3uMAGX20dPSZF7iZs3CFgiX3RaVEvsVpYVOubMkIouIOw8OTSGtoqNaZhPHrOg+HXH9M CVN7brCehHXaXwXGiNcLc15dVFPlS+UC1UN9CWMTW4vxgfyhv5uNnjwKaYfL26KMndnt6SiqirrB XSFiVbb0G7IgfRI67ZtLv090JKgzkV6PaWyMyRjb9jmdmOurxSPJaarZ27wNyrnotgEB1bFHL2ft 3ZtIQxC/OCskE0H1jUDIkGa/aTmpjSuIbc2nY5EP472raYjDEjJhdM6dUUFx8JxNiqu2PF83+is1 ICfNdZCGXWB6raNFvwm1Sy6qoBb4wPTo0Ytx8olrmYt/etyJXCTLN+8++yO4miHoIVHDEU6zXXUV CHXnkEOcAJLtEeJODxOZsoambKnK/tC6D/DRk+uLdIs46nbIMKj9iRN0U7kEpZqnzQX2iLXnKeJu 8udyw89ADaouSsG8xsu21gnYdDIfsw5xc7UPgKiY6nswhmU6h9z0pPh+Q1V0WkTEX9Z9QKTzYyNd fG3gaOhVtsz8uiJ39RGOIxWCngCyVuVDGy/Mvnqda4K08xGdDgrapAuIbxFQ4ZViohkaWOnUCSej PnuxN00a2p9ievCnDs2b3tYyTMUdh6MfE0u3fQSCT5oxA7o3yFDa+Ze4Oi4ygsY0pP7NV8ezEZ/M ox4Z0mt5OKNKstJ4YytWvSIWZYYEldvgdndd5I64NJ4B7Of1uLqAc4x3pXesteqRog5lImg+5RwE NhbLWr3CYs/yl91gDqwvh+N4c2SEXdmb1Zv+BlnuP8UDQ7dHCyO++bjxCCaenyNe05o3MSy/ZXzJ fS3vowJFA1yFBV83auiRQ4s0gmYkOQ/Pz5Zk/BanTdxPEN3d/A3CtITPmfIuKFZurSaTF6YxA+6X tqG62qOSy+6aQ8A1yKTRCEc9Gm1OmsKx/GLMJzAv8OU2xAglYHIQDC46dIpIAq++vuSTwZbW+pU3 +Blk1KF/BXKkv6al4wUx3iR0J2Tx9vgNYftIDTIo/8sEdj7uMiWt+MapVcTmqxQj+oXWRRNnO7uw DrVA6b8g+TNkG1fEEOIaRL1fEEL1i0lvrldKaPXTabx/ew7jzeVLLSXvLbLJVKLqq3RFl26/pRKw VpglQz8PyfgWQfBV9Xwbd/j7jZn5tvFioqpJjNF+dL1WNh+fzLQF5NJV9YTQTvIsT0ExuWogf69k hRrfYHyiVya7aFH4FYBZJiG50KB7flZXbA3N9red1JeXjCw1BiS6vRG4IVSNeGLkhwyMrQuLpqkj D2qbNWdnI0bFC6Vv2dNu6lOpG6Y/kt7ZnA+g0rMrLR+GRDp3l1MmfddhTMmTEstIvRRon5/MUkqT vSjrq00ThLCu/u7dUBQN0pt8dAueIQZrzLzrqu7HFZWrniiKK7Pk9mHECoA+F1Qr0XSlmbMtfvqn Km84Ro+11YZE+6r8epZTmvNDlErQCgi/2aNEA5JimsqsLRoedKXane1edKnafLgeIsneeCZKq3ck xD/0OkP3LlgfaEtTxcq18ToAlv99QlV20XwYutUR2qU4xniX1KewjuCLH4BNrevratOSZtOwrIKp kriVR7ODE0X19qfhmoDU4Smbt8kWQk1K8SUObU48PT9ffNLeB2l/VUWVXH22llYuOr96NmgNLM6q FtoTZXaLQmgI71mV67qd3LlFtQCrAmMvtNK5XfI92tSjPePbvtJmpuvc6Qr8VuLZ/eCWqOGUhMWe bhNbx3GyY7DqGbtntWjPTs1GOB4LvF+KQZmn67wZoNQ5AvCqe7r+KTopAcg4kv3q9l1YmfkNVq7L dlV7OZVdcQichVG/vzD6WxuInXwrWEpgm9KMBS85/ZooMg735uCSUB5cIeAQdmhKFFMKvYbHPAm/ Oc5OQzEVToqYq+aiiHoz0nwRy9sijoOGOKEW2SG+FqxTR88O+5M9ohBfMDj2SSe1QfUIqOCG+SL1 NyLn5/RIRToUhZLOGuK88cycJxUpxUBEy++I1SL27fICAPIkn/lRiptrvy3DQc1lPKS9dxsIWy8i auwG6yDFcRNpwL3RDmLCXsSDeuKv1tmDmX3TZQRCAWyto/uHYAFi+43uxKwZoCIVIFcSzktuWZW5 1tjIfo4yxb0akGh1isY6XiwXnAOznjg17dgMvR1mBzE7/mEALAtgeMJxqMndAnKC5SkNKr91poDf GjiPphqn+Zp/2uB+lAjp7HV3uxLU2WtsN93/sLBDgvaF+llTy/8iY5cZtm8ByIVxGHXPv3WNr+s6 KdT4hU3goS0b3QG1l7PAn/M2oXcZniWCMeJm50LyuwAPGyd1Uknvt8AIJE67vJdc5O2u7exHHMuM HVxnwhy3KTHxcs1DQVuj8fgyf81drZavUzPy+9ByOXg57vVM3l2oAy82TV78k/qrhUJv6i3EyN5o Zu8oxRaTLFUPAvLrD6YBETI8SA1JmBzgO1LLB9WxXucxxyp6TwuUpiC3BT4t8Vtuyp9hSsuQ75pS hJPFWMAUNTp8P2xY1U6gaCdlJUDDz3lX3WnFAv4OE5sLECx3qnzocXSnSSgmr7wxfO7bfZum2XK8 9pRFWVe2CRJRK930II+x5EidHFbFGRhx8UMdrz1XsDMbHzciaTaGJSUEnVX+XDezhYRwxChD5sXZ dBLiV1cKDCBkbvCyHFmI/lQ30qqbe3v5WJn6Rfn8MrqO71hfyfxx1WjYfZEdRPU6ELHt9iZ0fFXs /TAwugFn58e7L2OLPTXAVTzonTIY2A43dSuRcz3MRACJAvdxk4duDxTrA8dqaXCeVPvsqpjX2xlg d3xktoMPhkKrni6IFjEisEYhEawZESYa8KcaEU4V8GLGQ73L8cEYO+IVKL/deIyZCxKBmGlvExN8 E/Hef1y5wDzFgfv4OzOary6YATPRvgJRy6/kxmqHtxQ0SnnbeQgfuYjenvohkIvatmpubGWfLcU2 GedaYxRLLij6Lsa3v9QCM/SaLQlx4O9tvGfkOnZHL8ahQjbfXIJLY9FWF0tdQAzPSf3OU0K+4T14 Kr7r38YfC3k8bAXtnvBwbUBDgkY7QYQS37fMsDo4jV9l+/n49J9tjnCIOvr5SV+5+g5xLd/rHWxF pm7FCEC1KoQID0FoLMBf/F2bJyC4Qq2DUkgvGC4IVSWLp+WAODYvmFVjLQ1l3swvaeU2201OLe/s MUXlr2BuI4fJLYarGbHzN41Uh1tfl5KC2OVVHE90hPFi9dXv4VRwmmEebYZuzAiwCS9htVYU3Tp2 Fy4v3VUY+2RHGS+i5GCN+AZEUlSButBChx+VZYIqiKYqGkBvnNqrN1T4HU9CoCq0luUZatA81Db9 mSWbJE1o63OXGpUxE0HZPKyRfAOZowPUwwrYotEYmeYPHWlrqWyp5TtOEdFd4LwBTqCmoE4oebb3 NFUgDD7Daom6QOZBheSsKYmk3nuxkmsC+wPBgUhMrfccroYhStSGSP9Cn3cXXDcp/0witnhojW2G SeCnxX2aa+1PjRRGcswSPea9CFNTEdAZWT2RZFHpa83U+CjkuvIk1UQmxqngSEAWqC9tlgzSGaqB MkRXv1aNECXAv1n8MxpqQ1EJ4FJ7xNwM2Bg23ooVr2oQYiimJE5OBOyx9V5x65zUEYTxNNVCrjbf +iwjHcP19sFfDkYlF+YoeYmKwTn1YqXTrZfu3AI8A0FH3n1PNASKqWnFouLZ+427o1NknZHrRbOg n89/CX0kSICrCa9hfrg+zMI+j7ka4lRKpkBeAmVp2h7D3swfwoABwJkTtoLq8XltmAE2Ac2Xs1+w xhYvOEYBs0wSs3DBWn7OqATr3EQXL+fQD9j2SqX9TE5dKgY2ml018wcvvBa6cRwEmsyHUSzoAlDB hBzTjgXiEiyk75JK/P9MAk18lEA2+gG31miwWEjjCsGKbGJAl+z8343lXa4SyNQTr9Ote9w5tzyu oi+d4v+UN8L6e0+I/YjuKaQadRwUtJfWrRCWVjlEdevOjyOD+cJlORFzQpYn8vTUv2J18rgkX16f RYaoYE5dKeE5TB3wgoid+JOPMlkryyOoJycNUXSm8bvop+cAjefsCZVEolL+i93UQV5ADsKjT1mu NRVEp8vNn9i6Uu14ll5ENY89m3/FS2IaKkdjXJ3PONhJCbQ06MxkH6pCJ2VhINp4p4Qum+lJ+Uzq nMnu188WACeQxD8/eSH7h4b3UzPpvFYXXoxCdGGL9RcegE0dA9QQOLydeJffEEVuHmZucXdSkQ31 2u+zLXVhRhDV8wdxkdAqRwuiQxAowarQn/MzCt4lbvr0xEeEtKHJ650tSCFQI7C8m1MEjkc4/U3a 6OwshKPQl6coPl+6HngxJe+WD5Wvvs8oFunu7daYf/ziTaDs6bG1yDJJ7ra5GRyDYcFDUOGdqAvk pGXWmdVcTaHbzCiqitDx0PXhMpnoyd4gqSNj+oyQ2aqbjCDkNBq+PN/CIxrsbPES488cicwJoGP0 YV0SKWY6XT1IofFyjkOOFWydPOXCuJ8XgUmfDlbq9lpNPY+QQt8zDRQuDWEsaQMRDrunmNohK74y ATVQ08Vg0kfumCOz5v7C7PybDHIhD3JX5OIiipy79juP0++MwRNsCl712ZSCvgZ+tgRdPNEEsUWe Uc0s/8Yrw5hP75mK9ITOmxhrrnviC6hjgmQptQxHVgl8PWAMFZPTdB6N9lrWVgsqWmOw2Hs6b8YX M1lMvTM6ryPgP9l2V1Mn+zHv0Vo1YPkig2yie8r1FiN/5lhG826Jb7ttCqXcbotU8RX7XUD0M40N 8RVD+/sl0756wTD3PcVbOyW2ypwyxvQOWYcmOPLiuoBZxPGbvd/KHMvPEH20wXYCbC4Hg9Gi6Tha U1RsxP61grL6w4jH9qSsldiQhSCELN3DPYsoZ+DQVKqTpQD+Ne53O9a1FzGA4pBvgpG1cZ030JDn /n0yW4GOVJPfHEtiIT1qDpU2NsODzxS5Jz5u7BHAJN4o898r5ErC8saaD3IPddAvigETbICazFMw PJdnLGDosVtA6/vQgQu4HOiR0tBQRz+2bdNwLlzLo4Ouet0ZDQSAOOmIeRc7Vui+pyooka/0Y0+n hpuxsj+Ec1SYmTXPtLSqagBfAE2D6sFma/2hUCU5MAgq6hcmutrnn7Gu8KBUCbDsrJoLE6UAq4nm yfyyYh0BA0g9WdLzYa2pgEpoQd9ebcJF5BAH+U9guxy4RoYAz90VuefkIK8IkWe9Z3RU7+FqmQZ0 Vv15F9cSQO5wu0fKiIRTQuekzy+VNjYHkpoHZ31X80geSNMkiYSsxe6ODBJxQ456ZVcz+S2j9Zbs UfIVGMqMYYAUIUigqWJU8+lv9ASfEjjEldOnTEsmn2aPRNilQY5O6afu0I7G9Aqm9FCRA5YqEBfO Hr/PoSS0XtBfVJTNgNDEEmoQcijOEkYy5kcwCAeOLAul9gsUpHsRkIePBLPWNxNFOJ0H237vhego WaIf+xWH82FvC1Z0LomPSgt2wcfpEu3AXNBh92ctp/I0Ul81GSU72tyuWOPqll1nTxk9thTU4R7H JiZxSEFdxrwhu2EMRj9mkvIKZ9CKebfAj5utlSQttUUf5acuB7dqDtF0K3twZDGlYVX18b0oJ6zc YbEogANekMYKJyAxxQqTrePNgRzkvDUMPs6LU4MaiuFC2mKbFr48kj+BXQ3NpDkk8ZRVafITrWwg iZ4WnwF/63HfUsthryDIKbcdphWBFEuIHTjl04svq/16bZ1H0GlQGM/17rIy7ijxy95Tl0T+u7ub zlL7ivKUW2weX1yfH0HUtuucrRPfrtrQCWang5Ah62J69i8viJ5u9g6FdDU+DzXjCLobD3V9YEWf nbgxaHg0PYcSp7/JwquW8ur/m8jUYBkiPLDmW8zXq36ddSat+yMZHcp+V328+gcsk53dzdKnE0mR LoJl/XoXmIltvusLRfWgP5mH7H3Tlt1rwY05VBfWp1EHfu4Qt/K1fi+EWday3dVnR4sQKUg9rQ1g fR43lWCIA2qeRZy+18Ozvjs+qIVQElQzeP+8fZ7r8lbla4z8AJkRZcR6XqH8hZ9GmXema0LB6fro 9X7PC4KWxC9LDEob4ZvmPIrLrYhawbZLI0f6f6fGKU4mS0+DJUhnKCqde4kO8+iH7Tyh9ges9LFW L+2o2z/OMdOYnmum7CyCuWFs3oo/FM6Z5EewzADXl3CAsXZETfWQzOh64v2HZxkvHclJx/SCA8ap E4yJj0TO18g7YAFDfDGjCRQofHuSVX0Ae9raSC3PEz0Mh6hT720icQt8qldOZtfLYIu1KzKB4zM2 1tfxFW2sbYh7jF8g32pag21cZNfyBeKs/V35llXaoeVdt4tqlVnDU2p4SHHokrhWZhSUvSBs0ep1 i6TEHwF56CjDYK9i6yVPG+KdIylRSSJMAwFg+KwPrV66OjfYNC+TmhYr5qIWZ/LqByQ3ypQY0GLn arS4lShKpe1mZ7KGLLboU3Ev2WKkQRg3G2XCdxvCqFYd0RAJ6x4u8O5jNUFOatg7kx2l0bngdtXm dwB6zcT1GdVwrYS26gzIVrSadCWYMTbQTMXsobnmRtV5/fyz5QP4VkgjsmqMp0StTK68ruLVtgMv XL0xbKKSlfpBfqFbLhRZkTN6BwEcEzdiCa4tuL1AF7+q6rDHfj9DmeQun1yePrdkCPGY/okp2q9x 7B9io/ImrnE/+A8mrT1eFSfSSYPXm/Jzsw9f4ZLHnuslzchsTrg/xV7h2XvCvPMYgB+usGxnlPVy HBK/Ety5S5J6hPKykYC6i6bRBKK+JN2D2Awmv3vAW/AYi0L7ZWWfTdliAJ+zFZ1mcldl91wVp50G CRSQrgf+ry3ej2S7siJVUrCkQMeg3FC4J0UqNWGgwW+Nh7afFnO7RCnrR8qTtpA1yn2ZyT9AGKGJ OuAeJLwqGkiK5AsLkSzOMGx/wsjTmfFLxHOacCQDta5gLQS4FTEie5vf0Ul8KvK7v8N1UrEKGEip xDqLUtwvTlitQO+1kKWDplpc5VulUTGoDHYsrXbbZbBxDWFFVjT1u0uUEZBzQRLx97u2a+wo4HsL XGqX3bOfmGBeK0IROpfogWqE1PwB5qU5tMEaEkdGp7LqxbmF/kKfB0cvlNyEzH1L0L9qLkolyfh6 mHEv65jpQMh6h1gEslLSApyxboxIQn5gwfutuZYhQAViMHjQxmWxecEU0gpRjR3lmF1s+Zs1ncQE kdg0bnJv9xzg2wRxbbnv4Gdn2gfR6N/Le0ja/It7b2TB9ofoHVjxdz/wvY+EiQtv8B5ezuF5FM2r oR8ShDBtwptuCjuXh4ChaEi/VpaHGGDKGc6f3ckFAZb/XXVmni+y529WVXlzs66bZv2crzAms0ZH q5KTMtwWR3NuSemCCHt91SCBgrQhxdtTGuEB8Sd8j7hYXf5X/XH4frF5GW1lHNDJY3lBUpxqmkiv E80t1xhP9oIa5BqCwx8TladzaW0aY7iiWHmuwx2ADgH0/jxV9ka7ZSkJYnQ6nn569BOcKIve8DLu 31+tFsW6dCLvaOXAw9O7WAWQObZSbgBRnKPkZwiUlKEw0jvbZDZCFHYo7ejET84IGb4jMTCSS4Tl BQ0KFiabIIcdjSVr9CMQlEInu4H1wPEu4YOECHplr98A35pwrqUEtdDuWmp8cdbBqB1Ov26nQOGM kkXmc7hVIpdZMMUSsZAYVuNbWoeytcSHMQYzyrrjWD0RDyhkzcmgqLQgBnUzMQehlR5m+ZvvjTr2 cl5K22/3WdxltP42yIAvNN6/gctMxniBC+Ui8TnVf9Eg+kEOaoG7891TDAPYcy6Dcwas2vk/TgBk 486CzhrbmsFmZqHNein15gXhebn4NjnDLCoO7I1Re19hKtr8KfNXYQ5hSUHliDQ8P3ZYOY9k+9mD 4PcdthNKgQtyYhy0nXB0NsbpZLFY3FbtOlTNOBnF7utZIS58dUrFrF6Wy2RqnG65UVUw9ti/TaZF ouqMusisByqoaWMl0BC6YLRz40YNi3t/D8CMhCBuWB9NuGp5RKyHZIRHjWeOOupP2ETP9j4eHGgm Ohr3L0rtqoc0dqPuFwi3vEaz/fy/S/6KVZgR71C7cPZmOrTfdh9VtV2ptQdDDuYsWmyZQ3XOCyJb ARCuTwA5tdI+CZ7zTrE9PmmSd7Eigx53gWdUK8xhbOoASE5xAWtEJ1T+60eFkUpeh0rX1FV1orVN iW4D8SYhMnUN6RUkz7alEPmQIlqNuURg799BKMtzzs3C454YIjOl0kBDidXCbtoMsj/XfI9FZi5/ oj7GElEmtUTG3enACmr+cChK71OI3xS3XjJ5TzF1EYmWKMSuxLfeH9fdya5n5ABKSHj7bILhdN/Y L9Ge74zi1QH6YwgPM6Y+OkDd58Q6lV8iz1SJ3DvGbdMR8h1RR7DasafyblXuDhURNUEJV4H3M+vT SSwT7TBTuaqecwtd91l5E5p8DAzXI2r2E62JCc0g+dveuXZ2XIbv95pPF5BRBBsUdh1eJAb8QRyg mqZTrc78dboMfwz0L4Q2ZkEDq4FOcC1jORRuu3Ca3cb2pn6rk1W9EHgfc/QuPhXsdkzbRNV9AgzL za9gtU4Q6iGcxDg3rCidHimQYhR5YF90iszf3Ygyd2ffLcatMmvdEnOSomNHYdIKNw7zZt6Epjol uCEWKNVM3duG1ILODQcHP+sjQFNwyzD8imON5M8qKLBOk+6BCs/rr2p4p2iWvzgZIYrnO1G+VHr6 dAo+hVlSdXIVh1Es55RZ8ZlvSJl+oqREYzFRynnWXz2P0/vyjoPP/WJT4ninN72/stmCCROB7xnZ oPg66r2lCNsudXXjAVNAvtfd94fe0b6lDyEOUWuoLbMfGcnnBaLro2MU3E6eItYzzTydDTo47YR8 VLAVV78inxYHhdBaZ73F/zSs3v8gGDWKD8C5ANclq+42dfnoBKG7izowP730kkQthRcUpcpXkhnL Uuj2fZfa55WBGCgfF/Xy3eCich0maAt/qXZDpMX96r4EYJSpzXzjGJF7zrys9lTuIl45yAekA/ur l7HJ28Cp3vlKpdawaQDGLu5IPRKmLL/i1iTf+XfMin9jcIpu//Xg5KRavedBfxhxeOHqPP7k2C9Q Uu48qkvTFk0wheLsGvXpHCxuE/Ub1vYeKGo53Shnpq8Y0nIiWVns96jFUJHGrmnnJm6RmFP57t4Q oNGUtZYNoUOOlfZE51yncCQqkf07jXWu9pvy/hzSmec7/biVUxD6yvw7gLV3EGY2t+0Otpq6NObz QZE88bR6F0omzjxJV5xnQVYowB5JpA60cA4X0rUpgUPeh1RF8rAvmdlD5mbjKRO4IGLNJ3sOgxcF fSS61vlmi8kPLxAZVgEGFm5TUkC8O0iN3nD6GDhuKTLPdG0aATJi/Pq2nFVTwZrTgH4yk6F4ymOC NrFU+WiWz9nnM8MxUiD5OruAuvnn9sLStGiN+wEUifnEb3dtK5t5CAvsjyktcsZthIgafeNCPtdy NAWjL6l7ZjjkdD9EnRLjX4VdUPvHWlqRPjwMNmaK49AR3Jdoax4MFvKS8LElPQ4e/lONCIPkOSJb 3xEHkXmzkgp2GaOVhyjLSpLoVpSXolWhH4Z1diWV7KlPtgre2fczD9CxbKDyE6O+Ligs6XTktPcn T3VJT1i9CSdllnuRYny+NO7gNxYQPllo2uRMVlWB/5EMGEyKvR7vkaRKsJlKpEu+vuQr82KX/h8f CRA2091skx9ZNyAGUBDoaGcPVXx21IxyGb5bx7EqldsqtehZd2sHqnQuqQbpCutqPfJ4jL/J+r/R dgKq12nB4M/ZCLShroOWP66NNui8+sZNJrjO9vW3SF+BOeHAyoFMSBpRAWWqoWvtP6EKEsx+7Cun SCxrmgIOQGmC1buWIdyQGVxRLQCopCr3V3YDmwXhQuy/0/rmXxFVOfAMHIXZ0vLA7XS21ScV16j3 pqRGWhUM/hjEmkSsdAiI6nOSVVoh9BXPoXVf22QQWuF1Jf3qpmYDwAF4sSCEylJEEClVoeS3tH60 m2IHYk+s1+VaZlBiXyOelv4o8cHdPnTE+odmKvfchxvvG9LAcVJMgwG6s9BcOLta/i0X3X3cuNlB q9w/72sOF3CcC711GFlpKHRx3o1Nl8ac4CAeI1gYOmRy/3kdxiZeS7gbo01ifU3GvjmmqxiuCaer +iMK4dxdOAbUS60RDOG/L4uzrFiRmqGnckLGJZmaahmgh0J4shz0EMGhWXAwGpmn0fHPUoG/ACP9 +kkqC0N8UmUXIRWMM0zPIpF5EDGhLhxLLIK8elYaT7BFXS3YTFy9s8sZ6myulELfJEmuEA/CYUoq sXfWw2c76V9bYSQnBCcAV4cWeMIMY9/Nn8rwXv0SwFLtzh17VTXYsdx3warLYfJ03vcSHvijzTVe Gi5AYBcFpqBQXhwJrMIe1cQSA2JsMVrL4VfCguEciSEvP0PVDTmfb580Cu8ZERM+JTC0L5feEtTB kCrg5MdivoY78uaSpMigNi/DoMqbd663toT6ywwCquAfzfd9OnUHqKWTlOdtZfJJqz2gWcL6kNds IBr7unnbZ5lZNDwE9I4vnJKrSh9/R+vx4xC4DOCj/TYUJYDQ26I4yRh1iKP2oUNSnq7I+E0LFb0d RWOnOCWLEWM76PFTQqj4bjOsk8mDP9VWjIGEdSH6I2v3g5hPPws9gXGXRVI4u0bJfIm2CNJk7a0G iqCpKxVhLMwh4p395d+WFmfEv+ev7bbWOeCgCqO57C/2HThJctRB9RvgvACqVpX7oVfGk6yz7waz uPQueoXKPhQ5auAPLBxrr40KA3skX347z4cwfNDNAm/uH+HD2fneOI8iHPUQpXk4q28i+XKNot7s TLxkWID0HqcUUYwtjJm13dPl1Po66LEwhJgJQfMiIxdsIjCGtXb/Svyz8XGBglSpVyja7OOjDOws GTYScfAyqRQZ+ff9GNw4RqodkUQzReV3mxBY6HIg6Z4ME51E5GRfdYHaWJBT21xJ4Ce2kVTGWQFf 8x/aeePG65KS5EPDhtxppfkQkk4yCvUEhfDRd+3T8Qx1i/DugI8KCNS+0ohMKUeLjHnZiQYhpb2B wZCfaDag7JY7/D6qy5qjRtLPRdj/LyrVg/LAZ7oktPZ+W3nyuZKcLIdTvmiWYXdWgB4hgL6UrkXa WNekl8fwIhIEcJe7hQNvUXxL+adaA9rovNJSXEjauptioAdw9nSz+j2V2CRCdO+K0Bl1Koav6F2D mXJFjzc5NN3F5fMlhBHJx5SxaliUxIkZZP0x1gKdu2xZLKsiP5K5Unx48SBIN0DddnXEM6de2p0K CtpjL4Xv6likhGprXGmKplZiGChogMRSJhSu60ol6mcaSps8y45dv+vZuU/rRsuT94Hv2uzgCgP7 rMqNtjhi9+lFSDWx3yuA4kaKTbgfqxxrQBIvrUYyuOIs399Fvq9qquNMx3V784oE+bB9wkgxmg/t tgJql7ReYDEjoGecFb8Fn/0NGoVZ+mDgmCFNzFJ0UKhkrtg2gX3MlhinlKP9P3o8CbtpGqpVq00H sLvgMsMrkLkxqAh1ocPGK2HTYjb5+/fiwbyG95Nkae+WIZEaxl/+IlxHg5bJ9vBVe92GCL83cM1r 3iuyo0YnRBITfCSmoBzc6Y4n8PC1k9W2aLYkkiIzLDvMWpmDd0XTE1AGx9ZqTIwzBa1Jdop+zPay gaTs+y505yKGukIrachwiy6MGC+zMCbqIXsCERm5jxRxyUOOlqqcWisfmKgU3pc2noCYHeSAfjW7 gUyd3esJlXHY52IV8Ow3VOOW2xDNNVSfE4TGcGpyJpvMloB//w5h/GdB/taoBlvwEZZ7sOXMkEYZ piMdN44+3mVnF8BYIEeKnXMPdioGP4fzHPkqDjWsLQQl1+EMYoTFWI4HYESrdX/uSruF+qApMthb LZLuBne0ScxsEm4pa/dI+Qvm/1g8lLergHzHLOCdLMYBJSg82fIkHfzl/I2KUvpojFG2d+KVP7SY XD7bvUz0JiIJbv4SS49Mk9+rqLEVlwDqKapeFeBupje5lpKPqc0VC+pneuFzaG/XnwqhXwTNFzub m7U/ceCP3uXlAVikoqadG1tmejijA/JLDf2mbXzdapxl4ctbRHJQdnpYK2TwUzsSeO1MpCiA6DPL beaji96CdT+kVMETQ0AuXo9NB4Q0WeiBVYbzyIEnYKSyz++cAuUiKlBJdUFgC0riZoVnw0RGmT+J bvoMHPzwzE6e6ASatZNqhvl/GzYHt1xNTIPrTo3CVjz2uWX+B2lFf8fyfWQF5vyUivUGYnQZgZAJ aVNNnUBLd+eXLWGpx/NisCAHJRVk3TUQ3KF7iSZB0yok2oy2kMfnh8kvjt/aOJxnpF6eeWkn00TE 42OPLQA5rd99AtjlY5K/oL62NJTF6xw2/MlOuOBw1CmIniLfK5zsuCJdSKKi394k5kZ6PWKbCNaE cedXhb2f6jxt7oXJJD25+GBTqkFesZUlOADor2Bd8QrdVl8bgHYbKfB+/C6wpA/N3ThFGpUvZd/v I4q4WEqJLlaIUjTuY0vjqy0Ui1Qa3Ji5kVwNtFN+1QL59K/DedL8qCkkPym5znlpt4JQaJYTpfV1 tPbzGBuDh42ZVSFUbu8aIyhuHSV2BiLN79Ei7rdbC3iFtal5MxASWEIW/tIGoeZjBZSjSzIkMTl2 04rmmQ5hRLEaK8YSHFg04btxJa/yU323rkGrhJ3vWQ0vKUdjQmf/3nE4bVm2TVxEklFlQYOGFJoW OmW2vF5yW36Jrlt7p4/dG6pWSvnLxkPsKDNzb7vgK9WxpT+1xQJF7GS6dSe/sftSluF6Xn+IXzKV oeBW894sAXG3YI1eLSfecww2Ft44CQASECK1ZP52D/EIXSq8IyAmT5j3gw6dXh9IHc6br8de/iT9 um3b6HmJ60YTngTquWKunrPz5/qUX+AaET8I8E/lTH+lrGqfzSreWSyyX/biaCNdB7y9h+i3qCj6 sRqTUFRImyvf4u6r3q8+A5yULh40nMI0p7xLv75fjmwtbDPsltgfW9lc2vU7CnECQGxONO9KbMgI DR2FYzh4MzI+whk82IujURUTW/6RAUsq+jtAuDbiG3IfkxmUfXbSXs6E2s52clOHZV8RDyrfws7x oJn1RmSS7eGUM39e06UzETinFuZ8nJr5q0bzhvIEN2SZWgZhCnPh8gxR6wwfiLkOrLATGlA9U+1G pSV8/TZkHHTp9fxE48r6hyYm+PwZwqo1ewsL//HBniv0entYQKpNcgJ6Erd0QKNaIi9vb6yzOoHq S9EcMKwyDZTn7fP/Om5LlZbuAUCH5w4b0OBeEVULcKBNH9RMH7cPDREPJQmllSaPO0GKkSYD0f/e +ZknokK6hvAm+2tj07RjAUQQX5pn1i9SAwMyZT0CpCL0eELG6Nex5fcpcLSOUGqTkWHqp8aAOgxq QNFT80js9jmc08BcmM6ht0BWLBaGacoHGA1yFNxwbo7roh7j//jB90lOJXkJFIz2ejXntovLx6pm R+RlNEzjOPCdCR0w7Uux3yBCsfZwlwsnr/hzqwE0NF00xybZ8yiau9XbC8IJud5FZUxyhEHfaeBL q2i7hpyRUSzrbQGUuV9/7S1mLUa7NQ2/a2JJKmOr4F7NVgSjqDY+NBUfd80HLmsNKuuUmnf39SSv Yz1gCpFrPfOSV/6Q4GQOxDxK/nnbsKSkt+ouXkfumOJVZgh1iW/pDwNZCbikEuKPduVOh2F8s11P dk1ktVitl7YPFtcbRUyjyKJFZLy1Gl/EqqKiJl5GodHiu9sN8zYvpI+ft4K/AlDW0uzuKU1hs0Zt S30pv6UTlJgUU8dklFD1ksG8BKIpm8kPUfmY7EGK+WshrBdHlsSS1A7edKe3/Yt7cwOca85ye/B9 gddA/QREb6qtkzMBnQCRbDZ2AcTpMz7Gui1gEOigHkpJ6VxAKAl0/7ES2HWtMqDLUCF8G0CQxPLd BCXKXU34swJNiOfSV3v8g7HOKa4+3TIEBtssvJ1WCLQwNGPmyDG/37ebJM8+p9MXnanzfE3ikBYx vPvCllCCHcfKQoUgPHIKGNmyUc1duE0CCGRAk22wDpRBW5/rAH8m080UOSgfEyThFGYaVfD1ZMg3 nzpZAnvnLSIK6zRuVh7zZEG+lp31VDZdiwMrsqbFAdKLhqaeEgtZN0mfZtyo4JQDLHzv9rV6m4T5 Td7i1VPRaKoTGZ2vGgavFa8kqeHRNgz6VplY/isuMdHN2T12WrSwqX2gN5VmX4Lqo1MX7J/wA2ti inkUnlghuzdZ1C1PnIJO+Z1JePuQq7Tizmmo3rA9cPpoXRz506EjnAPZ6lthL6TDOmf21BY1zZIH jpduXe4P8o8dg853EwJ/nXfLx9wWbfFb1vdxTG9arlh9I41WOkimJq8bHKxsboX+0+kWWrUnNvjs rbdtiy/SWhYLvGehU+zP8rkeAtsARz9k2VpXJU4b/oUnSFzHvDevnEj23I1Qp743hUcwb8N2V21z C/l8Ukd+1tU4X6Z8p7HX9KovXKvHSPf3HvTjW08bBQfvvYoDM4XqYxDBau/aEn0vnA8QAz5BGj+4 FBW2AqytDuLCFT4m1GK5yQ/D73CTLkfMeEmxvuSAcuirNi/7ge0nWZ8DEFSZyRgwc3/ZB7TyMcdl VjZq8dCTdpx5WbIeogclhAW7VAOdy6PQRMi1lL29dgQFpDd6zzi9P38ph3kvsOferT5bGx91SeKE iOsqzLtZume3nzyffPtNDxX+jgdwgSK5lhhQKJNnfdWFHFVVl2ZIiDDOPYgV3BsPWASCA1QGOMak ka2M0+JA/NwqxD8wd+M5fvEUHTKsI3ggVO8NWrW9QsQPihhpiAPTAkdOgc6nhSDg5wWsMQSONJuP 3o057eXFr1PlGCtBPdqrSzoNQlQzSGzlcB5+Wjbbt5XPS6eXCuNT7pK8hQiLnLr66jf9hqvW7s2O 8awdKiIk3xG+9sfDt1SQNZ4Jk2vygmm1wFGRREim9mLWhSBuqYiQtSMa79CoRGGaAOXtCCmMClQ7 CkQfNNSbkwNCyRuC6z3Tr4Y/zOuAQsdmrN8N/wz2rrVMQDzHIuYuLRrUkTOj9zbjV/MhxeDXCHFr 0fqAREHy0Z7csBobf8FBLEQ4vV7oCsU5/z5Vy9PlVX1pu0mu09rpj5TwF45p4gLydgmOmsYisQq+ 6FE2yuisMnRIM2x9Di5R24UQghW8f0ZSUc3/XaT06JKhVeivZ5UdX/zfDXkvALNlGR/Go0gyFwcM UXM23vLm2YhwVj6Fj7uqNpScA9N596AFhP2PbjN5YGgHZGLU7RjwQ3gzdgKqulBu2mri+8tKvP68 zmu1Yq2iNeApwQOJHg8NMmYZkYqS8bXc0RFGG8Y1Y+EPO3YhR/QLnftLFCWOTdBs8ZRUIqlrncej MmmBiAeKf7Oe9eCqPDY6EN0LCw+LBBOTQXVKgFHU9fnOKYz+0rO54bGyQZmDURX+10OVDUotR8nN 5gftwHAaBKP0ceHWDbmVveE/29/JcvZNFGKDh9nCGTu4u6sV8f5Iu0rY4RK59mLXOOdgv65lqdUr W6mF9KmMMxA6hhmDMhlBNINBiZL8//VPqggfc0dfsOlUG9fKELvKH9aoskXTPUlVSPC0zell8wBw cc9KVQb3ZKfDFpSRxQuvaH/psgkGSmLIOPcQIWbmXM07Kq/XSJGBixRzwjaOgg6WIWiwg5lxzWIK Y3mXFr9viabOOuU2TneMy/AqOEEnTAI8VruyC6B6k6HgoEfm5ZJBGQkxDUn60ulBP+m/hY98AD/B ZfXzQXNEeqL+1CP1CSX41yCoJ6g4YVIK6QWuIfGQeDOCcbzdtvuVdp7NQEbuofjAzyjqNLwHQjHg M8zf0JyPKAk8tjkqKdMhPSAZepYfsVfx9Aqaq6uloVx1hWADTDxrCspzBF2sWPAzzKVxcr0vPDPD J6htJxNFa4/AEFW4jhxf7KvTGdFU5B8m28ienYxUp9AvEUQMq3fqSwwRlCSSazX7wsCHgJ0e412l Xh5AwNepZ32msSXBkKhyy1SAJT7G3Japlzdkh+1ZTkIx0OV/7e79wVqeHAUFTWXgYhPqFgAN9EKp Cl94EQ76+6hSphV5izYhPHZXV6aKUoEdcpk7j0j24yy2S3/63F/HF9+xohSgSqwjeJOJJjYRCW21 oVCmEJtwc2DBQH/AbD6cgXUf29UYZH/y1c66Hws5XXMKHMbvgbGgF+WOFU0BjsrsakyXpBI3bKwC J71vJlL0Quu4p9xhzQFiMm6GVeZnQycKsXSeISHBIE2lZc8D0SNR/drKM119yLikAVh8BtB+NMbQ KglT7snqOJ2gitCsx7YAxPtSDb7dOog710LB0KuXimJ9M84CQDGGIOjoBMO5TZfFmshNwaUCIklw pG3+/ePUFxlq20k3iFlZd5CHmH/LBQVDSW4zKSG2JUqNMvzvgnIED2v0vEbOCCjHjT0QD7cFQ8mo ymE1Grh3s4b06yPV1hTZV7cF0dzpfE5rFugJih0M9Hyzz+J3ppLCBEENT8A+HnV7ewWa3Z90FLQ6 c7vkP/+Ly8aBk0QmTjmJYU4AdnWSEmNUzDdeK2Tl451O9hJzy5GsFtcr0ZGbBYSWyOEOCqN1WsBk TnOMNRJVU9HXkmlDiSVaH064qUPU/HgShI6vbhVH5dPEBxoEy/uKxyscsXNjfC7FqhK2yKQLFW3/ e/xmWANl266Y8x8frqNfxdECkgX8c1SPHjMvkBAJZNxfGEEDE3g9NIHkPNPba/2QynCEo/CJgaB/ g7LcrPUf52PSRecgnkQm12T6d33zL24zD3bOlInL/0U/lVcYKjl5vF39cChKvUabrz8pMaKnx3ot e1AbhPnMvgL6XcNjNNmVLWwGoT8BjdNGOW+9Jx+dXWai/BeoNe7OPc9ul8mxSR+nIardR/eIekXx TZHL5xs8LdDmCS7kzDMaG3M9kvAe/JW3J7uO+yMQQg07lopRtL2AEU7t1tpeJvu3pWIv1wXp7iiy xFius2gF+FTcjsH2PJMTWYu9YgLb4sSUWIx/pEveiWHk7Zmk63KhmvUJgxsXcyvR9oox7NI03+3J xoQxlNJ2g4+NA8dTm30vOI2a/SLi7/FwqTm0UPzOKAP/qHKxlL//s2UES07s9awWE+UBu15evUDl FqHbge+SExKbCInbdbqlKKGZ9TCRPsKO9wPqn2+47Sib7k6Omr2UuVK6S8BgdgzHFJ7BNeO1oUmd En8tr6ff8smmcZMmAVPZV0/iDQMn+vPVUjz3RKFhAqMqKIbylmzHAGBX3caujjzU0xyszryWIHi2 jZF3LXdGaLF/ZetBhkd+RwkXTEQYQzN2Z7YkzQOrrVMY33Tf5j6h9Tjy/VLxLxvInStcGmOMuMGU eYVKap1DVHqDf7dQIK2twjuRgTnjzcGQa3LE8UD/zpI5k7StMS+RQdHPpJhCYH0mTfex+RAsaLFn U8lLnzOlfLY1RAGfF1SYzr2JQ0s8J6bamkLEqY4D0zbPmXRt1YPpy/S5YU//9xWt9Lj8pGPAYL0u 3r8zlc/SgBuEQ1J8e/zc/Quy0lvV84KLHgB77lHnt8Z+W16080vmcw2RKnmgLMw7TsykNAkzq0U3 DeMpPZOqxLGzy8vVUsElI2sLpujoWDB9SmWhBjFZ8iFJ+7jsFfzSNeyEKj31QemXU8unUUKkw0E/ U5dRmSbTXQscxGBEFQpwOSsjilV9XntVzf6S/1lPF5hY5I/xat0RpxjckWm4Bi/IZnlDVwNl8dSf 4p9ho7benUrtdsJztH3udo8fJY+J8cEW4lStkHcxyr5i7vpslwliJT0W7GUHaFww07yO8wDx51F8 Kno6k6xCmS8WYiNx8v9mSMHsPkzfZ4+NDAe+tnXuNhz1UT+xDkSJa3fGZGDV2A8QNW3d1F9qY20x Fy4NatFNZYFbYlfCzY5HDqZ+zZgABmbhQS5sraOx9Tf2ixzF7ZJNb5BsZuMF8h6/5eaGfz0fUfxj cec+MKUVee950uWfcIWdf12kxFOnyjGULMyOqfykSMDa8E1NLUBfVRL17j4FJerO8sMxYiDMb9pa Wit1JnVt5B+8WSH7Bab1kZ3fsPtTJTYMHixtTspLb18VcMjuBNvr7W/wNJM5GWOo/CO3C+hzzppr 3lOHiaAz98oyS1s4JBSl3veWssU5dM0Do6LKUvEPEGJcLmJKVNhlKUwzxt2DRNdW6Hqj4xQbAqkf B3LgJ/tXbFT5VZNP0AWGP+52a9ZlUasbwFcqNNLYyfsjWHarv0m0aa7j1mXyOJkot1m1WXrZnFA/ dCSw3/QBh8/D2O0WPWxc494oMm8FY42nHQ/OOgDwU0Wt6EzUmemFEtIPNhSIZ2op7Ipl/L9C0knJ +LOxLCNXwRnvb0ClktnN6Ycnsy5Pgc3JuaUTpSuBk8uEgC7YI5owU3uWBwLxbua1S0f5auKCcKZO o5RHTzrHqGkYqk0XqC/VFx53RX2OPK1aA3XivKb4nPHGFZKmQjIVlEWrM8ccWgrFllSfRdipItg1 VQiPOvbU4pLfT9rH1v+1ukz6AxGHnFZr23ErRaULr3v8AownpNFKJKEPPNkefwovHVundiZgvOn0 CcLas020jJeGK6AF1dUL419ZLRuEcxddwsVxw8jPwSW5bh3WRVdy8OW9yfHdM59QR7olKYuOLYm1 pWKtmVjynCCGO0JkvoFvLhXcgO/XroQhbi93LZv5NV+t2GMG1iDFvvNLgM6CPtmADW2kgCkg6iif JoC2phaS5tC6h/I8xmQJn5TK20QKReemCJjgZIfpVo67ba8UW/SzC6vEqib9vA7GWOrwZiqX3czO bK5R0v0ZgT61c4NL7Rlu8zGG5gzuCCQJFLFEu1KheSNLs4WeeJFRK5ITgPq9icaooVIRuEZ47zuX KtJM6egEVl/RS47CqQrWmKVfZx/JszL6aIYqtLsydq/MuawZ5uikfUqrPQ8Zlw+CmiGTLdb1y7dg a8SrOhdlTYTJgJ14Jpe53ZyOX1oXWXHLQFDGsq/mAwnAbvUqRQhAcb14BziEiBw5PYIiOPR0xEwz L1LPcsshWZBt7e0U/pPwDkt+ao2tdI2h7D2wAInwrhq37R6HXcCTycmikfTN9PNS9pxLMe5tIgN+ 0pZiu9Zgu4K+xzxfWhlphhZNtaP9XJ99+k5paHX6x3dxlQ6t2cuhL0QHdq1wXnKeWVo8pBNA9Q8u F955XJtJAARnnsCnIqEr+mOABZmxa3YJ5wdRHYyn8M4I3JymeF5WfuY1O11vCpRWEx1QY3nxlNHF Tvz2gVy303MTt64nBOod2BnBc3OpricS3m1Oc03sFSwIJH0Wo9wFb7p5L2yhjQwUDWhrLk25QY8M SDZTTVMRnJ5zbGlSQ77zp5Abyl6cyYfpO0JW/Dv1d8x2nAyB8etyuTNm1/x3PoPCSkZMJWG0gqbQ 566PSYLtDJbVV49UVbis/2aGsTaYsOsp0ysOmkoCtGtKqBdTGdFwUr4YACNcgjZ4a7ddjPf3jUb1 O8RF09Lfl/5b//YUx6JlQ9nPKo0HsTsKhvGF4qFMdqDQjJV6I/YgNviKnkNyPCJnJ7l5jP0t3VMm /apn48U0WJrUJ2d5AypRwdZYiORMiXEfT6wEyJey2eb5hQ42DgpHp9fzQb8k+4MaVlCOkWDE4FHz VPrLkSiify1xDD1Kheml3v16M7+XAbC/leEdJ1O7CiCdtn7t9ajKEBUweuZv1ge+fVy+FwuUj/l5 sl+LWljLppDO1cAtK1ZS4Ok2oWkRnwg4dusk86zeJrHSPXRbCwJCO3f9gGBSg4Xiop1WV1QV3f88 YnfWN7mmJxOlHaYoGgtivO5bQW/pWwKdXbNPLqU/ID5EKYqG1UBU9mmcpFGwn7YM1oueirXyes/p q2DIPgLa2aiujOgTl/MfQMhB1u5K7UT1aluR9GsAZUnfS/V144a6rvUMJ/e2+gOQDbkwyFNbrkGY +ZGhEpe0+4IU9JW54UM7y5VblEhLezAcgvuyE2BJVRh4QrUBqumjRe7EUx5X9qDsayE2OMX7JY7P +ez7KudVeCknn+ajBFKUs0ENeMjOfKfiZ5CXTNPDs9QjYbdGny1qBtSeol0pKMX0xafS8pFzTw78 ZgDuQKn1wNmx3WME1jCNHm5knkALmcE4GoJxQj41exkdzDbT1Fsjxps27XQ77sNQlnKJGUsnT/4i iW+481tYC3/fonb0EBs7dLgnZyQra4Zin4vRQkgplY4Pia+OU0YU8MdgILl/+ya7HERbXGwV8iQj lnS9hlo52I/UKHx59ppveq1LlTlpYKllmrPBkVXYU0vRbOFZJN01YDFc/MXYPd4jZDeX1cbYMchG wpWweNqLy17uS/csK3gjhVPPNxXjax80I/h+hkevLesAVTxoNGV6qHW8Xjl9IPq8I4doOEhBxEG5 e+D8RPv9zopxs3aUd8goDn8pRsM68cuv3qmSh4UcauL7F9NoqD6mfkmYqB4cvqnpqNuQ3eeNf8Nr yhOTo0z4pYiYNkUnVZ22XxqyjkK7eyvMjL3flc4lHrlYeh4RcH46AlTgG6ibJgYF/kE58ys8i39f igHS0u7CZAUlA/kGqDkZWyLUw2iJIi+VGAqeC0iQB5a3kWiHIHu42vSKRuQSTtqKB8Qzv/h2c55R fe8UFIKK9erJ+pZKMIkjkobwmkAfsg3nv3GfCahorzXZdSSFcBen8Gv3wHLy+AAXMnFXxX/mWQdy v+ncsVekwfvhMScw9shAYdA48Ep+AhAq3fDZ4FfS5C1a/Tv041C8WGvS4MTrIQwape0uFKKz+QrO p0pI6DuYglYYobgg+gPPIvNeMPoU7BsVDH0xlLy8VMS+gea+pAPFHZudiXrqRHHjLigftKc0Edd+ WBFdkZSCuAVUaW80Q0j6EeGc36tGZ0D7o0SPKQd0QuZIBbth4iq1e0dv7cn9fJzuBIUIsG9lZ6qG 0e54fymz1+MhAHcxj9d4nes0CObWI9sz+AOQkUcXQH/TOT7aa+hEgWJn9nDRWZIWDH35CxRuzCd/ hC1B1jpvHM6lvZeYJSP3GHr/XkUwXoZrbM2ROyXEhOk7sYYmruCOKdDl/eg6mWxffelB+ftJzFSh hVlji6rDMdVFx+Hp6HuO4p+7GEroASSGpIGGm7MTRN4ISKAPBVfNgwqdE7Yptga/m6+1gd+X8ntq O23GhXvx7f2Rn7rE62GuA2oA3qyiQfot2uKYkbDq+qmZSy9Ey7si4vMTQkHhbDJxXFy9VJp+6b9f vgBo2V79yM0pe8soEdIq4qMbL8uMN6wOUkKOry0bf7UxFtCGoAhi4ZrDapMhzMFOToDXC3TNmx0o zFyXkVE6F4acU1l10cV+oPVBnrHOZ1itX6XoPL0+r7YgI8guW8gQE5RGxx2KOl3gfipI20VnEjZe sQzYSWLZqcLk0yHEn3f7Rv8IGvMX1VwTMy8f6TJntiLmKpdcrs8q5aWANBZlEXbUbazi5nwtZwXt 6/HFy039/26Qz0dnx1UjAuEQmfn10dMFZMcYMTsqHbVYBPl+GtxwwFm8l/tf4IpEZ2DHkUi5Boee WWh7jC38KYkW2FROa1uPpXfyeKK0dHwLeTQByPda4GU64ylj883VvyMYny3hC/hT+Ue5zMm80Zlh sgufeXcekUJD1mFaTXUiLjbKJc5cky6axjbzpMF4F3V7aJ7RQESYAJCLLviEn7x4WL7dHyNul9Bq tz22LFk8kzdC8CIWgUkfZZjmDMl9tHTCXqZrowloyjA50XBNZT9RajkYCpRSor6CbxSEnZ8D2hjJ rp6nWCg+eQUHmo97/iNN+HXv6mCS0XuJSh1BdnRXM81mQT2XxXMS6VHAwVVzZxmi+XPzfti0dJRg Edk2eNU0Xnk4wl8QnegWhf+biH5svy66fpLCdjjV4/j9sqzqDO66+uW9zq6nx98P0vkRwB9KNWA0 3MagK7caPy0n82VYVcmIQv2Mx50qLwg1ryNsaLTuAAgQcuDMngOCIERJW33fMox3ZPklSxP91h8v GyC2h/2p+CgHyNH9Nur2dmEwDzZmqMcQDF1RxzkiG9V7/NV1+24kXAV68gS/VT/53EhOHmTPDemD aIMas6we08slK4OQey8YpqciyxIiLn0kRokKmqxOQulqgjCm9OdYAJ+h/IqM1H7rF7posIuRD5Eq 2ivMZSTghzCdirhoMh3ZvWcub6fgEnl0GzYDr+KzKajHWiJK6zpJ0QiPXLMyIMYt5qKfr4OoqJeH ZWTCDA+X+IDUy5Zg4Opz7e1rn1lXVyM7mPI29LddTz/OAKYldakML0HM3Ry31pQSdBu7aPvOmUNk 6KmFVieB0YtBc731NQoQDvZWx4S+HMx4ywZG4oAUUz0rxh6u+OC19LHYjPVXz2TkDis/DGKY1ChD fgA3AYBC7BDtyugyEWOIigBCF5iL7bQk+D4y9f24iW3QMwNhntuKB312dt0/aAK79lR9kJ7B+mcV x/3OcJ5vxtdwSD6ZFTix6ZYOcSfNMJoaTdYchOJmjHGxmP3gXryKorhaqZsI7q8KRu4CvXJe7Dvm WG70uEjdHETJ8Ah3asFedriKgrxoA+L0RiRXEZpMiw/pbeq8pacYBP6dTWkpMlKrWVfxoZ1cscJt uoC5cR0XixWuBVhM2OVYdS88Akg/VfHSVX+b0O2UFIRhg91QOIc77/IEPuGk5LmV8UjMZ+hOOkbE 0H8RcxKiN8S1UKAx7j8takHH5oxb7QpUlgUBNQTh19/mk9mYZwLdOVVjSzNU3fD7YQo4096inxYO RqxVzDTWFO3Zvb4+0qQPxYwRwllTFJG5RKfZDqQh79Lqcas76LL0xvLYMPaL+zym71Jx3B17xvbZ YbZdcqKtO8+VOPcrVosDQ+t7OdHJ3cKkRNfDFdNDWQ/WsEn21Ff/xaTOlL61/EAvasN0gCNzBQPE ZIyOxsFJD6jA4Akb1V+DDlmN8zSUrLHnzQiwhpO7fhv0wJ6q1m7zkOiAPdgj8OfwKzlZ0GAQdN4Q /+Vr+dq2+DMhTQX/bp77JX0WRzqDlp83jV/T8Y3BlfKbdRjIrYzpMcgqmDtggmwMcaQ/fi+8oHuF qyrVPx0WMtB9ZDJzKBjla++HgEaBtMuevG2wqpYPLnsMGcT4L9zJlpuWOk6SW6ifLflcc/ump/tZ tJIVnkYAP2eOAgAtThkh7wV0NdZYMYtSOPtwlAhBeR7dlTMwlHo8UUvHnJbumoHWOlKAGypJ98Vu E1GQpaUWEqI1ZM0hiQRcUFb0k+W2eUf5W9GQw8PxaLAHGPTIpndYAsAH6ojnQQx5G5os546RVFGP uUCXVp+dr2BB20CTUypc9XdBWo9wpX4jD/3Pz2/IgQbmO2gx75ccm1Y+QqmzbG86YF27Ki7YjpJM NygzSLQl3xQnAFJ2Xq2SQj3lPHkKduT0H4AGpqKUAWNTzIKd154a4zQGD6+I5sW/WPy2L31Rpotg /szZ64+9S6x+BIM22Y1Vg4/MLymQ5q9F7C0HNFz9EvHPx4FZ4SrkZ+wMK9vDt+/yu2BFKz+w99g1 fadPrVElrNcsO+s0NsLVMFOTBft58Hva5NxwG+DudTFYF3dv8YAXIySar8xNqUIn1nuh7vPNIi+s uFib8hSWnLZZHA0bHvxsSrGl3x+rYf7ZexWqgLBOVxtXPvTmoXctjyS95iOYlEIDrzPZi5gDq5Tk VwV7eB1aERmkvSFolE3ee54Sq8ATMZVYvkoSEqFgaGJw10SXt4nFZMkaerKnK9yqqHiU5q/vqbYk BIem4sZrHQrm31D4rSnH053gUZyDhZmU2ZgLz07BJ6B1ostL3UmWvsbEeTJ0BKaEQWliz3pAu4sR HmsxQvJrURIw7GSc+GDmTlS3qP4+CSbc2B/yUXhpgRDu417Ip9FiKkMj6/YgcRWv/ZsuJekn95sC YW/B1R9lZNKSfyocL8rRtVWRI3awnRhBzNpNCSttDm6E1GXwqfVKW4G+Sbgzq5DBLHwZ2+0k3ZKb vINH/9QIKLGDnfGFs5zusS7HcfMPFDuscKvoS8aY7rhUL5qe+6K1+bB4AYEJsNXyUOV/WdvcrZst vGylD7c1iXk4FCSeM3vpEcifU2RWzVANBkkMr4b4MM6xFEpe0PXembZGm+Li0gM02cB32om8yFkb fqJMThpqpfmv5hDLi7NfxykTZhdbsUbl2Vt5aXeUoR9ehDNKSNBLgjy5E8bsadoP+kgfFGlltFPT TuyQrWeJ+pOHBhvo0c4hkS6AvejwWuykW1x205h9mFYUIOoqLrLF/AVjUhoJtAGdsoepYKKp1QqG Z82lgzZ//npumv3PpcBWYMzuYIlJq2RxLpl3/aP+TXAPAwMqrTyGZt4waYcYuFPBVr9ikimhbKjQ Urq8bNL7tEQcLDB/Wtx0CDQt+JJDEQQ8Ia1fNOno+Qev7aclqb7TN4HQ0t79tkQ/Jg8fcV1CLtNr GoquxbI+hnDxT9A+la8bdB+k++nV42yg6OUn+R6/mjBw+Wf5d0i7dnOVeKmxvn28ammjtajVA7pb uaUc2F10cbfwPJ8Sc+nW4M3ai/hnkDy8z61P0HTC+bgcDkVfV3geAQ+hyw2qdVHMnXF7PHPvWc43 8fXs9z8Sag048boVRXVrwPskcR3/out2jpSAVAUT2DUtTXLFbcuqb2pPOZH1AG4+QRNMTJDR66G5 ChFkIhaa6EoTX9SqDibGHwTi0NNQpbk/KF4O2ZZ2vinreBnPizmtl1APID2StRftJQL0VGRQP+Ce KoXHyNYX8yz3W9mBDVBx3ibvrn+ZO0Ve5KZ6k6pOwywujHRNzcwpQfMLU/b0VxMI6McHVctb3WIt x0WF2ikNvwCeBvExgEgs195wPzfHjVj7gFzbJD6bWuMieMX1LJaBErwyUgdCo8RQa+qh/Ek07B82 tuZZKaMzlD8lZ+S/JjOKW6dn/u/ZUXSTJRIk7XoBB7i7vcatOFIpU+rnRsx3FxcsLVd9qtezjceA kEW7jjAV8nm3hkkn6LZ/H35lck36NLdW2cnxibNCFZnzIsMaL0cA3YrKQCb/HKn5Y1/nvqnSjvUS PnHuL4D9rkZaHerpLZvfSY4x4kqfX4no+a7+ZTl9QO+z9IwqIXEeyjimrBeeMI7djrRo6k2EKmqv 1GkTZ+NVPX2tAwr7q5n4ruN4aPdBcEw3Qi4JBifmvGyQ3+wxkJSSYP0ohTq3fkRATdYtNbj7gChy D1RIt3WiBk2YsULItHNYjWUP/UVwmsWNZ3LezpapQcz6AsjtbIqz6zpwjIrCmDKFNKpns/xSAJ1X E1pCm89h49mS/Kj4tRNthQjbd+ImevVJ9b0fsbK9N7cNE0Kh/YnjhfYMV9hAlYH7z9lDbioP1KW4 Ps4VZW/9lKnSNczO2yUj2Z1naiQ5uhXDUUvbtlCfEuEkxkgXkuv1U5F2iRjQb3MSdJgWYKNXhN/8 9WEXYTQgZY3vAr0eGilJIezNPjmCwT7J9rg7DjHVUpyR8Vy2n4uc5v2N48++hp9XBqvIGl05BNXF H3c7xBBDbkia5e4jLRUvctLOh122BxzrS6rgP2hPcquTPtgP4eymaK28EwkBektuvoB8RTW/Est0 scCFTP5k40nKiQXq3ZsFegLIsEcRIFFovQ6Q/TqGUC97GC5Ez+xxfOuEPYWFdhZS9hKKLbYOsUDu 1FPDApedupli6M/A5gQIw3HTJlluW121hzkWauegxszRRRI6w2CLzManW+8A5ytXIQsD4THYUAsF +Lz0Dr4VamdPPz8lSLzno1z6IYCD4r2KWKBUDJ+OQCm/uX8AW1i+V3ECngnAGdhpUwiL06zsM7FN flRul6/zRuUWho0fpIT6qeU2dbBfLBPTD+oSN2Xu+WRCWDdfrfY34v/bY7/dqvHHRitrnqlnaloo tavap/JIGlfLLe60jskng+PSERtO9gRfxcjy4wSTFAgiOjFdEM6rkzOtQMV+ZGy/J4BX/OE/iTBZ ZfeLw8xrzQMjfX6Ga+zRKgNutzZ1j4oOJrrQXxA6EsUx3Exa/KtcEKCEw/TsKi5CQ2WPupgRSgkU MXJ5E3METU9UYbHJ0ysyc6yO/nNdl/1eTVwPFYXbPVHeNloE1tLY3y64tPL558rbpFxt3pX6XQ7j VBdK/cTTpmxcdSUq6EaSdq4aXAPlYe5ZLU1jEGjViOWj9hLV2HG6zUVOTl0u/MbOpvSTmyJcWpkZ 2lWSp9zGm1wtc0YOWHVzTBoZWr80rlDDLoKdfPbGW3llyzw3r7ax+GqQMkVeOIijKPyOY8w2E8xK +J4b0IDwB37LH+Jv5puNMl1C+rLwA8hlHrBPCdXloAsjGle23xOx/lGC9YvSMXxjNUNm6jLLRxFg NOoUiEGq3ES4zc/sMbZw7nFZf3c+yrxoegWWwS+ypxNro1QCryA37UneKfsrDQ/LF8+EAvWFoDgm IXu1p4m998jIJ8jPGaVV7IkpobTg3vOF2Noyv3cH/4UogXLgx+ZPbBhwz9K2PJPNi3AY1EOeYt3w /CkONvoAnsp0dM6TJV4/osTf+ij4Wo9ictoUi/CVHUHJfN/aKsDj1wqny+p4/mI6CHRl8RVUlYDu UMDvIe5WklPJkgUI8jhhdJWCZhUpCJBTjXgflf2IylrpnL4oo41gWV1wmXr9nWT7h2gmi5QfNZwM KlLBW6o3X9V7dvaX21U0wKLVHqEA4vo0CtDaUM4evR+PpEFXnFyHRN5iRMyi2WBDRuDV9cXxDP9e fh0U3j5eUZ13UseL1roiDM7ky4yGbKsB6YobxuYggSSALMBYZH9n0qglAh/j2Ej9t1lS7Gbuo3mx DlMVbpWK/31S8dSekap14B12paJO5gHaUV5W5O/zHQcWl6jvv4ZB27fCJwMs5pI4TyMwXRTpm76c kOAmhIVriJPMtPkt+wNtpKOx1W9D/hWWq3HKamE0GwHf9QBBiPFKhp9fLDv185lTPRk7XW7JrLL1 iBo93QTrJzAv7LNp3DQIG/zDRlpryXTHVyJC2c2dgEhw8P4bJP1ah/hZnJNJvzRQR0sLaxwX/I1Q cpFUpbrPc74zhZnzENxfKDNs+b19z6P/A3+wn6xx0V721X+thEjL5CnS8bPVHAuxwHR18DKOdSb6 Kx80DDDFK9lahUbMsQpEP8trJb5Xyeqgugv/PJvZxROFYiJuTmB0W5pbZ+Ro/bSn9d7sTw0oagd9 XdNFE0ipwVFaYxh3XONw8xkVkSWBPBeUnCxdD1AMBVMmh77sLPjnWoH3KV04hiTkfTtWQqEhLNfm PIO1S4l4VwOK7dNfF8b8nwyP1DQJQGchQ8SVuPRfixSQFBG7VmvRbdtfeD/Ka4WCkSmI9QEaKFRh ZFkdeOfiIoMyKWypJG0viQNSWtKflRaSMEb3+Sti/DtpSoKIY5mw0PCohR5VgrfoA1smvGB5siRR QnKrG0XdVC9Jpof5SWYTS6qAtzNigKH5/wjfkVVyHgdiRyVMIbNqfZDpKxUnKvM4XLtNd3w4Hq8V 5gg2xCRQp9IUMpeXDqAfnWjFnY0gtU3t4y7A/Ner2dty1k01GPTjxRdpCmXg4RkvxJI0Dya2xNxh HMFeIlc7VWwZIJFDukb07Fgc+ZdBD3Jj0AC/Kc5FTPlfSDU7hjMO+qbDoAQIn7VABcpUI4dyXlr1 K+gQ2knkGuKP+PrK78dyFNH0aAGHfpTkd1IdPxpcKpo8Velx9XoBsmFWoS51quLh+ehNr+b0RXjB v82lBMuv0FUHWXIs5UoPEL/+LFHGq1Z2dISg1XmMSb0onkb9ma+GZVJQ5tmTCej/TNKvuNKfuqro gwnKZe0O8yXkWEGGnCVdXW4F/+UyKPxTStxT85UW81/8CqSOnErnW5+oN0WR3oswRNBPYQBg4MFw vPs4ZzFNJl7od4uDAF51XUpQYKkH9jtNkb7a1SxIN0giCN1OT752k1U0aTijwCc5vpPWI8u1GPcx 72KnDVv++Khho1Lozit7oJQ4Rc5dk8kp9tizdGFrL0kCAh1tDroFd83psi7jtlF8+g5JGpOkXqBq 5KmPB0OFGqM0++ZXcwAAGN2Buj+4dNp9IZpTIZaMHCwTciflvCdPyXnkMdt9NRW7YYUbYhba41q3 u3qoko6B3bUCpk75pueLn9mu37GhdDFMgNzuus+0PgmJu6GIXQro5XMTT5rl3F8GgRQsb31dOD2K KKfj4QVZbmD9kQC0jDhHg/VJiO8WPwdAPLoOpXHV1Ow6THKGN+0ksy/EDYYf6BVmVXzYWLOGm3IT risnL1h7bbRXmHjaG5Ys33LLY2n/fPcEljYdcyzuSKoSTK9eMYRutB6hfQWTCqq4Qf6QnGcbn7Wi L+NRML1s/7vcQQrk+y9m10DzFRr2CJrv80+fR9pxFu3Vv53oTc6AdgDA55uLYz0qBCQO1i4H9mQl k7sl4KwnpGHWeT9/TbcgvzMqKy+6tNX5DZVNXI6F1uQQ2FUZAv6vTsNjHFp5npHAjWt1wzXyMQrG 91PEKlUWrX8HO3jewqzY9o7kVXl50TKZYEkJKBxKvz3wDM4u20LJiMjRkMFXcZh+8QdT8Ii/hQre AzsAIEiPVFkU4Nee4kVBBXUY1bNLXR3gGXAajUmgr6+Z4SoeWXarEFRc3fXDtLxT18/N9lij/U+q Lr/beXmud8xFjbY6O1K8vG/QC02eHuR7bpKqeLz/6k94mdViJF89PEqJ0i6b31M3KziJwyhFmKfG r06FDoC3k1RL9AqbFk/E5Ymp4u4XmC8xkJzbrih4jYETnstXMmcPMkxU20LSNUVGNZwygRbFX63l 5l23BNz3icvX2BJjDxuBzA+9U84FD6/mxhY9rdxE4G+SSZW6m54bNFCPs01ispBLLlsvCzTEOhWe IFTfF/8T7SwIssiuevAShC1WYvJ8e71OsOPdjYGlq+shYWxIBsX9aT015eP9yW+8F3URC7WwKc6S f+NOZWhjxBemepMBURuhIjfiEvE/lsEOD/iArmIEI96WTaUy8RqhrmEX9mf48yaf+xe4Owa8mVDl nrxLTA4eozlckhlCjmIvRgOIhWQxHNthEjTWdv8wwWs5IKEIqVPhPSojAe4YosbhcreNiRH6/2cz oXs8uwCcXalq2H+RFBePo58DjU/KKmckHiUXKGPzVul9UnsXS9Iq5FpgEqaqOW2sFgpETU+aNqjd ezEmzCGmbijYlGmgwQhz7mr9ZU1NhyEgUi1Gw8UAvDC5Vnuk/cO05yErbHVwlBlRNA8/vS4TIcEl xHI8bjMCoyI8AEQ+AQ6xdhxBSq82D+PILeX+vG1hyS8PqEBf+1mDa2/fPRmtUvlh9eWU/3F77iir V2vjm4PPLiYjeqffyPcF9ogqgP9RGgpANw1Wmb2m8W2ZmW4ocqK/+kQmino+QgrDhmuFawclFJPW F8qmfs9nbIetXkYGvFRG/UVzfSGM1+y9dsmZOqR/L8YmlFmRGoNxOyJooB617buJkW/rUMR8bnMR OrEuM3BgFW5IVtqwKqOvt17AcpTcMUFiPkOynJuAKNiGtP4hqkz5NqCLwUxbXi5sB1D4kHLkk7gg UmTGA7ZSvg2mNG955ELmgXYFc9w4PUL4fduBuBTiS2tYNKVqJBAhQrnw7HICr+uiAV/u9o5O7TGP N19ToLv8wN53khHYWTxH2joLaeWkIo1K6MGLAJ5CzGQPd3wb5Qz78kND2Kxk6NYf6SnvLX1An35e bCxAr87SmaLffe+bpdG8H6mizQCa2dNwzaQ1Mt/kedJwpGKdszSlgQjyiNpq4nIjTeaODq+tYN4S SyR0NHFdZ5/PNmYS5zfD+BIQYmWffF7TELgdLv8/skzvFizIDx3CAqDx1rONUJK0PEHVeso+xETc 5BAdkwM/d9wTRFwlH4jfq/mNomrbPdbMzigWAc5qjgz19VsgShDqbDjdOeLIYYZCj3bqFtq6uLve adQQxXp6kXC/JG7bXGqG+OSQoW67Y3ew5JRQD8HCA1KmFrp+oqleNsoRr0stc0Vo9YOHMYqeiGJ2 MlrlUEPvgnw31K56S4ynMMI9Dd8QF+Qy1KtRdPK+ZHZR3ZKkAYLtsebwbHlo8A6rA2EQSttpngxd dZhB5cG5nslTzrP0LPng1LQdNfHRW+bTb+KbWXAjzjdMYMfz0dJmjnHkC+72qcPhtmYVNyO5XAE7 NQcPudEdSdu1ynlEwM4ua2vLK4fmlI0MdhPcEyP33JVaXcEsn+JyKKrYZ9y1OVUI0jfPCMnLiuGX nWSPS8+OTaSS5PWbMryiQYhtrMP618PU/gS23KZwRdCya7zqRuvvEhcIaZ1y1uSGKFVMUjzbXdlE 57gFbEvruUh282y7c7//0LKgbAEX4qjPJvWsH+sOGmho+TiuhPDvFvAkt4zSfv3JxjIghP6ypFSD 2y70njn+qgrobRy57JXv2bmnaJyD4GGAWZsku3qYQWuXFwejN84kiNKydpO2/+e3cduzoLxvRiNb wJ6z/sDCZ7rZ20rTD5f/rm4qj06xybQrFyuQgjnxzosgpEY/RSPeU86v4aLlz+lmVuDY8g0ZPLgd FOGWxWwm2j502ugMDmT27+6RjZeUH+5pWY53mNg5YVbE5dACzPk8u8OFZBttEf+s3EE/KsaYjIdd aEI3AJ8PqA/7p4KvQfkR5zfuCGTq/B6eLiIQ6nD93XFYy5uZclwimATSFm+0k6YBp5i41PS+J6Y5 R75TGbw+JrP1zPm7bt45C9Z8H3oxwZgCF/QAHYO8FD0AT2e8vYSe59KY0pqqD2l9/qkC2aXzdum5 ywo4N0iY5b0oSVyvjVWJYqEYB2qYiWhrzu5tIaaY3Edgo8UoXdJjnNBr744DkKC3CtC5SAVqwWxP OsE5BqWyhoBDgqB+9CpBuU1weeuTitmG7NwfrDZS6+zVuf3LcHZNRflzMlYSj0ffur13maxXRmpC BFQV6ZdFCui3R2IvPA6ZSpQol2zPTsXeAfTvb1oNR0s0vt9rmRtw/0GRPUpmvNnCe4FH1uSI0Myj bE5IeRgQKwNmRRE4Apk9Yjub6wgx1Sy/QkhP5RnBCeQbQaR1P6o9DRaye9veWGXrjPH5Y7GHURdv Y0pzONN8fvrfVcNBG1FD9AHdyMimVOYAdSSIRIovOjgLiWiBkzG5uJ9OMfdpTylQytjea6MTcDPF PUGYdjYnZrQ1aoKjScwM51P39NGmQdPvt0hsGXkdRnzE6zkwkqPVdlBpsdIXVG8sONXVivZyc5hq 3PHX8sbfHsFr6UHCAb34l+sBqoB6zoL345CcNf5zZHljFf30KN2bPQXLK7yUIaNXoNR5wvNTVpok oRElM+0lqTxtbE8kV3nqWydCU4x0rWDDFLNkJighYepscS2X6sU/mPKjGrv0gz7LXfu072HYF/U2 CVfnOdk+8XKlbjAAu3nB8IUHUdJ5LnO+av/vqmM2m4QFYbm0t8eze9zy6PtPcm9JxAC/+qC1lDWa cqKUl4/DqIyummBTCNS1wEXYbeIPqTzUVP/9K9wDp+A2JDEg2os90qTXwswgOBeNTHx1DqdWKtX5 Hze9stjI5gGKdKfKSW8L3yB5vtstJy/T1dWMI9anZgJPuDpRXlLBXXJ2SW793TpRpCetOugOq+qW hk1SK1vi6ZzScifpFYcUfqBfWXQLGakDEcxYnXzgsggeKI7rPwnIuBLrTBIdKtufb2+WtBjyJlH5 QmfkpDHwfXhxr0qFjHIAT4Dy/DwT6hrmsEwnUCNf48YystfZW+LK3h4GDlU7nipItxgnczMDOl1s ymzL6hBLwfr9GhqWyylrwtOwh0zq6haBi3mNbKHIJW6hMb5/H0GUHGz8LDqCSV/BLJh/2Fz612Cb WSQSWEF4mHtNhylJEZ4dDWhKgfOOodNSrmV+Y8EnVDKddKTZP44o5abT1XCtA/lHS3FBuNIlX72M D6YAol5pEuqjRtO9C3+oTl8Q1USm5ro19Q7brqUuuTmAv0YDBH+mSj+We5Rq/W2kUsstFea7mYOA qPmvx/qLAWKyQqm67nE4TWlrg/6+5E1KK2sEqsyQunRRQs+UJhJYhaGgqvSTgYgYE7xWo776GQp7 5IXmfQcTDK0KZdQpQF0KaQtTTxr6AQNuzPPUuSjTnRPweuCc/ztgpEq0xYuCf6qAKU50osYsUAV1 YK7eZbPeNDYl4InwSQ0Zr2wJveBVJ34K/JtGO+ru3z+XRTkG8cYFLipRkMys+GgUsGP9/0hrletL KIo5+z/838fqQNv1PZtRVVchZctk7hsiQ1nnlbBJ7v0W9c55ktrguNz7y7/mS8moq1XZbv+pD/qO dPWyiKP5dkXLXKBSlC0Cxh0iLRXCXJEF5D6Ek7wl2tZZzzHqwwVRnEDH3KXW7+VzoEWLXfbEtk2F hvSqCbtV9K80TNbYTAiS5Nz+TLaiB4J8YB7ZaxuxSNWBVzkEyGC1qn4EpLG0ZeYshupt/OSyZQkR gvCIlQHaa9HOTpe/vA2jK9CPlIhpyaYMbKnUsa5RXNDYSYZ3toxNYeSLVudwKkV9gziasFUHjOss SeoQu+BDeDMCW+fl33do/ybW50nn2yg7H6Nu16G5UyRWlPoUig5KOpUxTQ5ZkbkfjDZ2SSwMfRgU eOAGR7UplqGqeSen80M0RJvSfRs1Syg+iFI9FquMT95fwmpv3wk18VB2XxC1VlD+A4BjT3I8g1rP l39NCUMtYFbs9GRoC65QaCtqRgvWtF2SzL5SDjhwmgikdCFn2FRWv+jJ9JCZXs1ENwqa09ikWZsI aiX2sM+jFSWB4o668+9cWdn4CEfk1DB1TnULEjLYPfkT8SvA3k8NcB7oJZFGehmlc6CrHEDxq38Q SbQBH5hGmZOfSPBVkTGCgfRfTEWg0F5iOy4trg8s8spWP8mUs5hm3bzkwpBbhNUdnDvQSNhbpkw5 DoAvRKfcp8OXfAh8I9tp2SnFzg9JzynguhnjkbNh+HvRRtQsnbuiIR4LEQqv0PQxqXgLUMJDeXue vb+ovihPCgXQKw4r1CLKUfPWf60m1EC6lOKAV3Ae1RYi7Awu01Cv3CHFUPVJwo+gPGAc2rhDA6Am bKtKGNOQLntjrCa4ICAdG8iuDUtj9dPa4zin5pa7CGmZQr6mPG+LbzQMiqCxCRJfB/U8IXMXqxTg JxRt76GKKJ2bdpLLhddj1m1Il07fT78oLHGMQi0BpKrxUr3s7w8IJXKjnVj4/VKEnksNdKEgTJoV GhiX3jbLxiwsdLoDmmhV77RvAndw68xNnW25L1lG2LiCPbxUiZyzrADkBarLtmK5IZ4/YR2rjAeG 4gum0GpcmCTjVIASasvDO/wjavkFbHr2boKSxLJcd7DBy8FH1czZESV/glS924VuGxVjMZ0VrVrK e/yEub94fulUQH9fYfvlRW/C2MmLRDjQ1C3qXI9doWUMN1IgefcsAGH6AUEIf276bkgtKHYwjTGl knqp6NPnyZC6xzwMx6TmG1Jb3MkivFC+YfLHYafOE60FaGnXdetvnXq8L/I6X62YobTgLZ4UA68a i6GyJ5ty+t2FdnxGTufRM7bva4tLq4njDdE8bNwRQKN6AQuTuZW8979zL+V2yDhFqYdJgiYSqBB2 fGWoIeHgXLShcyBrZxyndBBgSLs751IIh02S4S8jfTCKIk71NDhzxcAcXXKgBDAZJFH1586k0Q6q PKrjPdfX+hGUlICzf8IapGoEZ3m3DM+0AOiNU3Kmoe6wT7laGOuOdbdBDUmlOXLh/67LYIZWWqtR kD3ugisnF/jBvrVJNOFoufKEAXeQAUG0omUx8v+0f3274nEbepbTQjT5stgDyP5BMym5knojGM4U X8E5PlvJAiMFMoZHTIO7j9ffNRXQd78mHb6LA9ECyx9Uz9zF6+g4wGe8KAYhf9BPdC9R+Vvu4A1r OGvyGZc64mpnypi0/NxSiqzW+yDO5E5uD9xVnbUZZ0AUYOehILN0UMZ6M5J5uowyJ89gJdg2c5iV 51UtDPjkxk4qO1vkM5Qh7fmcMdJHMrosx5T4tq3AAuV8La/PEg0p5nNxkvhuLDPUzHMPt/toNouE WIG+XSE4q0ggNS2TuwnJIAZx6NCcczH38rJTs+jSA1fx1x4fHEQOQ8RZJmI71FKNmqgfwoahGGNI YSPdSS+nFXgNx1Fek9AVc3GqML4wma8IM7gk+wPuv6IIseqdRkOibkAJ0SJPVRrW7rEZwysk8fpv JMs3njcox5N2NY/PAGCpLElMSobiUWd4XCDRbIn6q1h1iNjdXLElyWj9mNj0xMZBVT6abJTO/Gqo m8vPymbU1SnrTAAv7sPyWsrPKI69idcXtrhZw8eIqiNicVodTlc9s2kwSExJungQlDGj3YgXLPCl OWGSMM1wUZMpMaU+htNTN9r3IVUqyZ1ri3es8icGPiNE0EsR/xireDN9XSKgcmC6paHQsVFFtuRt gY6rQJrbQaMlqSOoPbJH8s3A28VKudZMISu3AUEs9E/5Out7biUem7pHEoJdccENpMgVJ/GJhISG CRYBM4Z47TUuGNh1C9Fxj7iHDNTEu6DgAlcvZ+wmSDrBDFK4C4eSeqMLFJ74pP3rVT5FV+WvHvN0 Gz/4HnXbM5IkOuFHaWeu307W20AtozXghsnpPGKN7eRUlEm1eOdRp43y3+F52KT63TSimL9t5p3D /P4fa92C/4Aq575+sHah3MPSBZlelX4CrsMngf7xQapKaS/Yq6vyu7uPBnfDK4KTFu0u5r4JeVJt ncqWWxG4iZ/sCD4UiNOfNwR+8lMcnTQ1UMlIMjhBT+/RXjb1wfHBDsnvrhkTpUxiJjKOO3mzniZZ xdYMU0PW6FqJ+EMlh1LkgfIFv+lQd1xRgAzH9xYMQyMX1NX69XRJqcIk9GJIMMngkwwAts4iEDeE xzMXKFcGilBXm04eRnZafIAzR7Sa8sGvilXYJnkxk4BlQF72gUM91OJBwkxt4XHCnVRtSQr8ZW8M OEXP2bHJdmcwupvFZXm0FfAvWCjRjhpYHgIDXtMG1D8eM8g/5/nNO6amAdwqXpvc+HFIVvtCFylF L2bZ8gIbVyzrKKifaRZQdCkWPOIovoAB33iRtrY1szRDGldAIi/py4j/exs1mWS6khwqmtKlWmz8 0ZG8L+VJVZM0Nq8jev7TiSYuqCBMFWKRS/hS/K9u8KVuz2FBGyfySiho8+2PgiOuCpY928ZiHqjY Szi3zUtmxPWrTnV8xnO93Cr0DxhewH+OTSAwKI9GFYqtmNodYLfxAgNPBnzyLhtnIhX6in2TuZk1 MOBOLDIIQ8bc3Ojmery35wFVX82GTy1pYYPCyhU3lctprTh9LzD4iCWyfhghNuhuWzPhChajqPTb +pEu4hWivjoEHaJWSUPVNbySXiuVukpfHZJPqy5oRpaTLQfKUZOjh3KwiAHTolJLa5vtXXJH3HTi 0SdOAInUMXKiAufoqDhbgam49OXk5iLc8HR/sexGTp2BIgrodQ6dMAO8PRWyb6Yw+HdOtecYOq7t Q91eO6C0mfOR9QA55A+tAhnu9ik/CoCtF+w+eOqdDqWOGZxmKDPbx5qZOtXJZOzrPOHryCLwCiEH XRld64QQoyDMKg5k3i9tnFwbiqIX4sMkabErujjwnJssf1rWf/2pwHzHIEAfOQ6pLrGOXTWR6i7e Ed+feRLsCM/NZ7d5wcBqsiyA8UDfdFdIKoZ2u+kowjB1e2mDzG9aKgs2QrjTwPlxPub7Ggyd2Dmw QKX1EaxAW7K+/Q1wC5VdiChtRszYWQ0b4M+oUPxISIH9N3XF/5+vMrYkYymDZG4xLEcONqM3RYmw IcVZlNyOu0ba3SeKizx++lt0m25MnvtSRa0Dp1tRSN44Uk8AZV16HCZ2Ncx86i6AzTUjkpFuiUgH 80XtGkZM211MYoT/fyojraxFU6mVu/k7szCFkDKIyEzBydqasE4TsiCdpgWfLZ/6MNs+eR+LkYLd WfTaVQF7t0a2hOJCJ9xA2HmSHfRlNj5MCZ7cZ6vp4eyHOvURFMB7rrIPi7GS9aKVjHNqypdy5vo4 gFVCNEzkVOftuj6tPI4C38DVzWwOJ3h7V8wKqj61Tx2NtCXPCdlS39tRC/ZDHxXHQWA7ifp5HIVE rKXDFn7AmQGNpCmciRadutmAenibB+6Z8ynlk/X51gp+Wi9b4F85OZDcF421d28RlLOv9x5WatI+ zPgmrB3R8PF3TeTSiUVltvXoqnVgR2oOVP7J3vVJF4tGnpY3NsLlO/CHdyYlLpRKcXskceD62NMj eBiHOCgF4EGMG+9nmZF4xzFBR9cP24GarbdRYDmJWaJd3QqTXg0AlFcBczTt1+X2atsrUQQe608p Zbsn9Uq5urOfuh2bD44b2WA7REue+Yq665GIZCjcC/ybwBHQ9qzJCYOi9EjyBu7hIrlZn/lZ+sED QGV+0gClA6gULOKr5OdBAkipLwgUPpZfiUUhAGcpfE5rQOPK6IqG2p1VAn7RuZSy7Jk5Fe92DsED DIjYuaywGtejkeCW+DFm/3nOTkyU1RSZe4+94+u3t6e4FxmKTvwUkfiRb0svOgsD+cfSN88eLpZc nL7BWAGkNNr96uX948SFcFxsN6faCbtibV+sfCw1ddQ6svafh/++3Z9TU/FxWmsS7FbUmXPJhb5t bctvGC/KEAgpcLvnK++jowVMpV2zA5O3h89ozxdhIokw/AV2LUQMq8EshpbV13S20U9moBxfDPxZ oOqvh9xJlQjDVk0K1ht/Em6KIMlpVtjmURvfcaTpH9x0VysmXK2fhlQ+7nv9lYPos/QUXMzdO4oW LPqwDTjvQERg46i5NY2/SBLA7gsX3PUr9bwLz1iCGmmBSGXkWCtQqOSNdJbY+jU+GRlkUk93E01K kc0aDea+41PhumIjb8CfOArHTY5yADJjY8UdvG6uZCvCVhKNfOxJ/e7CzTIlvqf24ArxWwOlCJ8r HPg6sf36cqA/SsCM6Re+LbPc+oDuJjWRvYYk3ztswapX1AvBne2ghRyOfkuh9H8vdF+h4Iq+LcJK +aYnv/zvqPL3Lh9fWtm6o+kKSFBXHGH3bl5wcWOzzGSkCQqOPE/R0ApmBRoJO6iUL1+Mqp2Vtc71 8yvEyGcTXz3Mf2acQsRgQFriG11LBtFAJy7xb+XALW0JZfJyza6i/z7kYuUrz+jCYM9XsP2kO6z+ vh611HmUHxHCktXuv72ZpiZaeoTWdN4BB96RHk/4dB9aSr9gPIxLGFpossL5Xo/yj76yuYHrq6rg U8IFJeOF9Tji35nJFEoQ+4mYXdmLG0hCbAtg7ksGP10H7ePtBJWgBkqCHsHoFfgVasIZlCQB5+03 H75Md6WVqo+oYEt/Rqb6dgV+pWwM6obM5l7lPpr+8RCidVOXJq+p/BUGSh635cgWAKhG8V2LCzN+ eYwb8QSLyEwrPXLjKZjCsbqK3nYE+a15LovAAWZdjKxyP1AGb6NQ0n/ZZp7WyIFLwlqpHMZ8jkgf TcN4ybvMJv/CgHML2MTBdEAzCd7L4495GF/3Be7j3pp1ex68f03CT45c4s7Lt4WlY/J4MAAHz69h LhocJp6D4i48RsUeGCtfL8NlV8Lve7si1C+tJtZpOv9e1j1o6dbIGPhJR3ujoj3Tw+eHaA//GKv3 Gbeio5BuAa5LUs7cUGqrLVK8oq+nv0QmZMz+kpVWj534+N/JOSXJ9zGhCO990QNqtB18Ht6falLm NiKPgSeOFysJq6U4Ott+oI3QciFViNUj9igVSZoc9nQHB7ApebuQOcJYJs8E82YwepZ1TB0GEAGf qv8HldLXLT8I99CJ9qprY6NUfl5t1TUyoLi9gzR2gNi+Ou4RoCeoWF01lVH623T8iS5NmEBjXrmN 3q7QAJWIN+5aa73L7gtnYqzu9uaTAw19UPK4gzO7MKTf7Q6fOzDTKud+807XK98dxCaFkp9ufzCC NRlatEeg1IwQk+GDSQw/ajdJtOjMe3qBVWcXhkslGILUVHr2C+9SwJn5/mBJ3nBL9hBEnUGNf05Q qREu9RZlX8H6zRx43GU50ZoEOpaibXygwxkYfy7tDMAa4x3NNrGAqGuZQCk6gEAWvQlkc0TqgU3F 5KA0JUdPpny7CCte8u/Ch1U8rstE1YmldE244tpkTrFYHbxQlV7LvtgZqZRsBzIr3BvnDXsZHtOc kBEZdYik1J9gnv7HGRigpdDln2Y5H05gAtDuljJMJJLy3sz0fWrQZWNZRBIfSVtVZbtqoLFnS1+P H3DsnoZnsebFjtwrFJKu5sqGnQ7bJIOqchMCOyskG7oHCXGLVs7Q6Y8U9PznrObgVHN8pfWUoBec 0YwidoE0dmCg+2o+3Ck8+dS485muEIQpeMtsJje7he+NGG8GO/7Cm7BgIXpVCmuS3IdGIVG8FcCA k6INDv6Cs5k6HLSphwF4Rt1bpXRQptnL9UxkmCqnjVqqb8Xf3+5nptLwphqinUnQ86IGKjN7XRoF ucSK+n+tPJxGX7gIQEYQrEy6toLm2XzRK9SkFuZVZx9wCXA1qkFUh4keeSpOvmkEY9G3VKsV+huu Ot73GP8pkhmJ/qBQxBbyRUqmueTmRreXF3YROfp2QUEY5AXw0wydU43lC26hyJ67e/4GC784+tNJ 1vFPVNbGHH9+B8uYSoyrYP+5M72EP655olUrVNLErJSEBiDdWQPjAT+XBSR3ZGMAPg5pStu779PV agffptqueTHuE5bX22ZVuKRbTAm8grN/5taV5QBD22k+oYoM0Yh4eW8+yJd1JxBJc4JudRJxcRV0 AaMcH0Zt7BjmkGh5aRzgIhhmu1SEWqT9Z7b6PCq3/mY2HBjwZE3dIt2xySUyyiplUYw0z84wSh+7 2Yp0KfG2nhomy5S9tz8k+1iMa0muNvwPnH6+p4mksGRpwY3Xrdip7FQ1PwfTwdnykEEwr7oxsuQ2 cRshcPML7JNlqtSmMa8R4b8dYGOlIT/b47tP8+7X8J8V31xU3eZgAMAQPazmUdGmXIqKNHJqKsom u8MJ1jrNxCMjdfMIfAoF2uVDBUwgRPE+HtVSdM7preMcf3Pply/OLcd1Rb8OOjsXHYJ6V+Bpzc8Z PrgX+ctJzzXgRhsneYHlZS1kvcNcTddz1hy0SI3TReVecsc47iN4YHy4/NeXHmsUNzcBaBpEKJng R21aLJ0Jyys34iVA5zZQ8Bds8EFFEBqA8eBXVDeCsEpSl/FO4NOChCr4fDhQBI29AftuyGCoXB9c wIQUZvyPXEp8f321o8toUaO7wLl8lLngiUFrtsAxXEW3Jcdzt2LXtNPWsLr9izX5iRbsgO1Nwl5W BJ7cwnSkjItDfgliNdYZMUWrKJPJsMA6TB0SDaXbkSciMAB3ofzppsg5oegI729N6y5B9z2yyrG6 chv+R8At5jjoGvvN/7cXyaweJkz1CNNM1KJ4RrWSmsKBWejUduKJFmOlZqOw05bNs6BBw6gyLwrA zc2gVYp2sGyi01YZWHbYCemTqZ3qlC+qQmNglV3UYUpewNQeErukvZcdWBOQVGTPjCOdentMkeWu f9FlFjelwnFk3rb4Y++aq5xbUtJNNufedDspGIoVdpcGCK9FOYZu1O184I0A+f8nOmFv4qjAh246 R7WqQwivPUF1gtVxq+CdVa1C67ZywPcXftjLDgd+UeoIaMLTVDDL07tgI4BcF3bH5Gr61bASL5dj ibRO0A4zYdRIM1gbPL1AaZxJT7I9BOIrlFolgEhKaL1j/icKy3RQAlymXQKwv2zHV6Pkm+5sQJSG jqUwGLES1lXSf0p0G4oQXe4je4fME1f3+egaXHmPB0zd2Y/+jxxynqvbwIsbpmCWFynxN1g4XBtm 94FoHG6Xv4jWIecokOuYdnzVZt4zG328UnsJU5aJEEMzGI/gIQkJkDlieZX00X3pUr1rznoJwRfL fDNWKj4//rHIWUQnTJBkrRsHOMh1wHDOquyNArlpaVaRqT38zSCtzGvi5r5lZ0nLXpeqaJxDbqkO yDSoWnjR//RUF+fLa6q/e76ujCdsPYIo6wHIdLmexG44iRmOI1WwM0IF54ggWu5NXv/6p0cxpuCh +ZIa98Ggc8uj/LCvftRZy+nLeY4OPUGCmjVoWgBwlxRLtGgnVpG/JK6/8lErKCoa7mifkF89QrrI aJMFu0dJ4IGCqYJPnz2mCeExtlD9VcPkw6yQCDUIV3YOY80muAeihbFU2Pb9uyKbULnkm71OEKul NHDZuw3QhkObb2a5xgFYSbtVFhLKDDrOmuUIK4cp/yhMtfYVl7vHKbG2adCtTD/lcB5jJ4j7d2mH WOUWFMsnBOMz8QEQzQHcLJ2wFEKkotKfP4cIvT3RJJ/aZ066emTLJjmRh/QaI7FpmzW2yhWFejqM GJ61OdL/3Mgyg1cDPJuGL8GFP5XFH9S0mPx4QkJypw7LkuWJCfCwK0QodC4NmgsrR9NoR6eMRaQp 79bLmg9f/Pvi21Fp+RSaC0HrCLYnOKoITpCYahb8YvfeMkLnd44W1MvRqA9Mucy/ZFRa5lBprNQc r44wWKqbn6/qch/kDzrw//1NZ6eYUFlUj0zPRYWkQS7U0vGl17pgAQzdosL5dbDtjvU7NxIP9Qxd A/34evLiOr3MH3d0PlqEnipsmL8pOvCmvNuc2uynugYPNEhyxmDIjBbnO3AWGwFdrZY++23nEwGb 0mR8Ub8qHIjfJan898XB1LNImxsn6rSin/W5tS9R2cApaUlDUqHq1acwPuqYnlz38a84X1W6zztt q726WkYm2voMYsPFyGwZcFbJT5FSyX3qRII8m8seXKqmBQTuxEiY8iSjdbF1DG/7nwj+33nOM37G 5+iJqZBrvr85kWlXpPiWXWAmQMvaFuhr420rLFdlaBM51agiH8PDStOB5aJWJbfdQ5PkCDEOj2uO S79IQ84+LJxs9c9L2AG+b5FUUEI+nOq2jH3yrGq/arBMW+e7wuZFM4NUF9CGMKVj2Iovu0iW4/eQ M3VZogTToMi7KkHyrvyWn6O2Q9wF9o655u1hy5iI+gphWi6P5z8iHsszZjxrnOg5lNzty9+KeXZZ fwPafw6keGwrD+7057M2rcVBFzwJ2Eh5BFE7z7LI8tRWUwIAbf1qm6sAL7T0uLRQ9pcRCKNDY6pP dWZj7zjRZi8xdAlMd7OYpBQXGOv6yAZgCtxnxaBCDlGroK4KWTx0GFjTtVExOLaM/ZYYjrD09x1p 9t6vfadF5SVgUFdC+r9eu6Mrh71umHUXSsYrgJTWdoEk+0miOrdrAosX9oHx3jomIjHyvNjPYOkL VzfG7I4ia0QzViaOH8f+dMotu6y+6PTHC5du+Q5hEoveiyN/A+Y0UNuYNvYxFF5R+eFvjQcsLv4D NxCHs2W1g9o1Pj0ErvWdbZhEuKpR4tl1wMSedYpGbOWDBgbUBfFd9r91FBXvENiJ8Chvj5usiCQT CkkvKUcHQOEm5MxPSvQxE2eaZhciL6Qwk4HRJNFHff7xwA8Exm3RcatoXc6pX4WkLlOuScHSLF8z ttIOioUvD+Xg5kOOX681m71oApof20u/EXYP+htc8xiXhVDu2NNU3Xbg/nqi5mPXM51j8pHzAOsK JiJd6l0RN4h2i4V21wJe8B18qiCXlFxHTcYqt+nDpgVMgXSFI8zGsuzP/+N2NDHNdsxFr1X5OtVy 6qZJfmHROuvTFLnz/L2imuH0j/gJ51CDSa3R7CxjwwWyR0d8BQYn8WWSbpWw7ziG88yDKP0EImQ+ eufh3t2FZQTUcTVwxQYFHH20knepacD/tWmzUwSn7O6OJdOE/VR6JzCB3CtSvgGsDoKC8lD697wT UHehaUxJhojl6dop0cqhdbIyw1+MJK3dEIycNuiYt4LJ8+pZrDipSe1UX9chFV1NvuqPBf2diVhX sL20s0Ks0KkMoIAGQWu5W3Gokgzq9mqe0QpJdDVDhAgJLvrGNAT8fpBTZpv7ZHrkc3CvPPDrff8t m7kPGZ5rCkm3vNYd2nYfnUO26PRkxPMdbcBsO3QkgGS+iyhRlsElVArLaiRnDC6qf/2Sexa5IczB o9RecyUJdiOQxKIguBIKntnfNcPJKwiKLfP7YxXW3Vj1AIeqz/OoU7Yx/ul7ffuNnDCG5jMslLRZ 4RAXrgPB7L8j9h2ucH0gF0PGCjiIGf7XmYN4W39SFWFHRkJ6zogGNg0jVgJcOa5r+lpV0RVwqQ2z GiKn/KsXES8vG7EjSSubd+PnHB/N8GrfrsIJ7VqeK9HoPfFW+sADjrr28pYLjGeiv2+EBRZTDqXD fL0pLsSkmocjuHikaqhPcvThaSMkVPMPJVoiDpIMWOiiMnotpIIEBFeD4Rjj09gDahU0XvaV3epo 9IbUhdSA8NAoFjwXQXsvBcDHW814lAS8rWuK8TEu7TloITAXUFMnzkI57eBxX75iuOWvShvPiuDO 6SIRBb4VEUA7I9OvIlv38C+++KO588W5KbLF5Ly3b0ETq3oAAbnl1WCYAJ3ZME4Jirhu5bIiqfot CBOu1tTxECQz5EtFhaP0Xgy8+npqNJI9MX3J4cEaMxx9EPsLIcRcMkFRmRX7uph23ANjPBDd2Xm5 ztktz9HYxZZ2w0jm7RfXfqjIfWs8j+VNEGcPlnK5b0xPhXesEbDAkP5rNSSKdgjpovLOfIBX7V1Y C4VKciO1bVcrXbRojnV82rc17kq78sejN9v9Vs2cT/LVWZPEcgB3UCfOUX1w96sRlvXYfvaVPnoI vISycS37qQf0NTvgOgUWU1mdsnhSc75MhpWg95ri1fcOuzBr6i5LcaeD8zhDyOUxU8rmLBbUzSso RidAXP3XHu4/xkz/4hc9RHfwhyFEipHPPIl0zb9QIJQOC+k9hgRXMN4tvnukDSb2h8R313YzH9an i5hBX0rpBNxWhaNZtUJLfrlxwhoX7uhLJXJmcAt+0dvy7PShKgAPO9MhQ0jCnQCAuqQKQ3Cx+iQm STPdfpwQvx+WJOkDQ6MnGaXW3FLuUsMXq7D1IyL1YikvPA09Clij0RhJCjqsdSJSBTUJIQgCZqBb lB/j9E5Smw4Ju4fTVVyIK9B+mg805gLU0vBgPE/vY9Qlu9TKxVuO1VYAlOpm67/ja+AT0G+Or3LD wP9bG3ScP4pboFnj/vAE7ZYNqwoytnbTYegJOq1ykZ7eMxBRrcsFMJcKZTaRtH5ih/aRglLtPpTV lm8d5QpHZR4se7nvODlbqUDSN8cYD8FHn0wDWT0aNiKLqyCohiSLpF2DdwnCb2htg+vgUlCLbTvC 0tsw8sH0e1WCOVTCs4XNFVgazXxp/RJMrLs9CxicCTT0/QDNJQ2mGVY9dJ0XqoRqAPZMUMasyl36 LFIUmfpV6J07t95JvYPKZBgohUOd650YKjnmkxvSnEe0iGtlWMSsIN4/CtQulkDRHQlJqsiFUqF1 QgYe42RhQ0pGgwNEP3sLyYFgBKqc217G+TmTwacqNC7+xW7VyE85JibKpWvt6tzNrfh9Aqvl4eq3 70/9szp/bSERLgoHBwa4vExzE31NBV+abASOHgzmwdlfnnwb7NXmnTNjgxmLgrSZoYeu5HZF1hdI ZyoraHiGBnnW0It921sbEkb1d1Zj5uB6VRpiBWslb7TZkClSaF5xnLhsE5KP3tOsm0zCAEPrbBaz kZloNqD3aMd/6vuomRHeg8KAtWiq0JmqWyd+jX4WPviwhK8uIcmps/TQORVADIu5d4Zg6CXnmgAJ /l/3odpRU2V6fDvkWyeW/V0giYnl1S71DyWfGZEkJ5lozo4CwrVvXJb9KCq3dWsXNusSzm6KHo4y 625pMxyE7015TYxX8/s7yyj7wcdzCAgzBZ6WdH8Wc0WAbL11eZTfH2KeqDSIyiHaVwpME0EkOPjx mm4OSTGxrMcYiomrtArMrz6Sb8rDVLAfRbe529OfC7uXBz8JWdmuxFwETwlrCJww/ySGMi78JvIX eLwGNESd1fF/Km/Sgtb6vaG80AalNH0A+dv0lbxO2WLBJz3P+oiklpwiUaIIwyPDzqM/ytJJqxHx GnygdNYkLSZJo/OozV4GnoWaR9ISmIzRHW75oFqi7IEgwH06S0k7SFnPAQEtuy4yxV34tnsU4gFp m/Ss8TC8K4mWt1CBN+RqTs+Bm5DRt7BueRlEPzpurXaicn1od9Fhfb6GlggCMd6PoeqJOQO7Nkbh p3Ac52pWxscgdYoVAfIhu4z2Kn1Lyk9J0dyrSJtQlqtH6Wh2eenpVVRP5Z1fJNP5yfpu9XRqD8T0 UlduccAEc/y1lazSRROuDOt2E0mlvDkNOa39MsIXwxRhvG/dVHB8n7BLBvfOgdDGhGJXMb0x2Au4 Cth1mjK6LfYVh1eaXSxOWAPRVlqn4+gCAdabUw9H/iQULveqNAUcOrkgwTy9X2j/TFXX1RyAFOJ4 PastP+G6vO+paYYa2VfVgi0NVsJdumx1SC0lajhsqHPpVqkZo4f0GThUqdbAVLtnZoZrfy5Udrxf iAHaUTvc5xzmi3T2ULmUacoh6Qu35rN/S+RmsuO+MAMsZo6OHt5y2/4+LvhoKMiRXxkCoVP2pb5C Nis8NlSf92Hk7MjlA/Ghpz5MvqsamRWu2B+yQUnWnsi9zzjDMqza4ZZ24IlZv4jIZKML0MHr7khS t+qh2DSDE8sPqceguqpN32fEI2TVAnErm7kZNNuW8XTd+mx3BsAYPrBkz8XkdSBQKg53LY4AXg+x i2f7wGjSZteh/jQUVMPjPXOwgY/4T8PWlwye+ChLSZd38r0URwcUNvYyY8HyU9N/v9hl6hOzZwqg WZuS58UHQN9yLPhm52HhoPJUJrlR1wGMWoJ3rmZC66cl8PncDSOQQjRf/Jame+lNA0F/sgiKx62z zm37wEUl+3qYc8iM5Cxh093vsHqZfXNtkCXStZZSUzAR/VqnOYTkNmWltWx0P/D/iltoQIpvluc3 0R4TjtrSHaN5YXdikpgLWqn8wFRIVEufmZnSvqvGr+dMf1a9vg3BF9UUQuiKL/HJxj6JWKsWS7CL s6I3O7SS29a9I64+oKZwdwedyNZaNMzn4b4d978547S9DzzUxLCvoSwVeGAMo73Ubvowd675W48T eHNKcXbA4o5zWg8BqSqMKOcwuZzp3DVA0Fq6HipT8iewDJGnXwRTlEXhOCUgm5IcZ3+pqM0jA7u3 tFoO9FWyfdvfLaFsmmnoGablpUEpT8Jwwi3ag41J86nCJ0OSb7YTMC594AY/YH5cvRU01LHTZRYg opaP7h983RaL3sSdoxl/VO/JFZkY21r9gc4IsvxZP1X0y+JUbrpcmAkvQvKPShqcgWzZP96wJvwM u6n0MEjG3tzZ70bMIG8nFxH23xsc8iWDkxcYQma68wnyGiwX0QS//WPPXjZkRCIvpOS5lE+538ta mX6/srWdI97t4gMAj6z+alWP/LCtp/wNAsCNt3DAYZpCjHJeqXdVG2P0WmiuAnyJ94MNnFBamK4W Inz0dWNKOkYvVZdeZaDGiVgIu0/xIji2sBQGDULl2+5y4j38fE6tE9o80i+4Mi7EHRvO9IyAl6L9 4vX84fmofXIa8NDzNWsw7Awea/jrK6UKuOrPKbT8VSYdGnDJGcTMRiBaZg5ZZfZhwqPL7G+X1eE0 0SjCIsE7+pzOIeFl3n8PbppPo8NTqBmnZ+l5RJH0vZ0FviJOOe2wTqU/mWAGgoy5W3xe4lR6L8H7 uZBEaj+er6Oe8PrGe3zK4Ug3DS+3ODWwBU4V4RUxLT5sgfNZ+uZM+F2RoYd9VlRQEcqnVDukfSl/ ZmkmmKhvySVq5pBYg60TbWDbYkg9CO4th4P8gm+UDLj/yc6rnet/vfrgGgAPBbkiYBBX9hPK2dPG 7a8zqzRfjR2tHhiCW2wmz4dgnlUa8rFXrOP3CxU563U0LkF2iv3ULo+8SMyfNzu/PX6hUw+bRjQi YbCFHF0M7UQT5x6azzgzmNcJE4r/BkLo7uztZ4xHEVjaF2R8ofx/PBHQY14Y1VkVMzplMnkWUeed dj+iFQnTlyiD3LUFh1t6inrW3seQvFwsWivIWbnrlP5snQJg5EQ5bZ3NJzR6l7pxGZbBWOjQSWVH Uyu3QqR37+gE1MUOhuYmiScUBqsGf5rAgqEOpsj0D3QePfAM1JHYiyM2F8qdpT+IPWImTPZtanYo ZtPBgTkDxUT2zP4EeoJ0Rp3fk3Mz3ZPf8dzynNLYv43yTQD6nuoAcNiJ450QONPiIpS9bsBRNZxc /TzQO5mjfVVt5Zo3chRrxSRAyOucZaocM2gP1Se3ZlrM9PRwdnUoT6d3+qkSarooUwTjgvhyAYfq lJdXTow5mYLGCwceGVPYZ5Y5RTPhXZxmixUmrMjiTC+eQ/EToMRfq0qGSX2Qc8BqPyukhRIoFS7C SOtsnHXFGU/nCvXNqcCLq9n7z5VKDcQKUh2FmAPood7XD7FNqmqOeisEeRHE7Br9l3XnLmnMa/Nl QxpDbRZ7NlCZ7U6UsQyZIppzwgGr+HQSQSHRmrBMx286p/ZM/CmqUumkkqhPrHrn1l/X9OH5eTpd bgF4x7+FyajI4mlvVJCCMJhCNPoOdLsF96M1QPXgYIit99OOzu7FvVpY57JJp7nfZ5i7gsbHC+BS b3Lkh2vNKHvwjhxnMlr7M9ETrU64IZ8b8aMNZDXsz9sa+TKCQ99486qMxgBfv2/7YduGCYzVhA6q ngrNz0k2nlzXJMb7hCJa5ImTyv6yIPcq4nc7YgK3ja7cvmqcsRHBp+uJRHavWZk5E2lRmv23Pfg7 LuiMGo5xhG9P/gf5LZZIZ6z81g6a1di72BsHZk7dOJ3Xgt8lpVTed6+6rn+WHFfl6DrlJg8uuEBh gRNHwNLvLjvvXSq03LcwNVZmDllcErNqnbDeGXbDVmMfgMRO17pk2xDk5BJklO46fW2cv9fDrSOx tjxv6RCIkTAF18d/Edw8S2mWfl9/Rmtnsk90HcJUlTp3EK1sGwTmyjITLPI0F5ezLQVglbhXXx2x jxqv9hb2DcdWQQwESSN9U55iUJeW/5m8A6bH74UultrqJojxgwhTsSBI86faUb6dKBa9dvb3FTg5 28MEZ+BSdM1fRs5mINPvRzANsvWeCqFVche2u1DPHMKJPFRYNuUJve35RLx0EcRh8xWOLmxmsoEe ZYJcKdT0ERwPDBLCgGQcIPlGf203AuH2cj8wlvVVKQnZS2FXvAzhpXszAi9/dUe0joaOx2XVYtOJ IhVLArJLCo61CpfDWhFe6o4OJ9MuwtrEmOVfsNmeS+64JQsVgQsk70jrvwevVopZhXRK/CDAJUNE BRb6ElBTqJZ0p0HYJP7a4WQSwZYFH3m72Z/owxDejZuo7OYCPjuFmuF48AsvT5qljPLkdZA+3WGq 56bsCGYg/oI/u6eoT9M2ez7NEbU2Pq859RbklZCoswFG/FLNZbAyX4rpXbUdzflmhNG8NYrQMOET 0WKhcQwO9VFIF2xMdYg9HVjbVTmvcL4mORvkFrNQHOIb6cY9VjeRCHngmis3kht+g/iHcDfszFSG XrBmAWWfbwWcX02k3dQbhTDozTO49X6u1Hpz0yS/GcSHptvb0e6a72LSNmINycXF+1tTP170/aRx uq/ucL8s9V6oGHeZ+EyGmr0P2eyJvLCACWsZ4XcUeI6IF/tWqwPK08bwHgecpypBkmV1y8wj4moE RTmaMkQI//x6rgWKgaRL2wF2DvNYNKrXFebJJY28VuyNUE95A54HuuXhZQuoIaKlhiAS3pbDfTre mV64Ztd7pPtdB3rymu2wACyBXxo77Dg+bEkFPNTZNZ6EC20O4vvAQAM9HUxYf9Je3andl1ODE1Kl 4Oet4MjevaHkLl1Nwy9yH3sDAB6lLZcvOPc6it1tv6dDQ6RiOpZgsrr1P9LYA12GiWQ8Lql2akD9 uwgb71SX+TVNe7+FbtnXhwtIVVfmogO2uWwBxB+fOPS15FoAgNoXq7+azrdFawEoyvsBTsduSPTR PgvPNyjXG5m6Lo5iVz6mapag2QOn6UGVXBO6LwMyo9912kH4+NaLtxzuWzNtQXyw4nZaKgw7sJoB eM4xoBt7GukFmZTgXHHA/ilBmbEi1nW6iIA5z2WML2k/I9ux0V3nOpPZdx0mwiVu0nTz4Vfvf4Hy p/L08Kqk9VSFrYHpV0d6HmrP8TmwGPjtAaOKtJCYwZjUwzD0YakCyVHApHKCXdXMi7X0dmSpHTDj kLB31ll4a+eWlUwZCDjtasxiywAA+4+t+iZSEGlDUeTVCSIL9s/6+9Ebbg7eyXCZ600I0eZnXgON EE5vQDxhSrynCqA32suuD38idvrmq2YjAypkdcsFiW7DpzRtf//KDYbdA+tDSySgLOa6BfZXGPUu p1OhYOHF9sln3KGD3e7pbuyj47fjqu8lwqREW/a2J1zoXB5QRYCoHu8R2IwkodJ4zlc97RlyiW7+ HKjxibOh9YMAllIE2p6CbR4GCVV2pYcoJQQpkzBm1ee5Tv1b2VA03b9UyAk5RlhXzksfGOQcWQP6 3xWsduQt5oTyyfDB+NsJGDW8/tm6tF5qFpzEDi+ke9tTjS5C1oVL+bCfU1kIdEAtv5sMGHxzg6da MMIzqKhLg05HqcDHFMmsew+u3xu87//uky1zZbO/iHjJL5jJOs1sf6G2DKLen5oDall+4xWxs4Af zupfZ4chHLtDv0ciy2nJ8c5cAUr+h3tDf4+ofoQO0yWd64nZSfuIvwkBtq0DL1Lua6/tUg1D9VEM 9uQUxhF16Z+5j2i4xYLDQE69RUUtZwsiwqZvkUskFYcOrotY3S782i1ST1ZFgC5/+6Vl4UbY+yLX 4pzgSJfsX2hF6/Gt4/hh5xsTu8CzVB4AqY05oD8TIgt759G9yXRFW5m6i4TFSEqv/2pfS3Gl1f+T VNtkdymRnNTf4LhUfnAPp+xLrxBYC6qhV94iFi6i5IOsPB4YiwJuX/DujZecxzdsSo/ebIH5RMW9 xXX7O9o9UYFNBEELCHPeqpvTF4M1X9Kurs0wYgqArYhzIOr4ChZ2cgCwNvgLnL3Hl/1nJh80Sjt3 zeldaOthjDW+ZzAdcoYCrSXiT9/9NqtvBSCTQWfr3JZwW9u6lQRH3vsHjjBbRAeQyu05CQ8Bx4+g eMVNT3P+CjvEWA4b+rSkFXetf4dv/5lp3LC6z+e1LnTPgOnaFHCbnbrHCozitjHz9et2NR1OV8UA S5UbmNv3lqLLr4pR43wgAIh4srkSInglgJMXrplHdFN4TQ07Ep3FeIpPzt/6YIqNc4TAXqzSW4pE mkTRxnmGKphyz2yJ3pxUED1INvAs91wxhps2pn4xeANGHl8Wc8jsuLeAahPJxaor03Dd7H6RdPR5 z/zWv11SwuLZdyHEjAC69+1N4lReSOXTqyTMKAx4tpzovYA/1C7PUlP9VL9VUmOudXaCrDP6MHUV d6NvdIKkkvJxNEUHzeFDK8w0Hjapz4OCbmMEWvmQEVBa8jPzJJSjY3ebDxm1eHXPczUy2z21P9rG kVzCHEPIaJt16RnIICaQSjNrtmHzQ4rnp+k16kq84ULZrn+yMC1fppEjm78noQ8AQXUozq2Wb9HT n06ipq5Pjd62meHFenqlfkaERaHE1ZPdqX2CF34ShyELJ+gsUSd0n76BulrBMtqJf7DqcF0ya0S7 d5tLF66aesaRY9oxB285ukt9KkTXAF6LIoZvtA/qnhmkF7CRkuIYO5jEfSlj4DZN+n5mODs5BPLF d0Xh1Ekrsk+CVIEniC9NB5WrFvDMVA4DJrcuG/6vFjp4bHzRo1wAfC/DILLj0BX03QoBPLDxsvr5 Syaj8Rff2eICDsqe6xKQzJOS5yTWTWuyJ8lukEbepqyOxGYd7lYadl54UMTPkAHAn8ge+y2zQKN2 U+wnwqUMZwSKkjjVuYpVZ09Hx0ASTY3Yuh9dPRsJCeNUzbux292CrAGxG5XQDkTgEB5wS35haIkb s4B8hD1107ea8NUPhPpFCDMjAWSHZGH9rBFUZSDJoz9QhB/30aoT/AjueyWuV6a4rYd1N7MqzjSH i0uhegWxFM/iLMoLiISY9ur/GlIP6CvXCwP8XDlaY6CzV+4KJch4eInnm+KN5Ygimqes9bT9y2L9 JV87kz4XjXQZ0uf81JantjeaSIB22qTFvGT4e37m6+uk9dRlmHonPpFhQu8ThuLxjSMqdBpPDYvg yltRYMAZW8lhz+dAwy8Q61/I2j9BRgHUpux/MnlKHzQknfI7R9o1E+w5JU9oHHjq4M6BNwm4khYq jlg5lSZ+b8njiFAk73RTw/9WPs++CbnhFqyNNEhuyVgImB1VW8JfqBrFDhSBEdl/fbSAE3qz406T /pEet8yDXZJO1+7OzgxgETPjph/36ehbRFpSn03c5Bg2ea17TKZkV1kC3SZcLK+MeupbjSkqihA/ /WWSGLoISKjVZm2j/lxEG9RJH1PHWJPCCsCU7mN47kz5Nk461n//+hWo0KO8vt3rsI8pGubfgasQ SJMj+eltRVY9OgJwZLAcHglK50Ej5GtUHK8HrmRWOxEPa0NzNORx/iUdebu0EAwdTSCH+GbMsqSi AIBg0HqmTDwBdZQcMG19Vqj06RYpy+NgGXJLnEJ1fHmS6mzXuspPJce/ExJJEWMTG33DhhOz0GzQ sjaLaSz1dtGmhDUbw0xJ8DxuoZKnd0ING5JjYbfBW3raIjf9ljsiQTX5lCU2ziVUwz63m1+UaAGi ZorN8T4zBwknUU5qckiUdrFw9dbLkwhCNxgxnuREnvjpco4uF6tHbTaFAolzHY4NNSNI5tTnU9Oj HKciQHSRrVlY0j4psD1BngLMd4g11zKfuPINRGm8zgPyFVNoka7hYBSD/fqEBQYg0uq3DBS+EqIa hzhb3838wmHyqLaZedz4B/AHbCDoy56Nx/CkCqw0QwM6HMlpXYOTySyflcKG/zPSZVfTRLb1uvDf XdVJArl/V/91ZuIp1zGkXCU81Cxxw6pt64p0X1TcQzd6BZgGh27dW/kBxlBgLUjMhDwYZ6MgTRgt n5Mq81OxHgcJWD2kzmOIbjLK2U3lgNEQr4CxxvokYaCP/9QN+yU4IQOWU0VsiTpoGAUbpqx5fgw1 mmxJYjMTHNcrdB8YrHT4h2kBs2Aq2SGvM5MmzPh6t/OWNKI9mIvY6XGB//z/UbpJ3nNi6jNSDrws NJOjtlvepcN2YR6D1VeRgTrbGAIUr3xS8L5CeuiYzebm5kYJEqu38tNEQg9RXUX6oDfFj85auWA1 WXu914jKlOHCJPyu3ppZBpGp6yvdVT8pnfx6zXNdZF/wkwi4lo1eyPTsAqvw9VGzGf6h8LyZ/5xZ iDQyBmwORdAwYgxdMbgKqKXtSBowWsykmlGq9LaQx8wV9UiP6fOS4EpRJEp10IiCXYevt35296xu 8Phbw7gvxxmgGrWfx2EVWYj7l6W4epKdq1apoiBBZbdNJc85FEHSSdvD7xU9dkAvEjlCfJwFp9U7 QeGDyB1I7U5G0Xi+P1a13zxaUlQ7VU0bDvIFIznC+56aOzXfKXwDw1McjRMZkjOEWxoAmTR9MpWO T9ChCSbU5TawjR77lOj9g0kQjCA3nHJXIR7iFv7FKI3FFdseQeDsuuVSnmruKXSmGrLljar6n/Hs utavr6H54HwupvuFH6Ecn3J4Ec9Nn4iq1sMejj/7o3oY8Cc4Gg2BHbGcE9CYEhDc5h5kIMsL5K+f WoqD1EWG5GtugeZ40k826soSRWpsBuNlkmWK6soXT6upngVdyQpbIaAUCKEC2L5W04zQZX51vULb 3bcL27GjSYQWaBijBb0dodrblBkfB149QKYMhnVYwDvnhv2f4IHZJlADsUUtfISrh8F//rjvyD92 d3CPveQ/w2Iz/7NGUugQ1PchbTOrhOfl0S0SDY1RV4eG/f+Z9glxoJ+MtCZGXpcY23sYbjVXop/z huXYu31DdzeD17d+UWJmP8n0tCFQzGSd2vwhe5agwukQrz5yuyK4w0uQyTfJUYc+MlkUbxDXMXzR PdMHUWwR8sNlRnfAuKuyN6cBXV0toWRC0OQY7RqF9NMi5xYM6cjRGraQ6Tv0reQUI+22IFjBy617 JqlMe33Z/Ww9lf2wVOM+m5kgQ8ecln9TqwpCA2VvQbWlWfOhL0PhVX/Z07un0SZxfYUiHq2/aJkZ s+l7tJWkAUzYRWNWwlO+cD5l4AaoBiO5hsGhAsX7vSh6/DeqzSOR2moDEiqXjRp2wix14h9AzZv+ /wBpTfMee2l8oy8HlUA1avbJ6lZO6YP77+GEO/wYFKEAGfd/hL2l/TaLRFwMdsbZj51aMIryFCVu fMc8z0FF1oCkhYTiQpN1c+FzRLDWBRCxbS02os4aaUAlFQZALfOshmFxuN+nvnpmJ+N7Ac2eBt8G rpkkCnsCtdZOoG1k7vg9PJVdw+8Nt71xcaPAZx8QX2AyCdKpAlaB3AxgdX0LYffKq3lEjqyKPYYZ yWIu5oXqmWa2O6hYX+Ab9voOsfwzOZiveIUPTV/50gXRonWZ0B4sJ8qEK1VZ4om4B5IHCwNTkmFc orOGFbNp3dmZ2oHYDjS3oA/ZTylwUbwf6Zxcq/YNHtE8dUq8XNKR0CHJJ/4C/+2W1/jYaMq/lskg egTeFeF1nwbCbea23zapxq08s81I0Bjp+fBzr4MaATYy/S4BCpQVTZ8zfMtGFAdD3aVXgKkY/azB 6+YLwzrWWyFFWhjfz6npe/0DRFbjdYlLSgvNUq9aimHD+47UWYpwS17xOg6GfCprRbywuC0u9m7/ Esqc5jtnaHi/a5Dj6j5Q7dIPq4x/9ctpHWfYvlfMinjppC4tDD3m9oNCUUVKHIjDCT3GNEQZuHGM SPPt4y+o4cqlEH45lRLgaYLKXQ5/3KXUqoRyUoLxKzNJKfKj7H6KYpdRKeykah2gj9xbRi0UgNoF nw8Jm0tI9cITyyJQ4UiUJN7CwX4V3DNHGWsF3M1QlszF2yJnxsl2JNyG/lrt2D56vXLZnbA1y2Mm Q4linf5KvL2dXsjX7GPr84+Ma7DQBXDEj4gbElJzFBuA7lGPlndw2YJHe5RDXYfy373Tgkl57Vul pivht5xoleKxFAvBIDmUcBEIQw4aKLjMdWgDUuKkMD0ea1yZoj7F7/R7VGBqP82EH4TIlKTJJ6Uf ge9tVHssPZl3+FDIb+wkqs2GkC4CEG2ZQ//Eq+r/p35HhphJ+I7Dx8LwYgSYHwtcty77hnG55Zu3 zXubavlvbMkZd4YA+Tb0CIaQ2Kg8b4RXITqWGctr67ObqXTCKN+lNk8CNFdR5Y8M3I9z/OKqFrOu WRiEtOH1fLFQNnxOdfyPwIlNmtKwjpkkcQnTC6DKVyG9Ykg0tk4VrBhNWRNThkTsl7bTVcwBvQo/ HdTUTtEarymcCJ2QxqBDMslOV/fMqo3ki1dpsbtklycfpuHhUHrMMihmhrs+wOPuQ4xY4KNW1oOm nC29yYCrBhjUZrqRDv8Ay2PoCnFIn6e0UauopHxc6FKIk5DZG/gvcBRHy8uoHy0TUEy5zI4AEzXS RrwhdNJo3jKThT+mantlsAnPNHPWD03586a8gDVtqcacocBSnUH+nPNjukMHtyD6D82ouJxQ/or4 rU5hIflennSz1VCwNBnIoyUTiRoKrD7CW2wGfCsCcGdJNkVO/LdtA0dYOZiu1OtLZR523+DpDqH/ 5Q5gdNruCoKkmumOOnNidUquzNJ5xSuF6/6efFX9pD1WN+Sm0FTVDkGQD47gyQ44hqnN9ge1Dn/f s+9TisBmG2gglCKpuSFfamL8wTTTF4f0Wfc9dr65lgwTT6MJQb7g6al6+iuN6JtcEONzOc+XsLel 8gMpBqFdchocp2sxBfiYa6huUiG55mtlEtlQDH1Cn4eB5QuVCTSAikGAKTlP6AUWU2czYfjxEuE7 2qRafpdgmwa0wb9+M7G2MI1BBs6vyGp8ahl4sRYsH4DiXnst/KevytbmIdldCLwgxORa3qlHu00A th/fS/lXoKSYCpVM5ZBOsv7jguQt1OXfIjuVE3JYSOxRH/wc27qBqrvJdVf5f6kphjiVSuNk90n5 WCQwtV7ItJ0Avu0qEBl+ZzP9VVjQg0TOcxZkKvTwPMzMXcqEYSnN5K90SjYZLB5LZCaZNgk8wqy7 ZpWkQoh/Kl4vUkruoEnesWV1LR1hObETY/hn9AfyOU8L2MtBB8qQsgODxdMOA96bKUQVDtovOATo UiT6+mN82Wocm8O06Qao9Dju6wCB+XhTgCOcn+R+/nZzVbeEUjKQupuQ6DhJbGxv84Pi1A2iRDhX daLwax1EY6MoKQMWIT1OyXtwqHSMhsAQuZ1i+YXMYcgg24wDrJP+/kHwSVTXOCG0aUKCp4CDoSL0 Rk8ZptNw9k1xMTSG4wJLwTvww3fSMva59aLlSEGlFR0y7kFgUH1kusVU1SzwRbM14xslgYMs8Jgs 4Du2pfVjVEfBxlLGZBVG04BRxxC7uDsXIAbqTMK9fZ3PKgNyTXjaSND27GmcnzkU5COtCJP00uXL mzie0N8jVR4u9PUbWb74FORv8+j139CDeao7DWbjDNzVB+FSd43r0+wvN3pWXgJfaFeERPtYhzmB Jzs48gQ5eKQbjidGdY6qRotqG1ciLHT/gUaYWj2FlmGm2DK1nRe5NoDfP9ldOk4cRZ/nScJ/LVne beB2HTJ6N//f9pPEr7UffE6fCAm3PeTikyT0Gx+QtPebDnihffP/cmNeA91Q2Ca0Y/ZMrweV2IPO 32h2CIVfhsPttYw8gk97qZzVYz2qX8jwNPZndlmwKNUMAY2XXAGObKXM6UQCLLKsLzsHj/p5SRVF ltnD1bbP03sHC9qEP0ZI4baPm9JBQ0KIEaPqs7HAPtn1LN02W4fLN+iIcg+wUMogftC1XObnmSDg QGTbVgkOaWawqwfkJA2k+X+xQwNf4EMZb5eICW9dgVkT8zFxA3tcVexhzgWeiAakzRzCsb8A0rRg m9JLUQdjVJFgU7vL4gKdkGSHVESKtKzrR8AUzgrtj05hwJpKKf7xGbo+RfXD018asTepdU/U97M/ Vg3kr+5A55DR+5wN2drQDAvmxLMmKfriTArHmaWPK3iG47nc3qPSsyGh2h9bGt15nj3aVbi+WgRU x1JWLY6InWl9JJa1I7AlrudFn8AJ1tz0jDG+2CwtvQCYJVkKvJjT+S3Gh8lYQleI4HJMhSOYsoTk h1bXhUFxTyaWyiLs9q6+ikEpH3CXMl/D8388jVEEw4sN0GVc+EA2DtgCBjWtRMQ+M/iIWN8Gr5gA bYeFlFI1tXOSRh6AKnWOA6V4gART1UGKT0KW/XNEB8+ledOp9jvBkAmxP/tkOzQWZ2qCyAr89vxg o8/fTddGdgA1NoRgLpRUCPuMjNM01NRH+nS3t2DUjdQ8M1YKUeItEL0oW1yQpm9RaLYACWnR32No SLSiP2V4sSwy3wbQ/Fj6i0Fq11D0GltGkKU0fSm968RIh3NZxhYkamLe3wb99ZcWLUvTUHC6aWbC 92Kh/BkqW0nMiMkw7Sw3m7633l++VHOp09RXRow9kAKZI1DwThFUcTHp/+gM2713HONIPm6yHnZW i0V/YJvZA15hSzi3NUxEV5iI5jq4bzAiqansY0wI5+Z1AYZRB1u7aoSl/QGv8FmIul3pANnxyNvl F72/u9BX7GMKVBO0YULEL4Bcyf2DqgkjcQCBiBrycogUa8nxBuk2SYUMHh6J6JRFZ+sG95i7UPzE Y7kVd2gDASVrp0/zDkfOcF1x4dvg7ne8q0GiPhxAxW/77my6WODK3NN74RKnXuSZL0AMB+S6PESz pBYfg5p78oMl+KZ0o6koIKzUQYa8sok3qjb5afO06lLe90R/JS7lMA+J76BLNWigo34g70MA3ydo LfC5bme9IRhQMTSiLvzo6EeerkXGnmSP30KsUBNrLVvX4axWXWdp6jj2wLC4SY5VzpLMMgpRBfsY JQlzW5yshKmSiCz1mvJWqf2X+rErgrxkAtV7RynKMQaFvVtA5uxlJEz9l0F+0Ti09bg4hy7b55vt cMKFTLGQIFbdH3Lvdg4OOihfeEFXBWx3nAzEYstnCyN5DuiUryKi3NF1BMD5yUlCGHuFtf87UlsH TbGjZeK3T7Q4JktTAp4Ji6vHagecf/OnM31EZyppbvrUBTAo5rGBEhmnUQWUVDe77Aif4B7l9zhV qMz2fQ/i+H7Ek1UlQSiAdTqlnmQmw09p6nAUZyo4TTmGkh7tpQuTmKzlFPgYAsPpqz5FO7Y/x8it Rhj9iPTqk12h2XQWLFWo1/BNWkMVa2UK9UPiG6D6A8eY8ipXs0HNtm3txuBRwoESkPujkneJmjsJ rUdAXhyfvdD44z+MUpZV2IDoybz5rNlUZWDrKh4npXGOSAii7Lm3TGngacvabCBRVTNugNm9r5vB 5tUC97v5h411jjxI9ArWAtJZXnmM283/rlA0LWQtbUkttSw7z1Vgl4DbAAvb1H/O/Ctg9JMFkywk Fe3V850ijGPBd+Z8D/hcWpDzqsS+A1ic1pL2k0/fPSsS+W1nYNagf4PEKwrl0G/acXtOpSFrEaUv V72q2WNDqxZyt17DwKiwoBVTfsIK9xhZg7F5i+jtGBbc3QfyMhBZI8zCZ4ui34PBvxH81Q4z/hqr Lyrpm++EhRHgAX1ZlsDPsi3djf93tAVdPkb6mbpGGAjsPT0rIRZ8LvD3LkbqicyYy6kIP/d6DOGA IzyHFwTy6WoKJgxCo1B5pqKPCMCm5bggHrInWoSiZqs4qHe0qyF5BPA60XvS7iOn3+cNA0boG1H5 yM1PhCTidTMELq1iv+U8UuPSAvuzvtngm8BR7jD4NSOpj8ouE8stgem8G37Cb0GkzdayrY7nqSua GeMXbtP9tZzxdWz6A4mZQDz4ZRdIgow1MRfEfegJUsumYP9fU5C11YnVujXUy9wujuuMWaea3G/W JVwwLIgn/tpCK4ZeznG8gL+yI/O0+FRS+UtYo4CNZjiec3lhp+Ua4QU+rE6UbzqMO74pWmASUJHt SN+YDgRA+gsdeLRQeeXu7GdZcDqM0ls92jiTHlcTtYdue14CwZIadSGyb/EHIYiqyozJrKeRNeNZ 0oKLE0X4meVfWkgmvtNJZCCis6JriauO9WgAJ8TSaE5qTViXrqNcufJ+Su4SSXDB9QNDqxv7UaW5 7KyhkeYx3PHn9+aVwrWUbyBMa6E/QrnQt0gJSYFMZL0WcBhxqtTFHKaP/1X57nt6uJKC3JO80PL3 beWFlgEqNORQKKT6NwKZrvkueVLilt1sLbfzS5g5k3PDaA7KQ6jQhFiFujhTrAv36fJrL2BPuxnU Wec/7l8xxBbs1Y9BX9D6pPxB7/L3+79+yuKWrPIbYX34ReSqFEB0S9Ux+Rjld8AS5iw40S8W5crG O+zXnX8iLHO69BW+SzkkIBTAkfd+n1+f2gReZWbpoGowS2aE+Q7EK+HAJOf2ao5KDuMU5qVDeDiE R2XJNt4au6AUe9XHEO00V6/7XWedmqqMvKGp+IRCx+zkgeUKXaVpEN2M5LmqcQDpeRhVKSX4Z2Vz oolXSS5BQ+r+kwzwLkxkFKYVXnf/QPBHA6eMLd0mr+DayZn0AT6FhIM9nCEer4KUVi4j6Wq01P11 4OquBrP/Gm280tIML4Wggdr6TqwOgGT5ivxy7edQgNDfuZlv255JpAyRXyCGK2dt4NhiizCOsMoJ GUVuHjzVml7YywPjcpVD//dWi4rp+dNY4GkO+waLaM+K/50jUJR1UzxIhK+zf/4qCJcXtR5/5Q42 8QOEDcYfyVzH3ESGYk9LplGRkTQxs8CCu0InMnfuvhZCVqKXZYG2oNrTajaS7j/F8+MZCT90Nv+Q 2Iw2V8VDnhddst/oh+H/xTfD9rm3B44QZGi/7K76pD+McNsPkT8n4iKCX1eGYYlTEuR/tmKTFHLu XFHPYXFjkowomsuOPInSEM7/SklFpu0VdYFp8DW/siuhoXBNyUn7VsbKMrTbUerNcTu2c6qnnW/8 jvydsBktQVM2xIc/HmZ/Nk9Kxvi9vCGLkA/70niBGAuNn7WZ3OHHn4PcYQV0be98IONp/zMZFmvW gESJ5HsTBk8JOZXjAfb2Cb5/fBEOK3YnjHBz1exITwglbccltwKWyLOsrfxcxEz2OwYVfi8yBuIb /0a/n49GpKDmQPPQE9Ljjg0t68orIKixeKzfNyg0a1TFlk7uluCtb5sltKBuIeOfgAeBLBbQMknK 3co5nb7oQwc+uyzOOISkgctyG64pdqxFKQDtYEYPaLDhsFDjjaPN4+qkvebbjELB8zkiCGHiJKf+ YbG/s9w0Gsc8YxoDnXrrUTpto2u90J71Mt9Msn6vIB8vLh/q8US1uI69tsqlRqyznV6RrAY/uApL UJhTq/me4ssOmYywnF7IQhDIoEH4CnjmEpt1DaIxEUKT1wYwPSXvG91kfR0oa/xrQwU0QUK4dF4K +mWZDruqo1zVm1kRFzq+hlq6bzR/b/rAlDIn6nHv1vpa3i7gOwQb0QDRhDdQ+NRk70czAyvyugQf U8BpRHeu/pk6S9q7deKXbdD9+32VQl90gW8QEthPIomr7uzFM/w/cWbyvI/hsnYglGhdpzy8y7f6 B5A97fHtgMMzCktxVzAGI6ZBsVzzJtW2SiE+4plVipdrZ26IQ1d4nEWeBkVlCu4h7h9U7q8Cq6+S lwnCk65oPVlVTU3A/+nqvQHJBCQo2VP6FjqT7AIymB5Dw6MUtrPeCObqhnLDOUFaVZYbuQbtdSIt jyDTeju4jbDYmDTmwn9AvksXTyvM4knlNG1jXOgTjXv/VqLgXS5pdsUZp4pjcTcefM9/JcyjY81l L7Iecb8nvb2rOYf7BG/k/Sr2RIVHzEUNzutmN9YJt84S6b/JU7BpoRyhaZHp47IKPBy3t5RAuMZe lUKFDMGiyMxqckAh3/ZTHNqbrML6Uy2ULZepytvSPbPVUVGZPl5XJSC4p/OosDod4gI0B/LpfrXE 5Y9TYK67wsk5a2mSgbn4QbSSN5KXARL5yTGIBaJME3bptUVnYVciGIE4CW/1/JpU2mkA340swvLi 1fB/dLxVga6RpNhq8aYlWA8V3hloYrDX7dKbavo2uQMzcftuSAejw7FdOPsNkWIrHIoWCeVlEHxa p0hZvR/HPGcYE5dJU5Hk+41dd74yzxSp5Xcr+HWSTiaEnhs7NrtAb5K0OEvFbEqNLJ7Wiz2ADVuD BJHhW71DPDbXKnebPQoW7rs65LQYk+rVCNQKRxlLd1UqPdriua2JgsS87GQ96X7A/Y5Fz7G5ERMF J//HmHy23hI18AjiONyRJqvTigZl2H3qc9S+pN2+fg0WBmPrbBHD4WejUDfI0905V+Vey0+FK5+f gXYMAiNcVVliR/SwuG2rOig43s9RUzrq1W3q0nFf5q/r5o+JhGW9wmIy4EkzwIEvnsHLnojS5VR8 o9N6HalsTtGAyyDM2n8CdfvESV4r0YpWJ/s1e6zJiaPZ5demyV109UHXd9vmDYjRik9+aqwuNkkp F6IiGuOwovg6W+eQb9A87mZFN5soLcrx91MTd7HVAjo85B6xsBQI2I0FccrZeTordQRJmlffiMWf Fi6BaIy2w906rPmrU1VSCs7nPOFPi8IvbYQLZsQBCQP1XaH5ooC75/m6csf4wnN5PSqCsG7nXM7Q lXTVf6yqi3oVqCjbrlol0tGOVkrBtlQkAH/2V8Bq9Not3eHd9YfFKGJCnwnj5eyEcp+8x3iLMoH8 pfl8zCCbNUOrcPuuee6Ah8L9y60Nk0JGsj60teplN2UBb3V733DA1quotfiUKyxQGKzLKZolYPRK xxK70C5uAxSNVBeRcZOywQpowNAKRQ8Ry5budk1XTSW5m0XBTM8tmEWirSOyqOJJ8agqCI793wk2 ddymK9z71aJu1VI1FcvE2mobzDzheqoDRCoeAx/qKDpfzotfzXJAXWwh2S5XI/+YVuxo17WXSbiC 9oFTb7yM2cVf1MwL+5amJ0bkVwiiXF+5evOuzuis6QUJrEGXB7wT/lZTSGF3JC13MDOlqcO31xCS d+MJep4yaqnggIcVLgQRbjJbeJ1F52UwkLa2lFQRKkx8It9CIupxv43l/ThEdIFCKEcsiw8pAHah qO5GYnJzoUHwem4dwAsot5zJUr7Uy8v7jUEaGBUnJaJP9LPh9fgm11Aj0yU69qzxnhFh620TeLST 8y/DWRRj+ogH29VnTn0Wrk5CigXpF615qmIcoKIArumwts4UfhAUIXXWhHZfJO7Lzwo5Xkxu52g9 j13si8rHZegSL6XssrVGNizq71oyF0tUAMsNlyyMdy7Qx1ADIzXlHMZe/mEnh78QRw5r1W5Vuv+M edvRzXJ+rCFk055kyCoeZw50nYNuN8VE4ZA1CXYefB8HCQLuTp7Af+GdfSPqjXF+6ylAmLxopzFG XWMv58KXcJ3E2TQJhjbR3QNAOBx74ucuRTITrwNAqRwhGQt8/Nykq1fn5KiTHMlU4eDqcMH2hXT+ s2a/DIV5vMyxngWK+jWZs7H10sw71u3HAvkBzFyunYP/S5oD8VTHqXAtgir+/JdL0j+VTTnCad0o Ns4mLm1vrhuVYODpwLHUcAnROtICRUG6nGe1ksYrgWmvlYbV6IbH4O+9a6VcURBbOggS1qKq7vhT EIOzuynrsfxvcOITZOmFZ74xWpEOdIAd8k9dulosVlF0UrzVP2sLp0W/DHIlBgaju67a/f+a/OtT Avs1mUfv+B7ZTistefmQ8mNkvlRHx1k+X8PtoHMWzBJf8pNBuVpEX388tI0Mr/uFqKz/tZPMkUAn GtKulFd77cY1SCfji0DKbUV6sXCHYQVHsPugf26oVI+WvUHFIwoFqRWhASQoVDY0yGEEyjiP0LON CVR3ieAPwYRWUbL1mAxqUt5OCS9RAUDaAfeKfQNhjehEWlHPDtWUdoDPGto6HjkISpZQ0lRzozjI wkWU4kvb6Nfm2x0xJGpjYMETJyzm9rgAeA7e6m9/mxDC+5NwVqNIqOwqbcXc3+KDs5StuSzI08LQ Pv8/KH1GFi2c5QfM9HODoMbUiZ07yl1X/dX8NcUsigzGN/eSc90C3s5D2rbGhaLKAGM3ojwhKCdY IpH2waToZ7kOXxJrOhoxJHpOava+OnH3f+kB0aEVvOlUNSntLiqbrHr4LjsV5Aa4y/90jVLGr6zM +YfvscUsNrSO7fPzNRcTFyByPtTwUtZ16crlLG5n2m2oK0kpwNTkhhp81rPsaGtMusTI8ydhV+j+ m+go8NLdrc7FKYm6vpIaq+u6Ci68KnYRjDzqOmkSJnDOk3Tt9J683QHl66BM98l88Thmo74S8pOC 3N/FsEF+XPNVd0tv4DiVMSgc1sHe+oMT2FDtOEaxfBA5xTDwx67dBunX5ETcxSZUeDYlx5HxeQ7F tzA8QZINa97f5NMA+xwCy1+HPyJuK1mbsSzajXFMRpCPSDG15T5bQTYiixNwqQahutOjomD33u0S rnt9pU7CM1jRSygzord6tEc2jaZA2c4MuZV+0hcXsaZGUgN0m/y31a+47P+gSu9Qw536AHsnJhUG AEqHAgwpVFXfJwFHeOgVUfgwbcFTV6Pd2fYoVG5pwyoqGq13h7PvNZOYZF8r8syQHMewuH/Ns7oz xPQW8c8Y5bwdNC4fmEZ6MhUdFJkd0JCjw+VLyHoD7jAXzTSWbpzDAXk6gT4UC1/ivsJ2Im5ogiC3 +aehvWGbsuIeNmU5LfmsOsqAHPAfDOxlDa/Yq9jw7Vx78886MX6BzfTJLzrznrG0vcNNxT1EhB7S e5M/Qsd93KKbli5QGSnTXrAiPglEqn1d4tR2c9+A6qzulYNGvJFdJ7ZL2xEu1lW4kNl4M0GSHkf0 pBPixtyzGEI93GU9+vwQS7/+McxZgPYgUn3fWTd3brDxIIOfjEICCQ6qN/0g7L7SGjSq9QS2DQeH 3aRF6yIkgrQZWMeHajJocKgCKritSo5PCcTNl5yNkTjDdB99gNTfvewj3dBBSN5n7HgZ6RDVSfUj gIX1PtPGa7sZoJEI2g6t9FtC23RXwGnM3Oo95jJ+g9s7SkB7EZUscAa7qkQSdQ/oNCO9GZgvgd5l 9lSvgxK1NCqK1xN4jn4fm+pu4ACHNH3jWJ4QUPqIfnlOx+rclpygLcUhtyH9OgTq4ChmFBJaOBsb 85i4wME1bhbZaxBcN5dAOmRfnJ88bsInBIpKJHotl9OXowL7EqSiSFtosWY2f9FvwJC/OgNI0McG Zue8ViMVYYL+PUkp/pW6eoxnmqxp15J10z4CpO8VMoB8O0YelmKAU/kGtA0zXTEAq/vGRFRawAEz ynydWt3l3CzdKp89L4YmLwUzlBksGFd0Kqt+1a+Aoro/KBZPPq+ChkU/FApPATjl+YL6FUkbJxbs FG9oWe7+oJtR/O6fRX7U1zmUamw/CNedlReUamJibVfeoFRZtIetgDeb2zZn7OGPh2GwjDN2Xrnp oN+aRzWIGTuc9B3Vys7uagfHx51zJQvBH9IacMgJ//cEINoWyZ/njdCSReAlA3tFyOLRDvjdOrou 7flFhkHZZLb2UxpkpuoIwuJUAaRZwK5dEB5Pmx8m7GG73Xv18hrRMeBFLocb+Hd5ixIAGCG+3MhX QjjGBTnrvm/7B23gVDChi+utvzDmc3qiLiX+9ZvV47lrjPNuYUyz81hXfVfYzRy17KqzDvbr/Rbp EtFdU0t5D+mSduhQAAOarC2KU6nH1JgNwrBeIdoHgks40Sto4S97QPUntjAvvtGKRV0BxEJKvv80 CmuwyYb1ZjDSFuRbvPRyJu3QPi2apup54SKAKG416zfHwzwXgwtBRR1yZBh2U4kktU+8N3Wf7vOm COEn60KSTfmS6/Ue6SPVPmxf0dyURDdU4YCyLhXqsjvfuy1YlKLullS8uPIDBAppBZ85RY/nx4A8 F/Wok9tFqjEDyqbAB0hpV3nynb5M2qRKlMqSsTkTR29Bs7cF1Nmfy+MngLKUxkfS4hjFLKvbNc8E Fv7n8YEdA5c4W2vWd8mJPFw84zQ69rmpfWlo4DfGRO+GhNyWBJ7MEN1GcJsBpEC73OOe+zMUfEVb X+mnImIjIrfRzCxDr+Js2U13nafZZopoG7PxIidalCdvsQJ7B3V4sbbdYDIU8vlSUO3Mby3GRZFA xLqXR0kcHehkOFsFCK60qXXanzWbE17BTwDy+e2IlGgHIEs8j+6uU2QrXMceUo8hxPkgGxHt8I5u Qebl5ZbedI3oTTSc+Ue1i9hLc97DTQVo/lF0nz0WY5TVrDnQs8EDHFgmbvZvZyC6KwG2bnD6kG79 FRAUI0rBHnauvNuJkyDBsvGm4Jioag9Bz+XsnbBGnTq7/vAglu/l/R+O1kQcsiknMZLT0X4fnQmi ptxP/1M4+ehltVOtH1Ki/A4OPcUa2P3eJmnfTNqjkgRqR0UR4MXd1TMC+ozCYUFlvGRyLlKFi1SJ WASr54R/p/OpzP5UfHvV7xr0xcIFrI01C69WvkFk5Pz85hED9OJNY9MOK2XzNVCRxAOetf2hqKRu 15fQ50aFDsCLwamDEoMSbcrC4xytYSZB+PoIswf/ZZL32Q8x71MwVhmhiU3f7a9DYEkTl0Jo2MP/ PpNETene7coM7N3UYlVzNFoC3lFr7o5oUZZNTBTOx3s+kFTgWwGiSRs68xPeJemUngIeJMsZkuZA 5njAGZLwj5UVz9njxF/mzDGGOG5CInG+6hQtQ7yCz4yxcF13xxL9XGJ2JV5m3aeDpLWp63BzBIPp Vm8IOKfzFu2fiQt97RIe3gZXYUuLo5JqpvFFE3jTpJN1WRgi6C4Es/oeWscXaMd8UA/G0GkX29bC Kq67XOWCSv/bxQUmZSYDtr9gZm8iAo2p/jD6126J3LY+xP8ehw6f/OGP3fcuANDYfK8bfXzD8G+7 e4szF17zrPM+GIrZam62MruUNfrR0zHpc76BGrk1xbJTbObE+S14F9avReLe4ZTKHkHgmPkV+QIn HWsddwWKRrGBNl0pqijIcydaRoRhm3G9jt9rYYTwW4PohEAUiWdbUMOQNkF6b3wnhZ/IvJpqFaSp jcUuMp2gB5csLNZibJJlhCK/0u4lJNh22UhFHdfJeMwHpogM3PHFmiFEUiJo7DeqGU3eNKb0WLHL FkLTJNPKUsHsspt/2KxzfnlTPKVvXRLRInGVHUlXBU4zMCPULx+FYNgml8i2srZwyOeSpwC7M8CD buSlnsOpR/PfCnP5nMJ87Taia2shOPM0QZ8Fm7smsNSJIoGqLgyd3eMRxE4XIJx0O47sDOAQMj9P hQWeLK7qXofhc0e79F3Hj6OYkA5TjBaeLEcYAYT2Oz4XQE7/xAzHKaYn7C0cf79doMxZwdtOJzhP W0zjflG2D4Dkv4H+YymhS31hM2iJzO93+WMVsUI7beR6WW/ypjGkdK8f/aAgnz/p5qGISWtafYCb 4CUaXz7R1C9mUNh74GDJimpQe5FGN7nqUw6W/shuWtj4fXH6A+xt1qtbl88T8wsDKRqw9nhUu8DA dWODovANIEnHvemSkeuHuk9ah8LTlJ3ZcbarWH755N8wlj9+Hvr5ShtPoGZ5d+hMrFPa83iCROjY ET4Vj+dMt5lVZNqV4hi/Z3/ZTDJ0UdBh1Ce5BphMqdNql7DBenX57+cqWmIyMFCLmIUua87ATR71 5Mue8R9poPBYbfAb5ErnVYlsya1TPtx0O2tzsiu48D13OIgd/bzjMfBphmEb2+h24BNfwsmIS5L8 TDtlveIG57G0jc2KH29I+3DAvCtDr/fz2ry4vp1DeHOfeHGeFh8C6qQlVopuBFKRedl6LdGjtbdR WeKHG/kk4yOWX/dzCkgmee5fksmkcH0/kvyY7aDYbJYnpj0iwecpYlnawTRjvkQ3ekCNPvEn3XOa +Lfy320H7jxG0rhOfcPp0CKIIcu4W3QZ76FJa9QseRbusUNAoNJvM/Xcs/FVE2qCA0iraabL6rro TjjsLxW/wj5w35CB3ceQ3IsXvkjlIxb6foFdkRkAbvdqAvuGfmKZc0GgJbCusUtmfGNxQHHBR27W r8kgXuxn4qSmlWNul060nl2WuNKwVII09C9G2ekQa2B4c7yJaCq6tT7r5KrlScxZiTkkg002UTdH FuIRftOyZFM4EjYWBxRlNgQWZS8PGAfpE6VC3jeWrrdJUnO7bXFDHi8n2G5pSzUkP5S5/I3WjKvO c95FUa2q7oIcfN1H0YtIdyjBkJDOv6zxDwL8FiTrBNn3bIY+/TwDLO9u3TGFPhtuzCCnS5Cdy5ux XWbdDqBpi64YVpFBnti63slOLhyj36Qku0dduii5lw6gg5kaPOEKdFVIew1HVY25I9zFEqWj7hXL nkxnZG6Nab/8el5C2D6FyHDcvDWzzQOzdl0Em4FZOGMas3z0NI8eZcSf1XHEoXEMRUljrNyPcC+A 16U70Zf543ZzLqTSLALrySumNNOHLnnG8elIaaTvMlUy/wOfufDgu6M4yjRAI7U0VkymMVNSsozw YrAOL2ECM5IgOosSNbNnkLDuGOFjcXpkMlIl5ce+gRu2slMqK9ToCWOt+DKJT0nWDLa2Jwmnr/rz PlNVkF9N3/FefDKSe1bTN6Lb5AxByUU8pCjbbEXqdVs2sfsVlKCcN0CkjMzxOZ8lkOlUMfzNlTtq elZK3kNxdPBor1R3Fehpt8lwCzsVLCP1arjGYIIjtnanwuiVbmVhhl329QAGS8RWDOGtyQTIJtY4 ITBRC8SiV04kCkaiSrhk8hIv97Gr/NgIEEfLtNgxEFE8ZWiyB7S8NM+nToUUvSfbb8+8kw4lUMox 4yTNkVU7dBYzTLt7CrpZOo1xOd+ZspS8+k9A748UDM0YCqCTcta6Jn4gVTyhqkCidnkjyDxo+EKD x53HWIvf7LxOGNEeBPaYTozxT5sxrEPRx7Y9U/olgz0dLUASvu9NAnIIAGxgUXB6YordZMtLnwQJ zcKM039g1oE6+Bs56His3jWgwyGao4loH+81vEK1x4KJvfjhs8OE/CZYzHLvyxE4tbLu1mwdSSGn t6ZTw7dGPsHHmlTumUwk2oGx+ZYticlDHq6YSozYvDrNpqNGInvBZqAIQtxHGyeJ5N9NOkJBCGfs R9AjzXDLtxn1w7zJwktQFMUYBrcp9AAI4k7809DNjCUb9cnGFW+inDL5hpzllIgrNkLkEq2CWTFl ejsxBC/0RzWvKiLTdSlgkWg5teraA3fhIJiI9H9MZ0FdRbmgkfNN00Y5KAIvu9GY2TBarBbKBgSj FnaYsK39e9tSm/fC5vFilP+Kp+BlERE0QJF++4Akj9Eq6pcUnI7stKm9k2SAsFkMVTKbdinqmJEg TiDnUQCmQp3Nr9FLWGDXby/OwDLU2fwn2tc8AEjfctqb8vhr3o1BpIOf6/ySC3I7tvFLYCKXOG6H UFEIai1XX/QI8wAsfbj4jIenyz5cx56wousrUW3ACFo+G6//WCPc+14TESURb7aHQnpW/jmwLUVQ ZCKTyTOUV02sicfzQjgvDmC6CJBKdWnLerRnYQ6/Xk+oSSo9KdiJsfzZgM0v8fI7aatBnbPobw27 GGhQ8bqVBxopUQsDNIhGNNvS1HLAB5oR6WdeMWleHZT2LROsT6lNQks9uuMB3flwOfdxr7z/9rhJ 0ppxGZr4sqAOHb02eM+PuCLE3CtyOo8hWoKJLMHxIytdyWIpDepJprAiSaYeiX9dRA4tGViy6lFJ +hxvFSpym8vasXlE2cAqoYGS6ZlE5ZzKI4AU1/PYxt+Ict+RyCcUqnZlw4aTAJDE8NNgkAUJIR8S KkE/aqraa2FvCBtNfVV1oSK52xPDz0HEZrjJkK80dPMqW/LHVaiCYAXgUyRjNU4JU/39174R+mHM Im8VAywW6jUqou+xgVBbZ/qeNSAkQ0g4vpdQlKtg6U3AlBUZjByEwMPj70L3VwxZCtNgyyoBI3YP F2S5/e2EKnAJVU3DcUiRezJl82A2W8Hte7p/Qe2ajOxbPH+PnYGIlI7qta6EaxiUkJYOPjtLIve1 FVoJSYp8sI+ewYGSY7YjgDZjDzxsBn/XZjk0oxnDtLeAKTcgxe8wizzX0nL2RjJBMq0UHS/b2oO1 i/IyASQm+F11s0jKL/CNMDJqsV9dbhjGGaZKXH9tQjChv9EsSQf9tHq8zdBt8XSrZC3c0AeXPRu6 1rIinKK453xU4uRYHbwdgmSPGHnjlv/7pxDGaFm6V11DvCy13sajw6es6zenL1SuCNELlrK567H+ 60anSL5i4ICKOY0ogmw48CG7oKxzHo41+sake8ZMlMSQwqhOkXuciBzOzdmvKlWHlOhFQstXXoB1 +sx8+w+AALjsk4X4OqxB8VYga3XSnBJ6QCs11GG5EmwroLzZxi1NrmqkRpF/BGVaMdqBYHPqgkss tvmZnsOCuDYGF5jppJy7++DuOEX4UBCscNQ3GAH9b8B1UNYq3zGMYwnM6pQv1kFQyDBWMi+EfVL1 0DircXKlNSWQsDCYyGnZhrRzmFJe4/B0w4YJvVq/deTCBpGskz11lO+Et2Jz2HdNennzzbOoXnDS f/cWXgyJ6DEQkk9IGMAbCbZxgJC3FC6ZNRqhDn/NmSycofyzxSdc/2Jbkh9J9j4Prcq6/San6p4f blQfhxiKirpL+dzwIGLBlyY+0GrmgEDysotfKckOtxkvnM5O5gC+np+nIj2BKGK9DZQoKYs8AxMF CvAFEk3g7CKa7YXwCw30i748UzHX3b9XGhAYakVmlshwi1rbfGkvq3JR7aV/ToLVCYXi7VpUpAAw aQk33wwaueK+UEa2+pyvB+hhwG5l9gCWgnOliMaZp1hjnJ7FAMq5yu/beWeXKNGj3yooKWnpjZqZ WyQFGPWEYqvX6s9PnqsxuC70g3xTXDengZCIk/n1EBYv2JphgB4oeKM/1U+G1yA6ycuLzouNumXy jr2MErZbOioigbfQkEkpKapJvX35iANaku8f390Db1Y4+BuNNRkSWRSTAjbnuxqnT81yup6kn1bm SIlDTKeKA2/K+a3UXNyux0IMIYxIqSRh47XglgDXRn9CQIMoBnitLsrytmOc8+/EUlR/3CS6D7fH zSSlcGLGMIGHgQJeqxd/jAdAl7Z3zSPrvaWxeQUl8qdNxrFJKvMkja6/xapw576pPl02AJbRdLCR Ru92Brsr5ME2zEnPnz4QdXiKBhQ3PzJb/Pgx/r0v91gsjE/eW06sSY5rueLASa8qvFMOeKf+iHhE FOpXt8KQAnh+VYeE8it6L5s8yve/YWbuME1vyvwPGeauMi2NPb5xmelX+ca22mOZGZYq7pOZbZ9Z pPU7ShZcC+50YeQ6U+puq8mAIr1xx7fq3tBmvNzn8SJHuS0KKTFr6LHxLmk1bQ2NCNFuoyD/UXel i1FOTFbfwNEdHAOCnzEZZCMdX7L5OdNxrFnoPPbbKhV9uuIJkB+AFGlA6Th8AKtic5f3B6DjwD61 ZVGsTPoHxDYlluTlN7J5YlCqJSxK3boBs38bGEbVzwmFGZsX7ej76YWkOa7wCZWL5UU29m02X0P1 nhCZQ0MIhIz+LkV4Ebn9CknBME9O17NcKSBzfb2ptAIHWKsCfQFbGDix9Tuj0v0SJyCxQspjtUTX HCDAgKpbjb89yLVgEJ0XtfbNTZP6w0o9S5SF3RAv50PwjFP5LZbZt2AB/AQr/RJ0tYv7ms0jNPgp +FWASsxdBVtKwKuVfggkhbldz0FEAWpFDSiAqVFtIjMBRQZEvRhREensp4fSX89R1jBvjHlXQ8HM DhfbmEYPZzRZwWpyC/zwe78gZCZue5oENvTqVFiHUMbDmWgScbyhANGTsFQxcqmsabLIRBbQPD1b +4rbq+NTF+KrAr+iKyudqy3ZolB03c9woSoiRaYxW/sF2Ja6lEfPIafDxs9Idy9mn9Fch34HuWc/ rjsqUPW1opQ/aRGML0H9ASL/RpFTYyZa8C425AqOJiXfJPljds2McHb3bU0nwr0fs4QqsEPn6G0V vdGLbpU4DLOuq/ixOo0vf+cWlgrYFzNDSIml0cOOdpoO0lMTJz1pVlFZia3EN6550vk5K/708nwl 8sFWpy7exzqtMkFt+W3zgT1LGVAlkvPt+hcXkT6gW+JHXKsgim/6z9rqip9aynIGl6qIeeqBTShD KFvAuCUH9H0/XlVqn8TVHdPjUI2y2E87cg8T2EM+6Rewv+UaKSGx1n7GZHFLWN6C/AF3Z/k6Q7M6 q6/PJsWHjC15Te5mzvAoXRXlWn9bJdnYX3dRLkGprwnXi+ZBJV+SDFESR1K+GvSBrwHRf/UQQL8F cTA90jmXBwuVxbML3nBOI5WqBy8n0mA6faXsw5R+AOLLp6+qOEO5zzCQefi/Ro2buhd3HMxhviOv TIHTs6y+6hM3eNdcXbvdQigyFacU02kNpcz/4HDN2Sfyy9Pms4H0KDT2khxgDrYo2WSA3ROYjXaP pG3me9TqBQshZj76rbjXzBm8zbrVgRMgIam6AsISw9wq+F+VhrEwDtKg3tV4TAL1OxZLYhLqwNN4 5suYCClbF2UpQaxnpukW7+NfIo+FIAVsff2EnfynO9lH9C4x5vkk5UonKlm5a/RbDAdJTitugsag QUt7zlh6Pt9U6XIQC33tawtm+H2YrcIGC1BELsZlcW+NUseiiVJ3MhX3w/AbWXhVmhBkqxLiR3ud gBael8SjPX2OyKJ0jPmRDsYIMJDcs/z3OQ5vtf3cjpcGQvWxQMEK94XpBOQUyfeP6gKRWc7Gwa61 qexmcp+eljHHQBcGIpPHui8TyhGJroz7vN080bIRk6nfq0ILb53GNdpBNYEdHWpAg+VJiCNocok8 BhFLZYqZWfDLCwGDlfpNE9PG8kApotRGBtBH+JCrYpj11QrUBIdWjwLpN2yc9aNEe6TCF6nlNGzE UYQKS8q5OHSDW6726vDXS7kFv86DuKow95YPzgqOoyB0nqSUULI4K0SNXswYEstZG45SH6Oxn6qu dLRuqG4Fidsr4lSKbz1Uh3Ak41/y7yg6T555nC4TQHTfiSeAsVAnkSIqbs9zQ3/TBpej13Slknum 5oY0RTmmO28/LNa3/yApKtmP0dYwvdjngomu6lWQK4qM9zYsfuftwMDfHasVWbQuBU3Z0Leh3EDc 2rsRVH9ivNOI8p0IOPIzQAnUuisWSqT1C7XnmNXLzKptZCzU/QS2qSYHfWuGJOEmUc9EstR15mgj 9INBxgWUQ7EFPudBp47TQJZTx29yQD1hlVGsZxgNyt5a8PcT82xnIJbJKcXPInt9doWhobxPz1wu pcto0A1pIc8uEqk57VrzC/ff3zK5TLyt55iMxJgFJmE5WX/T1UsFsXKCeFqr2H2hFe4AeyCE56QW 8mVHdOnD0X4VUDB4UE4AKF3+QGpHFEBwBWtkAPmweqONBA3DADabspPJ8lEO2E9VSObRq1KtJe6+ 3yw/1IuYjyfS7h/L6Tu70mMphYjqQGaM6h791AvCn3d7yc6IfkHzOrzbrOw+9ctMcJn++D/hwEu4 0UtR8zH6XsTDp30k843alrDjilHTXKey3KL8zoZGivzamF6eUrnEUeyz/mI/PWGJr+4qnEsfh/Ek NBvmjk70KwrllkpFvkNOsZRm3/0HCJfJy5jHmQSrJ6IZHFS4lmQ+a52u4Pj9VIeu1ydMUsXK+G0f i7PaKT3W9In/A9ilatd3Xh6P+mtu4c3MGZUuyOk0NzpzPx7V4FolDFTgxUT47is4sGoC9dPfTN/e b4+du3Ey6ecRALnv8PoENUTqKOW/iNLt3Gpe2VK+PRKz2Mf3Mn0pCJRfg4H5EE8sBKhBZFuN8Cf0 AdaJ8k2sg3+kMBSW0kWUypia/i0ebH7xKOjbdMK7msv5M/cFh9sQRH7otxlLsr0f5B/x9PBn9niN aZP8OP1taHbCuVHodEEi+MBUcH4rXLHSwRyOLvMxivdkNx8OBM6PaOMx9OzsB41ZQyg2izLIqwoF fFWOjNzq/PnzeaxcvA1S8jaV0vOV1m3grlhvNz9N/PO5zbcM6cY1NMyv2mjYbm6XrIy8PCKDJzlj 8oKXt26vI3lj5yK8+iI4Bu77s1hbcm66MyP4oLtAUN+ZPI49NiBsNkDvIMIDy13pdW6o05zDR2iD RFqW18z6XAky1y0BWvRU7NIjT4UoiViPbHl2j+t3IeS7pBfPRFWDQbofNN1jqcEazLWzgAprmcBz CIwetuxwZlOTAUXJ97jixPuExuxqPh78d8vmXVCP3U19QXqEFvcU17ygBRC1ukxS1tKCmCI4kMAX 0P5oVltAugDF+sBrSGIJfIKgoiT3ILsi/R8ro7/uTP3sY+YpxtqUNvelDbKMqqmklo3B7DSajCP+ ZwwpBxvHVzxxvzaHphGMrGm+QwzNwyG5jxP0xBTTgoDCJ5joYMgj9if34KDWzczJr8N1NTgR7zYZ r+Q0pwUfG+qucIL3yxBGsE+fVIpmOx6gOrk7O65LBlj6s40opsURglWpv4OXtIMoAX+KqHJ9nRQg SN/h7+ipzE8d1SlllifgeD1YeczMmxiPTJqzv3DR3k1MtssNWnFUT7ZonHjNBfBjRBOK4o873f2y mZVhFSZvYnDbcn/vQSfsu6fNIwJ1mTLN00VWT94a7gDi5twJlxwMln7rXkMgxR788YhPLFFtXLHX /qAORBd34aH8fR2zNeBypeqTn6Xm9HTQEFfuMuLBM9KJSaWO0EakE84LdlLcJJm3n7ce+kuFKu5o uteK8Qrzg5YDI0z0b9e6wbuL8bnrbcthiISaX/OOMam2kV40pNZX9rkszJus9XcoeVSgzHFjnCSi GLWogyoxfyp7vLiN28Rw86zaDL4J4HZp1Y/6jyZ8M9b+hhwwUUW48kSdhbQhPZaABGGMgTtXZyBc lykUCt3DUiIhJP+T8JBkoPjXpCduB4sLxBTiMb5C2IVeUZutkE4f5aAgEvqGnx1IdCdQ/+9Wv0vE /isSgR3l4g3edFh4v4dTV9XdtRC/WS3I+sRdt1eGfxiwADjVtJrMJBX5A27AlARB8JGn3U6U/B1t Ps0XjbwndAf18tkSh99VxvNZhplciGMlI/XmCybNggLDZpBzBqA81E4juRrp9TtYAe3uasTzwLC4 iElJx2mwCIx0MZAej/iF4O9+KmYNss/ScYGrkWWFHofhyMwFwdm78wY+msXGdjdZxz4qXWe/s1HT Q7ixoOhtqRRwgGJC70C7yUha+bwcg70PeNrF5vCosf0+0l+yZePkMkj4ti2XPjKkBzWC/1uv8dNM k8KJhrYifT5+JFQ+xCT3RDtqB7S+/6x4K8hGmcG61ERQcEpNDyBk1EIs7VrDYwwpC1Y3Wc6/eXug pN1SjcvGD+kqkt8UytpEm3fXEkvodoIYhdyTPhznNN63j9frt547qv7Lqw2iOCjF20WeK2xzuY46 A5Sw2i2wlNlJlY+jST9Fg2fPYFsqdaIcstBmvaLSg/wpFzpGMYHjGlMo3qATvmndMujHb9fdnsKW XqEHujtiwiBAV+4vbvVnEw2GaGFZyEXClByCLk6PA4H0XQzjt2KaYRAFBMVXZkoU+Lu2fV7dW634 PdcWqIkub5nTZXjXDIbuAvDrz0NpnMISD4ujmcsaIdm//FePJl+N0kSzYIk0704i5SZPbUF1ifCT BM7DsE5KGALTuHs+obWbKkilUadBfvXeAasB4Anb0SdNbngS9N4wg8fisuWovFuozWIkHJxeB7zL KHDkye2ntIl1QaadjIEhSSf7+okSI/0WHVYZJ6SvDZHaSft8a79eV9ljh264jZIdvRw8gtzGzMja LHFbgLIt6XId3+kQzybNUmytfjnLq5yzj6n2CEYSpJj8qd0XZIIZQ6MzYa3uLXl8TvW94MjDW3VA bmBCtVO3Z142CyuIv4LcDb29mqDFGmQNqXpUC7IuzmXkNyBuNdF+RHExyYRNrSHVB1Ahe2NmMgEU LyPPrxd8yGTcSburDyPJFleHhK7+fwvL3EDXWsfkZp1/R9nZAmifcJCYRQMM2vlxfrQVZaxSc50L T5uQpKugTvOBNU4LJtizoDUXhLrgxVn5K1+NpXGh6J2Mp7Fb5NG/rKHcHUUg3PSv0YRB+o5mpIli 7THRnQB7TfSBdaubPlM1ORXKkmNUGRpStssQ8+QMMEkWuQbFHc6DOmaDpQmWMIYBBn1dA9TT00bF ou1i+UcqQoW2NUBqZ/VHBDllpXY9GU+VwzRPTWTRxkz4FpvZy/nLst11ErX5p3So8A4dPekgGohW GCLUo5MjS6Y1B1NA795CLqhaUeiX72y2VHkYkfJTa9C8g793UFZPqVhxJRBh2Ogg6YlszRMcsRa2 s4DjrOW4/OoY2Npx89JNW0Y+DuXdLJIWNyWqC+kW4FN6HxhO1Q3LwxE8qYE2811FTf+G4CQYQl6L 1QxXJU7eyYLbKNxG7Y96Mi/hamfnSXnj//rpviUmtt+7mavB/puDJWF3NCz9cSeqY48MqWrDPOMf s5nzXFEXk8JZDFl/WqYsg2wO832C0Hmx52iwm6/2oMvmP4k731eF4iKm72ERo04PoTmvJTjCREsj 5JD06SwCI7cTBjoYhxu+yMXNHanFgVw8e57moVwdy4daXKGxmup9RNwim6RfUrAlx+G6Um6Oqb0g GYYMFnL5P/ZR4wmJhWUgVEd35qw4hsuPvFlm+f86LWMBVPPAzTvs1uOo8gso1yKj/9H6lYthbpHP UKVi7PkCqzjI1gaacejoWKn+ELEINUlBLzmMMpFUfqeqEhHEE3UCVgB5BwOflTmZWaKjb8Q5y8sm FbJ2HRqYCYNtqOkcrxW/7ZKTFSiqVM2TbPaoVtAkZZ6AejfM03+uRKW/OrWNyOC0RbYQTDjJzYQr vb6a8n0ljG7ZATV2eMxEzeuk/EqC9UvDvvX8Thji/xkY6W7Umjsp2krVHIbFvg0YJ/R0XDwawXTo F2oI1xchvgRCAQwzbsCwTsvI+QEi5osI1z5PK7j+0Oq//wWtgS+LAYl7dD4Q65UDclsWitDofcRP wWLuxh+rBQKvEdVp6yg76jRr2kq+oJEzr4Ng76ex5kf4wJ0HCtQSWlwitvNZBR1nIf0tcu4vQl0C vAo0AG9/Bf9/v6Lu2c9E9xiAChNVLo7M0KiP+5+1ttmXgemrYjMjbugGMK9hv6EN50lFgXQ225ye eGRB9r+jtuNpZNmYDW1Om71e4telv209npqnX3LXM9Gb0fYcVsZfrdVW8Otf24ePjhthNA5METoZ 6+hUoNNrrnmuio5/hLFAYVi4bSTgYz8BmbLmz9htql3zXC7HKsN7QZOL3oF16oJUV1gZpyqsh4B9 y/2wOjtnYKcN2seRpqmMBtNGexL6kQkoC+9uAnGLAFRiR/yVF4+mK8z1Exf3++hRciWdL2Ia3JGr XbImpT1MgaPDWYMPCSUbJtjK4d+J/ccPERUI+KklDjzbLXas8qH6ZzAC2UfOFat+w+rKY3gTfCWN rB5kDGlMknan4IqlfrvtoJTwEmt8CR2818ANo12LYNANgHZ9c7MJRw0ecuEifCxD/rhGXA7JXK1/ pROeFgvgR6FDUQ86elBSNdvwk/xEM3aToKTXGEOqLugezg62iRGmWSg2dzFO1NMJTgwxqZ+WEquf cEpfQyPHKlQMqWg77EAh7d+RyEEfCsiAmeov4w8Q8RJ5Kbh76J0pZIykESuHxTfjopDqOsme+v0c wbz1C/AF+Gol6mIRPugUd11mW19HtRpB1rAsrkxvkGug5Xh4SHVPI5V9o3J082PqUhNh8AyUeLMY wlWPzyz0A+MKhMrf/4GjYWltZt8rKo1YpveEKwPK3o+sviiwfvckE2gjrc1I7qRx1L2lNBIos1tr brjCsh5FQzmX1a5UlJdl/IOW0oHm43+z/BkC2HDzbjT2sPbaZFx6Tk+iuwNMLHMIu4bkiVL+po12 1Sf4HijyYiSRkLcTlEqg9ArMVwpTt/Mwgnhgad7uYC334h2Wgn4/EaRkG3ORr/sQqC+GZVrNiW+Z wN1MqKXf+XzB0qdQnZ/yx8nQbYdv5bwnwiGa+7oCHM5VXE09VLZ+5E/kveV5/pfXnGDv+bPrO97X J82U2n0ZZIXlzKDXMeFytyMrT5RSVDWwfh+vEvl5LXHljk8b1RSJRjqrk21Wg8LkQWxSCVf0jHaK 0TGs0g4D/ICA8FnBve1hXMzJeUxXvxvCz0DttwNMZF3sWV7A2Jt7BdSylfjOZ5gATptuIEmrx4uy 2ZCZvHCiBoIOKztBZxmHleuPmhkwOijgqXQWbWgKcJy4F/k62VtxUu9JM2XkIXPF4nttibbVQ5OV DMj3tPW9E3Ojx9GHsW/3ze6IP2OmqMc980c6TPco+7GS3CVZVazEYKgcMhMXXeguLU4hISpqX7py VDTrfpXlsGz1jD+dfxXxRDH/IBvyfpbI7k3K0MxvlBKOZW949P3tP6mIi8CoMmn6LF/gtmGrEMAA bTN9CaYCDn7CATWZ7+yZfLQVlakee/RquOIm5Zpq+SwsqPYZVkx0KIck7lUar+dqiu2u1Pqnwe58 S57/pE4Gdt3+vpu4GVN1QGvzsiJHB0h0cc73Quhvk32UUGUcIsB3ntB6fuwwt6UX7t2/G7DevwsF Jzp3PknkkNShu0jFbh5MThmJPtz027rVqxApRy359lm8ZJDWKdhSUxD5qmPZbL1lsttKwZ+CnMzy 1WBCBVqjEwcyq9QrbQjo69gVtH+1/Ii8E+C/6Qfxv7QfJ2NgvfU4OhmI+HNxZgmFFfRAW/JCThq5 EHuPJ2SwnkaEDRaXDYnC6eTKnM1rXETpWrXXI1Qd4/uTfGAslrda+0izpfjCsfw/rBMlccTWlgwh HAvSWqNWpzkTX8MrH9TOLe+zVKix6Fc5insnt61tOfBWlackwy17I7/XQMChM82XKhdOwQiy2+iX PwA222TAH5wd2EJbMfjhUcMfZZg7vFTGBOEQ0pajD2xlJcaOFTYgwmjdDuw3F0tGaa2gb1XLymzy 6CIOL8W73GIAj/qS/S2HFpP/mTgjoSCh7VHa3TQS1vpHHEz4ICyNAR04gUdR9g6x1kfYRhURl+OL aXwUOnUw6N1PIcq5AbAhSPMRXSPhze51xAzmuGRXdxyoi8TURXGKXcg3lYveENib4Lw9R4HtDCDV I0T/VPbPoeN6B6Xo1+Qdmbs+vjOI6hUqOH5kxcCehN/pf+6Si1YmxiGvfYe2QXhk/ILZk8UkUW+E ajON+SgQ8XLWuOWL06MbWUcbfceNiEXm3rRkqXWxA6ntbU8V/aCgniYD8w1/QdIdBAOVIHrhIVq9 ncJgb2dPfK9kJWAuRuOQIWz9t0xen6XG/p5ldEv/ZA/W454mfsG5Xk7eHi53HrDqC1ZefbEAp8Su 8o9Qe+EDEVVWAGG5iEpePaybZ9XEA1AnHA4lNAKLjQDK0xw68Ive2iVzfD9NnWAiZzfNjjiLC3/W KdbHZ6KCsk+LULQ8LrvrMirS2miMRRrPicoJMRUBvDhyFE8R6yjMJDoAqkJ4YUz9OOIxJQAu8s0e o8cygCakl1cHB6hFrZWejvo+licniCeJA2rF/LIReqSol8txHg4Q9NkrPZk+Y4tVC7OZGhR8x+G2 qRHAITZc0EAgnGqvRpsH0HqJWUDCb+UeU+Sxb8A5l4PqjtVAEyvQBypEkTS1HTgT04dQCx8yibMe FW2WV850Pk4w6tcM24XlVNZivPTfV+hTG+/Q9xPy3MuQU748j7DCUtx6n7ABVN3pFvUQ1PHZgnM6 25NQfUEFaN0Nxjbzpz2sjEojhBFURtIURtBUY8HG5iUfhXerY0hQDb45B4bM8oboumXUvAE0g+O1 AVaZDV3aLL1Zcwr1RDSOtezz7H6NmMq0EydsIhO4QoGTI+uztU1tYEuDFQXeWN5CBnkL63j4cgsG Ta9xLtEyJ37St7IYBfzHLU2AiMhLTZYhqll4j2ahluyViJvwpDlr1LMOAE9jPbqyqbLn5vVjW0Lb ucIucmDZxY2bod5D9TXRKmxHIZyeZ7nqvrP6Z5Jhw0H/3v9N3L7c6neWXLV59XeJUP25gfcElfOu 5kzT4tjT/4jHFd5CTyYdA/OL+XQJiMUmS1L2KC80KqLhkiOH9ZesYwn2S5z9JXYuAhZmRQcP7eGV e5XtfWh+Dr9JDYpSLijO+osEqf/IOCP/xVb/y9YVtOKRnLTltsP9U5uXtzytfoHSN/C4VUbWtrSd HFdU8k6MohjY8L5zMHlexeGbEetR/va1wmNQ7WSjI2dh2xdrTXvWDTim2eRdhdUBkZ60mqBFIMqv Myd4qx5YT7TMtgdw9gWc8luIViWFUbCIIbVkwLaV3fm7Dfx+1hXlOcbhphKeQgr1RbC/Xk/bzUC/ nGhC7zQh0+fLCO4P6ebAyzjSe4A3T67JsIp3HUZlIuFdC2gtlu0rfAYmrqyKEPBKmIiY5zrV58cJ 3Cpbpn0Bk2xEv6TDM/K+L9PnV/JKQwjIvO2c1O2oQ+R+vyU7CUPeTAJwj4HHIxM7AXNkmDSsLsY5 iE6V0XWMKpYzcdrmN2o5+jJ9fxHto7p25/7+4zcGJ7W2da9H4a4u5qb0nbF2kYaBax2OQbJm9PTc ipYgMETPNHzjigbH/4oiUgH11wcB2tASmS3fiCv7m7MStBuRbiMFcisugAQlrwWa1Vsolewaetp6 f8GhlRg4I4rsHfrgFVsVdYV+h+05y7IxijK4X3WGVOV2Zi8MYlU+KShXM+kd6/mwj99zOjiUbeio 1EtlbGrCtYhLp6Cel6rh9FvWddWsAZF1TksXBFDgKawR5T7rJcbWPhBhRYiguhzPwlHd+gx4eN5m rOsct3xAyvNcNKOVgiic6TVI2Vmd+gJonAOn2ORfQdFTUZd2alvKgj9+NyPbBKAGzkSPFaFJJ03M Yv6KSUSVJf2BXUEY51oY6/19k7rUHURujQtGl/GrW7JUdOZ6Dp+lItrDhSwKQ+3eXH7p6JqxamKJ HIk7furqbV6aUB8IP0EZ+PQZQiyUgUhOr66C5Y4RuYJAs/zPCWNS7AojYdjx047MKZge+FZHHxgE wqDrDzVkM5Ox8hYxXmREahYuxIJn/W68uPl48VlZNbZAGy89bTU2aFAV3z8EtqfITVIFP0F2MFwi hOz406nVtF6AL1f3vkpbu6/axmxDwPwStsM61WBDnRCBv+IIvvDi84yn7rZgZpjJKm4dDbMdCB/x hFAS6K7CgjUhHDuXsftld2JsvuZKZKFAgXlOYm7kLFJC1iUA5Gk7+sjwjaebN3osZZl/qXdgQgj/ Ud7S71T6PRyQfI5V6BZbTT+nSt68cL0twdFlJWBJhH+22f0YSnUN9qf9Fm4vheGNMJfwjIezVrR9 Limihg0uE8RUMh38F2Og3932nczqrZ9HaDXD4GN5NenKhDslbBYQD3fen4aBNP46B+uQplK0xjZA AzDIafzbsjPiKJTiUDFs5+5MnBDCMuCO7mBMJB38pilxP85Zah17efcpTADjCRlfzCbdv7ctY6c8 habCdmYvt4kASp76Aw/GiS4uSzb2UKQN+WCiQbuQrm42NBuP9wzwffzf6w44kUn6fvYDLFRoSK8i W2eLl6+1vgthLcYz8um/vm50LDU+ZV2xZ1ipSrgy3zRD2QNeD4Ssok4myTlXQDw5ljB3czyuk0as nb5Q/bSf27z6O+qLPRfj1QM7kwJCysGWOerha4+Vc0swufm9MOBNbRD12dH2RTDzLKu1nAWnMKpT OtMSLKrucA7mOg0pPMYqpjbjFLO6Q04Aw8EjCNYncBPY5jXApPaxjbKLkoboqR3/syHhFAZ4Wsol HYhAdPY2dizxfZuzP/PS2BBP02b0VYFwJCEJ5Bsnw2dUCcX0u+/i53kDf7pNGjTZfAmYo3dpG/HP Lp2MzpHjBbx4Yo0XU3JauzOj6puVhQ3v6ppqM4/tmnKhQosLXPL3ZFwhdjqlBbSlONDliinmK7pM fBTLncnaMhDdwxIhTBinPPckHj0WZ3G/hoFOoUmoKL7/twIeSt+71oatziLlcdvotTKSK+smlxDP 17c0bR6LoYaXu+k/TQd0L4rc+dmJDT7MDFzc2w0A/DotEjraWjmSo3QDGt1GxPqx1BL11MVpaPep RMdRaTWkgegxac96HBhdBR2ssSCliMnGaeVq8dPmHqWVAyvB3nRwpaQxhbvRkepmV3oUo3kyoNw/ 8ci6WxTJZBUnlJ2zxSYUtIiF8QOx+YXru3PTANUKl8YSp4RI+7sfdMmFgsamRGtmNdSpmTga3BGG Ym3BHmASQNCPomm+LnSuEJgKGaMpMI8PfyLKDtdmMwJE+ng9rRZ0VdliFhmWxcr9AQBieCa2bap7 Izy2QXR+00G58rPotRxgpvtM0HIWVOB/ZzC2gxFm4pwvOEveDUEKk7/T+DBLkQpHYMdMfHG2il/4 TM1y/jSzVKWBbJ+bNMOJ2cH62kL481lj/OoRco2zx+KHmcwSj8DxDL8LMfnRfZV+uXAOPRBzCDJ9 Za2S+VyJ/cDClrvhRBkxKw3a57+9LrI9KvUZViusv9ZCdHzexdTrLg4g9U22DKafHbIZ3FIg7f72 kpZmSj+bR3qABwblqirTbLKRYoshXmQQ+YonTns6GJRbacI6rYd/1FNWZWzKG/PBlJsNy5EL2Gek OjQ3wCPRoLKXgSbBMv4ZhRUT1DKFz17trf69JUSXSzYzh1p4SiE6UmERn/nBcmAa/eZ5aSRJw9I6 Xll5LI+7z/uglBJt+/ThySbGRkUZcdceDgLkhwhnFEk1lep/3CD3MBSCqVbY6TOU/Gml2/DQTd/4 CfnlQpUvN8OxJtm5dzsvico+ltQLxNWCvA72/ZKt0/sVKhvqDnTCOboRseUfidZLf7pQfOnuz/eH KF3e0ZQlAByiP0QAywc0vIZSSglUs14bfyq2ED/EGwcrZM6pdS3OTeFpSLXXnL5m69xkU9leA9FU eq+ZoVDZdO+l4il5ylT0hfmTsb4w3V4c8p9yfZB8PwuCqw2vNfC+1ODv5wQU1AKT2MyaAnTm378M Emr1V9QsP7HsoCHNF/3J9h2cl4uUgYLcrkhPp6JVndaUrOUBTkZ3KgEcjaN8VCxBb2iYRspWpWPN Gej3NxmVfNrAK3p0+GtDgI0oqejKzcQdNEPcgIeM0smu2+gtAbxVT7zDGOX+WpY6T4CQS8sf+x+N bQH4u8E7v10CWPo2slIWlLGGzhfJbLkWpqrk5tLv28S3KzgRjAAErDCobYCSO6uJ51Py6p2nM1HK HYGFTi+NCgSYl8RrrOuudcmH/Zb/Z6lYx6H8qimYSb5TGaryHOQ5VdewJ2eao42H7pdagteiANMY ic/AvPxtITKt2WxViJH34TTE4FdTV7DkSZzEtCPSWJn0C9Ol1/5EgRUu5UFtXfQ4tr3r02d3QLwt vjep59xd2RmTlUvOX0ZaOaqGsBktCS17BhctB3UmFD3u5gR2tt996tocBzjKpMCDETZi1UHQsMOT kBaCrjyf+y2ymwxUIfs51WngY9OEF8E0NKABv9UqRKL9XMpcnM58WMpapduynGzhOgs/TjVxrg/U 1X6EdNil8mk3O+ER6ICeEMS6WOnxdo3IbYGm5szifFB9JbfYmu70dWBGJVOkXbWPk5c46pVRVb8Q IO1x47uyV7jAZt0APRrtgRdyxafNRboDn3PAw4qGqTL7RWrtSaDpMxkpgxb8yQLdFDa90BUL4C0I AzJq2woA+IJ8qURm+yMmQ19UDJ67gRfnkHH1UTonlb2ZxdbBv4Q9sxUsaCg6+yeXuMvUvQY0RcrE qIiCJSozWipVcuUjLvskCwlpUvDpgVleqVyUZPFL0S7lhYpbY8zt4x2CC27D9n4DdgybWcCAzq/d jrab68axLSN8iknxEwSvYy4DK7/UEu7I5BhyIqZyzT+ab5xG40ys684VJqn3gJ9Op4HkNTFy7ckZ Y4OtjA6mugBwpmdNkPNsYOapz4Q2JoBcrb3SaOpVVCKG4tJTgUBc4C2BT0x5cIDTTaQrjeVuHq5c g1ws/w91rFv/Ted81IvgFVoiepY7gRs2XoCavhtg1MZyU9tPaTMDAH6lQDvt8fbAZ0YDVMff7kpY erFm8Xqu07NxIbNCqA+6gGNJB1vj/AONW/7gjB3bGWJGbpaTskL6f1Foz8oynSdm+WsgIXDNBrTm XS4YP8QT3OKzO/wFGYhAyTpXB+F1tjj5oF/bEPn8pNo5BT48Po7yMfhCvyQKByfKFoB8pWp8RjRH OpW1n9kkIOZ7kdHMJ+YQyTvS5D89GJpiGoRp4zuR9BTE2b3Q9QNkbpW6tQ8xs/xq3PqDIyQ1NRXB /pTSmG/IyqMHhNSez/QmIiq043CocT63nx2svGOa9MIsQxquVYl4WrwHr4l68sF2RLVnxfDugSSa UXiMXQnxeqgpMUrMOM9+bz3bjQL7Bkb7VgPe51RIzZuYj6jOmCz6UWMKjYek6NH4LOKtBiFtiL9Q dqkPjj8wnYvNvR7ijGrOuZpMqDQiEpfdkS2BshfvW/YmrTNJdA8DwygJxAwQ2LYW5uuQBN1nhbM5 4+qLD1TsjOal7TKgyFacEl12PDJbL7PAp8n6d+w8FlglhkKIspdenhoqlV8v2T9L8FVQT3KeuzPk aIckAe90Q3RCN32MghacwBL50XKOc4gFCOjLVVcg/JNnzVyErMj6GrWeod4uoBPmRzS4Ml6dQdxP 1IMUZlPYXzTCxN61GDjzRjylr0USzIWVmWIgFthsSuqTxT83HhW2r8bYJKdRqU4Dj29jjHyb9i02 5usL1T70+jRMNUbRWW7SU1ptGKiEP5e00emVz/pma7BxkDhhOwN0qIZu8OJkIUhsoAe0TvuCgdmg puv092b8ohYEzW64VuJvw2L6hVk72OSCC6IbCKRTqxAD/Y0ffzY9zuJjwj/k3fImwoKByh4nE/Ld lxUks7YnC51sIKBKZ5oT6PnZx1DzdRI4D0YZPb7udc7Uul8CXlHDIkyVcVIqNVIhU+e5TeVZV5Et litS8egEVSGBQ47vqvsd08ylWKPBksgogNEdxj3usx5F6g9kPExosUVCM8OoTHdjr+dFQ2fcnHhL TR+9i6/cWrcVwzWwWwYKJBJRA5cr/vmYbZ4D8Y+vyg8zLNMm8juMVn+1O7YeC0jVjl4SP6OXRnoG SCS1Tuh5bUCZkOhNmll6ai16UlXLnrinLmrz3bTJGlX1kuwyhTfchkVWtkTod6jlQ5VLYMx79KK3 i8eXw6VSwbcH7aLb84txCT5LA0eNI2UOSEBVlhOyC15KEYzgbWQFkjeEGwwgr9hjdH8QheRLMg34 ZTGkwXw0iPZoCQ/8sm0wcJmaqsZu0fo35k88Rl6EeBF8ZhjbLoyLDSp6k18WK0jDY26sz7Rh5FnX Va4FnrvZmgr7MiByTuQcSi//XonkpEfLPEUa6S0zISFMTJzSe9RiSzXPDN3QB3+n5A5+03klV9Jn HeUbBlcxP5aUc7Nd+1AOExPfpJIcRscza/yEzMuqVle+DmisNvon38sORyPfMU4ocwC+cqEet7sh etBiLxAhYiBYaOawG8pIc45NHUze86w8Wub359Zw+LnAfoBT9Ux1P7VDukh2OXeb0CT3b1/EtqhK 4G74d9XBxC8YSjhjFR1FHv0kCtU5btLbgkZA+yUK9+nrVkdnldcq0rjVwp1rPxrQ7b1g6qKBFl/i eTdQGuM8onIzvW1t64lW0jmo1HZR6b+t/w0Ckasq54rrn5vHQfi46D29v2srSm9mcV0i7lW5YSHd DHUEX9qAgNpYmQ7xQO+iDF6YfK/qnjDHEklj6psWDvbkWlrC95sKIdYBto3fm5sBNB3CnrqDSG2o RhfkprkAohyYHKwi8r/u6yxDoIi2VerJdYFoESEmL8tYxWwcQAnf38x2tzQRP6HLnCt+C4S+j0LC F0TIh9bHew6ZEKZQrVnQQgVG5pSHdxj/3eZFTh5E8sR3JSo2NNxDunODy2IV3f+/ow8lv+fnuHeD mXSRoyfUVyY9wj/xKrhPG7SzfAxvZtIZ8A+4L0yZRBUukmGnrPto3Kc8nPrRaC9itT1dP7q9QyRu sRFShX2hytthA7vF0IOaA69KukU0cIRG3EtmNB/WGM19gymFsaPkLI1Riv79ePjsbrseE6WmSAwl FvP4bNCxvzqCxK0ZUseiCaE6Oe2UQ4zDfvPkyxrGWqXIBqLWD5CxYlG3K4lQyClfPJKOQLm2elfx jdxIqGhFoUWag4izfG19B8GNnVmSH0tMIWDfY2d5jDDLWjoQJQsslreIt8aoFZ3cHNvz+NxFJPFA llRdjyENsk4AGRkI3q0VPQNcnJv0dN1WDqyix0MyCz/aB8FPoKPPMnT2OI/FLJC+6SaY/jxDBhG/ wbRIxQ2lho8Se1g0J8EUwOL7Q2UpSHUHKMTVrWAeBBh4yjkFBVXOgBLpqOAvtRGjVGwA1lVbrAD+ pUQfFwhKmJ/4mBVggKS45BTr1snvOPpjcW9FeqbXz0LZcOfkPUnPeTQ1Me+I81xmAxO9B+krz4uC 4l4uUo4eJpC51t/NKeMOKbB53FEbNJuwR3YEKOjtzWjEnFF80ujw4Ny/wN+8dsHNxPMlCM7pWoY7 5ffe1R11JARK6EnAlMZVIUy2DSE6uhSIYmRuaipGs2SzZ6C8RVY11x7JQahL4dqdFPXsXzF9fI4c kRRoGEG9/jbh1Y3D8fIT94DBpqFSj5EV7bQgrt6swSbmrRCdvHhlnVxACgJULEm25P2Sb5QWF33V aitp5aifum93dtLfuLH9iNX0kIQppegC4u3Q1K/gSM7r02lmc2oilMY5qLeFsx677HFtnx/wp9np 58UckvSdmiqLYM+ct3K1/vNiGa7xUet5kjFHEoACWpl91gLvo8CmheX0hKHRF9fZs3k3jyzHBhUG D7DGLNeORZ4NZ77EVCnJgOnzfJRNEfrJp+djPX1fA6LwzEr/Ty9DYOi/7OrWBoQpSpSXOj6pz2fy w/0waeTL6I7KoYTuwAxtVe1N9RzVFEXIdVJoBdmMoghKYm1aAUqGsBMfKrQtFqa88XZFlti37Qi4 7qwjT2zV8nRfHJZzeOuasq/Dk8nUel/Sl74Q+GXvDt1lfv7tYF8UcND31G4U/4WcoZFwEgydu5Wl cShdXye49LRb+hXGA8kvU/qtdmDcI0XhlV3eMPpZCFpB4H0qHUkRnn99+EWllB8qEhf7LuqyysXC 54J66Vw3A1U+sTKZsz7S4ED1/H0qmPn0Lry0oaWVU7UVzIMiRnS/GQ4gEZ1Z5f71V0PpnWMyPSGS N8FY6MiWjXFOUoqf0InkRfcPOQSZc1rSVw4f3VMkdZjQq+5lng6P/TWfkA4nMwzimwzwkrTKtIuP 5MUzsR+HlJDQdJNO8YqLPclBCz5rmhJ1tPv/EdgHaHvpJLHCJdO07tbLnIRaPi6wIGKqZZgkgaIf 9bUIN48WjCdUjzRDx2S4xtFFnesmArCXI5wlQoK6OgAXTHdA+jkMmbNLdWJKSvFbkFOWas/3AgHK hKZ98Ce1fRDWEn4WrCx2NBVUhpNKXdtjqAnttdmQ4aWyfnnnSEeNj600nA41tC7VFEnV11ZMXM5C sehV9AhIGjAzqfX1el4gCiS9dlUq5WueEbKiY3Te6YPvHCso1Ms5qKStss9FfYIdjnVcTgPYxDOm DNODGILofXT8bIHht/gDkQRr34Y7oZiVHi3jAw42vTrOmeWP9c5Gf5y2Mk+6ndcPRX8jY0DC+pOM z1gdSaVTSeVnZT7z9DUKUn6g2+zE6vilFPWR7gV54a6LnCgO01Kxs2CC7BkuqFDzb0Q6cc5jkhNk f6rtV2n1dIHwcxFDW6Kp86StroT7cIuvNoYrOr7O2L9N/CpDUSsDEbrJc0Hew9YyCfsGVZplnPo5 SyAbGiRsv/AdXiodtfeQyaaCnage0YYoAYg3SnMjfuD3BKz7URW3HBKn9lB8Iy72Vt2xirsx2qkE 1oDJS+bd7+5UH1gqccvzuU71R/H8XVpAhQvdBxWHeFXVDbvr2+C1g83TfUq8fVCaaxbzqjMla/2W YS33xR+R0THyt4bWtoW6E+7LQgiGV6LsOd2XgQyK9YVylK7KpU+5VYFo/1IwH4RmmRG6D8BAumnZ 0dWovbiOup9EIXqWaenKDfVldtKeltrdqRAntI8B/Qr7DgLPJS7a0/VX2cKyh26GwFkU4afH8W6v 7Sj2dneh2MGVRNRfW9PbDyXotSyNYbxxo980fO2zX2qlYe0qQN03xSFR1mK5emlZ2ahPU3hpjE7f OVhcpzcd9LUYJ+l1o/RjDrzpOnvtCs5Lsejfe/U53ax8AW6JaLCKeXTuscdUKQ7oO7zazTf58isp Hw4oNgOu9TVNX30CurTNloyBx0sN9G7tuerMf/+/aRhDxdpXMFvrBodNFZr+SvnOYPsmXqwOYrSU UyMZSkAzO/KGYD1tr3YsurOv/8/b8sYjV9iU5nMqkF5vwNaU70xZ9gMk4WIp1EC+CZSNIirg3bkh IOZMfgAg56Y0w1d2gWiAErJKFUknKxS+VkTTlAzAcNzAyXmvBCv0RR6YO8f8S/EoSCX1xpRXGGOy Ttsn3YUQRKORHvn6is8mBjDjiB86ha+Vr03B6ut19CXdYZBXamFLYIPeOiCvTeItnvdRLb+49Qgf kebWeZS+vAW4MCFB4XLO9mmcmI18ZCLpgyGI5pUdGu/Lif+9ra58NOX+XZmZ2HOQGV+C5RlSt9M3 ab+hfASByBDbh58hoSc0fsqZtEEn6XpTi1g0cSfREqYkMzpphA2OSQ+y5Ug68DH2eFxS8DIqTDf8 ArQ7PMojuugMqfwmxuAzpRU2x7cNwyWFsBmECXEyVIHjC5IMHUCrVM0pOPVAg84+9CdTXRZBeKes UviiI+q3gnM+6kvxbUiLNDpYM0JgdW7R3F5xc2VrWBUtLXzHhTzSscJ0qEfYTU9MVnJSLfEcn2eB KqxSfpCsYKdX4SfR3RWXxzi1jxbK1bPnnJstlb6KZjVCOnuJj3LiPqlxfCueBnFVTsuLX0kyzwR1 ia1mYqAzi9HWHPRhyTf8WzUwHoY/uA5c6dZd1/iXWSm3juiYAQAljzcVXbmQkJJpVrCdBz08KhZH FTv5oqinYd+iDP4XkV6eFu7Tg1v0EmKmzmdyYZjME7VeFtxr9irtY14SYvawu6OM5psofNIUQ/9N np6ABfWzCUm8b6pch6QR9PrlXv96wvmhYWVOWsAIBVe+N09+WRLzksE1qz+Zq1UrOzowytp8x+TS Y1Jc/zGc2mM0rSRdSQfke6fqVESSuClGlxM2YgZLidHOnVTst5a9J4Fz1MLQIt0D8QQ/TANqJL3h my28/CBfXqu/idMu/rqlxk6Mf6SfSDcm1JEemXjxhR1V2Rb563L3fRWspQpIjgmxoYGEH7zBP/DS gPb1y1iD5yKO1frvvi8j9sWdr3I1yQCwob3o38R+7exmJncEIqSfJWMun23dCGYqPtXLC2V0s38U 8sBDIGQNzMa9zwQGpY5NGtUfKGdYU1EpZd8eDxWhL43kltbBoLWEVQWZwy3eepNbPkZCFtHwueAg x7ha3EAOLGQ9kAdUhbO1lSkdmogYFm6ZnhWcAwWADghdHFPkejwVXurEo9LA3Z9jrB9VfVey4GVX osdtDPFEQ5ZYqhD2Ylsb2HfeKuWepKRen+axknmSkztV8PZVKzT+hf/wz+3o70p1l8d4p30qSEvc hzBgfPj/jLl1J8omLrCHDeC3Rg+lX1922VXItBZs/Tvah3NpShctT90OEl3+653aSPrfpGqRkjMw zpxc0m6KytlhzV6OERiZMdT1LhcDKAzDn+TyMVBCXtIQyxMN6bD0nCSryNTHirnfpA5z2D7nLQZI ysA51mKAYLSFiLgGF22AZl17MwI8TIfdV6aFVIMyftaiJ0n8S4pgIFtsAQR+/X9VGlZZMlFgBkVx 4oS5f6I+jgA/xVkgEOy3kuOaDTJ9YO0MhizVkuCl+zelliOJ2C/qY8z4MHcPrzdqnUmXOUovsaXv 59bZzsm2VMR/z6Pl50bonB8oDQBszmn5pqS802GA4EwvZN5ErSLnN0xPuvFmjkRR5i4XFPMn5DjM GMXHYf+5gor0pNQuEj/2FhADO3Bm22y/osq269R6W8uxhhO55gTnDQvfS+y1HuHDlA2tG0KiZwde c8WNRCl+d7/vtcstmtYJSr4Aqu0BSE8cCjMXZdATSo8ZW79c+vsqiNg62VeAoQnX4gHFxRR9lFfL sFqWqnPg8dpav26TUg/nmFMEl7ndcu77z/OFgk+WKCUv3GEeqkGyiTnmlMo/nmT+asMZJutGKO5t 1UhG4scqwrrMAzGv7/Hw1Frp2DluhniVfmjIDE1KTF0BXkoIHVy8rA59gGB0x4aCHIHhNXlSPLz7 uNDoXkDlb1pPGrECwLrU0+UBulgNca7VVgVzp/jVIH6uvxYehX3O8SpMZ1aqUHgVKDwqNnO06edK MAQLJwSBU6LAxRdZMwAbeH6Sm8i9HASDTpiX/ju37STaXtE14m72SBP2oHwNYVd8KHQMtuemwaw/ 2weUqGB0wZjevQP3CI0tpndi3UN3FBIvkxrYYV1Dn6HKcw1lMvpBI03AY7RFpJleBE+Y/MNy2mWX BV/ZSdLw1wYZEAlDdTSjFTdMtN7WjtLvdKPfOxYhDf90zvYb3F2SrJ0evtoEjti3bw3SgpftO2xv fkoRoNY6rDaa/nKms8sb8iuN/cp0YsMT7qX2B49MrZGfg74miQ+MCHx/RL0Xfo2I36spkbyk/CyX H7/MESOEBG+F0xnpGbjryPJFQA0aICBTk5MHVc0vWeLf8dGSiNIDQqtd8lgQw1EaHXfEl+pyGCV3 iNSP46nM6jDJQHfX4Wk1fBlzH1HkFMVd3xd0dxp/+Syfv5fnmR7wgnPtIuWUC7cxhnCqYWTxG020 /B3gzVkMGm2zxlf4xfuO6IxpQvOr+9e510PAU4sUTaUBqeiO/qqa4HaS3L7bLkQJplVv4cT+D/wu r7ZyWMNDRICnaoZICCgW8mha7wdPNwFQMQDcvpCgIbdUTQJbz9Bc/5tOHJMaNKUSgS1KraRTDPEc 33SCLeQuQogwAQbIruXDjsGOP8c9aMc9KbPN+AwD4dR8H8iXwu1FnsjhvHfjereGO+MLx1x9/PfA IRMvBR9jQBOCwtXxTgYuKwSpHcmtGasiNr+foc/Mj+fYEpB1yAp0OkMxuGSPMGtPLcwVegrFwvem viQ7hyaW1ov2zHuGBd9dW7hH/LPmBd4iozbznkNkbms9vJBbRrbWuT5LwvUUwhaXHPcQNR4agAGy QJbKGyqQrrboWw6P60LgFFAaN9+tGDvfqhGfbBPN9ZIjLr3dEeZ7F6Aamxxw8jrKUDAUVPjnHE6t VXuajHE1Plhccfe30bOpSYFK9AtvAuZNDbRUMhHK2XHXCaOXsnKUf0BJVz721CwXVd5EaYHn717f 1eWaQWgb4kCQBwot0Lo1jjX4QXLwVuVC/IUmxQZYA5B9TgM7+oIOqdkGERrevm8II6KzqZt3XCPH rUae+GbQInXpjndyT/F4Q/ReW8bhCAyDAiuLHCFqFVHB2wAVd+EZT7L6dqkmM7zPGGMSzQKfYd2L Mk+vQw0MUZKMjK+W0myF9ALqV4du3skQtO3+LGfa1wo6QP2z9B6mhzmIo8CXRZQRo+QNsvmRg6hc 5pFlo7/1BmtuQZGVfoWrhLKjg1RuI4rSvYqKe7Clk+ndnhWg2V1o6dUwJqxlnHx1w5KFWeFAbht9 McfBMzUG1zzdfbrIaMrIfjsiN9VbQ0aNUpbAA9k3Qd0nzCj5GKCM/2Ju1Qun4cgyBNNqXh3j6U/k t23SQxFZUTOVHXuXfG67cvgs6cxzT/LzlJCLo6aRTmwPZbj8qjbfCwwrePSolCt6+s41TmhmM/Rr d0axwpSbt1prwAUABQfWfz/2KI6PVyzFJzplb/r5WjruTFJ/8Vdt55QEU3K6sW2WWVjVYPYmdOO+ L27fRq0QDpxCxjJKc+omUx7vU+4jlexMQFqic3if4JqnNQLrYYddESgPfwellWeb6BmMvWYOXXpJ JE8XcBwtjjMVZwpdy1KEyqO5A/w6r7REb6sWq1pp7ZZ4jKLKcEFTBy9MaAG4+2rY1UpD/oGxetqr MwlhsFC/T9qGNxJcPwiACSgLwJ169ZYhxEzradzBzbPeZrX/NnZv9HcuFR7NOW821i+P/DABwpys StZ03dNNEcXfQ+EODKijekJhAMAaDEcLxHmKiIbjSUS+RzMzmoT1sQnYQoOwlKqiXJkdahk4xTzh 2I1EPzJbC86xUcVMwUYKoTjhyRVMk/ipARA/W8/3QToeoKP7S65wD3Usx3PLBq9X6v8Rz3evfZHd 9GxO1mSDx9G0u5jw0tTRb2jFCjb611B1VyM6Tzm+SXuRKsmtHQWm6wc4qiJYR23F5jyP3b4NwY9e DZOOuwuOsr9VHTy5oahYcCWMH8r0Fjt+EOG61/tRTN5ielUho/InzpMLwkGFCezMN2TvM0TDd+nh qiK/NLbSxQDSGtW4eQ/YtJQMq31Ope+h809ORxgtOy4vhQlXSsl9S4ExAwNaEPW9vZ9a6hXproZ+ yh9SoCxRfDoztugLT4z1A803fDrk3se7jKFMIWP9gOD4iCYi464AbnlVYMQbQAZk1ewMJK7g0BL6 EPpdYfcQa9tL3Grnn+wnIAZbz+W+K9Y7FIIz+YlMoYHvMlw1myihzAOJQe3jxkONLQ7dH9b407do KHgQH17Lr3e3Ug/BXwwqqlLQNQXazMX9o9w54PShOgd2jOto+6GpI0Y742PNyc19FIdHeZy5pcQn XJYmYoJ/hYkelLdHG6xwDGHnwohiGVghVzfrzxqf1PWBNeRFcaacfZSaiE7miNDXI3QJ/7vQeo8y hKcPw4wuix+Il2brhIJ6jmPoPOKRzJ7+GBnClWX6m8ISp4DbUmqv2f3QltBYv9dAYGrvZd31qHqU mQ263zhJybCwcf4iDfARE8N/de00BWG9edJQv58PcqXlQOWPK0QYAG4z8pJm70aeQLeOW1+U36Af irUuFKyEE/kfncSQZNoslp5WjMW+KdDVl1684h3adZlU9sYVvWkZcmBtKZuNqm5bHuJC1lGVgLyI 1CdmMey5d5J33bPTrMyY4cLrhtbHHqVeXQk40ljmPgVLb3N/kfxTfeSfjNCK+BViD5wqIllVT0XV 6u942cTOaMbJH4Umt9zCgrYex1La7oBLisO1Wy5HMPz0l+lFUurrcATGNC2I8JrNmqHH4UwhU3yE wbv8JLV4PbXiRPXr79fs+qrWlfHElZotJyPpDJGBCUntW7eNWwBQaUH6x0SQlL73WRqAigB99gCX hv/eluO9MrxF8mvGl3i6gUV1rpOZBqD1YMI0nRW9eQtJz8ag/N7hniqLR+cXnw5BJAJ9o9GnMupK O9FblTo/8LQhiOMALUKkGZtevmP/hNCissHXOObO6AhnZAT3kiu8VNtS4DcE1+fvZXzx1mMoxBXb eRzG1i6Mgm87Inn9iHYeoqdfusCBjCTTIibLhBfrkLNnpA3d7MxtRUV4RE+SSIbvHfBEifp/nZSM qRhKKJ5WuU1lmkHvV/XZ/b4vS4KI8pqv/KkyeUITsoQC0rrDiid8UfiRvj46HL2+qwELSoQhtNTS CiW1pXsSdmtPGqP8wznORnsw6UnMKYd3ud4aXibrpLQ8/GVibVptL0YtfmR7QnsN5wYKlBQs0RjE SzuTOWnTijcg+khudptyp4KBn7lwntoKTzuvCtX+7uzEXqhi3dOBGga+C2AX9HYCU4Oc8T0L3R7z 2+dV8r3fqBw4o2JwsV6G363a3rZMr9JuKmHzil72EkhXTfu25d/T0Sj4jbg/G2GnPAVQXV7nJvr5 piRK4CyGjLYptlBGOpTnUQ6k2X12CE6jtbs+ZvwpjNgtIuclk2EZjMiHS6Se `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Uqfmhhe32U+grK02JBnFtloD9R75vrZwSRuZPqR1VnqBr7XmHZ9tkHJS1sJOLfG8Zd+796XYlEPr esn8WdZgAg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block SQ2JFvkiP/6Sc73sJ0iKdnS6VCowgR1u3Z45BvJSh4oM6G9yLO60+MTHZ6334rXMreWy0IuTJVfx YsKGLRIp1v29jn1JqL5X4+K/1XG0/oDdQD8qut3QXA/Sr4fQEfjJUYYMqnTvQt0dKUWt9V0hhst/ 25yj0qy5VOBC8jSzi6s= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block WJ/I+yHH8FvqqFy1co+ttqZkj2uaQDeZQb5hC+1bA3SuWwRCgvFumZLJdKX2yfrxkBeND8BSdhek yG43nJnIKHgmtCpSTXIqDmD/8cG7eahI2BTK48q7plbNzSW3CZdXSn4RL3CL2JQCZKc+m/is7KXO DSgsBSMWyLrYMnpfcolh2Lm4vV9btRLcaBz+68qYXExE1DCa6DpgDDy4qv0YETqlkq93dQ0ha/Rt H8A3DG32kmMl7yK6s9PMAc3GlAp7xwDBU0GPyJOd19glVdCAAIBSPFIBIQ2HHLdJSYL1Xp7anroL tgXNTs8HJ8Zv9Uh6cWotDFfPYSYyjwyUnbn+hA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block p63c4l9Cue9D6FDOAkQMAJUj+Hg/3ruXtR6nWx6J6ktFuaeu2QHd/dww6hkLZbBhIyG0LXCXtPD8 y15+0t2dgRkquT+Kn7umI+RIxYI0YGMDxmxNW2oeJQyHBYUIcGC3Imia84h8pjT/V7z56Kr5XfgL xs5UhHtArBz0OhWEXuc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block KUDZm+F3LxExF2Qe9dGMQ9l+lzR7Z9eJz2jl5fXRWxdXkwrIZi+J51Qb9ZqMTVb0RUwoV1+zCLYs XMnqhBhAPnrzL0y+uggoz92NQ2tDaAWGNPBYI+Zh6/HWnieo7Pi5qDrVLLqFq2b3vNyr5775+Kop x/zQq7CngRYoihhAXk5plZioD3eP/bJQRN37016KTYtXod+OZUGLS99HPEEyQITYf0pvagARKeEs kVnvueeuq2ktx6eWYJFRI/hTLJV8QXZZoPUMdS/Zxc8Fi/9yTVI86xCXA+ESM4U3OBGxLhJQLoWl vjV+YjEDbxzMzWDuHdg7cXYygWrc6k76gB+Slg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168) `protect data_block v0jjqkX5YBHW1GSd4SbkWQ75fs1avkUdjZMYzmET2csXr7wGkNxlY/CAvt0ToFua6xyeTlywtDI0 F8p5j3umE2mwUDDCONVj3Aeuv6FWn8r/IOnMMeRWfmadS9Rm/Sh3R4OEHAE7U57WwgiHIKPK4cvX BpZdESmiW05dh1IBrBYs3/Qsm3n4sVT7ie8qFDk5cxNK8o/DUzsuiyqJehFyt38RW8389MHQ/XCz uq/8uEm0jA1tq4czQ18sWdjsNpEqf2SqsuKqHYKcBKFhTMGKUYnefg5U1+CK3wYpyrhg5wUsaD96 iUFx1wQXEl84ik3qRzq6hv/TSgziiiyWZ19vA4vAB+YQgQkUDcny0txE2c8106EOeyJ+Z0h7mHoa 9XKL2mqYVUs5iJ6V3GGOL8PCaCpa1DIeuTH0JnXrn4XuefgI14jhqn4NZfSrV4YlqymfPvAXpuw3 QuAwWd+a7XUGTUzlLsIz4Tvj3T1WfGe6VJYYROPN3WCwYfwjniEU1gEcU5MpesQGLXE0fBue8ylE t7JkUHJedskRxH/St8X1oI/ZBC+DT7ADw0GwZHaHCe442kKbaJNZpLtziwqAFz5Fefqu3hBHI7Wz 87Xrd2cvgbHCNKx0PASmNO9mAIqYnDP7QVqB5DO5hH2JjYaxUHclkqPXRXoEygnN7oxzvNa2/ng7 GBpquLb267I2ixn4JWa1i8Vih2MTHL+Wk+8H5/1OhAnNS2+fb/ysVQg0mEDdoKTMEkUD6xq5K7Ji NZZ3H7bd6wzXUXduzMZNlnx6B9AIOGXl8ph32Gzjbs6nhhjxBU0b+JlSvYKj1WzieOjxr0iufPTp 40E3qWeaLpKdS7rA83IRg/qZM8b27zbCVmLZXNNk32uI4Ptq7xyxaLCkZFUxOhz/EmPJFRwXUQRT LRpZ9XyebGE9jB0CFVEwDsrlSW8D2FMrUxLUUmdMhDZzrnpQBTU9xXPQFy+h38cZqAC61GupCKaa MjUh+JlYuVSOgdPieHuparWbVLbGgeQK722szQUTZ8rg5wKABwn0UTX4HilsVf+ySvw8wTIhfRwG +0XFUhAtJky5m+HGevma9DBipvAQ/mlGsrTctkUC1OpMZX5/4Ws1nWyYiwsRUeLHnOtemZT3Fdbw DOzRJIio2wWDQd96ELO82p/t8mjzBMYTT2nE8ZCqqCDm/6yl1G55DYclUZsafGRUqFkqiIOWYD7r zWolrEhAUVcPrpe5I+ZUD8ullGpoI1mhOqxRPECrOasCCl81dhLu7KJbEEpMIPJ6kGaH2MFg4SZ/ V95+XdEKvCzKLvpuoqwM2Xd8LDTl8yAwHZBodRB7wHl2ivSntGDG7IrdbU07K0faFKEuHUq/UOMt mb3ws2ps/bnMH4EWSOloUqiGtzl4cP89/5DsOLnT859LBERkywyVBjIIBmxIpV8MBKCTJKpQCbuo th3K7zMZ7NEcM3iatxsx0yrYGsCjiDRzFtscWqw/V+6VEy+YMjXO+eeFuCEug/kaPRlvzmSp3G7K EQ/MZiU6nrmP8POzhF5icPhUeSn/z9GtEj/Jaqw4s0yx5KHHJZnAg4lC4LoTpvu+L+P4nYlD23oy Ylb46sgwdOFO5jsXKmFP+zaSKmMSNg2ztz0z12+R056qryP0LLeMubDj29+Kaqy/wAhfuPr1mUGu QapJ62DMxS6ofMYIEEBOl5oUh2rp2cih3XLY3yXoQ+84Y6e9mjPZVwO/ChIgHDOdsWIwy9h6FwX1 se7zLsIRvi0wZKs1u4CnMwXj/fBTk2dM55NlHmZJ0uit434cOTHmC4o0i5aJ3HzzNUcnZX184i6R Bx9PThOxzsURZMwrD44WWD2teEJIJ6XK73ny3lRM6RxU5/vjOa4AXaVp+a69VDjcry3NGGRTofO/ VXnw4F4L8fcvBHJ0Wnu5f2kEbmM3N7B0oH2+CTl9OoqEzs0ahfu6xGFkpsDMg8m91LTN9LS1gFPh pUr/Lqq5rR0nOPXCzZkGWdAZEPM1ltM183BaorMMYhsa6vB+/DMUxjTFcB1ExsvP6YrP5DmqqF9L VKES2ui5SzOWa4pfaLDHgBkuEW6mwTYDNqaev/+SzN107jKE2AZCNezq+Ol4jbVCWtN47t1fCEXs +riHZ6I0uqAPOR0GYQ+WSBhfu+BP64lWHDByjaLyeHTt+2q2wHm2dxInOUtmR6cGyzEZR/IjGPox forFsj7Z86ePnMziDfFDLvCLPooYUOapI9W9O9r4gltX/JXWU+f4cqIOBsCQDjqHx/NdksPIocMd iunQy2mKZzb4nCEXAcP7fZvAIIuE34IOWty9xYyxvzmUJ52BUWo0JTrdwYXFfcA7ik3w9GTn91vo QB1WXVmZEge2sklcFm4Ncym0O6j9jQWufjujJUGCeEcVzpi2aeq/BzXuySgc8b+vcyXli0mEm/x/ WtERMzY1S38TMn1j6E2IbR2bIguKIQu9xc3APp8AKpQtt7hoyqCw2cpFS+DdR+g0MRdiaFjbIur3 3YqwTHvtoAkz0ftwvtFqjX3ydO4hsgW8TmhjazxYbYB2jj2ehRbL9ZwWGWfSFZ/iMGL2lpysZGdm 5CSXVOgwXsCqZKS6VK5m4O85XZI0zx4NW0F2Tg53XIX/xF0O4uSzha/U8V9oJhq4jEv4qxs/KZcO MxafCE8A9NaPzX8MKnT12iQIopjMX805JKCk/0ZyYqUih0B6fVSikLGnt7AkDAdsUMhL5+HjJDyg 09wcj0wnM/zBTMlbXMx0UFa4Zq06c2nMkpedL2GEbMGmRb1/G+EZIZTgc12nVr3cHBXYA9NUanLy 3oyOHvhO8ckKTrmH9RblZIZkrc5G98droz6EgI1W7Hy3kZKETTGPwfqAibNpEZyTkMdxl4fTpXaa r50s0aIOO8evLlJ5IgXvct8RHe6qHfHEJzB0CxKwUWd0wIQM+ZyWwrT9zz7Zb+1PDCj61msnRsZl iXW0mTaCo3S7jhmL+7DYLpIir4ZtUiWvgIqcLfCf2mpppeyakKwpFpaKGHX9DCiBtlVBvkmfXMVG YcQcRPt5/6lfN7qzPlgW1bH6DaEUwADR6CY4CoDAZRjHaze4fLI4vmTYIfjsBbp7+7WWHhGFGeHh QplV3e/hmRdUUyNyMSLCEc2+hP00aBgxGOD/tY7YJ8L+MVBxDSbbxhmKhQm9dEwWC+xy3Mudp00U QCMJiQzgtN8b94wV3RVWzYY9RBmMaFTLp4evr92JRq6sTUFQOjyTfjJi/InGqrDJQTfF198I2YNe SXgYczkgebsAzjTnKO+tJinUYt++nS+D+2i9KONrKv00/S/qeKuLjqbISB2RyxmgK501mO8rggqT 15aX+wuC1aOoUYN0kmh4N7oInbLI3iZTBezFR2ojy4A/Y6PJi0YrZtGWtvwoG+B3Yg1roT5Pbleo bgrpFT3/vycTemdsG/SDkc6Ojr/YMkoN8Psgx9SLlvG+WWzSwrBfYkFwltFHRdKGngidP55QAtnW K7p+tlwc6Vmvmosmf0ngme27CMTozu7ue1AEO+woGCo7YUfhuonVL3AMgc2OnyvuOIlZf4/QfWqr 9PX81MLrWGJA1YMpjT2PsOorbXLPPo7PLtnwYOt4jn7RwJjbWPfyY7+Ln0Xuh2vSpOiUrd15t/WS M9NW2ziKyFrECRLa6Qie3IfQXj9QpvRzbJ064AAuFNyrQCl04k0zQcunVeQaXGar71s/Zxw5RVnL FM+1VMnrdSRDyqRV7K3rZakudbkpMIzL/rS9yQkcK8ISIDO7fFuID0J9fgvqliORZ7jq8kM23Qph wEfg+pyA5qqkf7DSR7wqx+b+Gnq84uywN4YG1iB3jN/Who7HYZqRW4K7+5k6thmMThUVR0blOyhs zPSZY7/o2UC5s312JfUt6TW3Pn04NNQTIpQCpoWq3+kuOjuS5ct2UMvrvI3Ze6fIroUo7RZNGJrc pKhZykA2XCv6A6qlfvkKCwN+I1p+tQdm1toOV6ksfVe29UBrWUshVsSpl3du0c8j1onB/XDYgNgh ovYnrSZRBatNljj2pQHjMxqEOz8EsS4a2xKSe3bQfqvGOKxqnssk+vcWcZLkuSWel1bphs82MwpH uRYiJ4Y/64XPHwDp2UpR0VIIGlRdEljuTdg5IU5CjAMJ4kCFbEQ83Kl6xxyxpmK0vMQuGEz6LFaP GfJFd8fRJHyG7j1wzT4b6oMeffKOUGCfwh7PehyTaasPL9KM9RoCDERr5pfKr4+GNW5vcfMXKC4H qqBVDU48iMJ/XbdNJAHVaDVrFlUv/bk50Ec0wJ1sf/2ITb5Vqm+Rej0+SMkTDJsooh0AlNCY9e+6 8DSuQxUyQ81DbtvqREp6AnFJxBW651zShsDphaHQhWu24I1iKoDYVJ501uz+vbWNxo9CIl24kPk/ KbrAsKkir1AJvuGegZ6rkTS9Ey/CXM6C5o7x5RkrQfKOoMdWQl6tSfIk94sFacE3GGE2V5MinzAf xQLwzAnD7CJEWlNC+THQF1jJYkMdzl4hpZWSnFf+rqCtJhMsghTvgCBDxIFYQO8OzWtt9G7/kBQ7 QpCkbRsEkz/+oKRZjLf+I79PFckws4XOSARtv8ZxWc3ssis2uI3Wyucg6epjVeCGU46eJcBrVMKa oSO0m/TQyTyK11vvA6L0CPS6H9vcJCrwCQOIPExG1Cp3GbgHGppE8LZPk2hANCZmjCJLrU59/4lO 66MASBfoa+bqHT8KZC/Rr+S0CGU6w75e5KWbEjHRXjOVYU3ZIu9NM+6q5gb7zHt3sP+XmBJYVgBt Aqc+8sVX+0KQheLVSQyB+uHrUYlGMGwXWtr7Ukr3Hc92Xalrg3DJPfsCat/KM+09+VYxnzwuDlZJ ga10moUiJZCxgfMY0RhR9Wwinget2feUWk1MSODMFbY59mTL2xBb4b0WQFWIByHd/HlBIRKhg9Zl 2CaOEt+Kr1wsk4c/YhkgKZQwzvndvqNQixBhnSb/o3De+f6MxOH5ZnZqcyRd3NcrNa1Zsdd7rhpB x/nuTL+aPpzcxVIke9WMoqFhMgTnNbrSip+tRAjMK5j01XtQdEQtBBW7xLJ0Qb0qTPpUKZSae2yZ kIaLZIzx6KRV+CQlgyqbBZFvtglgFgBx4uoS983zdApYN/3y3eV4IuLo/ASrSl3bVfpuX8fNAN0c D+/IttJhXT5cGoObuzH/lme+1U0AUbC8vu5fhkih6H0xrFrG3DXPa9Rw+xvzt00zDde5dAabRHOF c7/iBgeTNhQ9aj4dsSzAvqqyZZC5/W+mUYCgpaAishpUzRtkfLXO8Zj8zad4oNUNU4ALGbzZpUaL 5LMoXCcknvRYFQOuxs5X/2+tzNe9RopDClURf5c441dy/elVyapyj0n5TpGJ++Pyl+WQN8jHoDZI tceXcBXAyRIabjnpqnAbSgOxs/pmLVxkTgf9EmlINEAJUHzXIS1s7FpPc/LP3mFgqn17RYCE2aZ4 mdUM4iBPgh85vjEKhUfWxEm6LJ55SlgmnW7RXPdX3eWUObVbzkR4g80OU2Sg3DF+xYrXTFvOvN0P HDUbJICtN3U5k6raJNVhM8s0588W0MjlbAW/KyDWnC1L+OgigrTOEMKA8K20ZugpfBX7bB3F8Zkl hqr/BWLoeuy6PiZbi5l2IGiYWfEs3R1QrGakB6+/nOzGzIMhBDuIzoRaq6S10B1bzziRi+ApFdo6 wflOGFoGRhalvRTAYUDThiZWnWwbAb0H9KKVsF9aU2yQzuCbQuyrNgQnFFHpLgHSh01KPTvx3tr0 XJrX8X1dNHfpMFv8blY08FOj+AKsWrMkBywmyCGgKpKsDK/66gpxgfvOvzkxu7+kMkO0MouRRYlE lJw+i20UvjGqTk/tUIcTuI8cNgAy5nsWAztbGV46vRwx82L/tb3jG0jCyGXAAwxl9XJq1vtPzlMg kaT+y7foB+kHcj+l644ex+jIEOfJtXtzrml4Mmd7B+l5lEHn4W/ILuS1+2nsk9O4zxeItXBPeJGn 7cXwby0T/ZFbZl7oAugeam3LRzP8N9KwmmEMkVsux2vSAj6glRRMfuL7tefUoryHfRC4ph34IFm/ yvdmMUt6kBoSdt8JvziIbc8AktqhnhuSaIyowL2bp3Ebnur9EDbpdTlnCV28uLPFyVTkS8MTiaJk N/Q6bT2Nsx4PdrIULKaDOimoLiccpdBmrLbioWhYhyB0A0prIGd24k6L02ItmezTMIu/OkSpiSiQ 28Dkkr5kxHFQ7WEOLLi3GK2J2oh18hJ7LGwE5HpljVTFvPHI+APqxla/YhHSsyLwO+FvYlxWyjWU IaWeatFGN1+v59DsXlCAp49xV68cDmSkWNmYbvmrokB8dxCZKONiIV0Ia2oq8oWca6cCNKJpGTK6 rQgDY1LxF9GvQihJ96dBjIBI9V7Iv8XABJCvrpPU4h1a5PRyJYWpfrNRPm14eJGfZ2mPEbYEl1kr ozi0TCd1Ko6XPh7z2McPykTARK5/Zr0PV/UcuNoGcjw4TOBxnBKKlk3XHCStHtCjBHVjAWuAHaR4 qngI3LucbdAvn3x/nDWAvE9/iClYg9s9m9FXlHnD6HIFPmTbXQnrCRpFJTvHDJHok26ChfKde/8P MPupIE2BNq6IWXn10VTK2wS5TNhbhVwZ+jUbfHMxUc7lk1589ij8pcvjZTBxFqA+63dXcZs78Hpj 7yhJxYTCSbizZ8pdox/TRhp3o/yfhnCHizmq8f/qT8HXikg+vkSy8Mj6qAzcMAKRQOgvYgp0EsZl HbDoD6T/qYTEXVVKTJrRxfViGNteVLAKYD8l6QKu+m1r8DaHKrTKH1zJRF1+br+cLE2Kgp4uPBXD RbVpjCkyUHymdjuQsmxFVzgUAZdeYCBYWTHl8s9c0zvSI14GUbuZQd1vip2E5FNVh2hDxt1oBr00 qJiRCMIa3JBuGvTpDmaX9J1LRKriyXabFBY/rjLuap4igYv6FVGBA2qkTeaWHAqly7810G78HKAF BHNGgqa3xHaW6ZMxKqjNm3UBIwnOOjMJxF9YYIWdbjvU8ZKhB4BIU7BYOkcjdT8UokOSVO1jG2IA y8zT+TN7yToZ5J034wYB3DLQZX4FVfFlNszAT73l1iC2y0rd+XXJrlgiWgnrCz059XZsiKgBrJyv RHzYvCn9COKFBaupsO4y4iXU2x4+yDJRyb/5TqlMNHfqYLcsqba4TckGihwZs+VeQnXFvyjLBJsu Nj+WUjYKFkXa5pmIF5iwaSpoIM8ipfAEBws0LyWk2lzNK/QR/vVXkGlI2IA1khxK/HG+Qon+buBZ nFyvTNYwArz17HpjrYeVHzjBMLauT7OrkqtrbJ3LPQLSZCbTe9niUiH2ylsF0AR4lzGcqbaYkQfu ewTd8KEsC8TEnnTRj/An61TPfFLHMidAnkN++C5VVmBjNZH7+gd5cQC9vlzQhgQ4sSWwiioGsLrK b8KSmIGINJLBn850qFzEDKvD8e7Ngqxd1MsLLXZi+mHNCf8SPbsMD8w7EjMcjj0F3Lh2tj3oxAPC CLJoVdsmyUTTjpvTIHUPpxLU9BDsaU7kXV7pCyqyMDvNg5Pcj5MwsCmyf81A1TGU6Mc9pMymdoVj Bu/zqgDE+R/fcdg7NDFg72xKMIWEPjWsEHg14lZJlpVdamsRsLfcTNG3qmnb4T5xsjoIYNSC811i I9/4298hGxaV6HI8e9Re1k+cjmFCLoHQk0EmWss8ijyorDGCVoAWVmF73ojq9GST7pMlz8P5K8T6 QX5gT2xL4ySiGkeK55IdE36lTmocT5TDu39w0jr5hPWe4EBo+tQ+OjSKInCMv8gXxUi/hk4zrbip ayS0+Fj3nmv/CIKDjDwYLzia5msXAHrtg6UksG4vyJrnurh/GoqHSHd9/fzZIZCi72n3U14nL4EE LB7jOge048gslmsP7KWqXvPpR1kqKKC0y7xwn5fiGYNWa7fVtLypzpb1BqjvO5zC6IherFatQ6Jr gPMs8MTeLeTObkvSqg22DlCNSJOJfmwmL417v11hbbdn16MQUMozjJgBpks0J8EpB1IUVhOi1MFg frZhxx/xmiMyfGe1L6CoPF+3Ka3XJHoUv5dGVWk/cMun60Ip+mPukF3gW9FfK84phu4azRWo5EDY SfJWvgZ7v9W3HzZjZ1RX4O88bItGuvRGETKL1rT6Y3rjtqePKgedpbezSFdftIBl0OCZ2o/gm6wE dcNvAWoGASL0c3Vq2UvrWDeMnVXa+XXQ21e13nXuf7MPvZ9kGhBb52IMY2JbpE1/IEbXC02O4ijJ zlQIdxO46w6LO1Hi8a8CRVow6xE8hUOwkerJXc5eZfWs9NqAdluMr/dUu0PO7Mhsc23+8h7IQCWw lQ1GXsauQXSJgbJVSlRy9uWCZpzsH0yb7r+vMZelgkIZ1jEkOkRkNj967rhMFD8yrgGjP1NmcvbM 6kAH9wG5rYHPkIWWy47Q7UmxWTNXubMU8kntTpl6a/juZYO6PLoTztgLLa+R5K490GqeI/EpBszH saY1+3qYnn/j7vapOwdMZKgk4X78NRaCIqAZoZWS/Ruq9JdkItaUCZTO6oMGrWreKfy+gugKNn91 CS6qeO0soXim6nKgtUHECsjsjjOjtBpn30CW9rLBsJfz4YtW5BKpuT5OZZ1sMBOccDQcag1Er6XR UjShiTZRKponwgVO46yoldd0ZumB1aO9YwvQ6t3piEPSwX7M4dWGMrVlnt+38bBI2XadbUuDNXQX YsAYg1o1jJwNJdcZidw2J4DgWdYugQcFmmQ/AzP3q75yVuwO0uEC4oyRIvBHYHtKa5zDobZpVggb IeDSfea+LYAKYw0u8k9fGUX7P3YUwe7fpZnpzz9xc8DKWT6gnnMFY61G7Aus0/jMz5VlgUDNtG4y KHjbaFBMwg1ybUmdBJUm9eDKuoW4vslRsx3Ofr2po10RyP93rLXPZMnm6+UJs9kGTNk5TnjPrkJw mXRsGj8tKMIjVolbRege/W6Vu//zgSD58rsw23W9cA3WlY18CIomY1RZTLgiGXWWrOl2PB3Csm7v ie5PGph533jgUR34PEmmsiJQjtnJ61VfYt8VN/kQ3IzOUn40YOxD/tbVu4C1QS+bjuk1L3i78kJy 659I7MsA2QM6AY+Vd0B4UB9gdWxdtS614PMQN8qwC1+l1by3kcDYFtbZoPGtOmLVjQH7sjEOD3MI 9R5cUovdH9eVJnQEspljsT273GIjGFAWG2BLBl3N8YGxP0S2b8Gcsz1UZHU2klbW12Dc4qkSHESu GnhasQ2UVoUbdwsHBd0I31Br23exRiNc9GnBoE2G8FHoeiXjqCxRJtWg/tFK2VZbLGVMvK5H8p1+ HqI02+vkUatZW3K2QsE2GOtAy6cbrIWRiyFuaNlMk++H0xxKQ1i21n+jvoZZc2Uk+ZSCV+ppH1j/ +GzZ+39A7Wtcj5H3TTTeX86uNhCLgJK5FtaAkEkwNP983oJQuH09enJZqldp1G5dOl5ygxLtjLV5 FRTRPZNpfErJbXptNlBHbSM9rJvLuhHNRtIk8zAGfzm4r/pA/+twKmwUOmTrtVFjZjtDYqexwIpC TMJM9s9k/Rap5m+cNKE4TjzWTcJawS7a6RFd8yfsbyWTeQXU43cxwASOz3+W7Q221ltQrotQFXOs pjrma3h25xZRGK/NnIGvC9JhhzVleGvhwPQSJ9Ic5Oy91QiYkKgolaBBF7nUClRAD+/b1Ak5prg2 78rsN+uOKRXxyjDG7c9FbXpLFbWxPL11BVmkZHbnk5ZxwfrgH+Lq8K9aqtbQ//o6RtKJ5Xoe7xGr +IxEJHSLy/QwnRYf9o89z+oXjIAxSb8khWqTvmjaARl28u1YtvaDFaQcQM0Szf76QOavILGunWFP cG5zhUCYzCJxnlJI/flLPl3Yh6WCmRTIAKLK5JOA7CfQ2HIBIn2a4iZ4veyU/nexGaI36fqtKVt/ YA1szf34JyuuTo0MBhd6iuXzipzs44AaZfV+9GZDS/+S64Zau8PXaKjKN8BJq09/fB8rqU/y2Mz9 jDkrnT1LlcvFFFT3cWfT+zzurCceVapqqzoPVnfvN9/CKZvYM4xNrTTSBq4C2Cbsu6eet1VNI1LV Ou+b1ZAFXiGUPMuzl+hqFkCvuWsIoRRtbUUO6wRvP3H3DxFW+zRX0L3fuHdGvbIJofeKhKQuYvCH QpWCAJIoulk1TA91A9tI2ZmM+f5xpqw40CC/sdbVKh6apR/5fLmTVZn1OflATz6UtELJF9NN6+jh H76klqLStwTGyZRckvpEup+8Mm+hCofQPfzE8JjxdLoNY9FsZC1Nt9McJRPUweu4ODpIWn/w1wVj vkrVKkJLbQsAI6qXpEZDdh/FScwl84XEu2RFm+WCHm2MjumUEwctCYAbdOqogITlJbGX/HJRrQJ5 SaZQ5pbkKVHmw8lxJrNy9+1ytUGXb/k1wAqHF/ZfrSbSXh2hmDjrY+BJ5W02Vehh/0otHuIv2jD1 bTJ+VCRu7+lDnmf6LKcPnpY/hvd2Wny520nCAvgKdwQ8smtM7yfTSbQ3UFiqlaD/zILAXAQYBxZl Ll1dnlrA7lvTCz4bvNSvi/7CMeEWUHf90ZS8JBS6Sn2oMKakjDijosfOI30G8OpP9F5KKA1wAM0O 2UX2g29TaP+vcrsMUbgEBFWawsVP+d+rAXLEqMJIqlZLB+uwOWNHD0j3ZggdMiL9wTltRhcHzaIB qEJ072sg8yjYeQAaebRJ9PPj6XDJW3mkucpA633JaV557ucMihEZHnSbF+LnxuwZrlo5WllbfGgr WS16u4Mb52vJwzxXvqVRgLJ58qt7hmBzW0xc9kxTFOrT+TDiiNYTNXJEzmLI0nPgvAnVbLs68I95 s71didG61G2iNHvtmTthzXY3ej+mXM6U3631c06pfpPAoORKuu3Nqx9ddQvO/6Gczo/WburBNGP5 zgMPtQFaqvnov8LYbfLzjyh8JJ0g/ZelgYxM+S5B8NhxSk0eJc+RZ1jI6n5ihW3nf+fQ9/hgQ94y 9456Wbh1/qnCCj2drvjvNz/mN5fBvzuB4M1f/3b4+8SFEzgBiGLd6LP+rrezO7Wl/TfV37gCdwTY 7JNNuzfZKU/aZ7Nfjp7exUcuIAgautOpWxy6qgtJzVtdYIQ3lLWPe0YQnEvbAPEtGW/Rkc8eWmbX s/R/mt7BFNb/PGUjq2c6C9jDuhrvFeKa6Amd/Xf4clYp6a7NT/W8HSBGBCtRmdAmi6IPdWm8cIjz SNAFi1V5klt/AdkeTmjet7C2VUJb/Y+eP0rclLVgdBPLduiP6Egcu7PN5+yu6NNr+/0HgPE19TCm 6NW1HnLad3cR9w98djdN7/4nEzewssFlQ15iBGMQDKD83+I4Cntb0gMvmstA/Ov3/iXf4cOI/FtN n4D+lfyZXUp0kd+kHAR53eM82yXKZ4Y3K7UOTvepHO+54YzAaFJJ56u3+yE8cD1cVMHpnHogdkiX Z2ZrS1ttmlrZTEi1AWN+Exa3R4S1ti9nxllG0dEWB733dZnCcAp6r6ldDJwv41e0NE2WKjOKWBiY zWT7ntZNnJZPpOCqyfs06hDgRqCUDjwZvd+R4c0sXPDqSsqDBjV+22rKit/pmiEYaiC2adZQjdOr qBv1FYABwmA5L3hbXLyHpoqnVIR6LqJpvepzlzoSjgdLM+wWBU+RcK2lBVPKwVYISyIwPmlvpwe7 2YywefzEjybe5HI37S/slQj/acuMWz7nHoeRx15WemmX7t3wWj9bcIR9WLCSnNA0zhM2DCJHLKIM ZXedJyynaDz2E+w0uwqVmgTiyqwrBzPz33csqbBv+0CconbR7mszPh1sHFPaoKPxLtyaIux+pznB PRg7OMuX/bBlhlplPIXabGUnWNFs4QQ1IuiGSRR3ULHtpEl3Wu0S9gpQDuDEU0cZ5bf0E6zuU6Ud uStHDz4IIQiepaIV/kPfqlFJ1j00pc+/kEVOMxXazRKCMp8kOwbcMGlFig4opoYtdqD3t206dxb6 +BAJkunK4n99HQvWFr6OjXn1QfJnpb6yAfS3wVfW0DvrRDtnOsEQy9TZhQ11bulEWabl87u0KlsP h0n6p87Fzi1HGhSTQ73J1dXDhWyyD1nKSarXecPKRzUfSWHq5pUz/nqJxfgZHudXo8mgb8jlarZo cV2BNT5uFreguCMpSaMjdaxdld/UZ7tSCi1PyIAG1Cvh4Tv7c//+azi69BIb2gl/AjTht1lC5hXQ QjQh4r+C0Hy3k544tbA+IOAXagXOxhYzwyw0Hduq28beWwK5z+w/PPJ0ATNjjsVoafHZhWMjghmO F94kBDWdpJ4j+RtPQfMJPlLiNVqPjzi6VQ2l6iN8QwLY6MAIhk9wi4su2V4C5hqwBvO2SpggvqQ6 efjol97NLW+25UKeOfV65vFFNWVak1CoUGe6Bxl6kDiVEHh3bDCeawmSXUBfErERwq7cic3CK2jQ yV2YQG3SMXaZJodOmT6ShCMJLSHAW0zVxoArBlayjmSQlSptBQcVJOlcJfFudNu7z/pPE4QG5vz/ AsdFK9nSDfXX5kTPxvkUF2pGH1xngIWqqb2pKfZyg3d8y1Pg/i3c4O2vUbKRMxk5j220b2QMilKk EtWmQFs16PLli56G63E+ktc+8XJYDAdA9heuAsTSHWvNcqDZyv+Lc/kSx2UoQh9d4WvEIsywM/RS ginPfcC23sNPjLxMSzTZWtLVSSDPMgXx0W7SV8ZqFR4YqL8jcDUcy2uiNFUkkds+/67rDcjlzsSb tBzE/MllYBukRNEEuRwPWPl7ZsLZ9fOMlbCDInsUjBcp7eWVXBYdn0F1/1Yo51OdZej2KpGhYjTL dt9WIZ8GfOed4dtgaevdP++vVKj/aTlyBiZjhFMZqcRsEO3uT+5oOqXR3uzuIT3aednRzTvQAy3h CJPNdCKyeccln2yvLBD31KwTU4DOqPNYbrBQ3gbiNPczn1yKVJ45Z2/9+q4UEel5oOr3W9cNuB5U O+t5Ki9CajzEW5YneHoQAXu8RRl2dJQ3L7/zjuDyQaPnJ9Lg5Wa55bkDu9SWvAwpqEfehFjSHBql nfqq4oOxDFvHhf9rK733p486cTgBRxwk3u0Bncj/eD5hfnHm8LKIkUcr6eoRiOoy90JB48fcrZ8M BdkW54qdM8Xm7zfiPfNic7905uWGagYBiL/wEq2MCnAC1AwI9YV52RFa7SULAcaQ/T+f9X9cnwAA qGRNkFetGpQosY1ikhYi4SFo2fp+MhWx6eycZYStgr0s/Dw8JtpRnU28xQMNUSuMQs8c+ijNgpFh OgBKLR+FZR1NFRdz0WtdtJm9icgLZZG0IZdaWrNeki6kGesJ7x6CJ/WgNQG3viI7K1Lq1Sy9GZ8G rcNL8MfDfRAI4gCfPz0LZuu9zmA8XVYGEjDaSv1VVrkRKGJhxunp8DiIOXDhkekpYyThqdU/Uh7S OElkFi5G4alTP0oTWKXIIfEH0itCaqL5dYj7OEZIwQYvkUp9QiiBQw19oe0wVyfI09Z6jIP6ZzDs vRxoxIBM4g1WE/rmW+MslrrGHa5JZ8oJCQfSGKtlCpaBnX95Ii+eUoHKxqDbBA4S79F17PXt2zkH RJ0yci9n7MwLn0iG51tx8IdGOxMzmEdSYkbLpBK6Zr2frdM8eKlBxe5kbhCBSOHEisT0tl5znVLP mpAKQahoYXDglW6pTBo+9Gpab3MoBVepi6Q3KWmHFmVVzNyItwuWF1WhL3UPp3pgEiz6aH6kPhxv +a+JsreKyWziewL5Yic/9uLlqugwYhLaTPv+IQP7ysfmGEuS5D4Mvwb8fuwumsXYqvC3gkjeAqBz YhLYJyXN+5YHXK7XgHmS8NSDrjpCFsyN0xb6sH2h/8fY5gzpknInMQTQnuTTYBb946mEPVamycAH WtZ9oUXuI5XqqB/y2GU7kazOhFchEosNzL/c4hVqfzsTRNphoWi594TZ42LYI2ey+ret+FndjNsR Ogcp48dBYKU3vncV8CwApqE9xXcsRGKKJMHLsmkjnxc+lAiL4zEdR0x6PPsv3tujmgclUtBU+gHJ t9erAl8YN6Zp6GcXCYcDBrpPOV9rn1TpenkPo2qfm2/0LwMS8k52Flp6GAELCEv6px8uzD7CAYK1 NdgMgeJjRKsxG4kbFPOoXp1en6OIJuY03kNsCIE7Jp45B0n5XuT8UeXCQpjY1nrhMWb5yANjJ9f3 tXGeh29oRWPinytkNAc6URm2X6fzEADu7NsKu9ZWjN2T6p31oW8EeAicLnXSf841qENZkjorQAWT umq00NKSC3wgYSdNIaKzV4OqfE4k3fiuNLCNt5LVuO9xAt+3zVueRYrvatFhsx2BLURDp/l9JcEW 3MVPbptD2YSaqk054pSyTj8HeB2kaT8seUBEVtx3aM3eOQKutikEYvvunoWQ7AmZW+PAPheasXIf svmjZvqrOzY8OtMjzTjdetgOmr95v575uW5YneH81QaBV36J008xpnFICZK0Hv5WiCxHkjpy6dL9 7PsZzmE/fLnFMvzQ9EpZ7F5/+u15dkHSvIxv/D3XXqzV2uqKyPD25sVcvf4fSroyjlO2YNz//x37 l1sZFj1QnYZGDUVTVR4g/m8cb08isXK3bgclkTmul5V5sDV/BO5qBfau9yZRFWh0TS0BGv5um4mR 67+yf2qAVnLYdQ3Xtam60JBYgi9T+eSUsW8VX7RegkzdnEC4rZ/eh/NCm0JhagqRoVBOflUBpy1m MsOZTviL0eaWTGytxJv6JdlyVUPKcqmnRqe7J9FyfGm9Jh9qodyH9puRY0px0YrouKZVWyJkNFLh k/6fvsf2DAso+c89xEZT9207KfkSzzQ1QmnJrXYGtIZ7iD+qRoJNd/YmtMnOhufEaBbzvJCk/0re o1+Rx5LuRvtgCIFVrWqv8IboAjgGolKPTw160JMaSaxoKK21CPLuN6XEf8KaYv7t+uzI754JaA75 IDSyNF2NpidIsQjhHVa1gRQn/buJoISKuPHbTxS3HYQ4SGn2+Uu2bEWvn2Jg9V9x0fkh3XCmHU7H Ve2eRxuILE5bU29WbK7vFOxx+zSB131izl5Ar6H6b/D+xSj7/DhjthaJh2+5lstt21gM0kHa94LW 9HaOT8fJBAVH/nhQ20pn1ZUGZ1Z8GOIeVmhA7VgQYBqWzzpu1GmGuqJAVROnspt2h/eJHygerbel l7XjCfZFZUBbowOx2DA3ADzqAQ/ToMgfp72KVxCcJicS+z0KKOfwmQkNnrBA2AaohfFmw3lY/Xwt 7vETA5pqxrlFvZdk2zcjnT0cPNf1JKKenHc7G4oS65e2ZouJt75pHDbjR6SN0HC5R4FlZjlIcTqX xZcac1iwBlEOP4e0IF4ZM5OT2FpAP8btlnpmd6WO3Q3Q7Ggywlh8p6YFcE7S2NM3mHLkAccWBxgQ Q/uRGSJ2SuecOiNBq6UWx92662C/Ao/Mm88HkPhexbzqwUXWzxBBZ4nvAman+UF1JbqgnqCfvCNC zPmC3SacgQ6OeyAxGrv6ltAxyZ+MYYjulqWYcs7l0NDwFZ+KMnndBwOpReXQpA66cxFGZLeF8laK fRCJNcYkkZsIEJA3hyovPfRVY2FO5nhZvIhy87yDu4ECpcE9dt6L/AKPxDi3eiXnXzjcXNnofmjD mEIC03oHuz4uQyKE4JOkJz/lq6nhbj6N0l4vz/5W3DKAXSkEPI9qVLlCAzoap/AFHEnNj/M+FKsW OPtyCaimpu1mu+PM8plVv5MQh+fgxZYyHAqFzUh6Vx3RmDR5vmySsyixrpT48/bqrtbSBMsFBeG9 gb4xm9yB2tfByAU7MMEwfTrTXrsPRg8trXSabG1Mx7qzgLYeEksrovW1eF8QOopk5tGLa89aVZpP BexwLVRMhY7xdE7NpIQtwtHmE8nJaYku/g5z/Mz8SaHbbGpuQf+Yahvbf99bAjXL5EyckX4sCDgv Xi0EhmE0wrnJ3z5GAdSA/4j2ti4sIoufSxLDNEiwiFl6UJIdXu5U/GMrmJdAGbTBJqze7eUA/Cem 55bHuFwTnLzdydJqWO/oXeSPQZLpNGeKflGj9w38R8gdOnqhsY0syQIsXSovLfOjzR0Qonw33Z9u mL0GxuWYQXbceVyedX6EuGxmq2GJYWRvIDB86IPd6ePE9l43AeY8SiMSmncxt6F0qLsTcxV8N6i/ nBvl9Q5VAqSUMaaK5FkGRd9Ew+4ePyrEaNkwIa4BkvgGslFPan+g5IZGFQcF4fQMBS3TL0JH6H2L 3Ga54jeGfgHAllIJsMx/hsV5AUsQdus0k4kurwEU/kc266ZaUt+35kgNbol2NqRiI/NDhUeUMC0r yi8SqtCrSNpEzFIFlW/9FFbdF8sZuiDte4Q0hlqlKsWoi9zdwDX6KxGBOCuOha5Kks/5NwZnB3s1 3dKEoGZEbW9Jq9z4CXW3fwchOeIIWyzWBo/3TtzezDvNflMhaFR+dfN6Nu/a5p3CJEhFdhtcEcUd CIt0ZnwNormgoekfhZzmNWwHe4srrzXKallaqoUedOWC9u3tBCeCx8loP5PW7so1cGQh0biidAD4 /Nuw7SXkriLOrD1wwJ838QUnBDQMotqGUbJvdXKknK1V2+rmBMGEBGw4HVKU+vTAtul/SkC0gug1 8VssROFlmZOZnCQ7xUBf8zQNxHAMqJP7p4X9o1BlnTPQXCvHNUxKpfP+tf4559UvWL0npdGJFIcy lAnowB01rlbwXW8PjA5qDFCLwKY/kwOgxtzTLQcuxx0RTn+zcHlBUllw/J84EDvR7tkqLDBE4jhr oLnjMzZwkF68WaLCSy8GkT7wkw6YJSSBn75Xf4QjXHE5RJOQ1hjdWcF1rkeqgXXGavV+vDCzbsBy D9j5CtFWcFPCMHV9nQVeRYBssqQOc5igxWQw6ykDavbkdxmwDvP23/y0+LWicrOowcLh4y681XIn gTb6s7Ekg2yA4Y3X51zbRCq1vcmsq4sJDARsX1Mtj+Xzli2hMofuq5/ZDcehyKvYRTO1fw1w2FKe zCYH0DG6ZGSW9geiBUnluVahqDVEmuc6m+EDfbKUqrNqlK26OG8DgZqRMfFQX28rmF0GvdiNh1dD 1b0zcPkkRWccmF58sAUqaNnF2JwI8ZtPGVdkcFBlKamp51E/Kb1b4vxJkqg8tQCxYYj8+mT4/Sd5 dZ7C2zNUuQUj9NC3EerL6RwGY10+nhZmLDaL8KBwMobNAEKuqjl4TCZUIAuUdrhvcZa5V0r/lJAa NwojAiJafUZWVaIVEzShA8gL5QDNxrD5MV4XgUe2y7WlKx6UxJdXXTI3mvDXvpU2ZPU2NX3JGRdE CFOvHGQ5yxbkmbDD4/hIuEFITt5v3AGnC3/ZtIb+gBObg5b7JWXKMV/HJNS8ivKrnFrzNxFZhxkf aLpx3sbPNnZpiFpXwhdEH+6qseovG13LyfUmMzRtnx04ZtBaCZYIQwzgTJDDuJhICA4Zix97ljNc 85mRAFwcojrqG6p4xvaT+8tavFna6HF80T7Xi9z0Zug4O2+QjLz9cxF/eSwV8uXTERKqgZgqC4VN hJCvW+4FCFrh3NqRC9g2wdA4M0e5EUjRoDgvFse+bh4KH15bloVwzebQPShH61HaTxwLpSFbaS/g LDKmtZoE/RRzNUiX6/esaBrSbeoZGt4YSROQ9SW+pVssTU/Kl07hVR8W9m4FV3g7n46W5My+0z7t w56nTHknb4kMg6TNZqTCDfkw9xxO406k/R7hfBgUbFrBnLWS//Sw1q5D3ZBq7o0rHYMnzWzgmRgo fOfyCGhZBHrS48KG12sMDUPL6/nYY8kRohLd3+A/PjnMv8UU4iYMWjuQazp9en+i0JwnyE+1lzKP QH7w2pxtwxbdwmZ41eqkxAPPH98oBMC1sftdcaYQjyHuuYy5vIpmjnLwE+607x+Ggxyhn30OrvQG c2ClyRja/K5xi5bwfjcPbYg2EY/G4z7jb8PdBgIhAMLIang/7srjyOeagLY4O/OD5uKrSHiQuHfb VCa3vmGuHMJHA/+hHZeEYcYbacQnlaxGx3vRl6QUOrNLtJCxa2ODUefQVgTxAdhUVBQ/vrkkBot6 DpZ6WtNjdeNEPfjLpf2KHehRoe+fYIw3KJzrfJSRQpA2zp332wI4sy+X50X3c+luE55AAF0jx3gA 6C1xDYXh28Lk/AHzcj+WLKHNz1vmonr2pfKkWLv2D5txXtRDTEO8sB+xuMRnCr8K4Fd91zWpJBz3 Ytrs0UylEK/ZEH+Xcx6RyMulpUNnjfoPBOzkcbuzqb7AEr1NPmnlZ9lsq2OazHLvPBPa1hjdOpbW WbAzNVpITYE0zn7gbj92IQmaSZ9t574j1o0IVFfoD8w3nYXLrA2qvUQob3EV2NGGIru8xGTe272Y UcodFRTEQDHu4Q2CcZcE2WjcqFEmVswwAXDoiG2cBaNBND45BXz89rI0a8Tv3+SGQt5JzdlmVcQi vCrserlABtDFxmpVsUzcCcH5k6euijtODvfDb5BhrFqb/lkJ5MevTrnxnUydPWr2tKo7phs94ovI grzG7anaTSu0I8unjixuIxEaIFLHfOj3xLkJKov5TNzWRtdCT/5rFQiCbQuVUh2Rqfx4gVevSqv6 aoEPjpS8JxV4jUksdCb1AoQAvtFdlubS6bSORgMX9D7tkGjI/7nosnu6a3rEx/lqgtcTc6/AwKza y8ciNIsebxe+Qgu7Ywf6hQ9FIsZ6hF2JrqROfukov6GBgxgXLrRU8IH0YQ1enLjy+wdWIDHwWPl8 x2fmV7vUzQyBnfrI01m2u5pIA8CpTliscRxdqs9oZyonzOZuwGVqhXL6Q3Ke1rKvOwZtx+8vbVFG 7oTrpVuRneX1iy0acjrGpomlBb2bIOIPAh1kOBEEfpHZ/7wBIPhxwgDnDDRIlRjR67pU12DS/CSj ST7YKccZ2g3ezba8x3fEq+wHJ+Trg5WdRBbg6RNJmVybtTnrY1WhvRCK3n+hTiH+BUxiNkFd71dH vdFau5DNkT0Q/kfRIIWBDCE//xuDJijulUR2zp+/nHCfGSxyXYHxxRMVX85bJ7lHQs+bpOflXU9Q E22Mog1KYhW7ph7puwZxEo/DmgR5Mt/PQU9meIaX0YN+QByQnEl+QZZ6Ad/lPaYHEORkgJQXfK+X RAUTxoWZB2jfUy1ywV+dmw/3U2s25Mn7v9b1aoZZIVWJM+Gv+JOmF8N+K5T83PeCPgVszLI3UNYb 2/ihPvyONXEQZs7YA3asXPdMdG/o23n8z82oT2IFrJBCvObuI4H6EmVKNMOmxBk6IVyJkxAlt+bI U9bOgubhJEzEXHkZojAZBv1W9A7L0LVqWdQo1QQ02CyDeaBrFKiFq+y1GwGPT+3OuCx9nn7Tpuqu BzF99Y6Bd+xsekaFc8wPG6/JT3iyNNkCaEoMMTHmI12JCh5T/U3/2Kn4rqSs8vGIXcJkg7jDWu2h yEFaAFxm2iEvFDG1RO9/B1eOnfCo3MyjffaO59iptiOPVOmLXJwTuGi0xFd2bl4C3NmuTkZNgASY JxJ3B0bGTOw7WhCtDlY8Iw6TMcfPpCm8s69vFcq9DEbACmDYQf1DvNiwXRIXTOfWzckaDcK7tdLp RUqRfoPwP/nFYJwu2LJi+XLg2akcHfIqtjE1pu32l9dfPsZfRKnEKhDmJS9NSlVaaIXJTTlE+RJa nwi7wgtGEshdgwHUYpzu1gReTs5VDdtiQhPsTmi1MReJ0KJrhv7CQEpSuKrFYKkzfFwQZugIyTM4 OXyxyYYu0ToYK4WJKRUXsmvuaKBSMOLJqxHIC26fZL3+LiptCrtMOupAiqRRF3kUtmKFCxj0WiE3 PdF4LshMhtI1K/uK1tLkA+zciPWJQNwWHltELNrCUaelz8pvv/irfszKi5lnYFb+0JhdAoGKtdNH vqIgP7PjnxUHr42/D/GoxJESmStesvT9wxD6gjBVdELJSVIxhW6iUssQohrRgZkBnO7ciVddl+QX jrCiWW3NLWithM+OqHCm2YivAmKHXIQFtEmPRO2ci7mXGM6swQlCO1rxKq8DSbe7Mg09Suu8bGtd npVij9d4/N2myhgt7eLX7tzcvsFXgHj0qJz1fBZe5XnTMRqlyRCnH4fK/cWYsDjYwXsj8v2n1JWo 9gOz+eVlYzJdlkYdEoB7n8yWbhmemYg6pgv0B/9uIpibMSEQjpsEDXtNyHITn6gmyb6PsBRcNuhl sGfnR7p4TJfKl9HvN7u+3RKa9JKyXrSxX8EV2uf4gQeWukoC1w6n+W89bTBnZa40O9oknweW5SKW 5WRa4G8ohdYIdHVS9fmG1xOAdYrBnP75G8HppYY1p+M7EZolePNgMB55TS78XXt5uJ+umM8tVLuE uRVkYfQTHQirckIf0ONkRCHdnhqIJVXkdz1b5fMivJbhI4Iy5Inx24X0YDvXdPvizbrcs317t3vA 67NmvRY9S//q1VQLSrAUGIidlm24PA4csLE/IaOg/+KxUCQZPUB9VrRKe8f6BJityo2HU8w9KS0q DbKQilvZGVf0xI9LSB8XMdK4rubTqt2LghDfhhZZ83gaiY7wlKddpcATCxjGn/EJyqnUiQ9+CVJ0 HpmcFniAjaQVPlSu89yZSpjVJY7V8UqABr8FTnqmcirlU3yxBUxm+781gz/0JScRZcJm3AytHMcL CFBe0If3Imopyc/AnlZqaJ/veZEuIJk0rhmGV/iH25iedXRqXWO+LIFNWRBzlcTQkpLMYJMPK9qw Jn7mmcd410KcSx2c+7WyuqNrf4SGbCt3svwnosqwvIKhiBvZqWJHSQKEA9VMiBEovIgeA9bbgJp/ 4hH/K8pHc56KO1/+W89ERTrdLi5jUTF+KRK0En8+kR3e8wcZcokGPCFLno7J/Z53a23u0riQTiXV hOkfOxiV8+XMaiFqTtv17x+qk75sOrG/LK/TSv9ME3tabggE1N+9tiDDhfmEe8V6ZntA9zPNyiX5 nbg/AZ7K0U8Su1EegYhNB0kI0JlFC6qzu/xeKwVFph5LHMQEn+FhS9iaSWs6yFWzjnkZhc09TAva 1Mk2OH3Usa77Wml81LocaiVvBS4I67EWKARTlYvqC7dzq1+1IqAJTvAwoH7yuIP7VHy8vdlKwlHv R6jSnUGfDWQY82Fx4wZyFSM67JE19TuwoYJqyqqL4TkDIdPyby7lm0tdJCHmRVNQncadYoEfIVpm /EUlz7Hex2fOeCfQWh8Uj39BOAAwFDc68+jaKPnj/zR47o8F012gDcs8CDPVKjdhwWFd3Ah+VkxJ ffaPKEq7OkGuV+xBsrbtdgwsuiof8K2tUWhXn45GuRZaYaJmtEiesEE4Lyki0uSGsWHYn/6Pvg7E ORkcwOSS+K8EBXySvEdfu1DJ8bX6xHYUGb+UFl/WNT8wm1Tag8lfl0MDiztULU1UROqSaUFLYg7P C3CmaFoPwwaEGs2q/FzzEVK0R6OwqqURd3L0+aSETGhtO+UqDpSM5its7wQgP9msmSPSIPjRhfEK qkBJjXh5j8raoUjZnT0cmVgf8HNt3gT17v12CXLLI3SVeqv7JRNQePDjpdMWczXI7CkDanl5k9LM w/+5PGYlNESMqItU6KqMDZJ1DYt7w1kcqpj1AyF1PmNix49FQL0IIBts+HJxeiH+8k7QZOejFQZG NQ+2CtePqMgY3Gq0m3e45EMJIJZHNLYQc6JxWMYhXj5g8HiNePqz5kMg05iavGQchauLLPcYswcq 1zjqShd/tTeO3IqBMBcwpC45FpBbL4Ck2CAZDPTIjqN13871zize1gluXuhZBIBJmX2hkv88Q6na DrQjnq1uu2CDJEE3LKCL6GLeBZGF3B3wWcFI37LeqAPsT6iNnNcBx6QQLAUDMWfsuHyZoHIENl60 0PYEnqgimd9lxbIyjXXLDsxXqvbp0G9cQYuoxkZfPUi6X+QZjZSktP3xofXqKD4Kcvxc2rIQLRdG 90lpFfe0yl2sS8jArDSEn+dLIf2koMdTxhzPTq5vyXJVUwOc9Bv/BfHr/W2MQCCBEuTQaFSkxuOc /gq9ZMmkM6PXgTsm705ZnSX9qO0uetCJoa9mXVN4+UccW7lghr/QGMIKcG5z8veHLuAyo5w16bcM BQnZFE32Di5aOi1QktNBTJ3j+1ZPCAsLWb8Ebd3vkd1pcKQuroO+aXqJ4qkKdcOITeFpQT55K7nV /19EQObkr36mrMfLtkQMVVsLZHZEWqF1Oddt2pBh/lClmC+MQVnGvjpRTPcH51ZOMaXGmA84OAKX i0N0E1COpwk37UB95qcCfiEUA5ycf+pDTIQEnHfZGchIqPafW8iZqadl5+++8uyUHX4e7XQfSGwm soYVJt0ggmqVbz0TmBWRW3RVoeMKC/6sN71aRaotw2yjroNWbLx3SCqin1gILMz3VDdRtnInfnyF xlfOeOHcVQ2914Qx4/qVUSwVLCyLVFwaD8d1Me3lYaD8LnHsZxoFRs3dixFjOHC0IcQ29A3s6mJ4 PlJDE4RrCkniNeN4wtvlfl3KaqwvWpBS6YkPkqtt/qZZAFnpUnOd8xoVtCsiZsgOK7NoN5AI70+q 9CrhDiGG+4rwjobdtlJx+fxmSwxbkUgNW2enKeSf4z23wb7i2BjRHu8VRHad0lx4R+IfH3Pn5Gt3 o66vT6dW/486JyW2n0D9oal9s+RIYeI7axP91QLg2GMTQBSgx0Q4zlaWF8DBvjW+061Cg8w+1ZwT JgtPDYAeEMasQHRaxReVHkg0PtBMoc9mm7Jl5RYQLD5SBuk3qR0dq1cfcVZEuu5s5AeY9rIv1n1b Ti0W5eks6H515gLKy2t5hVJcj3xqB39ad3995D3pMl/OUJcOHkq6wHQvI3yKFsWMr/8IDi69vTZU 7IUIoZsilz6qXJEdRpJ+Wd0xHPgnkvi8Na0tRBIWUSCfP8cr7mAUwpkDRKwSL9SS0s4HS5H5Xrrr hKKs138nPohovbhCwRiCJBZ3+5LSiVmi6Lan4hEZy2zvYACwNCnDgi6QlwsdkdAXHqQI2mzXx9HI TxMVb6FVoGAeCIWpzcss6g/QDrkvtaZfIlNC4M1jJaI023UVRR71GkEgSOQtKHgV+JWGQakmPM9F oHTeaJFE6vjo0LNe5507FPT+7khzhDpwm7RjEmvt3YMjrsISJHuyL1Mdhjt9ssGYZIDy+lC8rCs8 FTfOKGAjFfqW5zTl900e6WsPq4cETnHhJ8a1btTb1+/ziFNlz8tlGFRM6y+kl1wVvDmyDASjhR4E 3lxCf5CEuTq2Jo9FptwpLM8Kns6QbgIqrtzY7yE5IGX0xV/Ewa/DZSHp8M7zXIRdNiCS30zYbFEX RvBVbb6chV/3LHq12zfnzxoPsLWxT3hKihRFU42qBDl3NKAnuV+OaylhmkyIEOH8EKUuL3R/5IGE jKmB3p7yoMXR/8wzazIXvK+zOP55djh7b8UK15yYHZfTe7qMgss2f7Kljueym4qxkNuoTyAQciUJ ZB6OfyzAhtTW0LeQ+0Jaohxw1R/XXo4salPkiqjl2bF/zFqM2FpzKkeoh4Bhn6GOkXKAaa7LBkch avTikfIvne1l7R7BdZLzKnOXVChP8oFqFHE22C86rQmY1m9v+b0mGZpUhh+VSz1UoiRUZih++zje eriQjEv3wmBQYcEyNH+et1erXbt0D4FRF+taXKUPQ0L1Pm7kn22VOC7QgztuTtjBjtljmBEeQo8A RVQQxiy79Wb5DbSwgWRIVEBivYz2ZC35DXd0OOUFUt9OjYoRZExRFkuH6p6P0LvndaJAuhJAPGra t36c/t08hgQCQ/fja1T4SpzhPUDYFKlCX25HYEPUkcilNZ8j+iu2ntN91h9V6525cYBl0T9pd9XG Vb/hWf+m1r4lrHj8pI7WiJdvQNktX8xDpBQ5WovEo1CF5H3IJ4HBGfn0TOo6ibSbxrIddsWyGRCn vNABznV38TQbjWSsfhFhRrw2pS2ZLYgyw+0fZLB8XXNwk5YCXmQ8fS26GCi+RGIVEXcLD06Dkb0K Gwt/ynV0p3eV6uBWLM0I5dOXyxGF0XFsRjGE6uRU4RAldoZiBrvzSimE9BSW6zvf3KdzwHtSLwAX SJp90SMbA+IwhLzYWljI97FifsuK+cyOwKbEJtXak/PHv2lWzjqtL9eHQRxBLgJGqhhgLqCo6QHQ m//ky4TXBOFrxnP8LSQQKGk7qg8fvGU0SCqvCOs0LTAoCBT+2Ftcb5LaIxZD3O5dTsq/Ey1D7O9b mKhTDLX/bL2hIHd+47PGtXOb02pNqy6LN+HR/4grhBMJ55d6CTJwovSWgzT/dpDVwFpR7UGyxP/q umj4ek6Gg/AYIxa8LxSo+dHTkPPTzcT0ApbUJi0p42GYWnwhoLq7qDUAM+Pzh/w6xTn2Vz2W5eXe fzmQWczZuOGuk/ehhpaKr+xc1jAPW4HhCa0iIQARidsTE5q42WZDAvOxDYToy+kFt/KbtNp0H7wI 4XhEEKp39ynO7l0vAXxc1Y96fSqjfyDsALX/0d5GG5BVb51arPFTkvhl+K6e4WSSryMauB3xxNQm +2h2mV5pZicCaTssRW7FjISICrelc+Yd/KDUOXRSeDPIy8qOSZeAl6j0edV2cjejUfYZv1eks6cu 2V6zlZR6TkwR3jRGDQ9///d2P+OfW/364Y0OuUBdx0u3/2sEg2tqYkOQ2tb7j8s4w26soHMRWIaK q/Pej6u4wlcg6JliM//URD8X4xwBRu5ZJER8mq1Cc6ExK46iI75HPvh4lyRBrmyUA4fJHqNA/kcq MJD9txMoYFCc6qTpLPyOFWdIssW4zesaY1TQn14aoJtxsVM6qC6ogE3SNBK/NEbu9R89EONvQoFI 9Rc4nnLLYF1gUEEDxz2p3brLlEEJNhIr4b2lt/ZCaprAOXCKlno4MQfcnZuSzZ5JdWu7x+G7Dkbo dlFuK35OdC8aC4fvnXzjdc4uEVboPfGoQhB3s6HBc0xWbrWBykgzOGOUqsB4vpZwNNvP/91WW34B NhTEOgPj4nqag9HPYHhqQOFq6FDXJVDEhxsij6+7SwB3Ea9u9dPrpCYHktJsCp6NSYNBsFHBWROQ MxmlbAwx95cI+IvafGsfJatL4YskkIE76wbY6xqN3szpQeXVoeNLmfzy47cPG+ssIZUD1z8dQaUt for6xbV7QzhoVI4BRi62nI0JYpmBmDBgRixN+4PePvrX+t75JCJUW2JAXT1zqWHeVvxqdX/yw2qW csokaNLFoOpUsxGSRlZrgNBSpG5lYQAWMGlWas+NJ+7mMwY/gcWplD2w6cF8AEP5iFgi6GJxcDpQ 8PQEc57WgKirzKustXFWmfrrLhf94oS6aYv0MK5uKX7kN3gyhyyl5mvJGccuvQ/jd9HmlwyU2jAV 9hXMYQ7wnnV7bhBXL0W3SJajoYSH+kDNRvII9ZiHVfNV1rjehK03moJeAEBwm9+ebYUQcvq/Qebz puMDcpm1XHbTt19fMSWhqMdZPBICVgHoN9LzGEOUX0rVRFS61XBeApihpuOJGLiwmZI3SxwRlvRs APE45a2iyMKI0/c00eJzTM1E9qodMdI5+EmkhsxMoh7PEq/o/9TjRNCP1j0UhgMn7T8A+aGIBtfA MdNRYNvXGbQO/178acZkSVtFzrT1SCHihdk63s/ChKQ0rsYDPVyNQzHnjuH3sv0blNPNyAc2O5+J 6kN1L+jYJ+Rp/WKYKcsn3O8HzKR1wKT80MRILHVhayhW2hCeJ3AI2IPytLrLXE7snbtD4WJkSgCF +McEDPubFMcncQHuPGlAvFSBerhGZwNJ9CaLnUpExS3Ib2hOODVtNF6c52PkAEPfw4xyT5PIFNrY SF9cghDrKdQwq9rERvYU0lWfacXAoeGJqZrCRk+5wd0KTdBmDzUtInc6pdLGbUMy7vGQ21E6s1fd VzYED2IgmvwHrBfT+3KnUualq4eTsJQBE9PNU8nKARcBfs6Mfj/5RLRiqZiIybpEj9x6VLsBVOOT GkRXQ9fP55INrpVHt7UENmqODClKt3dxcrGDOEOwT8KuYVJwSPqYVwnnA63PX7gAgJejMc5+52RM CWHrAgicHIlouOfMjfnvhoRgzIvpJ47k1YXcTUAdJN0qYn20liW6N6SUJVyzqegdL36ei3TWZAaC EzqI9B1JZ9vFsukS0h9eigwcMvfxlUvzuSxq/zomDbbEgE8m8siVtLLQdHgm10xGq05H7zcdzbX1 L3CM3bitdLVTD9djZcdHNvy7hF20OCSmx3xXP34H/XR3WxtkNm0d0peF6MKJng+oTGFjo04vvnrK kg6YBsJ5SWrD1Qra6AWojKISSd+TMHEMMbZRg6oNM4O1jrgYZb2jYDHo8i4VoCPgRWQXWXPHnQMj TM0p4SMjM0Igg/zapZq7wjGZ/gxx80F5B0k4UR6AfeoGfE0rMbnqyabLzNYj6DdKhXeogjhQlnMP JB5HE0oAxdDMLLme72FYI63XuwYs9LTqrKndr3hxw93Dkk+3Llb/MVuyXdGbIyeU+prCc5/iIf5i aVFjLC8tGPpAdTo55Bqnxixbumv5OKxElpATcjNlFk6VdBwbk80hKKqjqDGKjMy+PT6WwhZtcQ3o hsIkOln/jdLcgzSurENoZAb+k8k/sPPpwmFf/RPDD4njCePh6yiHFKvY9sgtWacI1j3XiP7N0RlW egp7dYeuCRUTVZ3mSnU3c+lgN6jKtP7ZMaH+E+DD0kMakC1LfJZ54QY7T/22eymjWKSnxM1+uY4N dEZfzlKgDGcdAhnrZK1m0YYhLLe0YIpgqztOaWYoEkysEhjbh4q5ueAje5r9VdpCnFGJtUHjP+6G vQCkdpv7xHtpM0j2rQrl89zcLzJ8w/dkKij+2URx/F1XvkaZnoeiVo31BZt5IdXusYiKRyG7pMCd YWUHpROTgQrxYzAamzv85HwBf/8HUOvw8e0LWTt6ssd5LGuXP2Wy06/22qOFU6YNc67AX8IXcB4b wc+AOhPElYki50i9fefvFoQb2gyIFopFRP6VbC6WaVdMyKHXz7waLiMNnyKUuAz1VQEZs/IyRAxE 372zk2y8JaojorloRGCVA9RcmhywMMzwWRck907CD1r2RSWUgxhasWvUnVgvr8Zg7HKj/miVMzRM aWQy1EMPGz8k+VzhArLP2E4aQ94GK9hS89vqHm+PtSoR8eoPezp4Edzz12ewToRuBYAP+NrXGP7g XOpPGs+pZbwSFvm94tRCRuqlGe2y+rq1uuWz3HNysNGU4H+Z+tyN3TMgQAxJ+19/+uVEyn9zF+yy HtyOus7M72t1IlMrR80O6fcx/BP3T2sMbPUhD2oGbKAQ65Vf2r4ZDYKwRwgJ2trbsJZMwO/ppY70 enqU5rbhOmTO4XNyTopXN0nfKWsovxs+hJ+TV52p70c7CD6zzlRDn6KdY7Cj4vtjQHmbGgwdLtAt wZR+unzPWnyyJ6J7s9k0wicgJLF47VWuAfLZa7RODdyTR1TSEu3cqEF5CwtNnxXQtUUXNrEh8fDP fALwnVIbCmmWAYzjhEYO2RlFCLvb0fiohfc2ZmQc7Pfo8BbJ2Xu152O2v0sxhfAac2IbRVlIGJF8 TANXG/Zmsfp2WgSJcWffsharzmMIgWlVbfZGWO3+bHHsfkvYIjWVOIwM4JEjY2nsTnp3FqssBgEy EFZkQw8GgZhV8hrrQPtDzdqDH7UyHeX4tOo1yH1Yad3PBiTjhHrKBnDbV69AWjTuoBnXUyt1KInA oBfyDdGbjdRcCgftG/JPM2eLplDmO6akUfmDPUj/9wucFPQZ89OdfuP/L5YR/F3o2x3/c5mBvwAX UDl/AND9uWnCHVzTau+wEEEIFpbkvXnu+PZ5s0GmhCUFhUU1ymH6f/sBVdR12CwdxZIXoENsEKG7 Dvuz9mGrSfqLfKwk4c/82Yv6YJP6ZnLpUbY0l3iurWKcjDpKmxa/t5BadX5xSzWuzr3lkNW6ftBf uzp/8QGDzSeTkDaFI9TSlYy/vabP7gYKL4GqP2kZDhMD8Z25lHdVHej+CNfFPInDZZPEZx2XQbdR nAAjv7rxB/i9N8/r27+Lk1u8Zt8PUSfE25Xlj66R7+qfpqMaGYXvjj18/WPCezRiO9JTlq5ggOai XvYHSa9N4CoYT0TLsIxvng4OsKRfbx3AZFo8R8031MySbGYI73qTRPjz0aliV8wS+vc4iyeM7PyV KrEsSkKZfXEbdd9Rp5iot4VAuYdUznfWHQbSys5+8Oi897n4DMr0gCA1PhgaiRVe5D+m10ktCulM mQYXirn+bt/hkuJDKKVnPGxqKdbF+O4DyJlMw7/didVfMW5Kq1CHoYxNq8T60QS48VvjLkaK13aF pD0pabM6hXTA3VOaaNFIAkDGsmHRMvpfr+ncnWmMtwjC4Cf1QZwJAFc1olWxa4byAX2z4XQdh96d V8jmG0P3XqbDcHqmVoC83+TkVcrHXAXBoM+GcElOmh3Q5P3OfbyAsmDnv9UyZ2zXiuBqWmwWAo+F FcpzmkO9QOG0VtZdYi5AImvQqoMDmryHtmvajqu5aGJiFJhxqD2f6zyGNZf0IaTZMJiadDMcLiBE 5+RNye8Rvk/jMTogAyB/m1D+t9gemrlFvZrqEZo0HUtzWiXrYRYki645OzTM9fE07pd7KxExF/IL cLawTuIPi4F6ANExuRnkoOkc/vStCU4LUX7R46l8Z8A2RdcjIu3fbLRFO60TJaZclRHWG3ruwMI0 PAOB9gv13hVaH91qpb/mZEpEGM0odwtelswzGiploBfXIkCnryw6Hs01iGZONYKtonWZkTLj6G+l 4eEuEL7Ar58USz90BRmLzdbrAYj7jVKGdhY235HueXU/kpOdAMXmvS1SvLBGLdvDB0SygYoJI8nN 3yOupiacvnVPMfGs/L3fmTuzs4Sa3/aPMXq9sizkZfMPHEYsapSSMIWaA4rBsRFlZKytzl6YRQv7 sx61XKH0cyZuAeSSR/F7TSNljf1ljXJDPMueVXV7qwILKeUkx1932LtP3gWMvKxiiCv1PhXPkrld GJasI/rVSgzAFf5nCEj1aL00YrZSkqpwdI/eM24fneXdoav2QTjxOxW8zbaW2LCcx1kkRfIWOpP3 M7yl4KmLWiUtIIIZWSG3nKuNwCKtjMyFxe2hSAZcAeeCXPv4m2FI4Phki70CcIJ0QAfHW+Wq07S/ V0mtiiIv26tEnK878sJ+w8V5jpSd54TKtMDmPp5kjXOD1g4JgzIQwb02zYprDXqUflmksE5wGqNz vxih5Huly44ho5deVw+9pAbB/bhSOYLKq+A3/aT0DKUynEXUKXm2RRuNqXADRKmIuQ36kPkujrWD z/pCO+LczedEFBeKDf5pzZCSzdXH3aawb4jfbLOfash811qqz/xa7x7FpGFRXVQdNjKucmVysTUm mhE1R548OliyNhhHwW6h4C0FxGqiamgnHzSoetWfHG4/Qg0F5hIcDxg2CnVyURryzweV66ebW76y 70afQ57N95z2n4tdJNDJzXnh/7jPv2B8LDV1oAn17fiQPzeN2976/Fz831KAPpq/17lb2WJrBOfd KLkgTr/KFhsySGWZQW9XDK12lXZGAW8Z6vv3OH+CcwQlWf7u2+I+6zvHGDDxSkoSFsDdXmNMjosO LKyPi/H7jR8aKmUk66zuiqdAD09HZUa8188/RO59OZIKq6scai3W8mxmL0F9wS9SfPcSQegFLuBi k3EuMPvxY6fNqUU0l6STv6hJPtkUVM27+0vFESWkDq8gSm5wLsvxcTN5jNZlEyO5fBNWE+vWCBFz IqVVsdTDiU0jJOp0/powgE01Bacn6CRa5gJvBxe2cBB27L2OmlKjdjE8L5od+W2iyShi33LW0CAr wnjuWaJODNJfAomb2nIl1d9SolVJr6k/ezC3ldbHyjgYX1q6zfUFXJLwMrqYT5eUZzhCuNJDm1+d aR6ZcZ+r9Kwzgt/R+0/lmJPOx3vKiF4j0neBWBj265QslY8J+EPmD3nFbvhKkK+2ldjV+M21Xpwg qvjRsMUjV5iJH+ayuQi72OhPU/h+zFSRNvQ8pDjLl3OxjoD/dC0LnI+0L0RCWbNctjJkqXxBkbVL CKs9jXd3Lt2ccPUj0CWvSwN2BWyriJNi5TZ8bX2VG1pPfYk0KwBgKWAWGSdlUKrdnmBABgPEpcSF d82PYDDwP9CT34GxKNIxmbMwrMxHN0Ahrp7o8DkswYMk0fxfXiTH+SirKisfIcqZB3YAEWRQrqZD bwfXiXKQdlFhnh6bVwct3BxnSJr0LQlNUvkFuGX8LQ6u+aWpX5hPFLal/Gxuth4FVxu/pqXJTAYn oOdvzUnUxdgy+X+ikciKgNZ3wR4xQ+AU15H+xqTXs5g5T1NwNoW/Qh1hwfMj4lHWyRvVSv2wrXsL BIHLVXekYlg1UfSVGkG9Gns82zcIWZXnjE7uMzAthkhnkVVbB86vbpFLzXfZ+PHEIXtSUb52C8NM KC0AWeD/xSdkedk+39kyAh3cMMYqm4YbP7ljs+npG3MSMS8jjA0Xbo6JZFQDpTPaJhqB1+y36LJx 2Mc/936L9CeT1Np4OGfuEZGwSSTEsmNSrsBFtnBHEIRsLNTPA3trOIIISSKcJq2P+YBL/+s1Bvp8 1G1WgyYMjJpVF8zZaO5iXMU7lfPsUAvoxn468uHkfKWNNcEJJJpI49xtlLUD5zJ+vDwcVPWUzOg0 Mq5tpLruvKwyi0vHqgtEBYB8joxsuE34JFriu5Wp+qfYCnil0XW9FqWdN2SYvrXUud6pQiOrpt1k HJZ1Hn6n3GfxPBO5PAgeaapJAogbY8oBHIGft71JbRwIYo2JE956tuAGjFuTfjg+6hhyfHZE8tEr 7OcS/hEwbdKvBXzez832DZtcArIM8Zgq4AlX5IdGrb38ogoeZkVP5pNjHNgmyXScG0MBmKNtNlei YXVO+kI+/5U13eArVvvU1ngAP/M5NQadqLG1e8nAI0Gcrg2c56XfADTHPKDPCQ8unStJpQVLHfGL 0ga/cEek4XEDTYVwE3a9AESKR365XqBgUjOz+KFsinLrB6kpkaOi8rOHy2UnbDGENIiws3UEW+R6 GkhbrNw73l/avELPjirc/SEUuvyDoW1GZZZQiNMGXISFH/7wJR/cZteZPZ31bBXoeqdVG7GOkVUe FUdOPkfmtxyAmOnUepQGlW/ip1p11wBkTgRS08bWrh3hgUxBCvD+TyasUu8ufv37DgXg/g3HyA1H NID1sieoC2oOndMvNUMk60Rycuhc1B5e4l3NC4ITYbLYaN6PL64LtcDgQY0fetHc14F82T+ctQrZ U802YcMS9xWnSIwPkq6Be1VQ3q71YOEsYJw0walIgIZTQ3ijf7RqkTmmf04uJUVNq7a2Ij0glhcw 9R4iF5kG4Pczp999VJaWLfzbHIqxSZcf7sLrcSU3rw4ligAsChi/+rSqYKWOBfCRQd0AynVt5Ncu NTDeW34m5ReH6nlVXrBYQo/VOY6gAUTdD5e4jUmLdnY48iVFSIuGPdQIcMRREpwpqR/5hlqrv/Wr 1HxYRrFhEszKn3lwehpHo9IaTFUnSDPcH/HG4WjmCbl2srVrpYiXpRGd1jFiTs1sAMkdbKlayOY/ lVlHBUax0D55yYfzegnDSf92qM4e2enCon+N+oXe3TKYFyyTEwIX/65F7KhxIqJg39XY2ykEEsWB hoeFeps7LxU0vl/1Hgzi1bqGG+vkYKb75ULzo4HVLVEJn2dhI1NLp4YG+lpQzfkJr1pBhi6LLgnr +JLITod9MSH0HYSZGBcLXOTZNu9nA11DCKXdqNhR64ryi0K9Z8GRzb/0CMxxXj1RopvjzZVO7gXe RKKRZ42lLslvK8C7PY1P1itGexYjL/rsAjUlz2Yn0o8r+h9/hzm0SiMNsEpU3DlCOJhs0wXzlfxu 7nP90QmjTGZkmlYZM9qlB/OnFxLOoYsWU5fDsiUQDJ9pIw67Fp8O5yQmU/KJPZrGIW9Jjf1bDd/T qqBcfJY1nmjlGqB/gFzaqIDqrrwVoJcJp7qkFR4FnvIzB4E79CrFpFf6UllMOxhzdi+iUhq7lQiQ xZSzjYHpm6UjvNBFqN1WTURdTdWZuyOku67YYNT8oCQP7WMypTmr0z1l3fnP38ATJLEpmkT5msSe uAZw7tNfclig5C//k72gRI17s0LwHXgZTqCKdolLc2yywMRTkrf0VD3npDQVx3MRhadU4yi0uNGk YQBcTdJ1yyvACydDH8UEKwdqMoJml5Qkdo0rWedDb1kk3iaziHnB+0nB2thXS3gpCQphDOlkiNJJ iGwfAvbjYxKogMKdqKJxio8zeEbhDtga280xKwWdLa88JCLPkEk/TRHj/IhA/jRS+/qd7CsXQMsm cS/im4Iwer5my9HEtNYIztnoYpfu1ZA5ZgXrBKmDY7C0dUBLQJ9jl5x7DbleoFwKqD4ohlfxMpEm TOSjjTDtctUeoy62eYAiGWI3byMGyJHgOVG75juQBfJUdNsga5Znom2EgIIYYefIQsTzKtRqY9KA AfeMZGkW/rSNsH+9eXWaJ9fTX1l9D5cld+AMUEq4lyC6QdO0L82NoIHaqW+VKGeeBbud21+eUBXb DaMU0D9iq63pyex8d3Y03PgDPSxaRptTas60YvXSeAb16maayu+ex2AqPDzGBBaHivVTXZZ0wwmC JKyotZQP9qMUSJXJUgOQ1wZ/9XyrICnZm43ZICLKDJNIhctA+Uz0ofFK9E3KcDtfaxegpIO4ObXc +srDEZYhZft3F+cK3cm5iMMuVhdCXO3Fs2gSg+OUxwdh/evJehInb4rIrMUX7JHos0GKNNLvC5tM ywo86e6nN11kbuRIlXMkLRw4rbvQg+suh1/ntdmqjx+iLLwTsUL1N1vJMwtqqERVmsuPaT0Ndmjr zu4bBNXOoMJxG6PMIYreqO/kttak0D74eapWDUDnNrLEKn1z25q80ixaWbHC1n5lRqM27AgRSSE/ Yx7vEzdwXBg0ASnrY7opLknLNeZz00tEgLJ5P4K5byJX7mN9J+MVCSEwBhinVUjrcifItP0JHknW 11SZLYddfzy4sdU94S3IzGgIdKOKGUS/pK/Ifq3rswFncZGLHdLNhtuBmLzU4bytgTVht5L6SLjN C4WdTcbPXyKvs+xAPau9e4T0UnUSlTZjY+3WXnSDDhZ9hbljiZlyc45TnWeHt+z9ct5QU3EH5RjB CS1Sz6MwtPz+ozaXwpNDb442TgDOB+yOBH8IuBLoK3PtZ7fSHZwBALmH1O1WCW1yrFB35nfcoc8x MCDq1CSDFGd66Lq/DUVEFtuw3KWuRU7njZlP0rF95sZ7nZBc2UlO6ZfRXsUyUQs1CJrpEZnIV5S/ ePGmX7vDsnPMFtFULF0Iom+oNmH7uHWZy7IAEMEs9SjfsIC1mCK/hy0lSe1rmk/cbAhguAnoyC/N NFRJ3CjJaa0x8vz472BWUKRwG4XRy5etyUkQ5fcFD5cJjyrveSS61psLOJo3Ad8voM6oXLAJnMMQ bTqFndprsIFXpSVC7P3HzD6dTFHtm/1vKuXxuaE3JT7yRw20dX6x4PuCivGiDgzM72+IuTwuFEMm urNTXYYX50BHJqVORPUjpHNcyJb+XD5b0qxTg46xUajipz1NwSMxN3A/dJNrrLfNj/1sUjfpfV9J AdYcUEwPsYNRJzcI72hSDs7edhLK/GnRwxdyikd6e8FpWe6I9+RMx07jd8nyTnnAvLB/pNeV4lSP SWTEi8hjrD9p9jWRD3xi1hEQnaby63QcRIoACBmb0l/dR5dGYmSYdV2xjNvVjW9JRb9X5uDev7K3 wMzYKOnnNxynYWPE80dbMwUXAjRAbebIZrLnYzTCWbn5K//dXvi+J/FS/J+OMKhJVrCrPoc5fVOZ LeYD0LA2ji8I6uJgCvVu5VXCZ+UFxZZQ0XXmOXgmEvGV8/BAjW83ld9pagEoeekRNp7XTEyrNjKD 4J1E5y8GybjZ582r5yk6MdPf1mazGl5M/lEjPhkuHvVZOG+ROeT+HmDQwi/mKin/GBcr1cJ+FBhD d9Y/1QXa0+FlVhGf0f3lNMQ/AFTNgraaHCssxESnlezTy8elMwkdSafeOB80sOLGC/o/S+CyLljG ix3BjkOAG2vB4BBz8XEjW9fVS9udDtYnf2j33tvqtpEWgsCaR7u0sJSBix639eqKppAeWee6XIsr IfoDymPpWPqrnMjLVJQj9HMb6TF82OPWudABxRB6fOzVCHjqKD5Zkdza5CQD6xuzqrVy/seRPGmB SbmRkaq7+cB+d3TKgSeONwbuSjzbQBIrhyAuD8e/PbTPvSskJkMkMJO+XT/FZlDPqte03m5i39Nx EVV77uIftvXrw7CXTSBlcWM8Ktk0qj9lrbW10TpGK/R7uni+Nkm9tgku3PcyIrxEqmPdbnBFy2+4 9wi37EMcazRrM7Xh46f2GQs+PkFW0avboCwWRBNTM2bgCzfTZgcqwP6YNQUmOwVd9kx/H6lPX4wV TODGAf8UUuM7lKFyM2z9QojbY0gQCf5E96DIpZKAkrTdT5+zADkIN+Px8zXhmrGDjUglEd4lEKz7 oZq9MmD/75Vd0CjOpbpJlf+J7eF8HzFEYS3vQpYGmdERtX0T4ZGM9uHMf8fpEHrtpu1RA1iSlKNJ fwwtuF5Yqa62fiohmcw3fFsrOkiYeiKSae847b7x6Wg1AwsMNjh4CTy+9dZ9EodQi3D8nvQKduE/ TR0a/z1D+xK5fmDWch6GPAJwHs6pmmtwXRQF8kJaVOpdJTxHOsNFMkpgXPFaufhtnwOrCnUJOJo/ JrlUhJysfhuhrSl3nuebAErq63Q5JExSUPXdY/aWA1UJGqm3fXSk3dq/zaQ9Od2zHDVE2EEMzjWe CUeiTrl/dghzi64I/40H9kpLMDAnUIlejHETFUY38y++NwyMn0qloe1VkOL8ll0sCSDNq+h6GEJS H7/NHnZEVxUhjomFjZIn12t4KLuzuNEt3vTYkX4mPv1kyzUvqhKo8kaFsP8M0jX2f5OJdW9uoGkH F3gJ5v7pr5BiKOIoeM3fSkCZO+9iQMFaEsJKgpbQSsTzrURTQtzY0kUuF31RSGS0MZLy1dqYomq3 vpv9ahZJ0md3qRrlVm9JFUn/C2wmSwETDqT9thAQnk2jcP6uf2fpUp9q3lTE9tdPG/AtIA5SZVYD eBkwJ4fNjOkQCGo1EvJsYCHebe3ByJgqW5dQF41VpVH1ZDZd1plgkZz70jfaQ5tdmR7TXhfe9+z+ PdyL9iT9PEz+isReqhP17xeCOwCKrcChP4fMhEPeT28NGfCHpSfCjeMQnGBnaYlZ2VKx/lAn4RoY LAA26LLTb/23p3dNuPBM4jrl3Wq0xpTac8kpWQQ+Oy2YiA3PkQm4pNtGG9DpCAhqAIT1kxTHsaA+ xr9aeWMpIuxPcfZkb1dsYa4a+Hc+y9QRBb7aUNyxB94wwJsNOzXtd1Hbz/Dj599N0rvdXzpro4/r Lar683pF3c3d3pjQN3CsjInT9ilm+czc+MhPcb2DlPuOuhlOAjg8pbbQU74uHMyX2e9FqC6njmDP /CdwdHKi3tklzFeO3v0kCVJN0lgnwaM8fTIfJRaBTtH6gLJDW2hkZgfXqPPPqP0u5rFeQkYxLAta 4Vw2lCs9rL7qxgyg2p2RpIAP5WLcXRg54VEM9zhi+mUMB7EpNjy+WV6CFMu4NOtYSeftp8yKXfnQ mbN/VM1pGfqMTwAJiBTseocXP3+otCqeDAfbzWsZNOxe35VOTToFp/imq6+gc6D4WE3OClwIy0XN xYTl0JmVhPWrgPxwxfkzf56qQgXy+pUrGzhf8RsSJQDWtdQ18t35trIZSCt6M9YkAMQJ+J25l6OT N90xsSY5f4A5B/uZqEZzx8NcCKmM4OMWuqW4DScDTkP5NUl7zR/wxjceFSSg3wJdH5v1j+ZP32fx GgbSmYr5rcJy43FRC9Wp4yVvXnjD7TfX8xz/lxHNErJRW6QsOmGhlVtST77DmxJ5ZTBzHpIpvYAl Lu7yxAD5MedyNmYWmxU/CK03EJkxdSug/V5hsA4/fxoxukR1OvvRmvWYwr1in0vOKAtoR3tpDs35 EKHyxyncxh0YY7NwWSUKbuhCV/ippzZ1HFVkjyp7VgSo9o3KdLC46yXw3Yalc6IXkYr+b8O68EQf 5iG3SZTMNU/AX1Hf8jvjHKtOYqIlHqhl3WyxAJFp9c87NvNKR/ZoxHcS3zmLqO3TEhu536uxmGub 18SXA043axJLnQUlZcxXFYvD4i605Fc0LHfLUNjapAGwfgu6e9nbm6G8HJCBMyRHNr7hNmVqD2A1 1vTxW34c1IXlffc0ZVDlnuhsJt1iItyBggzME9HrMcwXz3EvZkLuj2KNrG9klGLBBo9Dyjwduxs+ Tr029Zosro/tkE9siFgvm14eNLCXY/DHQqVzgKShgr8Gkbmw+iYCduFrEdOBGk6Ay5Fi6fleJC+8 VhJJVwvQk7ji4wC+VEN2gLUgPgc49eoXYUr5QqTNzym0VxTKb12HKCZh4+vORWXojQnHgtS2aoMS hdbCyYy23ppmLW43QKfPo+v9bjPYwwCrYf4hTvSnSQLoBv1pyDebQinROTEz6vy1YrMIzY69MYIk yfhFJZJa3jfne/5Z6kyZxHBwiAZPidP1ci1gFxDlypNIYWAeVSfWfeceIolze2f/i24raDftCdtk gvQZ5vCp7dh5daWlRByNS0KCWVL6cpHhQ7n9E+Yulfv0P7dws5bnn+cbznRuHZr4WZ+Zos4Ir+Jo nobV4o3MmAvMn6Yi5JShK2/LALT9K0ZiX4kRh3NlI84dII/YETUkMjtn2YrQ9lT0XT9C7WunUwEx fh40PvYsxEK/2NpV8r6ksO5cYjPXPYj/NhnNzvQWsCMs+HjQDib8YJ1rmd6TO/lueeSkrARvSZeb +20RVCKH1Bz7d7umY74XMngV3T1xBfOpC+CA/HHecA3GEoQkmROLt8tM6cQWMfq8ceyWKxlsutnS H1glSTK1eM+1D3QFZshDuyH+yA4vdxd1XZMztqYt634m356FO/Fv7YMm3lerK9+nzZzkuOERQOcK qIkJraKqr0dbghaWzaRnE9Ym37atrZXZrWHb10hqYfA+FSTVYD7BOF7gWtq698CWDczBK/Zqxhyi eaZMyKq/Qu6VB8atgL2+/BQMveamnaB+IcpciD3QGfmGrM0cJ6lK4JNtSlC7JTXPFYcVNmIfsaJW 7a0lHZlqZI3dUyT1lVWKs2Yr0xE7BiVZw4yfLn9ciSDAes8L0w1zr0D6ddWdTUv+SSVcnxTQ7bxI 3/MFSvwfRsxtlL8DhEFSqmiN0pvwY1UwqaZ3TCkUiagWrt8axTT/1HcXwYTYzZAueeaqGyKptbyk CWvB2ILR5j1hRBkolap25wpUjsjbNiXJUZAb6wYRynkdDZAMosH5rY9jJ3oZ9bRGwLc3Gt4sdbrS vRZCZNZRlWH6EpU1V3u4dcpdGzmdZINgc4dSdW/AGi9IKjwWZngXBn66tdRs/pc5caK1Y9PSAqv5 jb8hy2Rv8Tb2zn2p6c+OLmUqtw+iUZ3L6voUvRCNknP7bJ79mnu+FdVvigeereAkE12iTXjHCK6i MZV7nVR51Q0gFkPNNt0kU0dhEtfB1lZa0FKnWayfvWFPvbHDPnUjMScd3O/KrVKDlj3V3eDaLRGw kJ520QeUuhG0OqgR5pXJpiAtmSgReMvy155LfEctVPc3WHSnCvbPyYTZXutyjlyiBLYWPRD+k1M8 IMy+a0dxIRolTlQHo9M8acxc0w33tFwgw+eojQ3el6EYl+tym6cRLLEosWzRtlkpULN1WNOSB6QH bU+18CMPcRd8hceiPxdXowVheOYQx5Oy2oe6pPasNFns/IyyiWlylWg7x7d9CWlvkDA9TTFPO6xX wU21iMMitZsXmLP3r4yxUgBp2gUIuNPlhvQGVg8KK/Lr+Zd0YLcsOLhHlfahR1P9FobZs5UoFdGw OaqG2WfeF7w9rrIpmTe/pGF3LsydKpJUpc0kGEv5DadtuQk4UIFW3XvjFgUX16cwjzB2P//8L32j kvnogm2UFxIkF3SjjmBrtAPtFq5B4CYi5/6Vqqa9kMU+TdbF64elkOaimqXHUJhMcvtZI3rZIQ11 dZ4ej2b7Owu2AmvBRs5dRkqB+VtnRiUwdVhaoNkOuocH1Quy6EkviQf0ykkhunjWAxG45tOwroHK Uq/pf4xaQfDu0zjJlpu3L9vAc5CtyoLzoglHRTugtLLiOh3NCAGTvasNMAJlX5kI58fqpQNt52KH LjSVfKYSkPPJ8YqlFF0l9Mj/wiSDpPFi3ovhnnXGGZS/7/jeFE12bcnampHCbKJdBkJrhb8fCCkk OdjOEualTsiG6kZp24IzAZNgNlKWFglGkZbWOV6JHLKf7EI8yMgNVlOTB1iyJjwsADXsrxy7erti 6aAq/3mhqkbCTAKW1YU4qjFXj5Be1hlXh70KLVdVD3Bm7U29VPxv+tU6SJntg0/XwfxOxC6XgUCs nM7s6Ddvv6HfyqkXmbOGxjc5edhTuWjQHgo6sH/PUHZqtl2aVliSNaLRMvNf1mM/QBXkJ9tI2fmA 68+waHazpqqizSEqhxS4KUpHnq1elcbNC3URwApv5nSUzsp8K7gFIsrIJQqZ1M2Jtt3Sgl2zQ33A puDhd7Lv4YU2noX+VfuJfXhSNjG52ohJvvNLYNQVYaXYBoMICo94+zeKmlzpIuKD/P88mH142ScM ZvxLI6sHt80O2ZKraYL0zJC5AG9Ej8LjAwCx9rDjkE0cdwNG6+WkFREc2HPCXa5UtrQdKHZEvNl4 MR1agMTKLBN+Ok2OctOjYrihL3EwN8vvzBk/5Z4Pda+yXd2puELSd1j8Uho7hIe9BcSlyYu8KiXe vSCD6ilvK33751vHxbvXwhm6PlnQnQXpXYXZ3d6q2rYDsN3sYDUUSQWD05cbHX3qcPob9QKe9mVz vvttQo5TuY63V9pK6KAZs63pwfXGB/wBGdbILzSqJOAkFcS2yZpl9AdEeAA7zU4oHxFN2CkSkOg/ qFbfWWmBJeEX/KJc4CUaFz1oPGO6FVV7LP9O/rG1qCgNdE7dRsrcDCNwcHYjhi7S1pwC5h7aYsMd 639fzC/MoWTMRhtsswXEs2EBRbuWlH0PtqLedybB3l9bPtU9XrDHunZYzW43vufp0zKft1y9pUar xF+OU+161kDAmGFmdvC1QBNvbtlCSffhKWUsurDjoJyGU604G04bPN50aoQXNEdbm3Y5az8/hS6G /86CasqF86zyKhIBw/JUGO0ZTgXqlWicsFCJG5/8UwceI3PGgFbXPA7YrAc55/6yK0wPispDp5Se 193D649MD7AykLEeIG0RFv5jB4jPtSaUYjfbUHcu/oH3BEmHofe6BucTz4QRjfKSIXeBv9QGSxuP eJI4bd1Q4zE/ETGpPv+l7izKKg+4PENX4WZ3rB9fWm6/C1ocLGls7Arx+lF8bVNk1nOfeSPW/bnE UfdrMH6G7DL6C3t1uTl8iImXhCfscqMWl10FEsjSmUt7qwklZCviUQWANpZcMvH6ZrboFYV9JxzF +T7wVSnxcGQHHJrtgaAWC2ciYoq6ablbBMljpKqyEVGCi/yuBONAyn+Ap1avVtQ3sTQv24O48UqY RqJ3+ZCkyt/Xv6peR5FuGg/0KoTRyerkN1R+K4mjgNsuVEUMa1aHUBkpAaZVMyX0/+kjeMdkJV0d mon0a6P9SwRlcPKOzNfV8G2XW9gVhbLLhItaOP6DXAYW7Z6Vvomkchxq3CKCdogTHBnMYbf3B59y GA6ejchgzY6Mu4tLJSGApfuA58HYhNRXs0InArCAO5VSsqXvzFjGa/1P6d/tBpe+uUX8g59dY/Tn bRA1hnmdPfsKxkHldxZ+VYWj9eoABmXZngSPwbE6IPu7dBR2Xrfu4VoLDlpfgrH8uCdsq1BB6aec /bHOBpnrM1anNHBqoTXgaStZEqo1eM6HjajJPCC9iH6+ew3svPODmpovxEnjwTd+2EfYw1j/d+i8 VMZigxg2O3UGwjCFvwyoBif+elItZmHRs6oLg09NxfC+hZiY99pjmRzBZl+kYRsfKrZRaASToWkj 6QUQFm8Hmr2NymzN5U8CuE4LR25lQAbaY8FRie1pskwGOl+Jx4KkaQcitsloCshDkGHXBrXGAagT C0jNTH/R3J5jWYNYP80OXKdhLn1xFC50/xlqd+jZuKqVxY3Ip+leD8o9NQ+QKDZSxdcXnWJ1qpX3 zNrMj5XtpCzCPnqgnq4+ENdDdjeZvhn4ILGl6I99gqaPVLbHdJGUYf+z+hOwA3Ci/DD/SXMpjfdx p3UbP6eGrwqIVOWda24bTD/2QthOGHR631YLLdlCFCrYtIw8ApdsRUmQMCFypCmCIC6XXtCh6rM9 zm2MJlISJybghWM0X5e/mxt2CkAZeFqukug3Qr+9rdsbi4OfWMshXIA/jZB9nP7n+8ORIxo70TSg QFSMdtJRTvw8L4A6oZgEmcyBrn30Y+t4UbmHl7Oe6Ubez3hj4uaq1uf615at6ZqOIPkUfB4rw1Qg lzIkYSTyq5OGCcVDshf31tLnMU2dzbtHwEboyrYohcPRD6KsPqVoR4Rx+kTwKsrcfeLvQFZYVujd gpI8Janh2uVOyDA+/TMVHQ+xirV3NmSzGvmSYj3P/jozFV7eRasE6Z3MKoaC99M1PREl/CMYlhsG dGP5aKhPmCkV57WScW3/SXAvCxbeXbjUWKstzH3ifEl96epXWfZcw+xB5qD7ztPkcpVpxcKWK135 OpfiCAIGKVdft0WTP74qHRFdCRaiZrWbcTWJfo1e5ElMczZ/209BvyiY5GmpP0oczSgmgZg4Xr+z wa2K/eTJ3edK00HmRw/EQC8XNruXMPc3R8f+oBIu5MMCW293QVnNGsKoH4Pb+H8Dx0rlRP9elwwi Z25FsbuN86AvezU1iWGYdcqIVsWnv4+Mf8ts4+iuxij9O0Bw1pfOVEIsV9bmvFlPMmZXqJGbkpbT UbC/4smEoSum1jq2bxvXtawPKo27m0lvq4aGlj0HtJW8EImaiw6FkcYS9mAIyVX3nY+rhBPYM/Rl b+gnuqbML8iUHya8vMci4UuTslP/Yqpi/Lpop6YcF6lRu1yFeZY2s1z0pcQhM7H0S7UYOFcE7CD7 L36kRHS8tVGQMeMKbXHIN3JEtHjsABKBtRYVfmVzC3TG1HqHp/fqbQE2oS6ot0BLZod9VP9CpI1F 3j4IhckT3uMAGX20dPSZF7iZs3CFgiX3RaVEvsVpYVOubMkIouIOw8OTSGtoqNaZhPHrOg+HXH9M CVN7brCehHXaXwXGiNcLc15dVFPlS+UC1UN9CWMTW4vxgfyhv5uNnjwKaYfL26KMndnt6SiqirrB XSFiVbb0G7IgfRI67ZtLv090JKgzkV6PaWyMyRjb9jmdmOurxSPJaarZ27wNyrnotgEB1bFHL2ft 3ZtIQxC/OCskE0H1jUDIkGa/aTmpjSuIbc2nY5EP472raYjDEjJhdM6dUUFx8JxNiqu2PF83+is1 ICfNdZCGXWB6raNFvwm1Sy6qoBb4wPTo0Ytx8olrmYt/etyJXCTLN+8++yO4miHoIVHDEU6zXXUV CHXnkEOcAJLtEeJODxOZsoambKnK/tC6D/DRk+uLdIs46nbIMKj9iRN0U7kEpZqnzQX2iLXnKeJu 8udyw89ADaouSsG8xsu21gnYdDIfsw5xc7UPgKiY6nswhmU6h9z0pPh+Q1V0WkTEX9Z9QKTzYyNd fG3gaOhVtsz8uiJ39RGOIxWCngCyVuVDGy/Mvnqda4K08xGdDgrapAuIbxFQ4ZViohkaWOnUCSej PnuxN00a2p9ievCnDs2b3tYyTMUdh6MfE0u3fQSCT5oxA7o3yFDa+Ze4Oi4ygsY0pP7NV8ezEZ/M ox4Z0mt5OKNKstJ4YytWvSIWZYYEldvgdndd5I64NJ4B7Of1uLqAc4x3pXesteqRog5lImg+5RwE NhbLWr3CYs/yl91gDqwvh+N4c2SEXdmb1Zv+BlnuP8UDQ7dHCyO++bjxCCaenyNe05o3MSy/ZXzJ fS3vowJFA1yFBV83auiRQ4s0gmYkOQ/Pz5Zk/BanTdxPEN3d/A3CtITPmfIuKFZurSaTF6YxA+6X tqG62qOSy+6aQ8A1yKTRCEc9Gm1OmsKx/GLMJzAv8OU2xAglYHIQDC46dIpIAq++vuSTwZbW+pU3 +Blk1KF/BXKkv6al4wUx3iR0J2Tx9vgNYftIDTIo/8sEdj7uMiWt+MapVcTmqxQj+oXWRRNnO7uw DrVA6b8g+TNkG1fEEOIaRL1fEEL1i0lvrldKaPXTabx/ew7jzeVLLSXvLbLJVKLqq3RFl26/pRKw VpglQz8PyfgWQfBV9Xwbd/j7jZn5tvFioqpJjNF+dL1WNh+fzLQF5NJV9YTQTvIsT0ExuWogf69k hRrfYHyiVya7aFH4FYBZJiG50KB7flZXbA3N9red1JeXjCw1BiS6vRG4IVSNeGLkhwyMrQuLpqkj D2qbNWdnI0bFC6Vv2dNu6lOpG6Y/kt7ZnA+g0rMrLR+GRDp3l1MmfddhTMmTEstIvRRon5/MUkqT vSjrq00ThLCu/u7dUBQN0pt8dAueIQZrzLzrqu7HFZWrniiKK7Pk9mHECoA+F1Qr0XSlmbMtfvqn Km84Ro+11YZE+6r8epZTmvNDlErQCgi/2aNEA5JimsqsLRoedKXane1edKnafLgeIsneeCZKq3ck xD/0OkP3LlgfaEtTxcq18ToAlv99QlV20XwYutUR2qU4xniX1KewjuCLH4BNrevratOSZtOwrIKp kriVR7ODE0X19qfhmoDU4Smbt8kWQk1K8SUObU48PT9ffNLeB2l/VUWVXH22llYuOr96NmgNLM6q FtoTZXaLQmgI71mV67qd3LlFtQCrAmMvtNK5XfI92tSjPePbvtJmpuvc6Qr8VuLZ/eCWqOGUhMWe bhNbx3GyY7DqGbtntWjPTs1GOB4LvF+KQZmn67wZoNQ5AvCqe7r+KTopAcg4kv3q9l1YmfkNVq7L dlV7OZVdcQichVG/vzD6WxuInXwrWEpgm9KMBS85/ZooMg735uCSUB5cIeAQdmhKFFMKvYbHPAm/ Oc5OQzEVToqYq+aiiHoz0nwRy9sijoOGOKEW2SG+FqxTR88O+5M9ohBfMDj2SSe1QfUIqOCG+SL1 NyLn5/RIRToUhZLOGuK88cycJxUpxUBEy++I1SL27fICAPIkn/lRiptrvy3DQc1lPKS9dxsIWy8i auwG6yDFcRNpwL3RDmLCXsSDeuKv1tmDmX3TZQRCAWyto/uHYAFi+43uxKwZoCIVIFcSzktuWZW5 1tjIfo4yxb0akGh1isY6XiwXnAOznjg17dgMvR1mBzE7/mEALAtgeMJxqMndAnKC5SkNKr91poDf GjiPphqn+Zp/2uB+lAjp7HV3uxLU2WtsN93/sLBDgvaF+llTy/8iY5cZtm8ByIVxGHXPv3WNr+s6 KdT4hU3goS0b3QG1l7PAn/M2oXcZniWCMeJm50LyuwAPGyd1Uknvt8AIJE67vJdc5O2u7exHHMuM HVxnwhy3KTHxcs1DQVuj8fgyf81drZavUzPy+9ByOXg57vVM3l2oAy82TV78k/qrhUJv6i3EyN5o Zu8oxRaTLFUPAvLrD6YBETI8SA1JmBzgO1LLB9WxXucxxyp6TwuUpiC3BT4t8Vtuyp9hSsuQ75pS hJPFWMAUNTp8P2xY1U6gaCdlJUDDz3lX3WnFAv4OE5sLECx3qnzocXSnSSgmr7wxfO7bfZum2XK8 9pRFWVe2CRJRK930II+x5EidHFbFGRhx8UMdrz1XsDMbHzciaTaGJSUEnVX+XDezhYRwxChD5sXZ dBLiV1cKDCBkbvCyHFmI/lQ30qqbe3v5WJn6Rfn8MrqO71hfyfxx1WjYfZEdRPU6ELHt9iZ0fFXs /TAwugFn58e7L2OLPTXAVTzonTIY2A43dSuRcz3MRACJAvdxk4duDxTrA8dqaXCeVPvsqpjX2xlg d3xktoMPhkKrni6IFjEisEYhEawZESYa8KcaEU4V8GLGQ73L8cEYO+IVKL/deIyZCxKBmGlvExN8 E/Hef1y5wDzFgfv4OzOary6YATPRvgJRy6/kxmqHtxQ0SnnbeQgfuYjenvohkIvatmpubGWfLcU2 GedaYxRLLij6Lsa3v9QCM/SaLQlx4O9tvGfkOnZHL8ahQjbfXIJLY9FWF0tdQAzPSf3OU0K+4T14 Kr7r38YfC3k8bAXtnvBwbUBDgkY7QYQS37fMsDo4jV9l+/n49J9tjnCIOvr5SV+5+g5xLd/rHWxF pm7FCEC1KoQID0FoLMBf/F2bJyC4Qq2DUkgvGC4IVSWLp+WAODYvmFVjLQ1l3swvaeU2201OLe/s MUXlr2BuI4fJLYarGbHzN41Uh1tfl5KC2OVVHE90hPFi9dXv4VRwmmEebYZuzAiwCS9htVYU3Tp2 Fy4v3VUY+2RHGS+i5GCN+AZEUlSButBChx+VZYIqiKYqGkBvnNqrN1T4HU9CoCq0luUZatA81Db9 mSWbJE1o63OXGpUxE0HZPKyRfAOZowPUwwrYotEYmeYPHWlrqWyp5TtOEdFd4LwBTqCmoE4oebb3 NFUgDD7Daom6QOZBheSsKYmk3nuxkmsC+wPBgUhMrfccroYhStSGSP9Cn3cXXDcp/0witnhojW2G SeCnxX2aa+1PjRRGcswSPea9CFNTEdAZWT2RZFHpa83U+CjkuvIk1UQmxqngSEAWqC9tlgzSGaqB MkRXv1aNECXAv1n8MxpqQ1EJ4FJ7xNwM2Bg23ooVr2oQYiimJE5OBOyx9V5x65zUEYTxNNVCrjbf +iwjHcP19sFfDkYlF+YoeYmKwTn1YqXTrZfu3AI8A0FH3n1PNASKqWnFouLZ+427o1NknZHrRbOg n89/CX0kSICrCa9hfrg+zMI+j7ka4lRKpkBeAmVp2h7D3swfwoABwJkTtoLq8XltmAE2Ac2Xs1+w xhYvOEYBs0wSs3DBWn7OqATr3EQXL+fQD9j2SqX9TE5dKgY2ml018wcvvBa6cRwEmsyHUSzoAlDB hBzTjgXiEiyk75JK/P9MAk18lEA2+gG31miwWEjjCsGKbGJAl+z8343lXa4SyNQTr9Ote9w5tzyu oi+d4v+UN8L6e0+I/YjuKaQadRwUtJfWrRCWVjlEdevOjyOD+cJlORFzQpYn8vTUv2J18rgkX16f RYaoYE5dKeE5TB3wgoid+JOPMlkryyOoJycNUXSm8bvop+cAjefsCZVEolL+i93UQV5ADsKjT1mu NRVEp8vNn9i6Uu14ll5ENY89m3/FS2IaKkdjXJ3PONhJCbQ06MxkH6pCJ2VhINp4p4Qum+lJ+Uzq nMnu188WACeQxD8/eSH7h4b3UzPpvFYXXoxCdGGL9RcegE0dA9QQOLydeJffEEVuHmZucXdSkQ31 2u+zLXVhRhDV8wdxkdAqRwuiQxAowarQn/MzCt4lbvr0xEeEtKHJ650tSCFQI7C8m1MEjkc4/U3a 6OwshKPQl6coPl+6HngxJe+WD5Wvvs8oFunu7daYf/ziTaDs6bG1yDJJ7ra5GRyDYcFDUOGdqAvk pGXWmdVcTaHbzCiqitDx0PXhMpnoyd4gqSNj+oyQ2aqbjCDkNBq+PN/CIxrsbPES488cicwJoGP0 YV0SKWY6XT1IofFyjkOOFWydPOXCuJ8XgUmfDlbq9lpNPY+QQt8zDRQuDWEsaQMRDrunmNohK74y ATVQ08Vg0kfumCOz5v7C7PybDHIhD3JX5OIiipy79juP0++MwRNsCl712ZSCvgZ+tgRdPNEEsUWe Uc0s/8Yrw5hP75mK9ITOmxhrrnviC6hjgmQptQxHVgl8PWAMFZPTdB6N9lrWVgsqWmOw2Hs6b8YX M1lMvTM6ryPgP9l2V1Mn+zHv0Vo1YPkig2yie8r1FiN/5lhG826Jb7ttCqXcbotU8RX7XUD0M40N 8RVD+/sl0756wTD3PcVbOyW2ypwyxvQOWYcmOPLiuoBZxPGbvd/KHMvPEH20wXYCbC4Hg9Gi6Tha U1RsxP61grL6w4jH9qSsldiQhSCELN3DPYsoZ+DQVKqTpQD+Ne53O9a1FzGA4pBvgpG1cZ030JDn /n0yW4GOVJPfHEtiIT1qDpU2NsODzxS5Jz5u7BHAJN4o898r5ErC8saaD3IPddAvigETbICazFMw PJdnLGDosVtA6/vQgQu4HOiR0tBQRz+2bdNwLlzLo4Ouet0ZDQSAOOmIeRc7Vui+pyooka/0Y0+n hpuxsj+Ec1SYmTXPtLSqagBfAE2D6sFma/2hUCU5MAgq6hcmutrnn7Gu8KBUCbDsrJoLE6UAq4nm yfyyYh0BA0g9WdLzYa2pgEpoQd9ebcJF5BAH+U9guxy4RoYAz90VuefkIK8IkWe9Z3RU7+FqmQZ0 Vv15F9cSQO5wu0fKiIRTQuekzy+VNjYHkpoHZ31X80geSNMkiYSsxe6ODBJxQ456ZVcz+S2j9Zbs UfIVGMqMYYAUIUigqWJU8+lv9ASfEjjEldOnTEsmn2aPRNilQY5O6afu0I7G9Aqm9FCRA5YqEBfO Hr/PoSS0XtBfVJTNgNDEEmoQcijOEkYy5kcwCAeOLAul9gsUpHsRkIePBLPWNxNFOJ0H237vhego WaIf+xWH82FvC1Z0LomPSgt2wcfpEu3AXNBh92ctp/I0Ul81GSU72tyuWOPqll1nTxk9thTU4R7H JiZxSEFdxrwhu2EMRj9mkvIKZ9CKebfAj5utlSQttUUf5acuB7dqDtF0K3twZDGlYVX18b0oJ6zc YbEogANekMYKJyAxxQqTrePNgRzkvDUMPs6LU4MaiuFC2mKbFr48kj+BXQ3NpDkk8ZRVafITrWwg iZ4WnwF/63HfUsthryDIKbcdphWBFEuIHTjl04svq/16bZ1H0GlQGM/17rIy7ijxy95Tl0T+u7ub zlL7ivKUW2weX1yfH0HUtuucrRPfrtrQCWang5Ah62J69i8viJ5u9g6FdDU+DzXjCLobD3V9YEWf nbgxaHg0PYcSp7/JwquW8ur/m8jUYBkiPLDmW8zXq36ddSat+yMZHcp+V328+gcsk53dzdKnE0mR LoJl/XoXmIltvusLRfWgP5mH7H3Tlt1rwY05VBfWp1EHfu4Qt/K1fi+EWday3dVnR4sQKUg9rQ1g fR43lWCIA2qeRZy+18Ozvjs+qIVQElQzeP+8fZ7r8lbla4z8AJkRZcR6XqH8hZ9GmXema0LB6fro 9X7PC4KWxC9LDEob4ZvmPIrLrYhawbZLI0f6f6fGKU4mS0+DJUhnKCqde4kO8+iH7Tyh9ges9LFW L+2o2z/OMdOYnmum7CyCuWFs3oo/FM6Z5EewzADXl3CAsXZETfWQzOh64v2HZxkvHclJx/SCA8ap E4yJj0TO18g7YAFDfDGjCRQofHuSVX0Ae9raSC3PEz0Mh6hT720icQt8qldOZtfLYIu1KzKB4zM2 1tfxFW2sbYh7jF8g32pag21cZNfyBeKs/V35llXaoeVdt4tqlVnDU2p4SHHokrhWZhSUvSBs0ep1 i6TEHwF56CjDYK9i6yVPG+KdIylRSSJMAwFg+KwPrV66OjfYNC+TmhYr5qIWZ/LqByQ3ypQY0GLn arS4lShKpe1mZ7KGLLboU3Ev2WKkQRg3G2XCdxvCqFYd0RAJ6x4u8O5jNUFOatg7kx2l0bngdtXm dwB6zcT1GdVwrYS26gzIVrSadCWYMTbQTMXsobnmRtV5/fyz5QP4VkgjsmqMp0StTK68ruLVtgMv XL0xbKKSlfpBfqFbLhRZkTN6BwEcEzdiCa4tuL1AF7+q6rDHfj9DmeQun1yePrdkCPGY/okp2q9x 7B9io/ImrnE/+A8mrT1eFSfSSYPXm/Jzsw9f4ZLHnuslzchsTrg/xV7h2XvCvPMYgB+usGxnlPVy HBK/Ety5S5J6hPKykYC6i6bRBKK+JN2D2Awmv3vAW/AYi0L7ZWWfTdliAJ+zFZ1mcldl91wVp50G CRSQrgf+ry3ej2S7siJVUrCkQMeg3FC4J0UqNWGgwW+Nh7afFnO7RCnrR8qTtpA1yn2ZyT9AGKGJ OuAeJLwqGkiK5AsLkSzOMGx/wsjTmfFLxHOacCQDta5gLQS4FTEie5vf0Ul8KvK7v8N1UrEKGEip xDqLUtwvTlitQO+1kKWDplpc5VulUTGoDHYsrXbbZbBxDWFFVjT1u0uUEZBzQRLx97u2a+wo4HsL XGqX3bOfmGBeK0IROpfogWqE1PwB5qU5tMEaEkdGp7LqxbmF/kKfB0cvlNyEzH1L0L9qLkolyfh6 mHEv65jpQMh6h1gEslLSApyxboxIQn5gwfutuZYhQAViMHjQxmWxecEU0gpRjR3lmF1s+Zs1ncQE kdg0bnJv9xzg2wRxbbnv4Gdn2gfR6N/Le0ja/It7b2TB9ofoHVjxdz/wvY+EiQtv8B5ezuF5FM2r oR8ShDBtwptuCjuXh4ChaEi/VpaHGGDKGc6f3ckFAZb/XXVmni+y529WVXlzs66bZv2crzAms0ZH q5KTMtwWR3NuSemCCHt91SCBgrQhxdtTGuEB8Sd8j7hYXf5X/XH4frF5GW1lHNDJY3lBUpxqmkiv E80t1xhP9oIa5BqCwx8TladzaW0aY7iiWHmuwx2ADgH0/jxV9ka7ZSkJYnQ6nn569BOcKIve8DLu 31+tFsW6dCLvaOXAw9O7WAWQObZSbgBRnKPkZwiUlKEw0jvbZDZCFHYo7ejET84IGb4jMTCSS4Tl BQ0KFiabIIcdjSVr9CMQlEInu4H1wPEu4YOECHplr98A35pwrqUEtdDuWmp8cdbBqB1Ov26nQOGM kkXmc7hVIpdZMMUSsZAYVuNbWoeytcSHMQYzyrrjWD0RDyhkzcmgqLQgBnUzMQehlR5m+ZvvjTr2 cl5K22/3WdxltP42yIAvNN6/gctMxniBC+Ui8TnVf9Eg+kEOaoG7891TDAPYcy6Dcwas2vk/TgBk 486CzhrbmsFmZqHNein15gXhebn4NjnDLCoO7I1Re19hKtr8KfNXYQ5hSUHliDQ8P3ZYOY9k+9mD 4PcdthNKgQtyYhy0nXB0NsbpZLFY3FbtOlTNOBnF7utZIS58dUrFrF6Wy2RqnG65UVUw9ti/TaZF ouqMusisByqoaWMl0BC6YLRz40YNi3t/D8CMhCBuWB9NuGp5RKyHZIRHjWeOOupP2ETP9j4eHGgm Ohr3L0rtqoc0dqPuFwi3vEaz/fy/S/6KVZgR71C7cPZmOrTfdh9VtV2ptQdDDuYsWmyZQ3XOCyJb ARCuTwA5tdI+CZ7zTrE9PmmSd7Eigx53gWdUK8xhbOoASE5xAWtEJ1T+60eFkUpeh0rX1FV1orVN iW4D8SYhMnUN6RUkz7alEPmQIlqNuURg799BKMtzzs3C454YIjOl0kBDidXCbtoMsj/XfI9FZi5/ oj7GElEmtUTG3enACmr+cChK71OI3xS3XjJ5TzF1EYmWKMSuxLfeH9fdya5n5ABKSHj7bILhdN/Y L9Ge74zi1QH6YwgPM6Y+OkDd58Q6lV8iz1SJ3DvGbdMR8h1RR7DasafyblXuDhURNUEJV4H3M+vT SSwT7TBTuaqecwtd91l5E5p8DAzXI2r2E62JCc0g+dveuXZ2XIbv95pPF5BRBBsUdh1eJAb8QRyg mqZTrc78dboMfwz0L4Q2ZkEDq4FOcC1jORRuu3Ca3cb2pn6rk1W9EHgfc/QuPhXsdkzbRNV9AgzL za9gtU4Q6iGcxDg3rCidHimQYhR5YF90iszf3Ygyd2ffLcatMmvdEnOSomNHYdIKNw7zZt6Epjol uCEWKNVM3duG1ILODQcHP+sjQFNwyzD8imON5M8qKLBOk+6BCs/rr2p4p2iWvzgZIYrnO1G+VHr6 dAo+hVlSdXIVh1Es55RZ8ZlvSJl+oqREYzFRynnWXz2P0/vyjoPP/WJT4ninN72/stmCCROB7xnZ oPg66r2lCNsudXXjAVNAvtfd94fe0b6lDyEOUWuoLbMfGcnnBaLro2MU3E6eItYzzTydDTo47YR8 VLAVV78inxYHhdBaZ73F/zSs3v8gGDWKD8C5ANclq+42dfnoBKG7izowP730kkQthRcUpcpXkhnL Uuj2fZfa55WBGCgfF/Xy3eCich0maAt/qXZDpMX96r4EYJSpzXzjGJF7zrys9lTuIl45yAekA/ur l7HJ28Cp3vlKpdawaQDGLu5IPRKmLL/i1iTf+XfMin9jcIpu//Xg5KRavedBfxhxeOHqPP7k2C9Q Uu48qkvTFk0wheLsGvXpHCxuE/Ub1vYeKGo53Shnpq8Y0nIiWVns96jFUJHGrmnnJm6RmFP57t4Q oNGUtZYNoUOOlfZE51yncCQqkf07jXWu9pvy/hzSmec7/biVUxD6yvw7gLV3EGY2t+0Otpq6NObz QZE88bR6F0omzjxJV5xnQVYowB5JpA60cA4X0rUpgUPeh1RF8rAvmdlD5mbjKRO4IGLNJ3sOgxcF fSS61vlmi8kPLxAZVgEGFm5TUkC8O0iN3nD6GDhuKTLPdG0aATJi/Pq2nFVTwZrTgH4yk6F4ymOC NrFU+WiWz9nnM8MxUiD5OruAuvnn9sLStGiN+wEUifnEb3dtK5t5CAvsjyktcsZthIgafeNCPtdy NAWjL6l7ZjjkdD9EnRLjX4VdUPvHWlqRPjwMNmaK49AR3Jdoax4MFvKS8LElPQ4e/lONCIPkOSJb 3xEHkXmzkgp2GaOVhyjLSpLoVpSXolWhH4Z1diWV7KlPtgre2fczD9CxbKDyE6O+Ligs6XTktPcn T3VJT1i9CSdllnuRYny+NO7gNxYQPllo2uRMVlWB/5EMGEyKvR7vkaRKsJlKpEu+vuQr82KX/h8f CRA2091skx9ZNyAGUBDoaGcPVXx21IxyGb5bx7EqldsqtehZd2sHqnQuqQbpCutqPfJ4jL/J+r/R dgKq12nB4M/ZCLShroOWP66NNui8+sZNJrjO9vW3SF+BOeHAyoFMSBpRAWWqoWvtP6EKEsx+7Cun SCxrmgIOQGmC1buWIdyQGVxRLQCopCr3V3YDmwXhQuy/0/rmXxFVOfAMHIXZ0vLA7XS21ScV16j3 pqRGWhUM/hjEmkSsdAiI6nOSVVoh9BXPoXVf22QQWuF1Jf3qpmYDwAF4sSCEylJEEClVoeS3tH60 m2IHYk+s1+VaZlBiXyOelv4o8cHdPnTE+odmKvfchxvvG9LAcVJMgwG6s9BcOLta/i0X3X3cuNlB q9w/72sOF3CcC711GFlpKHRx3o1Nl8ac4CAeI1gYOmRy/3kdxiZeS7gbo01ifU3GvjmmqxiuCaer +iMK4dxdOAbUS60RDOG/L4uzrFiRmqGnckLGJZmaahmgh0J4shz0EMGhWXAwGpmn0fHPUoG/ACP9 +kkqC0N8UmUXIRWMM0zPIpF5EDGhLhxLLIK8elYaT7BFXS3YTFy9s8sZ6myulELfJEmuEA/CYUoq sXfWw2c76V9bYSQnBCcAV4cWeMIMY9/Nn8rwXv0SwFLtzh17VTXYsdx3warLYfJ03vcSHvijzTVe Gi5AYBcFpqBQXhwJrMIe1cQSA2JsMVrL4VfCguEciSEvP0PVDTmfb580Cu8ZERM+JTC0L5feEtTB kCrg5MdivoY78uaSpMigNi/DoMqbd663toT6ywwCquAfzfd9OnUHqKWTlOdtZfJJqz2gWcL6kNds IBr7unnbZ5lZNDwE9I4vnJKrSh9/R+vx4xC4DOCj/TYUJYDQ26I4yRh1iKP2oUNSnq7I+E0LFb0d RWOnOCWLEWM76PFTQqj4bjOsk8mDP9VWjIGEdSH6I2v3g5hPPws9gXGXRVI4u0bJfIm2CNJk7a0G iqCpKxVhLMwh4p395d+WFmfEv+ev7bbWOeCgCqO57C/2HThJctRB9RvgvACqVpX7oVfGk6yz7waz uPQueoXKPhQ5auAPLBxrr40KA3skX347z4cwfNDNAm/uH+HD2fneOI8iHPUQpXk4q28i+XKNot7s TLxkWID0HqcUUYwtjJm13dPl1Po66LEwhJgJQfMiIxdsIjCGtXb/Svyz8XGBglSpVyja7OOjDOws GTYScfAyqRQZ+ff9GNw4RqodkUQzReV3mxBY6HIg6Z4ME51E5GRfdYHaWJBT21xJ4Ce2kVTGWQFf 8x/aeePG65KS5EPDhtxppfkQkk4yCvUEhfDRd+3T8Qx1i/DugI8KCNS+0ohMKUeLjHnZiQYhpb2B wZCfaDag7JY7/D6qy5qjRtLPRdj/LyrVg/LAZ7oktPZ+W3nyuZKcLIdTvmiWYXdWgB4hgL6UrkXa WNekl8fwIhIEcJe7hQNvUXxL+adaA9rovNJSXEjauptioAdw9nSz+j2V2CRCdO+K0Bl1Koav6F2D mXJFjzc5NN3F5fMlhBHJx5SxaliUxIkZZP0x1gKdu2xZLKsiP5K5Unx48SBIN0DddnXEM6de2p0K CtpjL4Xv6likhGprXGmKplZiGChogMRSJhSu60ol6mcaSps8y45dv+vZuU/rRsuT94Hv2uzgCgP7 rMqNtjhi9+lFSDWx3yuA4kaKTbgfqxxrQBIvrUYyuOIs399Fvq9qquNMx3V784oE+bB9wkgxmg/t tgJql7ReYDEjoGecFb8Fn/0NGoVZ+mDgmCFNzFJ0UKhkrtg2gX3MlhinlKP9P3o8CbtpGqpVq00H sLvgMsMrkLkxqAh1ocPGK2HTYjb5+/fiwbyG95Nkae+WIZEaxl/+IlxHg5bJ9vBVe92GCL83cM1r 3iuyo0YnRBITfCSmoBzc6Y4n8PC1k9W2aLYkkiIzLDvMWpmDd0XTE1AGx9ZqTIwzBa1Jdop+zPay gaTs+y505yKGukIrachwiy6MGC+zMCbqIXsCERm5jxRxyUOOlqqcWisfmKgU3pc2noCYHeSAfjW7 gUyd3esJlXHY52IV8Ow3VOOW2xDNNVSfE4TGcGpyJpvMloB//w5h/GdB/taoBlvwEZZ7sOXMkEYZ piMdN44+3mVnF8BYIEeKnXMPdioGP4fzHPkqDjWsLQQl1+EMYoTFWI4HYESrdX/uSruF+qApMthb LZLuBne0ScxsEm4pa/dI+Qvm/1g8lLergHzHLOCdLMYBJSg82fIkHfzl/I2KUvpojFG2d+KVP7SY XD7bvUz0JiIJbv4SS49Mk9+rqLEVlwDqKapeFeBupje5lpKPqc0VC+pneuFzaG/XnwqhXwTNFzub m7U/ceCP3uXlAVikoqadG1tmejijA/JLDf2mbXzdapxl4ctbRHJQdnpYK2TwUzsSeO1MpCiA6DPL beaji96CdT+kVMETQ0AuXo9NB4Q0WeiBVYbzyIEnYKSyz++cAuUiKlBJdUFgC0riZoVnw0RGmT+J bvoMHPzwzE6e6ASatZNqhvl/GzYHt1xNTIPrTo3CVjz2uWX+B2lFf8fyfWQF5vyUivUGYnQZgZAJ aVNNnUBLd+eXLWGpx/NisCAHJRVk3TUQ3KF7iSZB0yok2oy2kMfnh8kvjt/aOJxnpF6eeWkn00TE 42OPLQA5rd99AtjlY5K/oL62NJTF6xw2/MlOuOBw1CmIniLfK5zsuCJdSKKi394k5kZ6PWKbCNaE cedXhb2f6jxt7oXJJD25+GBTqkFesZUlOADor2Bd8QrdVl8bgHYbKfB+/C6wpA/N3ThFGpUvZd/v I4q4WEqJLlaIUjTuY0vjqy0Ui1Qa3Ji5kVwNtFN+1QL59K/DedL8qCkkPym5znlpt4JQaJYTpfV1 tPbzGBuDh42ZVSFUbu8aIyhuHSV2BiLN79Ei7rdbC3iFtal5MxASWEIW/tIGoeZjBZSjSzIkMTl2 04rmmQ5hRLEaK8YSHFg04btxJa/yU323rkGrhJ3vWQ0vKUdjQmf/3nE4bVm2TVxEklFlQYOGFJoW OmW2vF5yW36Jrlt7p4/dG6pWSvnLxkPsKDNzb7vgK9WxpT+1xQJF7GS6dSe/sftSluF6Xn+IXzKV oeBW894sAXG3YI1eLSfecww2Ft44CQASECK1ZP52D/EIXSq8IyAmT5j3gw6dXh9IHc6br8de/iT9 um3b6HmJ60YTngTquWKunrPz5/qUX+AaET8I8E/lTH+lrGqfzSreWSyyX/biaCNdB7y9h+i3qCj6 sRqTUFRImyvf4u6r3q8+A5yULh40nMI0p7xLv75fjmwtbDPsltgfW9lc2vU7CnECQGxONO9KbMgI DR2FYzh4MzI+whk82IujURUTW/6RAUsq+jtAuDbiG3IfkxmUfXbSXs6E2s52clOHZV8RDyrfws7x oJn1RmSS7eGUM39e06UzETinFuZ8nJr5q0bzhvIEN2SZWgZhCnPh8gxR6wwfiLkOrLATGlA9U+1G pSV8/TZkHHTp9fxE48r6hyYm+PwZwqo1ewsL//HBniv0entYQKpNcgJ6Erd0QKNaIi9vb6yzOoHq S9EcMKwyDZTn7fP/Om5LlZbuAUCH5w4b0OBeEVULcKBNH9RMH7cPDREPJQmllSaPO0GKkSYD0f/e +ZknokK6hvAm+2tj07RjAUQQX5pn1i9SAwMyZT0CpCL0eELG6Nex5fcpcLSOUGqTkWHqp8aAOgxq QNFT80js9jmc08BcmM6ht0BWLBaGacoHGA1yFNxwbo7roh7j//jB90lOJXkJFIz2ejXntovLx6pm R+RlNEzjOPCdCR0w7Uux3yBCsfZwlwsnr/hzqwE0NF00xybZ8yiau9XbC8IJud5FZUxyhEHfaeBL q2i7hpyRUSzrbQGUuV9/7S1mLUa7NQ2/a2JJKmOr4F7NVgSjqDY+NBUfd80HLmsNKuuUmnf39SSv Yz1gCpFrPfOSV/6Q4GQOxDxK/nnbsKSkt+ouXkfumOJVZgh1iW/pDwNZCbikEuKPduVOh2F8s11P dk1ktVitl7YPFtcbRUyjyKJFZLy1Gl/EqqKiJl5GodHiu9sN8zYvpI+ft4K/AlDW0uzuKU1hs0Zt S30pv6UTlJgUU8dklFD1ksG8BKIpm8kPUfmY7EGK+WshrBdHlsSS1A7edKe3/Yt7cwOca85ye/B9 gddA/QREb6qtkzMBnQCRbDZ2AcTpMz7Gui1gEOigHkpJ6VxAKAl0/7ES2HWtMqDLUCF8G0CQxPLd BCXKXU34swJNiOfSV3v8g7HOKa4+3TIEBtssvJ1WCLQwNGPmyDG/37ebJM8+p9MXnanzfE3ikBYx vPvCllCCHcfKQoUgPHIKGNmyUc1duE0CCGRAk22wDpRBW5/rAH8m080UOSgfEyThFGYaVfD1ZMg3 nzpZAnvnLSIK6zRuVh7zZEG+lp31VDZdiwMrsqbFAdKLhqaeEgtZN0mfZtyo4JQDLHzv9rV6m4T5 Td7i1VPRaKoTGZ2vGgavFa8kqeHRNgz6VplY/isuMdHN2T12WrSwqX2gN5VmX4Lqo1MX7J/wA2ti inkUnlghuzdZ1C1PnIJO+Z1JePuQq7Tizmmo3rA9cPpoXRz506EjnAPZ6lthL6TDOmf21BY1zZIH jpduXe4P8o8dg853EwJ/nXfLx9wWbfFb1vdxTG9arlh9I41WOkimJq8bHKxsboX+0+kWWrUnNvjs rbdtiy/SWhYLvGehU+zP8rkeAtsARz9k2VpXJU4b/oUnSFzHvDevnEj23I1Qp743hUcwb8N2V21z C/l8Ukd+1tU4X6Z8p7HX9KovXKvHSPf3HvTjW08bBQfvvYoDM4XqYxDBau/aEn0vnA8QAz5BGj+4 FBW2AqytDuLCFT4m1GK5yQ/D73CTLkfMeEmxvuSAcuirNi/7ge0nWZ8DEFSZyRgwc3/ZB7TyMcdl VjZq8dCTdpx5WbIeogclhAW7VAOdy6PQRMi1lL29dgQFpDd6zzi9P38ph3kvsOferT5bGx91SeKE iOsqzLtZume3nzyffPtNDxX+jgdwgSK5lhhQKJNnfdWFHFVVl2ZIiDDOPYgV3BsPWASCA1QGOMak ka2M0+JA/NwqxD8wd+M5fvEUHTKsI3ggVO8NWrW9QsQPihhpiAPTAkdOgc6nhSDg5wWsMQSONJuP 3o057eXFr1PlGCtBPdqrSzoNQlQzSGzlcB5+Wjbbt5XPS6eXCuNT7pK8hQiLnLr66jf9hqvW7s2O 8awdKiIk3xG+9sfDt1SQNZ4Jk2vygmm1wFGRREim9mLWhSBuqYiQtSMa79CoRGGaAOXtCCmMClQ7 CkQfNNSbkwNCyRuC6z3Tr4Y/zOuAQsdmrN8N/wz2rrVMQDzHIuYuLRrUkTOj9zbjV/MhxeDXCHFr 0fqAREHy0Z7csBobf8FBLEQ4vV7oCsU5/z5Vy9PlVX1pu0mu09rpj5TwF45p4gLydgmOmsYisQq+ 6FE2yuisMnRIM2x9Di5R24UQghW8f0ZSUc3/XaT06JKhVeivZ5UdX/zfDXkvALNlGR/Go0gyFwcM UXM23vLm2YhwVj6Fj7uqNpScA9N596AFhP2PbjN5YGgHZGLU7RjwQ3gzdgKqulBu2mri+8tKvP68 zmu1Yq2iNeApwQOJHg8NMmYZkYqS8bXc0RFGG8Y1Y+EPO3YhR/QLnftLFCWOTdBs8ZRUIqlrncej MmmBiAeKf7Oe9eCqPDY6EN0LCw+LBBOTQXVKgFHU9fnOKYz+0rO54bGyQZmDURX+10OVDUotR8nN 5gftwHAaBKP0ceHWDbmVveE/29/JcvZNFGKDh9nCGTu4u6sV8f5Iu0rY4RK59mLXOOdgv65lqdUr W6mF9KmMMxA6hhmDMhlBNINBiZL8//VPqggfc0dfsOlUG9fKELvKH9aoskXTPUlVSPC0zell8wBw cc9KVQb3ZKfDFpSRxQuvaH/psgkGSmLIOPcQIWbmXM07Kq/XSJGBixRzwjaOgg6WIWiwg5lxzWIK Y3mXFr9viabOOuU2TneMy/AqOEEnTAI8VruyC6B6k6HgoEfm5ZJBGQkxDUn60ulBP+m/hY98AD/B ZfXzQXNEeqL+1CP1CSX41yCoJ6g4YVIK6QWuIfGQeDOCcbzdtvuVdp7NQEbuofjAzyjqNLwHQjHg M8zf0JyPKAk8tjkqKdMhPSAZepYfsVfx9Aqaq6uloVx1hWADTDxrCspzBF2sWPAzzKVxcr0vPDPD J6htJxNFa4/AEFW4jhxf7KvTGdFU5B8m28ienYxUp9AvEUQMq3fqSwwRlCSSazX7wsCHgJ0e412l Xh5AwNepZ32msSXBkKhyy1SAJT7G3Japlzdkh+1ZTkIx0OV/7e79wVqeHAUFTWXgYhPqFgAN9EKp Cl94EQ76+6hSphV5izYhPHZXV6aKUoEdcpk7j0j24yy2S3/63F/HF9+xohSgSqwjeJOJJjYRCW21 oVCmEJtwc2DBQH/AbD6cgXUf29UYZH/y1c66Hws5XXMKHMbvgbGgF+WOFU0BjsrsakyXpBI3bKwC J71vJlL0Quu4p9xhzQFiMm6GVeZnQycKsXSeISHBIE2lZc8D0SNR/drKM119yLikAVh8BtB+NMbQ KglT7snqOJ2gitCsx7YAxPtSDb7dOog710LB0KuXimJ9M84CQDGGIOjoBMO5TZfFmshNwaUCIklw pG3+/ePUFxlq20k3iFlZd5CHmH/LBQVDSW4zKSG2JUqNMvzvgnIED2v0vEbOCCjHjT0QD7cFQ8mo ymE1Grh3s4b06yPV1hTZV7cF0dzpfE5rFugJih0M9Hyzz+J3ppLCBEENT8A+HnV7ewWa3Z90FLQ6 c7vkP/+Ly8aBk0QmTjmJYU4AdnWSEmNUzDdeK2Tl451O9hJzy5GsFtcr0ZGbBYSWyOEOCqN1WsBk TnOMNRJVU9HXkmlDiSVaH064qUPU/HgShI6vbhVH5dPEBxoEy/uKxyscsXNjfC7FqhK2yKQLFW3/ e/xmWANl266Y8x8frqNfxdECkgX8c1SPHjMvkBAJZNxfGEEDE3g9NIHkPNPba/2QynCEo/CJgaB/ g7LcrPUf52PSRecgnkQm12T6d33zL24zD3bOlInL/0U/lVcYKjl5vF39cChKvUabrz8pMaKnx3ot e1AbhPnMvgL6XcNjNNmVLWwGoT8BjdNGOW+9Jx+dXWai/BeoNe7OPc9ul8mxSR+nIardR/eIekXx TZHL5xs8LdDmCS7kzDMaG3M9kvAe/JW3J7uO+yMQQg07lopRtL2AEU7t1tpeJvu3pWIv1wXp7iiy xFius2gF+FTcjsH2PJMTWYu9YgLb4sSUWIx/pEveiWHk7Zmk63KhmvUJgxsXcyvR9oox7NI03+3J xoQxlNJ2g4+NA8dTm30vOI2a/SLi7/FwqTm0UPzOKAP/qHKxlL//s2UES07s9awWE+UBu15evUDl FqHbge+SExKbCInbdbqlKKGZ9TCRPsKO9wPqn2+47Sib7k6Omr2UuVK6S8BgdgzHFJ7BNeO1oUmd En8tr6ff8smmcZMmAVPZV0/iDQMn+vPVUjz3RKFhAqMqKIbylmzHAGBX3caujjzU0xyszryWIHi2 jZF3LXdGaLF/ZetBhkd+RwkXTEQYQzN2Z7YkzQOrrVMY33Tf5j6h9Tjy/VLxLxvInStcGmOMuMGU eYVKap1DVHqDf7dQIK2twjuRgTnjzcGQa3LE8UD/zpI5k7StMS+RQdHPpJhCYH0mTfex+RAsaLFn U8lLnzOlfLY1RAGfF1SYzr2JQ0s8J6bamkLEqY4D0zbPmXRt1YPpy/S5YU//9xWt9Lj8pGPAYL0u 3r8zlc/SgBuEQ1J8e/zc/Quy0lvV84KLHgB77lHnt8Z+W16080vmcw2RKnmgLMw7TsykNAkzq0U3 DeMpPZOqxLGzy8vVUsElI2sLpujoWDB9SmWhBjFZ8iFJ+7jsFfzSNeyEKj31QemXU8unUUKkw0E/ U5dRmSbTXQscxGBEFQpwOSsjilV9XntVzf6S/1lPF5hY5I/xat0RpxjckWm4Bi/IZnlDVwNl8dSf 4p9ho7benUrtdsJztH3udo8fJY+J8cEW4lStkHcxyr5i7vpslwliJT0W7GUHaFww07yO8wDx51F8 Kno6k6xCmS8WYiNx8v9mSMHsPkzfZ4+NDAe+tnXuNhz1UT+xDkSJa3fGZGDV2A8QNW3d1F9qY20x Fy4NatFNZYFbYlfCzY5HDqZ+zZgABmbhQS5sraOx9Tf2ixzF7ZJNb5BsZuMF8h6/5eaGfz0fUfxj cec+MKUVee950uWfcIWdf12kxFOnyjGULMyOqfykSMDa8E1NLUBfVRL17j4FJerO8sMxYiDMb9pa Wit1JnVt5B+8WSH7Bab1kZ3fsPtTJTYMHixtTspLb18VcMjuBNvr7W/wNJM5GWOo/CO3C+hzzppr 3lOHiaAz98oyS1s4JBSl3veWssU5dM0Do6LKUvEPEGJcLmJKVNhlKUwzxt2DRNdW6Hqj4xQbAqkf B3LgJ/tXbFT5VZNP0AWGP+52a9ZlUasbwFcqNNLYyfsjWHarv0m0aa7j1mXyOJkot1m1WXrZnFA/ dCSw3/QBh8/D2O0WPWxc494oMm8FY42nHQ/OOgDwU0Wt6EzUmemFEtIPNhSIZ2op7Ipl/L9C0knJ +LOxLCNXwRnvb0ClktnN6Ycnsy5Pgc3JuaUTpSuBk8uEgC7YI5owU3uWBwLxbua1S0f5auKCcKZO o5RHTzrHqGkYqk0XqC/VFx53RX2OPK1aA3XivKb4nPHGFZKmQjIVlEWrM8ccWgrFllSfRdipItg1 VQiPOvbU4pLfT9rH1v+1ukz6AxGHnFZr23ErRaULr3v8AownpNFKJKEPPNkefwovHVundiZgvOn0 CcLas020jJeGK6AF1dUL419ZLRuEcxddwsVxw8jPwSW5bh3WRVdy8OW9yfHdM59QR7olKYuOLYm1 pWKtmVjynCCGO0JkvoFvLhXcgO/XroQhbi93LZv5NV+t2GMG1iDFvvNLgM6CPtmADW2kgCkg6iif JoC2phaS5tC6h/I8xmQJn5TK20QKReemCJjgZIfpVo67ba8UW/SzC6vEqib9vA7GWOrwZiqX3czO bK5R0v0ZgT61c4NL7Rlu8zGG5gzuCCQJFLFEu1KheSNLs4WeeJFRK5ITgPq9icaooVIRuEZ47zuX KtJM6egEVl/RS47CqQrWmKVfZx/JszL6aIYqtLsydq/MuawZ5uikfUqrPQ8Zlw+CmiGTLdb1y7dg a8SrOhdlTYTJgJ14Jpe53ZyOX1oXWXHLQFDGsq/mAwnAbvUqRQhAcb14BziEiBw5PYIiOPR0xEwz L1LPcsshWZBt7e0U/pPwDkt+ao2tdI2h7D2wAInwrhq37R6HXcCTycmikfTN9PNS9pxLMe5tIgN+ 0pZiu9Zgu4K+xzxfWhlphhZNtaP9XJ99+k5paHX6x3dxlQ6t2cuhL0QHdq1wXnKeWVo8pBNA9Q8u F955XJtJAARnnsCnIqEr+mOABZmxa3YJ5wdRHYyn8M4I3JymeF5WfuY1O11vCpRWEx1QY3nxlNHF Tvz2gVy303MTt64nBOod2BnBc3OpricS3m1Oc03sFSwIJH0Wo9wFb7p5L2yhjQwUDWhrLk25QY8M SDZTTVMRnJ5zbGlSQ77zp5Abyl6cyYfpO0JW/Dv1d8x2nAyB8etyuTNm1/x3PoPCSkZMJWG0gqbQ 566PSYLtDJbVV49UVbis/2aGsTaYsOsp0ysOmkoCtGtKqBdTGdFwUr4YACNcgjZ4a7ddjPf3jUb1 O8RF09Lfl/5b//YUx6JlQ9nPKo0HsTsKhvGF4qFMdqDQjJV6I/YgNviKnkNyPCJnJ7l5jP0t3VMm /apn48U0WJrUJ2d5AypRwdZYiORMiXEfT6wEyJey2eb5hQ42DgpHp9fzQb8k+4MaVlCOkWDE4FHz VPrLkSiify1xDD1Kheml3v16M7+XAbC/leEdJ1O7CiCdtn7t9ajKEBUweuZv1ge+fVy+FwuUj/l5 sl+LWljLppDO1cAtK1ZS4Ok2oWkRnwg4dusk86zeJrHSPXRbCwJCO3f9gGBSg4Xiop1WV1QV3f88 YnfWN7mmJxOlHaYoGgtivO5bQW/pWwKdXbNPLqU/ID5EKYqG1UBU9mmcpFGwn7YM1oueirXyes/p q2DIPgLa2aiujOgTl/MfQMhB1u5K7UT1aluR9GsAZUnfS/V144a6rvUMJ/e2+gOQDbkwyFNbrkGY +ZGhEpe0+4IU9JW54UM7y5VblEhLezAcgvuyE2BJVRh4QrUBqumjRe7EUx5X9qDsayE2OMX7JY7P +ez7KudVeCknn+ajBFKUs0ENeMjOfKfiZ5CXTNPDs9QjYbdGny1qBtSeol0pKMX0xafS8pFzTw78 ZgDuQKn1wNmx3WME1jCNHm5knkALmcE4GoJxQj41exkdzDbT1Fsjxps27XQ77sNQlnKJGUsnT/4i iW+481tYC3/fonb0EBs7dLgnZyQra4Zin4vRQkgplY4Pia+OU0YU8MdgILl/+ya7HERbXGwV8iQj lnS9hlo52I/UKHx59ppveq1LlTlpYKllmrPBkVXYU0vRbOFZJN01YDFc/MXYPd4jZDeX1cbYMchG wpWweNqLy17uS/csK3gjhVPPNxXjax80I/h+hkevLesAVTxoNGV6qHW8Xjl9IPq8I4doOEhBxEG5 e+D8RPv9zopxs3aUd8goDn8pRsM68cuv3qmSh4UcauL7F9NoqD6mfkmYqB4cvqnpqNuQ3eeNf8Nr yhOTo0z4pYiYNkUnVZ22XxqyjkK7eyvMjL3flc4lHrlYeh4RcH46AlTgG6ibJgYF/kE58ys8i39f igHS0u7CZAUlA/kGqDkZWyLUw2iJIi+VGAqeC0iQB5a3kWiHIHu42vSKRuQSTtqKB8Qzv/h2c55R fe8UFIKK9erJ+pZKMIkjkobwmkAfsg3nv3GfCahorzXZdSSFcBen8Gv3wHLy+AAXMnFXxX/mWQdy v+ncsVekwfvhMScw9shAYdA48Ep+AhAq3fDZ4FfS5C1a/Tv041C8WGvS4MTrIQwape0uFKKz+QrO p0pI6DuYglYYobgg+gPPIvNeMPoU7BsVDH0xlLy8VMS+gea+pAPFHZudiXrqRHHjLigftKc0Edd+ WBFdkZSCuAVUaW80Q0j6EeGc36tGZ0D7o0SPKQd0QuZIBbth4iq1e0dv7cn9fJzuBIUIsG9lZ6qG 0e54fymz1+MhAHcxj9d4nes0CObWI9sz+AOQkUcXQH/TOT7aa+hEgWJn9nDRWZIWDH35CxRuzCd/ hC1B1jpvHM6lvZeYJSP3GHr/XkUwXoZrbM2ROyXEhOk7sYYmruCOKdDl/eg6mWxffelB+ftJzFSh hVlji6rDMdVFx+Hp6HuO4p+7GEroASSGpIGGm7MTRN4ISKAPBVfNgwqdE7Yptga/m6+1gd+X8ntq O23GhXvx7f2Rn7rE62GuA2oA3qyiQfot2uKYkbDq+qmZSy9Ey7si4vMTQkHhbDJxXFy9VJp+6b9f vgBo2V79yM0pe8soEdIq4qMbL8uMN6wOUkKOry0bf7UxFtCGoAhi4ZrDapMhzMFOToDXC3TNmx0o zFyXkVE6F4acU1l10cV+oPVBnrHOZ1itX6XoPL0+r7YgI8guW8gQE5RGxx2KOl3gfipI20VnEjZe sQzYSWLZqcLk0yHEn3f7Rv8IGvMX1VwTMy8f6TJntiLmKpdcrs8q5aWANBZlEXbUbazi5nwtZwXt 6/HFy039/26Qz0dnx1UjAuEQmfn10dMFZMcYMTsqHbVYBPl+GtxwwFm8l/tf4IpEZ2DHkUi5Boee WWh7jC38KYkW2FROa1uPpXfyeKK0dHwLeTQByPda4GU64ylj883VvyMYny3hC/hT+Ue5zMm80Zlh sgufeXcekUJD1mFaTXUiLjbKJc5cky6axjbzpMF4F3V7aJ7RQESYAJCLLviEn7x4WL7dHyNul9Bq tz22LFk8kzdC8CIWgUkfZZjmDMl9tHTCXqZrowloyjA50XBNZT9RajkYCpRSor6CbxSEnZ8D2hjJ rp6nWCg+eQUHmo97/iNN+HXv6mCS0XuJSh1BdnRXM81mQT2XxXMS6VHAwVVzZxmi+XPzfti0dJRg Edk2eNU0Xnk4wl8QnegWhf+biH5svy66fpLCdjjV4/j9sqzqDO66+uW9zq6nx98P0vkRwB9KNWA0 3MagK7caPy0n82VYVcmIQv2Mx50qLwg1ryNsaLTuAAgQcuDMngOCIERJW33fMox3ZPklSxP91h8v GyC2h/2p+CgHyNH9Nur2dmEwDzZmqMcQDF1RxzkiG9V7/NV1+24kXAV68gS/VT/53EhOHmTPDemD aIMas6we08slK4OQey8YpqciyxIiLn0kRokKmqxOQulqgjCm9OdYAJ+h/IqM1H7rF7posIuRD5Eq 2ivMZSTghzCdirhoMh3ZvWcub6fgEnl0GzYDr+KzKajHWiJK6zpJ0QiPXLMyIMYt5qKfr4OoqJeH ZWTCDA+X+IDUy5Zg4Opz7e1rn1lXVyM7mPI29LddTz/OAKYldakML0HM3Ry31pQSdBu7aPvOmUNk 6KmFVieB0YtBc731NQoQDvZWx4S+HMx4ywZG4oAUUz0rxh6u+OC19LHYjPVXz2TkDis/DGKY1ChD fgA3AYBC7BDtyugyEWOIigBCF5iL7bQk+D4y9f24iW3QMwNhntuKB312dt0/aAK79lR9kJ7B+mcV x/3OcJ5vxtdwSD6ZFTix6ZYOcSfNMJoaTdYchOJmjHGxmP3gXryKorhaqZsI7q8KRu4CvXJe7Dvm WG70uEjdHETJ8Ah3asFedriKgrxoA+L0RiRXEZpMiw/pbeq8pacYBP6dTWkpMlKrWVfxoZ1cscJt uoC5cR0XixWuBVhM2OVYdS88Akg/VfHSVX+b0O2UFIRhg91QOIc77/IEPuGk5LmV8UjMZ+hOOkbE 0H8RcxKiN8S1UKAx7j8takHH5oxb7QpUlgUBNQTh19/mk9mYZwLdOVVjSzNU3fD7YQo4096inxYO RqxVzDTWFO3Zvb4+0qQPxYwRwllTFJG5RKfZDqQh79Lqcas76LL0xvLYMPaL+zym71Jx3B17xvbZ YbZdcqKtO8+VOPcrVosDQ+t7OdHJ3cKkRNfDFdNDWQ/WsEn21Ff/xaTOlL61/EAvasN0gCNzBQPE ZIyOxsFJD6jA4Akb1V+DDlmN8zSUrLHnzQiwhpO7fhv0wJ6q1m7zkOiAPdgj8OfwKzlZ0GAQdN4Q /+Vr+dq2+DMhTQX/bp77JX0WRzqDlp83jV/T8Y3BlfKbdRjIrYzpMcgqmDtggmwMcaQ/fi+8oHuF qyrVPx0WMtB9ZDJzKBjla++HgEaBtMuevG2wqpYPLnsMGcT4L9zJlpuWOk6SW6ifLflcc/ump/tZ tJIVnkYAP2eOAgAtThkh7wV0NdZYMYtSOPtwlAhBeR7dlTMwlHo8UUvHnJbumoHWOlKAGypJ98Vu E1GQpaUWEqI1ZM0hiQRcUFb0k+W2eUf5W9GQw8PxaLAHGPTIpndYAsAH6ojnQQx5G5os546RVFGP uUCXVp+dr2BB20CTUypc9XdBWo9wpX4jD/3Pz2/IgQbmO2gx75ccm1Y+QqmzbG86YF27Ki7YjpJM NygzSLQl3xQnAFJ2Xq2SQj3lPHkKduT0H4AGpqKUAWNTzIKd154a4zQGD6+I5sW/WPy2L31Rpotg /szZ64+9S6x+BIM22Y1Vg4/MLymQ5q9F7C0HNFz9EvHPx4FZ4SrkZ+wMK9vDt+/yu2BFKz+w99g1 fadPrVElrNcsO+s0NsLVMFOTBft58Hva5NxwG+DudTFYF3dv8YAXIySar8xNqUIn1nuh7vPNIi+s uFib8hSWnLZZHA0bHvxsSrGl3x+rYf7ZexWqgLBOVxtXPvTmoXctjyS95iOYlEIDrzPZi5gDq5Tk VwV7eB1aERmkvSFolE3ee54Sq8ATMZVYvkoSEqFgaGJw10SXt4nFZMkaerKnK9yqqHiU5q/vqbYk BIem4sZrHQrm31D4rSnH053gUZyDhZmU2ZgLz07BJ6B1ostL3UmWvsbEeTJ0BKaEQWliz3pAu4sR HmsxQvJrURIw7GSc+GDmTlS3qP4+CSbc2B/yUXhpgRDu417Ip9FiKkMj6/YgcRWv/ZsuJekn95sC YW/B1R9lZNKSfyocL8rRtVWRI3awnRhBzNpNCSttDm6E1GXwqfVKW4G+Sbgzq5DBLHwZ2+0k3ZKb vINH/9QIKLGDnfGFs5zusS7HcfMPFDuscKvoS8aY7rhUL5qe+6K1+bB4AYEJsNXyUOV/WdvcrZst vGylD7c1iXk4FCSeM3vpEcifU2RWzVANBkkMr4b4MM6xFEpe0PXembZGm+Li0gM02cB32om8yFkb fqJMThpqpfmv5hDLi7NfxykTZhdbsUbl2Vt5aXeUoR9ehDNKSNBLgjy5E8bsadoP+kgfFGlltFPT TuyQrWeJ+pOHBhvo0c4hkS6AvejwWuykW1x205h9mFYUIOoqLrLF/AVjUhoJtAGdsoepYKKp1QqG Z82lgzZ//npumv3PpcBWYMzuYIlJq2RxLpl3/aP+TXAPAwMqrTyGZt4waYcYuFPBVr9ikimhbKjQ Urq8bNL7tEQcLDB/Wtx0CDQt+JJDEQQ8Ia1fNOno+Qev7aclqb7TN4HQ0t79tkQ/Jg8fcV1CLtNr GoquxbI+hnDxT9A+la8bdB+k++nV42yg6OUn+R6/mjBw+Wf5d0i7dnOVeKmxvn28ammjtajVA7pb uaUc2F10cbfwPJ8Sc+nW4M3ai/hnkDy8z61P0HTC+bgcDkVfV3geAQ+hyw2qdVHMnXF7PHPvWc43 8fXs9z8Sag048boVRXVrwPskcR3/out2jpSAVAUT2DUtTXLFbcuqb2pPOZH1AG4+QRNMTJDR66G5 ChFkIhaa6EoTX9SqDibGHwTi0NNQpbk/KF4O2ZZ2vinreBnPizmtl1APID2StRftJQL0VGRQP+Ce KoXHyNYX8yz3W9mBDVBx3ibvrn+ZO0Ve5KZ6k6pOwywujHRNzcwpQfMLU/b0VxMI6McHVctb3WIt x0WF2ikNvwCeBvExgEgs195wPzfHjVj7gFzbJD6bWuMieMX1LJaBErwyUgdCo8RQa+qh/Ek07B82 tuZZKaMzlD8lZ+S/JjOKW6dn/u/ZUXSTJRIk7XoBB7i7vcatOFIpU+rnRsx3FxcsLVd9qtezjceA kEW7jjAV8nm3hkkn6LZ/H35lck36NLdW2cnxibNCFZnzIsMaL0cA3YrKQCb/HKn5Y1/nvqnSjvUS PnHuL4D9rkZaHerpLZvfSY4x4kqfX4no+a7+ZTl9QO+z9IwqIXEeyjimrBeeMI7djrRo6k2EKmqv 1GkTZ+NVPX2tAwr7q5n4ruN4aPdBcEw3Qi4JBifmvGyQ3+wxkJSSYP0ohTq3fkRATdYtNbj7gChy D1RIt3WiBk2YsULItHNYjWUP/UVwmsWNZ3LezpapQcz6AsjtbIqz6zpwjIrCmDKFNKpns/xSAJ1X E1pCm89h49mS/Kj4tRNthQjbd+ImevVJ9b0fsbK9N7cNE0Kh/YnjhfYMV9hAlYH7z9lDbioP1KW4 Ps4VZW/9lKnSNczO2yUj2Z1naiQ5uhXDUUvbtlCfEuEkxkgXkuv1U5F2iRjQb3MSdJgWYKNXhN/8 9WEXYTQgZY3vAr0eGilJIezNPjmCwT7J9rg7DjHVUpyR8Vy2n4uc5v2N48++hp9XBqvIGl05BNXF H3c7xBBDbkia5e4jLRUvctLOh122BxzrS6rgP2hPcquTPtgP4eymaK28EwkBektuvoB8RTW/Est0 scCFTP5k40nKiQXq3ZsFegLIsEcRIFFovQ6Q/TqGUC97GC5Ez+xxfOuEPYWFdhZS9hKKLbYOsUDu 1FPDApedupli6M/A5gQIw3HTJlluW121hzkWauegxszRRRI6w2CLzManW+8A5ytXIQsD4THYUAsF +Lz0Dr4VamdPPz8lSLzno1z6IYCD4r2KWKBUDJ+OQCm/uX8AW1i+V3ECngnAGdhpUwiL06zsM7FN flRul6/zRuUWho0fpIT6qeU2dbBfLBPTD+oSN2Xu+WRCWDdfrfY34v/bY7/dqvHHRitrnqlnaloo tavap/JIGlfLLe60jskng+PSERtO9gRfxcjy4wSTFAgiOjFdEM6rkzOtQMV+ZGy/J4BX/OE/iTBZ ZfeLw8xrzQMjfX6Ga+zRKgNutzZ1j4oOJrrQXxA6EsUx3Exa/KtcEKCEw/TsKi5CQ2WPupgRSgkU MXJ5E3METU9UYbHJ0ysyc6yO/nNdl/1eTVwPFYXbPVHeNloE1tLY3y64tPL558rbpFxt3pX6XQ7j VBdK/cTTpmxcdSUq6EaSdq4aXAPlYe5ZLU1jEGjViOWj9hLV2HG6zUVOTl0u/MbOpvSTmyJcWpkZ 2lWSp9zGm1wtc0YOWHVzTBoZWr80rlDDLoKdfPbGW3llyzw3r7ax+GqQMkVeOIijKPyOY8w2E8xK +J4b0IDwB37LH+Jv5puNMl1C+rLwA8hlHrBPCdXloAsjGle23xOx/lGC9YvSMXxjNUNm6jLLRxFg NOoUiEGq3ES4zc/sMbZw7nFZf3c+yrxoegWWwS+ypxNro1QCryA37UneKfsrDQ/LF8+EAvWFoDgm IXu1p4m998jIJ8jPGaVV7IkpobTg3vOF2Noyv3cH/4UogXLgx+ZPbBhwz9K2PJPNi3AY1EOeYt3w /CkONvoAnsp0dM6TJV4/osTf+ij4Wo9ictoUi/CVHUHJfN/aKsDj1wqny+p4/mI6CHRl8RVUlYDu UMDvIe5WklPJkgUI8jhhdJWCZhUpCJBTjXgflf2IylrpnL4oo41gWV1wmXr9nWT7h2gmi5QfNZwM KlLBW6o3X9V7dvaX21U0wKLVHqEA4vo0CtDaUM4evR+PpEFXnFyHRN5iRMyi2WBDRuDV9cXxDP9e fh0U3j5eUZ13UseL1roiDM7ky4yGbKsB6YobxuYggSSALMBYZH9n0qglAh/j2Ej9t1lS7Gbuo3mx DlMVbpWK/31S8dSekap14B12paJO5gHaUV5W5O/zHQcWl6jvv4ZB27fCJwMs5pI4TyMwXRTpm76c kOAmhIVriJPMtPkt+wNtpKOx1W9D/hWWq3HKamE0GwHf9QBBiPFKhp9fLDv185lTPRk7XW7JrLL1 iBo93QTrJzAv7LNp3DQIG/zDRlpryXTHVyJC2c2dgEhw8P4bJP1ah/hZnJNJvzRQR0sLaxwX/I1Q cpFUpbrPc74zhZnzENxfKDNs+b19z6P/A3+wn6xx0V721X+thEjL5CnS8bPVHAuxwHR18DKOdSb6 Kx80DDDFK9lahUbMsQpEP8trJb5Xyeqgugv/PJvZxROFYiJuTmB0W5pbZ+Ro/bSn9d7sTw0oagd9 XdNFE0ipwVFaYxh3XONw8xkVkSWBPBeUnCxdD1AMBVMmh77sLPjnWoH3KV04hiTkfTtWQqEhLNfm PIO1S4l4VwOK7dNfF8b8nwyP1DQJQGchQ8SVuPRfixSQFBG7VmvRbdtfeD/Ka4WCkSmI9QEaKFRh ZFkdeOfiIoMyKWypJG0viQNSWtKflRaSMEb3+Sti/DtpSoKIY5mw0PCohR5VgrfoA1smvGB5siRR QnKrG0XdVC9Jpof5SWYTS6qAtzNigKH5/wjfkVVyHgdiRyVMIbNqfZDpKxUnKvM4XLtNd3w4Hq8V 5gg2xCRQp9IUMpeXDqAfnWjFnY0gtU3t4y7A/Ner2dty1k01GPTjxRdpCmXg4RkvxJI0Dya2xNxh HMFeIlc7VWwZIJFDukb07Fgc+ZdBD3Jj0AC/Kc5FTPlfSDU7hjMO+qbDoAQIn7VABcpUI4dyXlr1 K+gQ2knkGuKP+PrK78dyFNH0aAGHfpTkd1IdPxpcKpo8Velx9XoBsmFWoS51quLh+ehNr+b0RXjB v82lBMuv0FUHWXIs5UoPEL/+LFHGq1Z2dISg1XmMSb0onkb9ma+GZVJQ5tmTCej/TNKvuNKfuqro gwnKZe0O8yXkWEGGnCVdXW4F/+UyKPxTStxT85UW81/8CqSOnErnW5+oN0WR3oswRNBPYQBg4MFw vPs4ZzFNJl7od4uDAF51XUpQYKkH9jtNkb7a1SxIN0giCN1OT752k1U0aTijwCc5vpPWI8u1GPcx 72KnDVv++Khho1Lozit7oJQ4Rc5dk8kp9tizdGFrL0kCAh1tDroFd83psi7jtlF8+g5JGpOkXqBq 5KmPB0OFGqM0++ZXcwAAGN2Buj+4dNp9IZpTIZaMHCwTciflvCdPyXnkMdt9NRW7YYUbYhba41q3 u3qoko6B3bUCpk75pueLn9mu37GhdDFMgNzuus+0PgmJu6GIXQro5XMTT5rl3F8GgRQsb31dOD2K KKfj4QVZbmD9kQC0jDhHg/VJiO8WPwdAPLoOpXHV1Ow6THKGN+0ksy/EDYYf6BVmVXzYWLOGm3IT risnL1h7bbRXmHjaG5Ys33LLY2n/fPcEljYdcyzuSKoSTK9eMYRutB6hfQWTCqq4Qf6QnGcbn7Wi L+NRML1s/7vcQQrk+y9m10DzFRr2CJrv80+fR9pxFu3Vv53oTc6AdgDA55uLYz0qBCQO1i4H9mQl k7sl4KwnpGHWeT9/TbcgvzMqKy+6tNX5DZVNXI6F1uQQ2FUZAv6vTsNjHFp5npHAjWt1wzXyMQrG 91PEKlUWrX8HO3jewqzY9o7kVXl50TKZYEkJKBxKvz3wDM4u20LJiMjRkMFXcZh+8QdT8Ii/hQre AzsAIEiPVFkU4Nee4kVBBXUY1bNLXR3gGXAajUmgr6+Z4SoeWXarEFRc3fXDtLxT18/N9lij/U+q Lr/beXmud8xFjbY6O1K8vG/QC02eHuR7bpKqeLz/6k94mdViJF89PEqJ0i6b31M3KziJwyhFmKfG r06FDoC3k1RL9AqbFk/E5Ymp4u4XmC8xkJzbrih4jYETnstXMmcPMkxU20LSNUVGNZwygRbFX63l 5l23BNz3icvX2BJjDxuBzA+9U84FD6/mxhY9rdxE4G+SSZW6m54bNFCPs01ispBLLlsvCzTEOhWe IFTfF/8T7SwIssiuevAShC1WYvJ8e71OsOPdjYGlq+shYWxIBsX9aT015eP9yW+8F3URC7WwKc6S f+NOZWhjxBemepMBURuhIjfiEvE/lsEOD/iArmIEI96WTaUy8RqhrmEX9mf48yaf+xe4Owa8mVDl nrxLTA4eozlckhlCjmIvRgOIhWQxHNthEjTWdv8wwWs5IKEIqVPhPSojAe4YosbhcreNiRH6/2cz oXs8uwCcXalq2H+RFBePo58DjU/KKmckHiUXKGPzVul9UnsXS9Iq5FpgEqaqOW2sFgpETU+aNqjd ezEmzCGmbijYlGmgwQhz7mr9ZU1NhyEgUi1Gw8UAvDC5Vnuk/cO05yErbHVwlBlRNA8/vS4TIcEl xHI8bjMCoyI8AEQ+AQ6xdhxBSq82D+PILeX+vG1hyS8PqEBf+1mDa2/fPRmtUvlh9eWU/3F77iir V2vjm4PPLiYjeqffyPcF9ogqgP9RGgpANw1Wmb2m8W2ZmW4ocqK/+kQmino+QgrDhmuFawclFJPW F8qmfs9nbIetXkYGvFRG/UVzfSGM1+y9dsmZOqR/L8YmlFmRGoNxOyJooB617buJkW/rUMR8bnMR OrEuM3BgFW5IVtqwKqOvt17AcpTcMUFiPkOynJuAKNiGtP4hqkz5NqCLwUxbXi5sB1D4kHLkk7gg UmTGA7ZSvg2mNG955ELmgXYFc9w4PUL4fduBuBTiS2tYNKVqJBAhQrnw7HICr+uiAV/u9o5O7TGP N19ToLv8wN53khHYWTxH2joLaeWkIo1K6MGLAJ5CzGQPd3wb5Qz78kND2Kxk6NYf6SnvLX1An35e bCxAr87SmaLffe+bpdG8H6mizQCa2dNwzaQ1Mt/kedJwpGKdszSlgQjyiNpq4nIjTeaODq+tYN4S SyR0NHFdZ5/PNmYS5zfD+BIQYmWffF7TELgdLv8/skzvFizIDx3CAqDx1rONUJK0PEHVeso+xETc 5BAdkwM/d9wTRFwlH4jfq/mNomrbPdbMzigWAc5qjgz19VsgShDqbDjdOeLIYYZCj3bqFtq6uLve adQQxXp6kXC/JG7bXGqG+OSQoW67Y3ew5JRQD8HCA1KmFrp+oqleNsoRr0stc0Vo9YOHMYqeiGJ2 MlrlUEPvgnw31K56S4ynMMI9Dd8QF+Qy1KtRdPK+ZHZR3ZKkAYLtsebwbHlo8A6rA2EQSttpngxd dZhB5cG5nslTzrP0LPng1LQdNfHRW+bTb+KbWXAjzjdMYMfz0dJmjnHkC+72qcPhtmYVNyO5XAE7 NQcPudEdSdu1ynlEwM4ua2vLK4fmlI0MdhPcEyP33JVaXcEsn+JyKKrYZ9y1OVUI0jfPCMnLiuGX nWSPS8+OTaSS5PWbMryiQYhtrMP618PU/gS23KZwRdCya7zqRuvvEhcIaZ1y1uSGKFVMUjzbXdlE 57gFbEvruUh282y7c7//0LKgbAEX4qjPJvWsH+sOGmho+TiuhPDvFvAkt4zSfv3JxjIghP6ypFSD 2y70njn+qgrobRy57JXv2bmnaJyD4GGAWZsku3qYQWuXFwejN84kiNKydpO2/+e3cduzoLxvRiNb wJ6z/sDCZ7rZ20rTD5f/rm4qj06xybQrFyuQgjnxzosgpEY/RSPeU86v4aLlz+lmVuDY8g0ZPLgd FOGWxWwm2j502ugMDmT27+6RjZeUH+5pWY53mNg5YVbE5dACzPk8u8OFZBttEf+s3EE/KsaYjIdd aEI3AJ8PqA/7p4KvQfkR5zfuCGTq/B6eLiIQ6nD93XFYy5uZclwimATSFm+0k6YBp5i41PS+J6Y5 R75TGbw+JrP1zPm7bt45C9Z8H3oxwZgCF/QAHYO8FD0AT2e8vYSe59KY0pqqD2l9/qkC2aXzdum5 ywo4N0iY5b0oSVyvjVWJYqEYB2qYiWhrzu5tIaaY3Edgo8UoXdJjnNBr744DkKC3CtC5SAVqwWxP OsE5BqWyhoBDgqB+9CpBuU1weeuTitmG7NwfrDZS6+zVuf3LcHZNRflzMlYSj0ffur13maxXRmpC BFQV6ZdFCui3R2IvPA6ZSpQol2zPTsXeAfTvb1oNR0s0vt9rmRtw/0GRPUpmvNnCe4FH1uSI0Myj bE5IeRgQKwNmRRE4Apk9Yjub6wgx1Sy/QkhP5RnBCeQbQaR1P6o9DRaye9veWGXrjPH5Y7GHURdv Y0pzONN8fvrfVcNBG1FD9AHdyMimVOYAdSSIRIovOjgLiWiBkzG5uJ9OMfdpTylQytjea6MTcDPF PUGYdjYnZrQ1aoKjScwM51P39NGmQdPvt0hsGXkdRnzE6zkwkqPVdlBpsdIXVG8sONXVivZyc5hq 3PHX8sbfHsFr6UHCAb34l+sBqoB6zoL345CcNf5zZHljFf30KN2bPQXLK7yUIaNXoNR5wvNTVpok oRElM+0lqTxtbE8kV3nqWydCU4x0rWDDFLNkJighYepscS2X6sU/mPKjGrv0gz7LXfu072HYF/U2 CVfnOdk+8XKlbjAAu3nB8IUHUdJ5LnO+av/vqmM2m4QFYbm0t8eze9zy6PtPcm9JxAC/+qC1lDWa cqKUl4/DqIyummBTCNS1wEXYbeIPqTzUVP/9K9wDp+A2JDEg2os90qTXwswgOBeNTHx1DqdWKtX5 Hze9stjI5gGKdKfKSW8L3yB5vtstJy/T1dWMI9anZgJPuDpRXlLBXXJ2SW793TpRpCetOugOq+qW hk1SK1vi6ZzScifpFYcUfqBfWXQLGakDEcxYnXzgsggeKI7rPwnIuBLrTBIdKtufb2+WtBjyJlH5 QmfkpDHwfXhxr0qFjHIAT4Dy/DwT6hrmsEwnUCNf48YystfZW+LK3h4GDlU7nipItxgnczMDOl1s ymzL6hBLwfr9GhqWyylrwtOwh0zq6haBi3mNbKHIJW6hMb5/H0GUHGz8LDqCSV/BLJh/2Fz612Cb WSQSWEF4mHtNhylJEZ4dDWhKgfOOodNSrmV+Y8EnVDKddKTZP44o5abT1XCtA/lHS3FBuNIlX72M D6YAol5pEuqjRtO9C3+oTl8Q1USm5ro19Q7brqUuuTmAv0YDBH+mSj+We5Rq/W2kUsstFea7mYOA qPmvx/qLAWKyQqm67nE4TWlrg/6+5E1KK2sEqsyQunRRQs+UJhJYhaGgqvSTgYgYE7xWo776GQp7 5IXmfQcTDK0KZdQpQF0KaQtTTxr6AQNuzPPUuSjTnRPweuCc/ztgpEq0xYuCf6qAKU50osYsUAV1 YK7eZbPeNDYl4InwSQ0Zr2wJveBVJ34K/JtGO+ru3z+XRTkG8cYFLipRkMys+GgUsGP9/0hrletL KIo5+z/838fqQNv1PZtRVVchZctk7hsiQ1nnlbBJ7v0W9c55ktrguNz7y7/mS8moq1XZbv+pD/qO dPWyiKP5dkXLXKBSlC0Cxh0iLRXCXJEF5D6Ek7wl2tZZzzHqwwVRnEDH3KXW7+VzoEWLXfbEtk2F hvSqCbtV9K80TNbYTAiS5Nz+TLaiB4J8YB7ZaxuxSNWBVzkEyGC1qn4EpLG0ZeYshupt/OSyZQkR gvCIlQHaa9HOTpe/vA2jK9CPlIhpyaYMbKnUsa5RXNDYSYZ3toxNYeSLVudwKkV9gziasFUHjOss SeoQu+BDeDMCW+fl33do/ybW50nn2yg7H6Nu16G5UyRWlPoUig5KOpUxTQ5ZkbkfjDZ2SSwMfRgU eOAGR7UplqGqeSen80M0RJvSfRs1Syg+iFI9FquMT95fwmpv3wk18VB2XxC1VlD+A4BjT3I8g1rP l39NCUMtYFbs9GRoC65QaCtqRgvWtF2SzL5SDjhwmgikdCFn2FRWv+jJ9JCZXs1ENwqa09ikWZsI aiX2sM+jFSWB4o668+9cWdn4CEfk1DB1TnULEjLYPfkT8SvA3k8NcB7oJZFGehmlc6CrHEDxq38Q SbQBH5hGmZOfSPBVkTGCgfRfTEWg0F5iOy4trg8s8spWP8mUs5hm3bzkwpBbhNUdnDvQSNhbpkw5 DoAvRKfcp8OXfAh8I9tp2SnFzg9JzynguhnjkbNh+HvRRtQsnbuiIR4LEQqv0PQxqXgLUMJDeXue vb+ovihPCgXQKw4r1CLKUfPWf60m1EC6lOKAV3Ae1RYi7Awu01Cv3CHFUPVJwo+gPGAc2rhDA6Am bKtKGNOQLntjrCa4ICAdG8iuDUtj9dPa4zin5pa7CGmZQr6mPG+LbzQMiqCxCRJfB/U8IXMXqxTg JxRt76GKKJ2bdpLLhddj1m1Il07fT78oLHGMQi0BpKrxUr3s7w8IJXKjnVj4/VKEnksNdKEgTJoV GhiX3jbLxiwsdLoDmmhV77RvAndw68xNnW25L1lG2LiCPbxUiZyzrADkBarLtmK5IZ4/YR2rjAeG 4gum0GpcmCTjVIASasvDO/wjavkFbHr2boKSxLJcd7DBy8FH1czZESV/glS924VuGxVjMZ0VrVrK e/yEub94fulUQH9fYfvlRW/C2MmLRDjQ1C3qXI9doWUMN1IgefcsAGH6AUEIf276bkgtKHYwjTGl knqp6NPnyZC6xzwMx6TmG1Jb3MkivFC+YfLHYafOE60FaGnXdetvnXq8L/I6X62YobTgLZ4UA68a i6GyJ5ty+t2FdnxGTufRM7bva4tLq4njDdE8bNwRQKN6AQuTuZW8979zL+V2yDhFqYdJgiYSqBB2 fGWoIeHgXLShcyBrZxyndBBgSLs751IIh02S4S8jfTCKIk71NDhzxcAcXXKgBDAZJFH1586k0Q6q PKrjPdfX+hGUlICzf8IapGoEZ3m3DM+0AOiNU3Kmoe6wT7laGOuOdbdBDUmlOXLh/67LYIZWWqtR kD3ugisnF/jBvrVJNOFoufKEAXeQAUG0omUx8v+0f3274nEbepbTQjT5stgDyP5BMym5knojGM4U X8E5PlvJAiMFMoZHTIO7j9ffNRXQd78mHb6LA9ECyx9Uz9zF6+g4wGe8KAYhf9BPdC9R+Vvu4A1r OGvyGZc64mpnypi0/NxSiqzW+yDO5E5uD9xVnbUZZ0AUYOehILN0UMZ6M5J5uowyJ89gJdg2c5iV 51UtDPjkxk4qO1vkM5Qh7fmcMdJHMrosx5T4tq3AAuV8La/PEg0p5nNxkvhuLDPUzHMPt/toNouE WIG+XSE4q0ggNS2TuwnJIAZx6NCcczH38rJTs+jSA1fx1x4fHEQOQ8RZJmI71FKNmqgfwoahGGNI YSPdSS+nFXgNx1Fek9AVc3GqML4wma8IM7gk+wPuv6IIseqdRkOibkAJ0SJPVRrW7rEZwysk8fpv JMs3njcox5N2NY/PAGCpLElMSobiUWd4XCDRbIn6q1h1iNjdXLElyWj9mNj0xMZBVT6abJTO/Gqo m8vPymbU1SnrTAAv7sPyWsrPKI69idcXtrhZw8eIqiNicVodTlc9s2kwSExJungQlDGj3YgXLPCl OWGSMM1wUZMpMaU+htNTN9r3IVUqyZ1ri3es8icGPiNE0EsR/xireDN9XSKgcmC6paHQsVFFtuRt gY6rQJrbQaMlqSOoPbJH8s3A28VKudZMISu3AUEs9E/5Out7biUem7pHEoJdccENpMgVJ/GJhISG CRYBM4Z47TUuGNh1C9Fxj7iHDNTEu6DgAlcvZ+wmSDrBDFK4C4eSeqMLFJ74pP3rVT5FV+WvHvN0 Gz/4HnXbM5IkOuFHaWeu307W20AtozXghsnpPGKN7eRUlEm1eOdRp43y3+F52KT63TSimL9t5p3D /P4fa92C/4Aq575+sHah3MPSBZlelX4CrsMngf7xQapKaS/Yq6vyu7uPBnfDK4KTFu0u5r4JeVJt ncqWWxG4iZ/sCD4UiNOfNwR+8lMcnTQ1UMlIMjhBT+/RXjb1wfHBDsnvrhkTpUxiJjKOO3mzniZZ xdYMU0PW6FqJ+EMlh1LkgfIFv+lQd1xRgAzH9xYMQyMX1NX69XRJqcIk9GJIMMngkwwAts4iEDeE xzMXKFcGilBXm04eRnZafIAzR7Sa8sGvilXYJnkxk4BlQF72gUM91OJBwkxt4XHCnVRtSQr8ZW8M OEXP2bHJdmcwupvFZXm0FfAvWCjRjhpYHgIDXtMG1D8eM8g/5/nNO6amAdwqXpvc+HFIVvtCFylF L2bZ8gIbVyzrKKifaRZQdCkWPOIovoAB33iRtrY1szRDGldAIi/py4j/exs1mWS6khwqmtKlWmz8 0ZG8L+VJVZM0Nq8jev7TiSYuqCBMFWKRS/hS/K9u8KVuz2FBGyfySiho8+2PgiOuCpY928ZiHqjY Szi3zUtmxPWrTnV8xnO93Cr0DxhewH+OTSAwKI9GFYqtmNodYLfxAgNPBnzyLhtnIhX6in2TuZk1 MOBOLDIIQ8bc3Ojmery35wFVX82GTy1pYYPCyhU3lctprTh9LzD4iCWyfhghNuhuWzPhChajqPTb +pEu4hWivjoEHaJWSUPVNbySXiuVukpfHZJPqy5oRpaTLQfKUZOjh3KwiAHTolJLa5vtXXJH3HTi 0SdOAInUMXKiAufoqDhbgam49OXk5iLc8HR/sexGTp2BIgrodQ6dMAO8PRWyb6Yw+HdOtecYOq7t Q91eO6C0mfOR9QA55A+tAhnu9ik/CoCtF+w+eOqdDqWOGZxmKDPbx5qZOtXJZOzrPOHryCLwCiEH XRld64QQoyDMKg5k3i9tnFwbiqIX4sMkabErujjwnJssf1rWf/2pwHzHIEAfOQ6pLrGOXTWR6i7e Ed+feRLsCM/NZ7d5wcBqsiyA8UDfdFdIKoZ2u+kowjB1e2mDzG9aKgs2QrjTwPlxPub7Ggyd2Dmw QKX1EaxAW7K+/Q1wC5VdiChtRszYWQ0b4M+oUPxISIH9N3XF/5+vMrYkYymDZG4xLEcONqM3RYmw IcVZlNyOu0ba3SeKizx++lt0m25MnvtSRa0Dp1tRSN44Uk8AZV16HCZ2Ncx86i6AzTUjkpFuiUgH 80XtGkZM211MYoT/fyojraxFU6mVu/k7szCFkDKIyEzBydqasE4TsiCdpgWfLZ/6MNs+eR+LkYLd WfTaVQF7t0a2hOJCJ9xA2HmSHfRlNj5MCZ7cZ6vp4eyHOvURFMB7rrIPi7GS9aKVjHNqypdy5vo4 gFVCNEzkVOftuj6tPI4C38DVzWwOJ3h7V8wKqj61Tx2NtCXPCdlS39tRC/ZDHxXHQWA7ifp5HIVE rKXDFn7AmQGNpCmciRadutmAenibB+6Z8ynlk/X51gp+Wi9b4F85OZDcF421d28RlLOv9x5WatI+ zPgmrB3R8PF3TeTSiUVltvXoqnVgR2oOVP7J3vVJF4tGnpY3NsLlO/CHdyYlLpRKcXskceD62NMj eBiHOCgF4EGMG+9nmZF4xzFBR9cP24GarbdRYDmJWaJd3QqTXg0AlFcBczTt1+X2atsrUQQe608p Zbsn9Uq5urOfuh2bD44b2WA7REue+Yq665GIZCjcC/ybwBHQ9qzJCYOi9EjyBu7hIrlZn/lZ+sED QGV+0gClA6gULOKr5OdBAkipLwgUPpZfiUUhAGcpfE5rQOPK6IqG2p1VAn7RuZSy7Jk5Fe92DsED DIjYuaywGtejkeCW+DFm/3nOTkyU1RSZe4+94+u3t6e4FxmKTvwUkfiRb0svOgsD+cfSN88eLpZc nL7BWAGkNNr96uX948SFcFxsN6faCbtibV+sfCw1ddQ6svafh/++3Z9TU/FxWmsS7FbUmXPJhb5t bctvGC/KEAgpcLvnK++jowVMpV2zA5O3h89ozxdhIokw/AV2LUQMq8EshpbV13S20U9moBxfDPxZ oOqvh9xJlQjDVk0K1ht/Em6KIMlpVtjmURvfcaTpH9x0VysmXK2fhlQ+7nv9lYPos/QUXMzdO4oW LPqwDTjvQERg46i5NY2/SBLA7gsX3PUr9bwLz1iCGmmBSGXkWCtQqOSNdJbY+jU+GRlkUk93E01K kc0aDea+41PhumIjb8CfOArHTY5yADJjY8UdvG6uZCvCVhKNfOxJ/e7CzTIlvqf24ArxWwOlCJ8r HPg6sf36cqA/SsCM6Re+LbPc+oDuJjWRvYYk3ztswapX1AvBne2ghRyOfkuh9H8vdF+h4Iq+LcJK +aYnv/zvqPL3Lh9fWtm6o+kKSFBXHGH3bl5wcWOzzGSkCQqOPE/R0ApmBRoJO6iUL1+Mqp2Vtc71 8yvEyGcTXz3Mf2acQsRgQFriG11LBtFAJy7xb+XALW0JZfJyza6i/z7kYuUrz+jCYM9XsP2kO6z+ vh611HmUHxHCktXuv72ZpiZaeoTWdN4BB96RHk/4dB9aSr9gPIxLGFpossL5Xo/yj76yuYHrq6rg U8IFJeOF9Tji35nJFEoQ+4mYXdmLG0hCbAtg7ksGP10H7ePtBJWgBkqCHsHoFfgVasIZlCQB5+03 H75Md6WVqo+oYEt/Rqb6dgV+pWwM6obM5l7lPpr+8RCidVOXJq+p/BUGSh635cgWAKhG8V2LCzN+ eYwb8QSLyEwrPXLjKZjCsbqK3nYE+a15LovAAWZdjKxyP1AGb6NQ0n/ZZp7WyIFLwlqpHMZ8jkgf TcN4ybvMJv/CgHML2MTBdEAzCd7L4495GF/3Be7j3pp1ex68f03CT45c4s7Lt4WlY/J4MAAHz69h LhocJp6D4i48RsUeGCtfL8NlV8Lve7si1C+tJtZpOv9e1j1o6dbIGPhJR3ujoj3Tw+eHaA//GKv3 Gbeio5BuAa5LUs7cUGqrLVK8oq+nv0QmZMz+kpVWj534+N/JOSXJ9zGhCO990QNqtB18Ht6falLm NiKPgSeOFysJq6U4Ott+oI3QciFViNUj9igVSZoc9nQHB7ApebuQOcJYJs8E82YwepZ1TB0GEAGf qv8HldLXLT8I99CJ9qprY6NUfl5t1TUyoLi9gzR2gNi+Ou4RoCeoWF01lVH623T8iS5NmEBjXrmN 3q7QAJWIN+5aa73L7gtnYqzu9uaTAw19UPK4gzO7MKTf7Q6fOzDTKud+807XK98dxCaFkp9ufzCC NRlatEeg1IwQk+GDSQw/ajdJtOjMe3qBVWcXhkslGILUVHr2C+9SwJn5/mBJ3nBL9hBEnUGNf05Q qREu9RZlX8H6zRx43GU50ZoEOpaibXygwxkYfy7tDMAa4x3NNrGAqGuZQCk6gEAWvQlkc0TqgU3F 5KA0JUdPpny7CCte8u/Ch1U8rstE1YmldE244tpkTrFYHbxQlV7LvtgZqZRsBzIr3BvnDXsZHtOc kBEZdYik1J9gnv7HGRigpdDln2Y5H05gAtDuljJMJJLy3sz0fWrQZWNZRBIfSVtVZbtqoLFnS1+P H3DsnoZnsebFjtwrFJKu5sqGnQ7bJIOqchMCOyskG7oHCXGLVs7Q6Y8U9PznrObgVHN8pfWUoBec 0YwidoE0dmCg+2o+3Ck8+dS485muEIQpeMtsJje7he+NGG8GO/7Cm7BgIXpVCmuS3IdGIVG8FcCA k6INDv6Cs5k6HLSphwF4Rt1bpXRQptnL9UxkmCqnjVqqb8Xf3+5nptLwphqinUnQ86IGKjN7XRoF ucSK+n+tPJxGX7gIQEYQrEy6toLm2XzRK9SkFuZVZx9wCXA1qkFUh4keeSpOvmkEY9G3VKsV+huu Ot73GP8pkhmJ/qBQxBbyRUqmueTmRreXF3YROfp2QUEY5AXw0wydU43lC26hyJ67e/4GC784+tNJ 1vFPVNbGHH9+B8uYSoyrYP+5M72EP655olUrVNLErJSEBiDdWQPjAT+XBSR3ZGMAPg5pStu779PV agffptqueTHuE5bX22ZVuKRbTAm8grN/5taV5QBD22k+oYoM0Yh4eW8+yJd1JxBJc4JudRJxcRV0 AaMcH0Zt7BjmkGh5aRzgIhhmu1SEWqT9Z7b6PCq3/mY2HBjwZE3dIt2xySUyyiplUYw0z84wSh+7 2Yp0KfG2nhomy5S9tz8k+1iMa0muNvwPnH6+p4mksGRpwY3Xrdip7FQ1PwfTwdnykEEwr7oxsuQ2 cRshcPML7JNlqtSmMa8R4b8dYGOlIT/b47tP8+7X8J8V31xU3eZgAMAQPazmUdGmXIqKNHJqKsom u8MJ1jrNxCMjdfMIfAoF2uVDBUwgRPE+HtVSdM7preMcf3Pply/OLcd1Rb8OOjsXHYJ6V+Bpzc8Z PrgX+ctJzzXgRhsneYHlZS1kvcNcTddz1hy0SI3TReVecsc47iN4YHy4/NeXHmsUNzcBaBpEKJng R21aLJ0Jyys34iVA5zZQ8Bds8EFFEBqA8eBXVDeCsEpSl/FO4NOChCr4fDhQBI29AftuyGCoXB9c wIQUZvyPXEp8f321o8toUaO7wLl8lLngiUFrtsAxXEW3Jcdzt2LXtNPWsLr9izX5iRbsgO1Nwl5W BJ7cwnSkjItDfgliNdYZMUWrKJPJsMA6TB0SDaXbkSciMAB3ofzppsg5oegI729N6y5B9z2yyrG6 chv+R8At5jjoGvvN/7cXyaweJkz1CNNM1KJ4RrWSmsKBWejUduKJFmOlZqOw05bNs6BBw6gyLwrA zc2gVYp2sGyi01YZWHbYCemTqZ3qlC+qQmNglV3UYUpewNQeErukvZcdWBOQVGTPjCOdentMkeWu f9FlFjelwnFk3rb4Y++aq5xbUtJNNufedDspGIoVdpcGCK9FOYZu1O184I0A+f8nOmFv4qjAh246 R7WqQwivPUF1gtVxq+CdVa1C67ZywPcXftjLDgd+UeoIaMLTVDDL07tgI4BcF3bH5Gr61bASL5dj ibRO0A4zYdRIM1gbPL1AaZxJT7I9BOIrlFolgEhKaL1j/icKy3RQAlymXQKwv2zHV6Pkm+5sQJSG jqUwGLES1lXSf0p0G4oQXe4je4fME1f3+egaXHmPB0zd2Y/+jxxynqvbwIsbpmCWFynxN1g4XBtm 94FoHG6Xv4jWIecokOuYdnzVZt4zG328UnsJU5aJEEMzGI/gIQkJkDlieZX00X3pUr1rznoJwRfL fDNWKj4//rHIWUQnTJBkrRsHOMh1wHDOquyNArlpaVaRqT38zSCtzGvi5r5lZ0nLXpeqaJxDbqkO yDSoWnjR//RUF+fLa6q/e76ujCdsPYIo6wHIdLmexG44iRmOI1WwM0IF54ggWu5NXv/6p0cxpuCh +ZIa98Ggc8uj/LCvftRZy+nLeY4OPUGCmjVoWgBwlxRLtGgnVpG/JK6/8lErKCoa7mifkF89QrrI aJMFu0dJ4IGCqYJPnz2mCeExtlD9VcPkw6yQCDUIV3YOY80muAeihbFU2Pb9uyKbULnkm71OEKul NHDZuw3QhkObb2a5xgFYSbtVFhLKDDrOmuUIK4cp/yhMtfYVl7vHKbG2adCtTD/lcB5jJ4j7d2mH WOUWFMsnBOMz8QEQzQHcLJ2wFEKkotKfP4cIvT3RJJ/aZ066emTLJjmRh/QaI7FpmzW2yhWFejqM GJ61OdL/3Mgyg1cDPJuGL8GFP5XFH9S0mPx4QkJypw7LkuWJCfCwK0QodC4NmgsrR9NoR6eMRaQp 79bLmg9f/Pvi21Fp+RSaC0HrCLYnOKoITpCYahb8YvfeMkLnd44W1MvRqA9Mucy/ZFRa5lBprNQc r44wWKqbn6/qch/kDzrw//1NZ6eYUFlUj0zPRYWkQS7U0vGl17pgAQzdosL5dbDtjvU7NxIP9Qxd A/34evLiOr3MH3d0PlqEnipsmL8pOvCmvNuc2uynugYPNEhyxmDIjBbnO3AWGwFdrZY++23nEwGb 0mR8Ub8qHIjfJan898XB1LNImxsn6rSin/W5tS9R2cApaUlDUqHq1acwPuqYnlz38a84X1W6zztt q726WkYm2voMYsPFyGwZcFbJT5FSyX3qRII8m8seXKqmBQTuxEiY8iSjdbF1DG/7nwj+33nOM37G 5+iJqZBrvr85kWlXpPiWXWAmQMvaFuhr420rLFdlaBM51agiH8PDStOB5aJWJbfdQ5PkCDEOj2uO S79IQ84+LJxs9c9L2AG+b5FUUEI+nOq2jH3yrGq/arBMW+e7wuZFM4NUF9CGMKVj2Iovu0iW4/eQ M3VZogTToMi7KkHyrvyWn6O2Q9wF9o655u1hy5iI+gphWi6P5z8iHsszZjxrnOg5lNzty9+KeXZZ fwPafw6keGwrD+7057M2rcVBFzwJ2Eh5BFE7z7LI8tRWUwIAbf1qm6sAL7T0uLRQ9pcRCKNDY6pP dWZj7zjRZi8xdAlMd7OYpBQXGOv6yAZgCtxnxaBCDlGroK4KWTx0GFjTtVExOLaM/ZYYjrD09x1p 9t6vfadF5SVgUFdC+r9eu6Mrh71umHUXSsYrgJTWdoEk+0miOrdrAosX9oHx3jomIjHyvNjPYOkL VzfG7I4ia0QzViaOH8f+dMotu6y+6PTHC5du+Q5hEoveiyN/A+Y0UNuYNvYxFF5R+eFvjQcsLv4D NxCHs2W1g9o1Pj0ErvWdbZhEuKpR4tl1wMSedYpGbOWDBgbUBfFd9r91FBXvENiJ8Chvj5usiCQT CkkvKUcHQOEm5MxPSvQxE2eaZhciL6Qwk4HRJNFHff7xwA8Exm3RcatoXc6pX4WkLlOuScHSLF8z ttIOioUvD+Xg5kOOX681m71oApof20u/EXYP+htc8xiXhVDu2NNU3Xbg/nqi5mPXM51j8pHzAOsK JiJd6l0RN4h2i4V21wJe8B18qiCXlFxHTcYqt+nDpgVMgXSFI8zGsuzP/+N2NDHNdsxFr1X5OtVy 6qZJfmHROuvTFLnz/L2imuH0j/gJ51CDSa3R7CxjwwWyR0d8BQYn8WWSbpWw7ziG88yDKP0EImQ+ eufh3t2FZQTUcTVwxQYFHH20knepacD/tWmzUwSn7O6OJdOE/VR6JzCB3CtSvgGsDoKC8lD697wT UHehaUxJhojl6dop0cqhdbIyw1+MJK3dEIycNuiYt4LJ8+pZrDipSe1UX9chFV1NvuqPBf2diVhX sL20s0Ks0KkMoIAGQWu5W3Gokgzq9mqe0QpJdDVDhAgJLvrGNAT8fpBTZpv7ZHrkc3CvPPDrff8t m7kPGZ5rCkm3vNYd2nYfnUO26PRkxPMdbcBsO3QkgGS+iyhRlsElVArLaiRnDC6qf/2Sexa5IczB o9RecyUJdiOQxKIguBIKntnfNcPJKwiKLfP7YxXW3Vj1AIeqz/OoU7Yx/ul7ffuNnDCG5jMslLRZ 4RAXrgPB7L8j9h2ucH0gF0PGCjiIGf7XmYN4W39SFWFHRkJ6zogGNg0jVgJcOa5r+lpV0RVwqQ2z GiKn/KsXES8vG7EjSSubd+PnHB/N8GrfrsIJ7VqeK9HoPfFW+sADjrr28pYLjGeiv2+EBRZTDqXD fL0pLsSkmocjuHikaqhPcvThaSMkVPMPJVoiDpIMWOiiMnotpIIEBFeD4Rjj09gDahU0XvaV3epo 9IbUhdSA8NAoFjwXQXsvBcDHW814lAS8rWuK8TEu7TloITAXUFMnzkI57eBxX75iuOWvShvPiuDO 6SIRBb4VEUA7I9OvIlv38C+++KO588W5KbLF5Ly3b0ETq3oAAbnl1WCYAJ3ZME4Jirhu5bIiqfot CBOu1tTxECQz5EtFhaP0Xgy8+npqNJI9MX3J4cEaMxx9EPsLIcRcMkFRmRX7uph23ANjPBDd2Xm5 ztktz9HYxZZ2w0jm7RfXfqjIfWs8j+VNEGcPlnK5b0xPhXesEbDAkP5rNSSKdgjpovLOfIBX7V1Y C4VKciO1bVcrXbRojnV82rc17kq78sejN9v9Vs2cT/LVWZPEcgB3UCfOUX1w96sRlvXYfvaVPnoI vISycS37qQf0NTvgOgUWU1mdsnhSc75MhpWg95ri1fcOuzBr6i5LcaeD8zhDyOUxU8rmLBbUzSso RidAXP3XHu4/xkz/4hc9RHfwhyFEipHPPIl0zb9QIJQOC+k9hgRXMN4tvnukDSb2h8R313YzH9an i5hBX0rpBNxWhaNZtUJLfrlxwhoX7uhLJXJmcAt+0dvy7PShKgAPO9MhQ0jCnQCAuqQKQ3Cx+iQm STPdfpwQvx+WJOkDQ6MnGaXW3FLuUsMXq7D1IyL1YikvPA09Clij0RhJCjqsdSJSBTUJIQgCZqBb lB/j9E5Smw4Ju4fTVVyIK9B+mg805gLU0vBgPE/vY9Qlu9TKxVuO1VYAlOpm67/ja+AT0G+Or3LD wP9bG3ScP4pboFnj/vAE7ZYNqwoytnbTYegJOq1ykZ7eMxBRrcsFMJcKZTaRtH5ih/aRglLtPpTV lm8d5QpHZR4se7nvODlbqUDSN8cYD8FHn0wDWT0aNiKLqyCohiSLpF2DdwnCb2htg+vgUlCLbTvC 0tsw8sH0e1WCOVTCs4XNFVgazXxp/RJMrLs9CxicCTT0/QDNJQ2mGVY9dJ0XqoRqAPZMUMasyl36 LFIUmfpV6J07t95JvYPKZBgohUOd650YKjnmkxvSnEe0iGtlWMSsIN4/CtQulkDRHQlJqsiFUqF1 QgYe42RhQ0pGgwNEP3sLyYFgBKqc217G+TmTwacqNC7+xW7VyE85JibKpWvt6tzNrfh9Aqvl4eq3 70/9szp/bSERLgoHBwa4vExzE31NBV+abASOHgzmwdlfnnwb7NXmnTNjgxmLgrSZoYeu5HZF1hdI ZyoraHiGBnnW0It921sbEkb1d1Zj5uB6VRpiBWslb7TZkClSaF5xnLhsE5KP3tOsm0zCAEPrbBaz kZloNqD3aMd/6vuomRHeg8KAtWiq0JmqWyd+jX4WPviwhK8uIcmps/TQORVADIu5d4Zg6CXnmgAJ /l/3odpRU2V6fDvkWyeW/V0giYnl1S71DyWfGZEkJ5lozo4CwrVvXJb9KCq3dWsXNusSzm6KHo4y 625pMxyE7015TYxX8/s7yyj7wcdzCAgzBZ6WdH8Wc0WAbL11eZTfH2KeqDSIyiHaVwpME0EkOPjx mm4OSTGxrMcYiomrtArMrz6Sb8rDVLAfRbe529OfC7uXBz8JWdmuxFwETwlrCJww/ySGMi78JvIX eLwGNESd1fF/Km/Sgtb6vaG80AalNH0A+dv0lbxO2WLBJz3P+oiklpwiUaIIwyPDzqM/ytJJqxHx GnygdNYkLSZJo/OozV4GnoWaR9ISmIzRHW75oFqi7IEgwH06S0k7SFnPAQEtuy4yxV34tnsU4gFp m/Ss8TC8K4mWt1CBN+RqTs+Bm5DRt7BueRlEPzpurXaicn1od9Fhfb6GlggCMd6PoeqJOQO7Nkbh p3Ac52pWxscgdYoVAfIhu4z2Kn1Lyk9J0dyrSJtQlqtH6Wh2eenpVVRP5Z1fJNP5yfpu9XRqD8T0 UlduccAEc/y1lazSRROuDOt2E0mlvDkNOa39MsIXwxRhvG/dVHB8n7BLBvfOgdDGhGJXMb0x2Au4 Cth1mjK6LfYVh1eaXSxOWAPRVlqn4+gCAdabUw9H/iQULveqNAUcOrkgwTy9X2j/TFXX1RyAFOJ4 PastP+G6vO+paYYa2VfVgi0NVsJdumx1SC0lajhsqHPpVqkZo4f0GThUqdbAVLtnZoZrfy5Udrxf iAHaUTvc5xzmi3T2ULmUacoh6Qu35rN/S+RmsuO+MAMsZo6OHt5y2/4+LvhoKMiRXxkCoVP2pb5C Nis8NlSf92Hk7MjlA/Ghpz5MvqsamRWu2B+yQUnWnsi9zzjDMqza4ZZ24IlZv4jIZKML0MHr7khS t+qh2DSDE8sPqceguqpN32fEI2TVAnErm7kZNNuW8XTd+mx3BsAYPrBkz8XkdSBQKg53LY4AXg+x i2f7wGjSZteh/jQUVMPjPXOwgY/4T8PWlwye+ChLSZd38r0URwcUNvYyY8HyU9N/v9hl6hOzZwqg WZuS58UHQN9yLPhm52HhoPJUJrlR1wGMWoJ3rmZC66cl8PncDSOQQjRf/Jame+lNA0F/sgiKx62z zm37wEUl+3qYc8iM5Cxh093vsHqZfXNtkCXStZZSUzAR/VqnOYTkNmWltWx0P/D/iltoQIpvluc3 0R4TjtrSHaN5YXdikpgLWqn8wFRIVEufmZnSvqvGr+dMf1a9vg3BF9UUQuiKL/HJxj6JWKsWS7CL s6I3O7SS29a9I64+oKZwdwedyNZaNMzn4b4d978547S9DzzUxLCvoSwVeGAMo73Ubvowd675W48T eHNKcXbA4o5zWg8BqSqMKOcwuZzp3DVA0Fq6HipT8iewDJGnXwRTlEXhOCUgm5IcZ3+pqM0jA7u3 tFoO9FWyfdvfLaFsmmnoGablpUEpT8Jwwi3ag41J86nCJ0OSb7YTMC594AY/YH5cvRU01LHTZRYg opaP7h983RaL3sSdoxl/VO/JFZkY21r9gc4IsvxZP1X0y+JUbrpcmAkvQvKPShqcgWzZP96wJvwM u6n0MEjG3tzZ70bMIG8nFxH23xsc8iWDkxcYQma68wnyGiwX0QS//WPPXjZkRCIvpOS5lE+538ta mX6/srWdI97t4gMAj6z+alWP/LCtp/wNAsCNt3DAYZpCjHJeqXdVG2P0WmiuAnyJ94MNnFBamK4W Inz0dWNKOkYvVZdeZaDGiVgIu0/xIji2sBQGDULl2+5y4j38fE6tE9o80i+4Mi7EHRvO9IyAl6L9 4vX84fmofXIa8NDzNWsw7Awea/jrK6UKuOrPKbT8VSYdGnDJGcTMRiBaZg5ZZfZhwqPL7G+X1eE0 0SjCIsE7+pzOIeFl3n8PbppPo8NTqBmnZ+l5RJH0vZ0FviJOOe2wTqU/mWAGgoy5W3xe4lR6L8H7 uZBEaj+er6Oe8PrGe3zK4Ug3DS+3ODWwBU4V4RUxLT5sgfNZ+uZM+F2RoYd9VlRQEcqnVDukfSl/ ZmkmmKhvySVq5pBYg60TbWDbYkg9CO4th4P8gm+UDLj/yc6rnet/vfrgGgAPBbkiYBBX9hPK2dPG 7a8zqzRfjR2tHhiCW2wmz4dgnlUa8rFXrOP3CxU563U0LkF2iv3ULo+8SMyfNzu/PX6hUw+bRjQi YbCFHF0M7UQT5x6azzgzmNcJE4r/BkLo7uztZ4xHEVjaF2R8ofx/PBHQY14Y1VkVMzplMnkWUeed dj+iFQnTlyiD3LUFh1t6inrW3seQvFwsWivIWbnrlP5snQJg5EQ5bZ3NJzR6l7pxGZbBWOjQSWVH Uyu3QqR37+gE1MUOhuYmiScUBqsGf5rAgqEOpsj0D3QePfAM1JHYiyM2F8qdpT+IPWImTPZtanYo ZtPBgTkDxUT2zP4EeoJ0Rp3fk3Mz3ZPf8dzynNLYv43yTQD6nuoAcNiJ450QONPiIpS9bsBRNZxc /TzQO5mjfVVt5Zo3chRrxSRAyOucZaocM2gP1Se3ZlrM9PRwdnUoT6d3+qkSarooUwTjgvhyAYfq lJdXTow5mYLGCwceGVPYZ5Y5RTPhXZxmixUmrMjiTC+eQ/EToMRfq0qGSX2Qc8BqPyukhRIoFS7C SOtsnHXFGU/nCvXNqcCLq9n7z5VKDcQKUh2FmAPood7XD7FNqmqOeisEeRHE7Br9l3XnLmnMa/Nl QxpDbRZ7NlCZ7U6UsQyZIppzwgGr+HQSQSHRmrBMx286p/ZM/CmqUumkkqhPrHrn1l/X9OH5eTpd bgF4x7+FyajI4mlvVJCCMJhCNPoOdLsF96M1QPXgYIit99OOzu7FvVpY57JJp7nfZ5i7gsbHC+BS b3Lkh2vNKHvwjhxnMlr7M9ETrU64IZ8b8aMNZDXsz9sa+TKCQ99486qMxgBfv2/7YduGCYzVhA6q ngrNz0k2nlzXJMb7hCJa5ImTyv6yIPcq4nc7YgK3ja7cvmqcsRHBp+uJRHavWZk5E2lRmv23Pfg7 LuiMGo5xhG9P/gf5LZZIZ6z81g6a1di72BsHZk7dOJ3Xgt8lpVTed6+6rn+WHFfl6DrlJg8uuEBh gRNHwNLvLjvvXSq03LcwNVZmDllcErNqnbDeGXbDVmMfgMRO17pk2xDk5BJklO46fW2cv9fDrSOx tjxv6RCIkTAF18d/Edw8S2mWfl9/Rmtnsk90HcJUlTp3EK1sGwTmyjITLPI0F5ezLQVglbhXXx2x jxqv9hb2DcdWQQwESSN9U55iUJeW/5m8A6bH74UultrqJojxgwhTsSBI86faUb6dKBa9dvb3FTg5 28MEZ+BSdM1fRs5mINPvRzANsvWeCqFVche2u1DPHMKJPFRYNuUJve35RLx0EcRh8xWOLmxmsoEe ZYJcKdT0ERwPDBLCgGQcIPlGf203AuH2cj8wlvVVKQnZS2FXvAzhpXszAi9/dUe0joaOx2XVYtOJ IhVLArJLCo61CpfDWhFe6o4OJ9MuwtrEmOVfsNmeS+64JQsVgQsk70jrvwevVopZhXRK/CDAJUNE BRb6ElBTqJZ0p0HYJP7a4WQSwZYFH3m72Z/owxDejZuo7OYCPjuFmuF48AsvT5qljPLkdZA+3WGq 56bsCGYg/oI/u6eoT9M2ez7NEbU2Pq859RbklZCoswFG/FLNZbAyX4rpXbUdzflmhNG8NYrQMOET 0WKhcQwO9VFIF2xMdYg9HVjbVTmvcL4mORvkFrNQHOIb6cY9VjeRCHngmis3kht+g/iHcDfszFSG XrBmAWWfbwWcX02k3dQbhTDozTO49X6u1Hpz0yS/GcSHptvb0e6a72LSNmINycXF+1tTP170/aRx uq/ucL8s9V6oGHeZ+EyGmr0P2eyJvLCACWsZ4XcUeI6IF/tWqwPK08bwHgecpypBkmV1y8wj4moE RTmaMkQI//x6rgWKgaRL2wF2DvNYNKrXFebJJY28VuyNUE95A54HuuXhZQuoIaKlhiAS3pbDfTre mV64Ztd7pPtdB3rymu2wACyBXxo77Dg+bEkFPNTZNZ6EC20O4vvAQAM9HUxYf9Je3andl1ODE1Kl 4Oet4MjevaHkLl1Nwy9yH3sDAB6lLZcvOPc6it1tv6dDQ6RiOpZgsrr1P9LYA12GiWQ8Lql2akD9 uwgb71SX+TVNe7+FbtnXhwtIVVfmogO2uWwBxB+fOPS15FoAgNoXq7+azrdFawEoyvsBTsduSPTR PgvPNyjXG5m6Lo5iVz6mapag2QOn6UGVXBO6LwMyo9912kH4+NaLtxzuWzNtQXyw4nZaKgw7sJoB eM4xoBt7GukFmZTgXHHA/ilBmbEi1nW6iIA5z2WML2k/I9ux0V3nOpPZdx0mwiVu0nTz4Vfvf4Hy p/L08Kqk9VSFrYHpV0d6HmrP8TmwGPjtAaOKtJCYwZjUwzD0YakCyVHApHKCXdXMi7X0dmSpHTDj kLB31ll4a+eWlUwZCDjtasxiywAA+4+t+iZSEGlDUeTVCSIL9s/6+9Ebbg7eyXCZ600I0eZnXgON EE5vQDxhSrynCqA32suuD38idvrmq2YjAypkdcsFiW7DpzRtf//KDYbdA+tDSySgLOa6BfZXGPUu p1OhYOHF9sln3KGD3e7pbuyj47fjqu8lwqREW/a2J1zoXB5QRYCoHu8R2IwkodJ4zlc97RlyiW7+ HKjxibOh9YMAllIE2p6CbR4GCVV2pYcoJQQpkzBm1ee5Tv1b2VA03b9UyAk5RlhXzksfGOQcWQP6 3xWsduQt5oTyyfDB+NsJGDW8/tm6tF5qFpzEDi+ke9tTjS5C1oVL+bCfU1kIdEAtv5sMGHxzg6da MMIzqKhLg05HqcDHFMmsew+u3xu87//uky1zZbO/iHjJL5jJOs1sf6G2DKLen5oDall+4xWxs4Af zupfZ4chHLtDv0ciy2nJ8c5cAUr+h3tDf4+ofoQO0yWd64nZSfuIvwkBtq0DL1Lua6/tUg1D9VEM 9uQUxhF16Z+5j2i4xYLDQE69RUUtZwsiwqZvkUskFYcOrotY3S782i1ST1ZFgC5/+6Vl4UbY+yLX 4pzgSJfsX2hF6/Gt4/hh5xsTu8CzVB4AqY05oD8TIgt759G9yXRFW5m6i4TFSEqv/2pfS3Gl1f+T VNtkdymRnNTf4LhUfnAPp+xLrxBYC6qhV94iFi6i5IOsPB4YiwJuX/DujZecxzdsSo/ebIH5RMW9 xXX7O9o9UYFNBEELCHPeqpvTF4M1X9Kurs0wYgqArYhzIOr4ChZ2cgCwNvgLnL3Hl/1nJh80Sjt3 zeldaOthjDW+ZzAdcoYCrSXiT9/9NqtvBSCTQWfr3JZwW9u6lQRH3vsHjjBbRAeQyu05CQ8Bx4+g eMVNT3P+CjvEWA4b+rSkFXetf4dv/5lp3LC6z+e1LnTPgOnaFHCbnbrHCozitjHz9et2NR1OV8UA S5UbmNv3lqLLr4pR43wgAIh4srkSInglgJMXrplHdFN4TQ07Ep3FeIpPzt/6YIqNc4TAXqzSW4pE mkTRxnmGKphyz2yJ3pxUED1INvAs91wxhps2pn4xeANGHl8Wc8jsuLeAahPJxaor03Dd7H6RdPR5 z/zWv11SwuLZdyHEjAC69+1N4lReSOXTqyTMKAx4tpzovYA/1C7PUlP9VL9VUmOudXaCrDP6MHUV d6NvdIKkkvJxNEUHzeFDK8w0Hjapz4OCbmMEWvmQEVBa8jPzJJSjY3ebDxm1eHXPczUy2z21P9rG kVzCHEPIaJt16RnIICaQSjNrtmHzQ4rnp+k16kq84ULZrn+yMC1fppEjm78noQ8AQXUozq2Wb9HT n06ipq5Pjd62meHFenqlfkaERaHE1ZPdqX2CF34ShyELJ+gsUSd0n76BulrBMtqJf7DqcF0ya0S7 d5tLF66aesaRY9oxB285ukt9KkTXAF6LIoZvtA/qnhmkF7CRkuIYO5jEfSlj4DZN+n5mODs5BPLF d0Xh1Ekrsk+CVIEniC9NB5WrFvDMVA4DJrcuG/6vFjp4bHzRo1wAfC/DILLj0BX03QoBPLDxsvr5 Syaj8Rff2eICDsqe6xKQzJOS5yTWTWuyJ8lukEbepqyOxGYd7lYadl54UMTPkAHAn8ge+y2zQKN2 U+wnwqUMZwSKkjjVuYpVZ09Hx0ASTY3Yuh9dPRsJCeNUzbux292CrAGxG5XQDkTgEB5wS35haIkb s4B8hD1107ea8NUPhPpFCDMjAWSHZGH9rBFUZSDJoz9QhB/30aoT/AjueyWuV6a4rYd1N7MqzjSH i0uhegWxFM/iLMoLiISY9ur/GlIP6CvXCwP8XDlaY6CzV+4KJch4eInnm+KN5Ygimqes9bT9y2L9 JV87kz4XjXQZ0uf81JantjeaSIB22qTFvGT4e37m6+uk9dRlmHonPpFhQu8ThuLxjSMqdBpPDYvg yltRYMAZW8lhz+dAwy8Q61/I2j9BRgHUpux/MnlKHzQknfI7R9o1E+w5JU9oHHjq4M6BNwm4khYq jlg5lSZ+b8njiFAk73RTw/9WPs++CbnhFqyNNEhuyVgImB1VW8JfqBrFDhSBEdl/fbSAE3qz406T /pEet8yDXZJO1+7OzgxgETPjph/36ehbRFpSn03c5Bg2ea17TKZkV1kC3SZcLK+MeupbjSkqihA/ /WWSGLoISKjVZm2j/lxEG9RJH1PHWJPCCsCU7mN47kz5Nk461n//+hWo0KO8vt3rsI8pGubfgasQ SJMj+eltRVY9OgJwZLAcHglK50Ej5GtUHK8HrmRWOxEPa0NzNORx/iUdebu0EAwdTSCH+GbMsqSi AIBg0HqmTDwBdZQcMG19Vqj06RYpy+NgGXJLnEJ1fHmS6mzXuspPJce/ExJJEWMTG33DhhOz0GzQ sjaLaSz1dtGmhDUbw0xJ8DxuoZKnd0ING5JjYbfBW3raIjf9ljsiQTX5lCU2ziVUwz63m1+UaAGi ZorN8T4zBwknUU5qckiUdrFw9dbLkwhCNxgxnuREnvjpco4uF6tHbTaFAolzHY4NNSNI5tTnU9Oj HKciQHSRrVlY0j4psD1BngLMd4g11zKfuPINRGm8zgPyFVNoka7hYBSD/fqEBQYg0uq3DBS+EqIa hzhb3838wmHyqLaZedz4B/AHbCDoy56Nx/CkCqw0QwM6HMlpXYOTySyflcKG/zPSZVfTRLb1uvDf XdVJArl/V/91ZuIp1zGkXCU81Cxxw6pt64p0X1TcQzd6BZgGh27dW/kBxlBgLUjMhDwYZ6MgTRgt n5Mq81OxHgcJWD2kzmOIbjLK2U3lgNEQr4CxxvokYaCP/9QN+yU4IQOWU0VsiTpoGAUbpqx5fgw1 mmxJYjMTHNcrdB8YrHT4h2kBs2Aq2SGvM5MmzPh6t/OWNKI9mIvY6XGB//z/UbpJ3nNi6jNSDrws NJOjtlvepcN2YR6D1VeRgTrbGAIUr3xS8L5CeuiYzebm5kYJEqu38tNEQg9RXUX6oDfFj85auWA1 WXu914jKlOHCJPyu3ppZBpGp6yvdVT8pnfx6zXNdZF/wkwi4lo1eyPTsAqvw9VGzGf6h8LyZ/5xZ iDQyBmwORdAwYgxdMbgKqKXtSBowWsykmlGq9LaQx8wV9UiP6fOS4EpRJEp10IiCXYevt35296xu 8Phbw7gvxxmgGrWfx2EVWYj7l6W4epKdq1apoiBBZbdNJc85FEHSSdvD7xU9dkAvEjlCfJwFp9U7 QeGDyB1I7U5G0Xi+P1a13zxaUlQ7VU0bDvIFIznC+56aOzXfKXwDw1McjRMZkjOEWxoAmTR9MpWO T9ChCSbU5TawjR77lOj9g0kQjCA3nHJXIR7iFv7FKI3FFdseQeDsuuVSnmruKXSmGrLljar6n/Hs utavr6H54HwupvuFH6Ecn3J4Ec9Nn4iq1sMejj/7o3oY8Cc4Gg2BHbGcE9CYEhDc5h5kIMsL5K+f WoqD1EWG5GtugeZ40k826soSRWpsBuNlkmWK6soXT6upngVdyQpbIaAUCKEC2L5W04zQZX51vULb 3bcL27GjSYQWaBijBb0dodrblBkfB149QKYMhnVYwDvnhv2f4IHZJlADsUUtfISrh8F//rjvyD92 d3CPveQ/w2Iz/7NGUugQ1PchbTOrhOfl0S0SDY1RV4eG/f+Z9glxoJ+MtCZGXpcY23sYbjVXop/z huXYu31DdzeD17d+UWJmP8n0tCFQzGSd2vwhe5agwukQrz5yuyK4w0uQyTfJUYc+MlkUbxDXMXzR PdMHUWwR8sNlRnfAuKuyN6cBXV0toWRC0OQY7RqF9NMi5xYM6cjRGraQ6Tv0reQUI+22IFjBy617 JqlMe33Z/Ww9lf2wVOM+m5kgQ8ecln9TqwpCA2VvQbWlWfOhL0PhVX/Z07un0SZxfYUiHq2/aJkZ s+l7tJWkAUzYRWNWwlO+cD5l4AaoBiO5hsGhAsX7vSh6/DeqzSOR2moDEiqXjRp2wix14h9AzZv+ /wBpTfMee2l8oy8HlUA1avbJ6lZO6YP77+GEO/wYFKEAGfd/hL2l/TaLRFwMdsbZj51aMIryFCVu fMc8z0FF1oCkhYTiQpN1c+FzRLDWBRCxbS02os4aaUAlFQZALfOshmFxuN+nvnpmJ+N7Ac2eBt8G rpkkCnsCtdZOoG1k7vg9PJVdw+8Nt71xcaPAZx8QX2AyCdKpAlaB3AxgdX0LYffKq3lEjqyKPYYZ yWIu5oXqmWa2O6hYX+Ab9voOsfwzOZiveIUPTV/50gXRonWZ0B4sJ8qEK1VZ4om4B5IHCwNTkmFc orOGFbNp3dmZ2oHYDjS3oA/ZTylwUbwf6Zxcq/YNHtE8dUq8XNKR0CHJJ/4C/+2W1/jYaMq/lskg egTeFeF1nwbCbea23zapxq08s81I0Bjp+fBzr4MaATYy/S4BCpQVTZ8zfMtGFAdD3aVXgKkY/azB 6+YLwzrWWyFFWhjfz6npe/0DRFbjdYlLSgvNUq9aimHD+47UWYpwS17xOg6GfCprRbywuC0u9m7/ Esqc5jtnaHi/a5Dj6j5Q7dIPq4x/9ctpHWfYvlfMinjppC4tDD3m9oNCUUVKHIjDCT3GNEQZuHGM SPPt4y+o4cqlEH45lRLgaYLKXQ5/3KXUqoRyUoLxKzNJKfKj7H6KYpdRKeykah2gj9xbRi0UgNoF nw8Jm0tI9cITyyJQ4UiUJN7CwX4V3DNHGWsF3M1QlszF2yJnxsl2JNyG/lrt2D56vXLZnbA1y2Mm Q4linf5KvL2dXsjX7GPr84+Ma7DQBXDEj4gbElJzFBuA7lGPlndw2YJHe5RDXYfy373Tgkl57Vul pivht5xoleKxFAvBIDmUcBEIQw4aKLjMdWgDUuKkMD0ea1yZoj7F7/R7VGBqP82EH4TIlKTJJ6Uf ge9tVHssPZl3+FDIb+wkqs2GkC4CEG2ZQ//Eq+r/p35HhphJ+I7Dx8LwYgSYHwtcty77hnG55Zu3 zXubavlvbMkZd4YA+Tb0CIaQ2Kg8b4RXITqWGctr67ObqXTCKN+lNk8CNFdR5Y8M3I9z/OKqFrOu WRiEtOH1fLFQNnxOdfyPwIlNmtKwjpkkcQnTC6DKVyG9Ykg0tk4VrBhNWRNThkTsl7bTVcwBvQo/ HdTUTtEarymcCJ2QxqBDMslOV/fMqo3ki1dpsbtklycfpuHhUHrMMihmhrs+wOPuQ4xY4KNW1oOm nC29yYCrBhjUZrqRDv8Ay2PoCnFIn6e0UauopHxc6FKIk5DZG/gvcBRHy8uoHy0TUEy5zI4AEzXS RrwhdNJo3jKThT+mantlsAnPNHPWD03586a8gDVtqcacocBSnUH+nPNjukMHtyD6D82ouJxQ/or4 rU5hIflennSz1VCwNBnIoyUTiRoKrD7CW2wGfCsCcGdJNkVO/LdtA0dYOZiu1OtLZR523+DpDqH/ 5Q5gdNruCoKkmumOOnNidUquzNJ5xSuF6/6efFX9pD1WN+Sm0FTVDkGQD47gyQ44hqnN9ge1Dn/f s+9TisBmG2gglCKpuSFfamL8wTTTF4f0Wfc9dr65lgwTT6MJQb7g6al6+iuN6JtcEONzOc+XsLel 8gMpBqFdchocp2sxBfiYa6huUiG55mtlEtlQDH1Cn4eB5QuVCTSAikGAKTlP6AUWU2czYfjxEuE7 2qRafpdgmwa0wb9+M7G2MI1BBs6vyGp8ahl4sRYsH4DiXnst/KevytbmIdldCLwgxORa3qlHu00A th/fS/lXoKSYCpVM5ZBOsv7jguQt1OXfIjuVE3JYSOxRH/wc27qBqrvJdVf5f6kphjiVSuNk90n5 WCQwtV7ItJ0Avu0qEBl+ZzP9VVjQg0TOcxZkKvTwPMzMXcqEYSnN5K90SjYZLB5LZCaZNgk8wqy7 ZpWkQoh/Kl4vUkruoEnesWV1LR1hObETY/hn9AfyOU8L2MtBB8qQsgODxdMOA96bKUQVDtovOATo UiT6+mN82Wocm8O06Qao9Dju6wCB+XhTgCOcn+R+/nZzVbeEUjKQupuQ6DhJbGxv84Pi1A2iRDhX daLwax1EY6MoKQMWIT1OyXtwqHSMhsAQuZ1i+YXMYcgg24wDrJP+/kHwSVTXOCG0aUKCp4CDoSL0 Rk8ZptNw9k1xMTSG4wJLwTvww3fSMva59aLlSEGlFR0y7kFgUH1kusVU1SzwRbM14xslgYMs8Jgs 4Du2pfVjVEfBxlLGZBVG04BRxxC7uDsXIAbqTMK9fZ3PKgNyTXjaSND27GmcnzkU5COtCJP00uXL mzie0N8jVR4u9PUbWb74FORv8+j139CDeao7DWbjDNzVB+FSd43r0+wvN3pWXgJfaFeERPtYhzmB Jzs48gQ5eKQbjidGdY6qRotqG1ciLHT/gUaYWj2FlmGm2DK1nRe5NoDfP9ldOk4cRZ/nScJ/LVne beB2HTJ6N//f9pPEr7UffE6fCAm3PeTikyT0Gx+QtPebDnihffP/cmNeA91Q2Ca0Y/ZMrweV2IPO 32h2CIVfhsPttYw8gk97qZzVYz2qX8jwNPZndlmwKNUMAY2XXAGObKXM6UQCLLKsLzsHj/p5SRVF ltnD1bbP03sHC9qEP0ZI4baPm9JBQ0KIEaPqs7HAPtn1LN02W4fLN+iIcg+wUMogftC1XObnmSDg QGTbVgkOaWawqwfkJA2k+X+xQwNf4EMZb5eICW9dgVkT8zFxA3tcVexhzgWeiAakzRzCsb8A0rRg m9JLUQdjVJFgU7vL4gKdkGSHVESKtKzrR8AUzgrtj05hwJpKKf7xGbo+RfXD018asTepdU/U97M/ Vg3kr+5A55DR+5wN2drQDAvmxLMmKfriTArHmaWPK3iG47nc3qPSsyGh2h9bGt15nj3aVbi+WgRU x1JWLY6InWl9JJa1I7AlrudFn8AJ1tz0jDG+2CwtvQCYJVkKvJjT+S3Gh8lYQleI4HJMhSOYsoTk h1bXhUFxTyaWyiLs9q6+ikEpH3CXMl/D8388jVEEw4sN0GVc+EA2DtgCBjWtRMQ+M/iIWN8Gr5gA bYeFlFI1tXOSRh6AKnWOA6V4gART1UGKT0KW/XNEB8+ledOp9jvBkAmxP/tkOzQWZ2qCyAr89vxg o8/fTddGdgA1NoRgLpRUCPuMjNM01NRH+nS3t2DUjdQ8M1YKUeItEL0oW1yQpm9RaLYACWnR32No SLSiP2V4sSwy3wbQ/Fj6i0Fq11D0GltGkKU0fSm968RIh3NZxhYkamLe3wb99ZcWLUvTUHC6aWbC 92Kh/BkqW0nMiMkw7Sw3m7633l++VHOp09RXRow9kAKZI1DwThFUcTHp/+gM2713HONIPm6yHnZW i0V/YJvZA15hSzi3NUxEV5iI5jq4bzAiqansY0wI5+Z1AYZRB1u7aoSl/QGv8FmIul3pANnxyNvl F72/u9BX7GMKVBO0YULEL4Bcyf2DqgkjcQCBiBrycogUa8nxBuk2SYUMHh6J6JRFZ+sG95i7UPzE Y7kVd2gDASVrp0/zDkfOcF1x4dvg7ne8q0GiPhxAxW/77my6WODK3NN74RKnXuSZL0AMB+S6PESz pBYfg5p78oMl+KZ0o6koIKzUQYa8sok3qjb5afO06lLe90R/JS7lMA+J76BLNWigo34g70MA3ydo LfC5bme9IRhQMTSiLvzo6EeerkXGnmSP30KsUBNrLVvX4axWXWdp6jj2wLC4SY5VzpLMMgpRBfsY JQlzW5yshKmSiCz1mvJWqf2X+rErgrxkAtV7RynKMQaFvVtA5uxlJEz9l0F+0Ti09bg4hy7b55vt cMKFTLGQIFbdH3Lvdg4OOihfeEFXBWx3nAzEYstnCyN5DuiUryKi3NF1BMD5yUlCGHuFtf87UlsH TbGjZeK3T7Q4JktTAp4Ji6vHagecf/OnM31EZyppbvrUBTAo5rGBEhmnUQWUVDe77Aif4B7l9zhV qMz2fQ/i+H7Ek1UlQSiAdTqlnmQmw09p6nAUZyo4TTmGkh7tpQuTmKzlFPgYAsPpqz5FO7Y/x8it Rhj9iPTqk12h2XQWLFWo1/BNWkMVa2UK9UPiG6D6A8eY8ipXs0HNtm3txuBRwoESkPujkneJmjsJ rUdAXhyfvdD44z+MUpZV2IDoybz5rNlUZWDrKh4npXGOSAii7Lm3TGngacvabCBRVTNugNm9r5vB 5tUC97v5h411jjxI9ArWAtJZXnmM283/rlA0LWQtbUkttSw7z1Vgl4DbAAvb1H/O/Ctg9JMFkywk Fe3V850ijGPBd+Z8D/hcWpDzqsS+A1ic1pL2k0/fPSsS+W1nYNagf4PEKwrl0G/acXtOpSFrEaUv V72q2WNDqxZyt17DwKiwoBVTfsIK9xhZg7F5i+jtGBbc3QfyMhBZI8zCZ4ui34PBvxH81Q4z/hqr Lyrpm++EhRHgAX1ZlsDPsi3djf93tAVdPkb6mbpGGAjsPT0rIRZ8LvD3LkbqicyYy6kIP/d6DOGA IzyHFwTy6WoKJgxCo1B5pqKPCMCm5bggHrInWoSiZqs4qHe0qyF5BPA60XvS7iOn3+cNA0boG1H5 yM1PhCTidTMELq1iv+U8UuPSAvuzvtngm8BR7jD4NSOpj8ouE8stgem8G37Cb0GkzdayrY7nqSua GeMXbtP9tZzxdWz6A4mZQDz4ZRdIgow1MRfEfegJUsumYP9fU5C11YnVujXUy9wujuuMWaea3G/W JVwwLIgn/tpCK4ZeznG8gL+yI/O0+FRS+UtYo4CNZjiec3lhp+Ua4QU+rE6UbzqMO74pWmASUJHt SN+YDgRA+gsdeLRQeeXu7GdZcDqM0ls92jiTHlcTtYdue14CwZIadSGyb/EHIYiqyozJrKeRNeNZ 0oKLE0X4meVfWkgmvtNJZCCis6JriauO9WgAJ8TSaE5qTViXrqNcufJ+Su4SSXDB9QNDqxv7UaW5 7KyhkeYx3PHn9+aVwrWUbyBMa6E/QrnQt0gJSYFMZL0WcBhxqtTFHKaP/1X57nt6uJKC3JO80PL3 beWFlgEqNORQKKT6NwKZrvkueVLilt1sLbfzS5g5k3PDaA7KQ6jQhFiFujhTrAv36fJrL2BPuxnU Wec/7l8xxBbs1Y9BX9D6pPxB7/L3+79+yuKWrPIbYX34ReSqFEB0S9Ux+Rjld8AS5iw40S8W5crG O+zXnX8iLHO69BW+SzkkIBTAkfd+n1+f2gReZWbpoGowS2aE+Q7EK+HAJOf2ao5KDuMU5qVDeDiE R2XJNt4au6AUe9XHEO00V6/7XWedmqqMvKGp+IRCx+zkgeUKXaVpEN2M5LmqcQDpeRhVKSX4Z2Vz oolXSS5BQ+r+kwzwLkxkFKYVXnf/QPBHA6eMLd0mr+DayZn0AT6FhIM9nCEer4KUVi4j6Wq01P11 4OquBrP/Gm280tIML4Wggdr6TqwOgGT5ivxy7edQgNDfuZlv255JpAyRXyCGK2dt4NhiizCOsMoJ GUVuHjzVml7YywPjcpVD//dWi4rp+dNY4GkO+waLaM+K/50jUJR1UzxIhK+zf/4qCJcXtR5/5Q42 8QOEDcYfyVzH3ESGYk9LplGRkTQxs8CCu0InMnfuvhZCVqKXZYG2oNrTajaS7j/F8+MZCT90Nv+Q 2Iw2V8VDnhddst/oh+H/xTfD9rm3B44QZGi/7K76pD+McNsPkT8n4iKCX1eGYYlTEuR/tmKTFHLu XFHPYXFjkowomsuOPInSEM7/SklFpu0VdYFp8DW/siuhoXBNyUn7VsbKMrTbUerNcTu2c6qnnW/8 jvydsBktQVM2xIc/HmZ/Nk9Kxvi9vCGLkA/70niBGAuNn7WZ3OHHn4PcYQV0be98IONp/zMZFmvW gESJ5HsTBk8JOZXjAfb2Cb5/fBEOK3YnjHBz1exITwglbccltwKWyLOsrfxcxEz2OwYVfi8yBuIb /0a/n49GpKDmQPPQE9Ljjg0t68orIKixeKzfNyg0a1TFlk7uluCtb5sltKBuIeOfgAeBLBbQMknK 3co5nb7oQwc+uyzOOISkgctyG64pdqxFKQDtYEYPaLDhsFDjjaPN4+qkvebbjELB8zkiCGHiJKf+ YbG/s9w0Gsc8YxoDnXrrUTpto2u90J71Mt9Msn6vIB8vLh/q8US1uI69tsqlRqyznV6RrAY/uApL UJhTq/me4ssOmYywnF7IQhDIoEH4CnjmEpt1DaIxEUKT1wYwPSXvG91kfR0oa/xrQwU0QUK4dF4K +mWZDruqo1zVm1kRFzq+hlq6bzR/b/rAlDIn6nHv1vpa3i7gOwQb0QDRhDdQ+NRk70czAyvyugQf U8BpRHeu/pk6S9q7deKXbdD9+32VQl90gW8QEthPIomr7uzFM/w/cWbyvI/hsnYglGhdpzy8y7f6 B5A97fHtgMMzCktxVzAGI6ZBsVzzJtW2SiE+4plVipdrZ26IQ1d4nEWeBkVlCu4h7h9U7q8Cq6+S lwnCk65oPVlVTU3A/+nqvQHJBCQo2VP6FjqT7AIymB5Dw6MUtrPeCObqhnLDOUFaVZYbuQbtdSIt jyDTeju4jbDYmDTmwn9AvksXTyvM4knlNG1jXOgTjXv/VqLgXS5pdsUZp4pjcTcefM9/JcyjY81l L7Iecb8nvb2rOYf7BG/k/Sr2RIVHzEUNzutmN9YJt84S6b/JU7BpoRyhaZHp47IKPBy3t5RAuMZe lUKFDMGiyMxqckAh3/ZTHNqbrML6Uy2ULZepytvSPbPVUVGZPl5XJSC4p/OosDod4gI0B/LpfrXE 5Y9TYK67wsk5a2mSgbn4QbSSN5KXARL5yTGIBaJME3bptUVnYVciGIE4CW/1/JpU2mkA340swvLi 1fB/dLxVga6RpNhq8aYlWA8V3hloYrDX7dKbavo2uQMzcftuSAejw7FdOPsNkWIrHIoWCeVlEHxa p0hZvR/HPGcYE5dJU5Hk+41dd74yzxSp5Xcr+HWSTiaEnhs7NrtAb5K0OEvFbEqNLJ7Wiz2ADVuD BJHhW71DPDbXKnebPQoW7rs65LQYk+rVCNQKRxlLd1UqPdriua2JgsS87GQ96X7A/Y5Fz7G5ERMF J//HmHy23hI18AjiONyRJqvTigZl2H3qc9S+pN2+fg0WBmPrbBHD4WejUDfI0905V+Vey0+FK5+f gXYMAiNcVVliR/SwuG2rOig43s9RUzrq1W3q0nFf5q/r5o+JhGW9wmIy4EkzwIEvnsHLnojS5VR8 o9N6HalsTtGAyyDM2n8CdfvESV4r0YpWJ/s1e6zJiaPZ5demyV109UHXd9vmDYjRik9+aqwuNkkp F6IiGuOwovg6W+eQb9A87mZFN5soLcrx91MTd7HVAjo85B6xsBQI2I0FccrZeTordQRJmlffiMWf Fi6BaIy2w906rPmrU1VSCs7nPOFPi8IvbYQLZsQBCQP1XaH5ooC75/m6csf4wnN5PSqCsG7nXM7Q lXTVf6yqi3oVqCjbrlol0tGOVkrBtlQkAH/2V8Bq9Not3eHd9YfFKGJCnwnj5eyEcp+8x3iLMoH8 pfl8zCCbNUOrcPuuee6Ah8L9y60Nk0JGsj60teplN2UBb3V733DA1quotfiUKyxQGKzLKZolYPRK xxK70C5uAxSNVBeRcZOywQpowNAKRQ8Ry5budk1XTSW5m0XBTM8tmEWirSOyqOJJ8agqCI793wk2 ddymK9z71aJu1VI1FcvE2mobzDzheqoDRCoeAx/qKDpfzotfzXJAXWwh2S5XI/+YVuxo17WXSbiC 9oFTb7yM2cVf1MwL+5amJ0bkVwiiXF+5evOuzuis6QUJrEGXB7wT/lZTSGF3JC13MDOlqcO31xCS d+MJep4yaqnggIcVLgQRbjJbeJ1F52UwkLa2lFQRKkx8It9CIupxv43l/ThEdIFCKEcsiw8pAHah qO5GYnJzoUHwem4dwAsot5zJUr7Uy8v7jUEaGBUnJaJP9LPh9fgm11Aj0yU69qzxnhFh620TeLST 8y/DWRRj+ogH29VnTn0Wrk5CigXpF615qmIcoKIArumwts4UfhAUIXXWhHZfJO7Lzwo5Xkxu52g9 j13si8rHZegSL6XssrVGNizq71oyF0tUAMsNlyyMdy7Qx1ADIzXlHMZe/mEnh78QRw5r1W5Vuv+M edvRzXJ+rCFk055kyCoeZw50nYNuN8VE4ZA1CXYefB8HCQLuTp7Af+GdfSPqjXF+6ylAmLxopzFG XWMv58KXcJ3E2TQJhjbR3QNAOBx74ucuRTITrwNAqRwhGQt8/Nykq1fn5KiTHMlU4eDqcMH2hXT+ s2a/DIV5vMyxngWK+jWZs7H10sw71u3HAvkBzFyunYP/S5oD8VTHqXAtgir+/JdL0j+VTTnCad0o Ns4mLm1vrhuVYODpwLHUcAnROtICRUG6nGe1ksYrgWmvlYbV6IbH4O+9a6VcURBbOggS1qKq7vhT EIOzuynrsfxvcOITZOmFZ74xWpEOdIAd8k9dulosVlF0UrzVP2sLp0W/DHIlBgaju67a/f+a/OtT Avs1mUfv+B7ZTistefmQ8mNkvlRHx1k+X8PtoHMWzBJf8pNBuVpEX388tI0Mr/uFqKz/tZPMkUAn GtKulFd77cY1SCfji0DKbUV6sXCHYQVHsPugf26oVI+WvUHFIwoFqRWhASQoVDY0yGEEyjiP0LON CVR3ieAPwYRWUbL1mAxqUt5OCS9RAUDaAfeKfQNhjehEWlHPDtWUdoDPGto6HjkISpZQ0lRzozjI wkWU4kvb6Nfm2x0xJGpjYMETJyzm9rgAeA7e6m9/mxDC+5NwVqNIqOwqbcXc3+KDs5StuSzI08LQ Pv8/KH1GFi2c5QfM9HODoMbUiZ07yl1X/dX8NcUsigzGN/eSc90C3s5D2rbGhaLKAGM3ojwhKCdY IpH2waToZ7kOXxJrOhoxJHpOava+OnH3f+kB0aEVvOlUNSntLiqbrHr4LjsV5Aa4y/90jVLGr6zM +YfvscUsNrSO7fPzNRcTFyByPtTwUtZ16crlLG5n2m2oK0kpwNTkhhp81rPsaGtMusTI8ydhV+j+ m+go8NLdrc7FKYm6vpIaq+u6Ci68KnYRjDzqOmkSJnDOk3Tt9J683QHl66BM98l88Thmo74S8pOC 3N/FsEF+XPNVd0tv4DiVMSgc1sHe+oMT2FDtOEaxfBA5xTDwx67dBunX5ETcxSZUeDYlx5HxeQ7F tzA8QZINa97f5NMA+xwCy1+HPyJuK1mbsSzajXFMRpCPSDG15T5bQTYiixNwqQahutOjomD33u0S rnt9pU7CM1jRSygzord6tEc2jaZA2c4MuZV+0hcXsaZGUgN0m/y31a+47P+gSu9Qw536AHsnJhUG AEqHAgwpVFXfJwFHeOgVUfgwbcFTV6Pd2fYoVG5pwyoqGq13h7PvNZOYZF8r8syQHMewuH/Ns7oz xPQW8c8Y5bwdNC4fmEZ6MhUdFJkd0JCjw+VLyHoD7jAXzTSWbpzDAXk6gT4UC1/ivsJ2Im5ogiC3 +aehvWGbsuIeNmU5LfmsOsqAHPAfDOxlDa/Yq9jw7Vx78886MX6BzfTJLzrznrG0vcNNxT1EhB7S e5M/Qsd93KKbli5QGSnTXrAiPglEqn1d4tR2c9+A6qzulYNGvJFdJ7ZL2xEu1lW4kNl4M0GSHkf0 pBPixtyzGEI93GU9+vwQS7/+McxZgPYgUn3fWTd3brDxIIOfjEICCQ6qN/0g7L7SGjSq9QS2DQeH 3aRF6yIkgrQZWMeHajJocKgCKritSo5PCcTNl5yNkTjDdB99gNTfvewj3dBBSN5n7HgZ6RDVSfUj gIX1PtPGa7sZoJEI2g6t9FtC23RXwGnM3Oo95jJ+g9s7SkB7EZUscAa7qkQSdQ/oNCO9GZgvgd5l 9lSvgxK1NCqK1xN4jn4fm+pu4ACHNH3jWJ4QUPqIfnlOx+rclpygLcUhtyH9OgTq4ChmFBJaOBsb 85i4wME1bhbZaxBcN5dAOmRfnJ88bsInBIpKJHotl9OXowL7EqSiSFtosWY2f9FvwJC/OgNI0McG Zue8ViMVYYL+PUkp/pW6eoxnmqxp15J10z4CpO8VMoB8O0YelmKAU/kGtA0zXTEAq/vGRFRawAEz ynydWt3l3CzdKp89L4YmLwUzlBksGFd0Kqt+1a+Aoro/KBZPPq+ChkU/FApPATjl+YL6FUkbJxbs FG9oWe7+oJtR/O6fRX7U1zmUamw/CNedlReUamJibVfeoFRZtIetgDeb2zZn7OGPh2GwjDN2Xrnp oN+aRzWIGTuc9B3Vys7uagfHx51zJQvBH9IacMgJ//cEINoWyZ/njdCSReAlA3tFyOLRDvjdOrou 7flFhkHZZLb2UxpkpuoIwuJUAaRZwK5dEB5Pmx8m7GG73Xv18hrRMeBFLocb+Hd5ixIAGCG+3MhX QjjGBTnrvm/7B23gVDChi+utvzDmc3qiLiX+9ZvV47lrjPNuYUyz81hXfVfYzRy17KqzDvbr/Rbp EtFdU0t5D+mSduhQAAOarC2KU6nH1JgNwrBeIdoHgks40Sto4S97QPUntjAvvtGKRV0BxEJKvv80 CmuwyYb1ZjDSFuRbvPRyJu3QPi2apup54SKAKG416zfHwzwXgwtBRR1yZBh2U4kktU+8N3Wf7vOm COEn60KSTfmS6/Ue6SPVPmxf0dyURDdU4YCyLhXqsjvfuy1YlKLullS8uPIDBAppBZ85RY/nx4A8 F/Wok9tFqjEDyqbAB0hpV3nynb5M2qRKlMqSsTkTR29Bs7cF1Nmfy+MngLKUxkfS4hjFLKvbNc8E Fv7n8YEdA5c4W2vWd8mJPFw84zQ69rmpfWlo4DfGRO+GhNyWBJ7MEN1GcJsBpEC73OOe+zMUfEVb X+mnImIjIrfRzCxDr+Js2U13nafZZopoG7PxIidalCdvsQJ7B3V4sbbdYDIU8vlSUO3Mby3GRZFA xLqXR0kcHehkOFsFCK60qXXanzWbE17BTwDy+e2IlGgHIEs8j+6uU2QrXMceUo8hxPkgGxHt8I5u Qebl5ZbedI3oTTSc+Ue1i9hLc97DTQVo/lF0nz0WY5TVrDnQs8EDHFgmbvZvZyC6KwG2bnD6kG79 FRAUI0rBHnauvNuJkyDBsvGm4Jioag9Bz+XsnbBGnTq7/vAglu/l/R+O1kQcsiknMZLT0X4fnQmi ptxP/1M4+ehltVOtH1Ki/A4OPcUa2P3eJmnfTNqjkgRqR0UR4MXd1TMC+ozCYUFlvGRyLlKFi1SJ WASr54R/p/OpzP5UfHvV7xr0xcIFrI01C69WvkFk5Pz85hED9OJNY9MOK2XzNVCRxAOetf2hqKRu 15fQ50aFDsCLwamDEoMSbcrC4xytYSZB+PoIswf/ZZL32Q8x71MwVhmhiU3f7a9DYEkTl0Jo2MP/ PpNETene7coM7N3UYlVzNFoC3lFr7o5oUZZNTBTOx3s+kFTgWwGiSRs68xPeJemUngIeJMsZkuZA 5njAGZLwj5UVz9njxF/mzDGGOG5CInG+6hQtQ7yCz4yxcF13xxL9XGJ2JV5m3aeDpLWp63BzBIPp Vm8IOKfzFu2fiQt97RIe3gZXYUuLo5JqpvFFE3jTpJN1WRgi6C4Es/oeWscXaMd8UA/G0GkX29bC Kq67XOWCSv/bxQUmZSYDtr9gZm8iAo2p/jD6126J3LY+xP8ehw6f/OGP3fcuANDYfK8bfXzD8G+7 e4szF17zrPM+GIrZam62MruUNfrR0zHpc76BGrk1xbJTbObE+S14F9avReLe4ZTKHkHgmPkV+QIn HWsddwWKRrGBNl0pqijIcydaRoRhm3G9jt9rYYTwW4PohEAUiWdbUMOQNkF6b3wnhZ/IvJpqFaSp jcUuMp2gB5csLNZibJJlhCK/0u4lJNh22UhFHdfJeMwHpogM3PHFmiFEUiJo7DeqGU3eNKb0WLHL FkLTJNPKUsHsspt/2KxzfnlTPKVvXRLRInGVHUlXBU4zMCPULx+FYNgml8i2srZwyOeSpwC7M8CD buSlnsOpR/PfCnP5nMJ87Taia2shOPM0QZ8Fm7smsNSJIoGqLgyd3eMRxE4XIJx0O47sDOAQMj9P hQWeLK7qXofhc0e79F3Hj6OYkA5TjBaeLEcYAYT2Oz4XQE7/xAzHKaYn7C0cf79doMxZwdtOJzhP W0zjflG2D4Dkv4H+YymhS31hM2iJzO93+WMVsUI7beR6WW/ypjGkdK8f/aAgnz/p5qGISWtafYCb 4CUaXz7R1C9mUNh74GDJimpQe5FGN7nqUw6W/shuWtj4fXH6A+xt1qtbl88T8wsDKRqw9nhUu8DA dWODovANIEnHvemSkeuHuk9ah8LTlJ3ZcbarWH755N8wlj9+Hvr5ShtPoGZ5d+hMrFPa83iCROjY ET4Vj+dMt5lVZNqV4hi/Z3/ZTDJ0UdBh1Ce5BphMqdNql7DBenX57+cqWmIyMFCLmIUua87ATR71 5Mue8R9poPBYbfAb5ErnVYlsya1TPtx0O2tzsiu48D13OIgd/bzjMfBphmEb2+h24BNfwsmIS5L8 TDtlveIG57G0jc2KH29I+3DAvCtDr/fz2ry4vp1DeHOfeHGeFh8C6qQlVopuBFKRedl6LdGjtbdR WeKHG/kk4yOWX/dzCkgmee5fksmkcH0/kvyY7aDYbJYnpj0iwecpYlnawTRjvkQ3ekCNPvEn3XOa +Lfy320H7jxG0rhOfcPp0CKIIcu4W3QZ76FJa9QseRbusUNAoNJvM/Xcs/FVE2qCA0iraabL6rro TjjsLxW/wj5w35CB3ceQ3IsXvkjlIxb6foFdkRkAbvdqAvuGfmKZc0GgJbCusUtmfGNxQHHBR27W r8kgXuxn4qSmlWNul060nl2WuNKwVII09C9G2ekQa2B4c7yJaCq6tT7r5KrlScxZiTkkg002UTdH FuIRftOyZFM4EjYWBxRlNgQWZS8PGAfpE6VC3jeWrrdJUnO7bXFDHi8n2G5pSzUkP5S5/I3WjKvO c95FUa2q7oIcfN1H0YtIdyjBkJDOv6zxDwL8FiTrBNn3bIY+/TwDLO9u3TGFPhtuzCCnS5Cdy5ux XWbdDqBpi64YVpFBnti63slOLhyj36Qku0dduii5lw6gg5kaPOEKdFVIew1HVY25I9zFEqWj7hXL nkxnZG6Nab/8el5C2D6FyHDcvDWzzQOzdl0Em4FZOGMas3z0NI8eZcSf1XHEoXEMRUljrNyPcC+A 16U70Zf543ZzLqTSLALrySumNNOHLnnG8elIaaTvMlUy/wOfufDgu6M4yjRAI7U0VkymMVNSsozw YrAOL2ECM5IgOosSNbNnkLDuGOFjcXpkMlIl5ce+gRu2slMqK9ToCWOt+DKJT0nWDLa2Jwmnr/rz PlNVkF9N3/FefDKSe1bTN6Lb5AxByUU8pCjbbEXqdVs2sfsVlKCcN0CkjMzxOZ8lkOlUMfzNlTtq elZK3kNxdPBor1R3Fehpt8lwCzsVLCP1arjGYIIjtnanwuiVbmVhhl329QAGS8RWDOGtyQTIJtY4 ITBRC8SiV04kCkaiSrhk8hIv97Gr/NgIEEfLtNgxEFE8ZWiyB7S8NM+nToUUvSfbb8+8kw4lUMox 4yTNkVU7dBYzTLt7CrpZOo1xOd+ZspS8+k9A748UDM0YCqCTcta6Jn4gVTyhqkCidnkjyDxo+EKD x53HWIvf7LxOGNEeBPaYTozxT5sxrEPRx7Y9U/olgz0dLUASvu9NAnIIAGxgUXB6YordZMtLnwQJ zcKM039g1oE6+Bs56His3jWgwyGao4loH+81vEK1x4KJvfjhs8OE/CZYzHLvyxE4tbLu1mwdSSGn t6ZTw7dGPsHHmlTumUwk2oGx+ZYticlDHq6YSozYvDrNpqNGInvBZqAIQtxHGyeJ5N9NOkJBCGfs R9AjzXDLtxn1w7zJwktQFMUYBrcp9AAI4k7809DNjCUb9cnGFW+inDL5hpzllIgrNkLkEq2CWTFl ejsxBC/0RzWvKiLTdSlgkWg5teraA3fhIJiI9H9MZ0FdRbmgkfNN00Y5KAIvu9GY2TBarBbKBgSj FnaYsK39e9tSm/fC5vFilP+Kp+BlERE0QJF++4Akj9Eq6pcUnI7stKm9k2SAsFkMVTKbdinqmJEg TiDnUQCmQp3Nr9FLWGDXby/OwDLU2fwn2tc8AEjfctqb8vhr3o1BpIOf6/ySC3I7tvFLYCKXOG6H UFEIai1XX/QI8wAsfbj4jIenyz5cx56wousrUW3ACFo+G6//WCPc+14TESURb7aHQnpW/jmwLUVQ ZCKTyTOUV02sicfzQjgvDmC6CJBKdWnLerRnYQ6/Xk+oSSo9KdiJsfzZgM0v8fI7aatBnbPobw27 GGhQ8bqVBxopUQsDNIhGNNvS1HLAB5oR6WdeMWleHZT2LROsT6lNQks9uuMB3flwOfdxr7z/9rhJ 0ppxGZr4sqAOHb02eM+PuCLE3CtyOo8hWoKJLMHxIytdyWIpDepJprAiSaYeiX9dRA4tGViy6lFJ +hxvFSpym8vasXlE2cAqoYGS6ZlE5ZzKI4AU1/PYxt+Ict+RyCcUqnZlw4aTAJDE8NNgkAUJIR8S KkE/aqraa2FvCBtNfVV1oSK52xPDz0HEZrjJkK80dPMqW/LHVaiCYAXgUyRjNU4JU/39174R+mHM Im8VAywW6jUqou+xgVBbZ/qeNSAkQ0g4vpdQlKtg6U3AlBUZjByEwMPj70L3VwxZCtNgyyoBI3YP F2S5/e2EKnAJVU3DcUiRezJl82A2W8Hte7p/Qe2ajOxbPH+PnYGIlI7qta6EaxiUkJYOPjtLIve1 FVoJSYp8sI+ewYGSY7YjgDZjDzxsBn/XZjk0oxnDtLeAKTcgxe8wizzX0nL2RjJBMq0UHS/b2oO1 i/IyASQm+F11s0jKL/CNMDJqsV9dbhjGGaZKXH9tQjChv9EsSQf9tHq8zdBt8XSrZC3c0AeXPRu6 1rIinKK453xU4uRYHbwdgmSPGHnjlv/7pxDGaFm6V11DvCy13sajw6es6zenL1SuCNELlrK567H+ 60anSL5i4ICKOY0ogmw48CG7oKxzHo41+sake8ZMlMSQwqhOkXuciBzOzdmvKlWHlOhFQstXXoB1 +sx8+w+AALjsk4X4OqxB8VYga3XSnBJ6QCs11GG5EmwroLzZxi1NrmqkRpF/BGVaMdqBYHPqgkss tvmZnsOCuDYGF5jppJy7++DuOEX4UBCscNQ3GAH9b8B1UNYq3zGMYwnM6pQv1kFQyDBWMi+EfVL1 0DircXKlNSWQsDCYyGnZhrRzmFJe4/B0w4YJvVq/deTCBpGskz11lO+Et2Jz2HdNennzzbOoXnDS f/cWXgyJ6DEQkk9IGMAbCbZxgJC3FC6ZNRqhDn/NmSycofyzxSdc/2Jbkh9J9j4Prcq6/San6p4f blQfhxiKirpL+dzwIGLBlyY+0GrmgEDysotfKckOtxkvnM5O5gC+np+nIj2BKGK9DZQoKYs8AxMF CvAFEk3g7CKa7YXwCw30i748UzHX3b9XGhAYakVmlshwi1rbfGkvq3JR7aV/ToLVCYXi7VpUpAAw aQk33wwaueK+UEa2+pyvB+hhwG5l9gCWgnOliMaZp1hjnJ7FAMq5yu/beWeXKNGj3yooKWnpjZqZ WyQFGPWEYqvX6s9PnqsxuC70g3xTXDengZCIk/n1EBYv2JphgB4oeKM/1U+G1yA6ycuLzouNumXy jr2MErZbOioigbfQkEkpKapJvX35iANaku8f390Db1Y4+BuNNRkSWRSTAjbnuxqnT81yup6kn1bm SIlDTKeKA2/K+a3UXNyux0IMIYxIqSRh47XglgDXRn9CQIMoBnitLsrytmOc8+/EUlR/3CS6D7fH zSSlcGLGMIGHgQJeqxd/jAdAl7Z3zSPrvaWxeQUl8qdNxrFJKvMkja6/xapw576pPl02AJbRdLCR Ru92Brsr5ME2zEnPnz4QdXiKBhQ3PzJb/Pgx/r0v91gsjE/eW06sSY5rueLASa8qvFMOeKf+iHhE FOpXt8KQAnh+VYeE8it6L5s8yve/YWbuME1vyvwPGeauMi2NPb5xmelX+ca22mOZGZYq7pOZbZ9Z pPU7ShZcC+50YeQ6U+puq8mAIr1xx7fq3tBmvNzn8SJHuS0KKTFr6LHxLmk1bQ2NCNFuoyD/UXel i1FOTFbfwNEdHAOCnzEZZCMdX7L5OdNxrFnoPPbbKhV9uuIJkB+AFGlA6Th8AKtic5f3B6DjwD61 ZVGsTPoHxDYlluTlN7J5YlCqJSxK3boBs38bGEbVzwmFGZsX7ej76YWkOa7wCZWL5UU29m02X0P1 nhCZQ0MIhIz+LkV4Ebn9CknBME9O17NcKSBzfb2ptAIHWKsCfQFbGDix9Tuj0v0SJyCxQspjtUTX HCDAgKpbjb89yLVgEJ0XtfbNTZP6w0o9S5SF3RAv50PwjFP5LZbZt2AB/AQr/RJ0tYv7ms0jNPgp +FWASsxdBVtKwKuVfggkhbldz0FEAWpFDSiAqVFtIjMBRQZEvRhREensp4fSX89R1jBvjHlXQ8HM DhfbmEYPZzRZwWpyC/zwe78gZCZue5oENvTqVFiHUMbDmWgScbyhANGTsFQxcqmsabLIRBbQPD1b +4rbq+NTF+KrAr+iKyudqy3ZolB03c9woSoiRaYxW/sF2Ja6lEfPIafDxs9Idy9mn9Fch34HuWc/ rjsqUPW1opQ/aRGML0H9ASL/RpFTYyZa8C425AqOJiXfJPljds2McHb3bU0nwr0fs4QqsEPn6G0V vdGLbpU4DLOuq/ixOo0vf+cWlgrYFzNDSIml0cOOdpoO0lMTJz1pVlFZia3EN6550vk5K/708nwl 8sFWpy7exzqtMkFt+W3zgT1LGVAlkvPt+hcXkT6gW+JHXKsgim/6z9rqip9aynIGl6qIeeqBTShD KFvAuCUH9H0/XlVqn8TVHdPjUI2y2E87cg8T2EM+6Rewv+UaKSGx1n7GZHFLWN6C/AF3Z/k6Q7M6 q6/PJsWHjC15Te5mzvAoXRXlWn9bJdnYX3dRLkGprwnXi+ZBJV+SDFESR1K+GvSBrwHRf/UQQL8F cTA90jmXBwuVxbML3nBOI5WqBy8n0mA6faXsw5R+AOLLp6+qOEO5zzCQefi/Ro2buhd3HMxhviOv TIHTs6y+6hM3eNdcXbvdQigyFacU02kNpcz/4HDN2Sfyy9Pms4H0KDT2khxgDrYo2WSA3ROYjXaP pG3me9TqBQshZj76rbjXzBm8zbrVgRMgIam6AsISw9wq+F+VhrEwDtKg3tV4TAL1OxZLYhLqwNN4 5suYCClbF2UpQaxnpukW7+NfIo+FIAVsff2EnfynO9lH9C4x5vkk5UonKlm5a/RbDAdJTitugsag QUt7zlh6Pt9U6XIQC33tawtm+H2YrcIGC1BELsZlcW+NUseiiVJ3MhX3w/AbWXhVmhBkqxLiR3ud gBael8SjPX2OyKJ0jPmRDsYIMJDcs/z3OQ5vtf3cjpcGQvWxQMEK94XpBOQUyfeP6gKRWc7Gwa61 qexmcp+eljHHQBcGIpPHui8TyhGJroz7vN080bIRk6nfq0ILb53GNdpBNYEdHWpAg+VJiCNocok8 BhFLZYqZWfDLCwGDlfpNE9PG8kApotRGBtBH+JCrYpj11QrUBIdWjwLpN2yc9aNEe6TCF6nlNGzE UYQKS8q5OHSDW6726vDXS7kFv86DuKow95YPzgqOoyB0nqSUULI4K0SNXswYEstZG45SH6Oxn6qu dLRuqG4Fidsr4lSKbz1Uh3Ak41/y7yg6T555nC4TQHTfiSeAsVAnkSIqbs9zQ3/TBpej13Slknum 5oY0RTmmO28/LNa3/yApKtmP0dYwvdjngomu6lWQK4qM9zYsfuftwMDfHasVWbQuBU3Z0Leh3EDc 2rsRVH9ivNOI8p0IOPIzQAnUuisWSqT1C7XnmNXLzKptZCzU/QS2qSYHfWuGJOEmUc9EstR15mgj 9INBxgWUQ7EFPudBp47TQJZTx29yQD1hlVGsZxgNyt5a8PcT82xnIJbJKcXPInt9doWhobxPz1wu pcto0A1pIc8uEqk57VrzC/ff3zK5TLyt55iMxJgFJmE5WX/T1UsFsXKCeFqr2H2hFe4AeyCE56QW 8mVHdOnD0X4VUDB4UE4AKF3+QGpHFEBwBWtkAPmweqONBA3DADabspPJ8lEO2E9VSObRq1KtJe6+ 3yw/1IuYjyfS7h/L6Tu70mMphYjqQGaM6h791AvCn3d7yc6IfkHzOrzbrOw+9ctMcJn++D/hwEu4 0UtR8zH6XsTDp30k843alrDjilHTXKey3KL8zoZGivzamF6eUrnEUeyz/mI/PWGJr+4qnEsfh/Ek NBvmjk70KwrllkpFvkNOsZRm3/0HCJfJy5jHmQSrJ6IZHFS4lmQ+a52u4Pj9VIeu1ydMUsXK+G0f i7PaKT3W9In/A9ilatd3Xh6P+mtu4c3MGZUuyOk0NzpzPx7V4FolDFTgxUT47is4sGoC9dPfTN/e b4+du3Ey6ecRALnv8PoENUTqKOW/iNLt3Gpe2VK+PRKz2Mf3Mn0pCJRfg4H5EE8sBKhBZFuN8Cf0 AdaJ8k2sg3+kMBSW0kWUypia/i0ebH7xKOjbdMK7msv5M/cFh9sQRH7otxlLsr0f5B/x9PBn9niN aZP8OP1taHbCuVHodEEi+MBUcH4rXLHSwRyOLvMxivdkNx8OBM6PaOMx9OzsB41ZQyg2izLIqwoF fFWOjNzq/PnzeaxcvA1S8jaV0vOV1m3grlhvNz9N/PO5zbcM6cY1NMyv2mjYbm6XrIy8PCKDJzlj 8oKXt26vI3lj5yK8+iI4Bu77s1hbcm66MyP4oLtAUN+ZPI49NiBsNkDvIMIDy13pdW6o05zDR2iD RFqW18z6XAky1y0BWvRU7NIjT4UoiViPbHl2j+t3IeS7pBfPRFWDQbofNN1jqcEazLWzgAprmcBz CIwetuxwZlOTAUXJ97jixPuExuxqPh78d8vmXVCP3U19QXqEFvcU17ygBRC1ukxS1tKCmCI4kMAX 0P5oVltAugDF+sBrSGIJfIKgoiT3ILsi/R8ro7/uTP3sY+YpxtqUNvelDbKMqqmklo3B7DSajCP+ ZwwpBxvHVzxxvzaHphGMrGm+QwzNwyG5jxP0xBTTgoDCJ5joYMgj9if34KDWzczJr8N1NTgR7zYZ r+Q0pwUfG+qucIL3yxBGsE+fVIpmOx6gOrk7O65LBlj6s40opsURglWpv4OXtIMoAX+KqHJ9nRQg SN/h7+ipzE8d1SlllifgeD1YeczMmxiPTJqzv3DR3k1MtssNWnFUT7ZonHjNBfBjRBOK4o873f2y mZVhFSZvYnDbcn/vQSfsu6fNIwJ1mTLN00VWT94a7gDi5twJlxwMln7rXkMgxR788YhPLFFtXLHX /qAORBd34aH8fR2zNeBypeqTn6Xm9HTQEFfuMuLBM9KJSaWO0EakE84LdlLcJJm3n7ce+kuFKu5o uteK8Qrzg5YDI0z0b9e6wbuL8bnrbcthiISaX/OOMam2kV40pNZX9rkszJus9XcoeVSgzHFjnCSi GLWogyoxfyp7vLiN28Rw86zaDL4J4HZp1Y/6jyZ8M9b+hhwwUUW48kSdhbQhPZaABGGMgTtXZyBc lykUCt3DUiIhJP+T8JBkoPjXpCduB4sLxBTiMb5C2IVeUZutkE4f5aAgEvqGnx1IdCdQ/+9Wv0vE /isSgR3l4g3edFh4v4dTV9XdtRC/WS3I+sRdt1eGfxiwADjVtJrMJBX5A27AlARB8JGn3U6U/B1t Ps0XjbwndAf18tkSh99VxvNZhplciGMlI/XmCybNggLDZpBzBqA81E4juRrp9TtYAe3uasTzwLC4 iElJx2mwCIx0MZAej/iF4O9+KmYNss/ScYGrkWWFHofhyMwFwdm78wY+msXGdjdZxz4qXWe/s1HT Q7ixoOhtqRRwgGJC70C7yUha+bwcg70PeNrF5vCosf0+0l+yZePkMkj4ti2XPjKkBzWC/1uv8dNM k8KJhrYifT5+JFQ+xCT3RDtqB7S+/6x4K8hGmcG61ERQcEpNDyBk1EIs7VrDYwwpC1Y3Wc6/eXug pN1SjcvGD+kqkt8UytpEm3fXEkvodoIYhdyTPhznNN63j9frt547qv7Lqw2iOCjF20WeK2xzuY46 A5Sw2i2wlNlJlY+jST9Fg2fPYFsqdaIcstBmvaLSg/wpFzpGMYHjGlMo3qATvmndMujHb9fdnsKW XqEHujtiwiBAV+4vbvVnEw2GaGFZyEXClByCLk6PA4H0XQzjt2KaYRAFBMVXZkoU+Lu2fV7dW634 PdcWqIkub5nTZXjXDIbuAvDrz0NpnMISD4ujmcsaIdm//FePJl+N0kSzYIk0704i5SZPbUF1ifCT BM7DsE5KGALTuHs+obWbKkilUadBfvXeAasB4Anb0SdNbngS9N4wg8fisuWovFuozWIkHJxeB7zL KHDkye2ntIl1QaadjIEhSSf7+okSI/0WHVYZJ6SvDZHaSft8a79eV9ljh264jZIdvRw8gtzGzMja LHFbgLIt6XId3+kQzybNUmytfjnLq5yzj6n2CEYSpJj8qd0XZIIZQ6MzYa3uLXl8TvW94MjDW3VA bmBCtVO3Z142CyuIv4LcDb29mqDFGmQNqXpUC7IuzmXkNyBuNdF+RHExyYRNrSHVB1Ahe2NmMgEU LyPPrxd8yGTcSburDyPJFleHhK7+fwvL3EDXWsfkZp1/R9nZAmifcJCYRQMM2vlxfrQVZaxSc50L T5uQpKugTvOBNU4LJtizoDUXhLrgxVn5K1+NpXGh6J2Mp7Fb5NG/rKHcHUUg3PSv0YRB+o5mpIli 7THRnQB7TfSBdaubPlM1ORXKkmNUGRpStssQ8+QMMEkWuQbFHc6DOmaDpQmWMIYBBn1dA9TT00bF ou1i+UcqQoW2NUBqZ/VHBDllpXY9GU+VwzRPTWTRxkz4FpvZy/nLst11ErX5p3So8A4dPekgGohW GCLUo5MjS6Y1B1NA795CLqhaUeiX72y2VHkYkfJTa9C8g793UFZPqVhxJRBh2Ogg6YlszRMcsRa2 s4DjrOW4/OoY2Npx89JNW0Y+DuXdLJIWNyWqC+kW4FN6HxhO1Q3LwxE8qYE2811FTf+G4CQYQl6L 1QxXJU7eyYLbKNxG7Y96Mi/hamfnSXnj//rpviUmtt+7mavB/puDJWF3NCz9cSeqY48MqWrDPOMf s5nzXFEXk8JZDFl/WqYsg2wO832C0Hmx52iwm6/2oMvmP4k731eF4iKm72ERo04PoTmvJTjCREsj 5JD06SwCI7cTBjoYhxu+yMXNHanFgVw8e57moVwdy4daXKGxmup9RNwim6RfUrAlx+G6Um6Oqb0g GYYMFnL5P/ZR4wmJhWUgVEd35qw4hsuPvFlm+f86LWMBVPPAzTvs1uOo8gso1yKj/9H6lYthbpHP UKVi7PkCqzjI1gaacejoWKn+ELEINUlBLzmMMpFUfqeqEhHEE3UCVgB5BwOflTmZWaKjb8Q5y8sm FbJ2HRqYCYNtqOkcrxW/7ZKTFSiqVM2TbPaoVtAkZZ6AejfM03+uRKW/OrWNyOC0RbYQTDjJzYQr vb6a8n0ljG7ZATV2eMxEzeuk/EqC9UvDvvX8Thji/xkY6W7Umjsp2krVHIbFvg0YJ/R0XDwawXTo F2oI1xchvgRCAQwzbsCwTsvI+QEi5osI1z5PK7j+0Oq//wWtgS+LAYl7dD4Q65UDclsWitDofcRP wWLuxh+rBQKvEdVp6yg76jRr2kq+oJEzr4Ng76ex5kf4wJ0HCtQSWlwitvNZBR1nIf0tcu4vQl0C vAo0AG9/Bf9/v6Lu2c9E9xiAChNVLo7M0KiP+5+1ttmXgemrYjMjbugGMK9hv6EN50lFgXQ225ye eGRB9r+jtuNpZNmYDW1Om71e4telv209npqnX3LXM9Gb0fYcVsZfrdVW8Otf24ePjhthNA5METoZ 6+hUoNNrrnmuio5/hLFAYVi4bSTgYz8BmbLmz9htql3zXC7HKsN7QZOL3oF16oJUV1gZpyqsh4B9 y/2wOjtnYKcN2seRpqmMBtNGexL6kQkoC+9uAnGLAFRiR/yVF4+mK8z1Exf3++hRciWdL2Ia3JGr XbImpT1MgaPDWYMPCSUbJtjK4d+J/ccPERUI+KklDjzbLXas8qH6ZzAC2UfOFat+w+rKY3gTfCWN rB5kDGlMknan4IqlfrvtoJTwEmt8CR2818ANo12LYNANgHZ9c7MJRw0ecuEifCxD/rhGXA7JXK1/ pROeFgvgR6FDUQ86elBSNdvwk/xEM3aToKTXGEOqLugezg62iRGmWSg2dzFO1NMJTgwxqZ+WEquf cEpfQyPHKlQMqWg77EAh7d+RyEEfCsiAmeov4w8Q8RJ5Kbh76J0pZIykESuHxTfjopDqOsme+v0c wbz1C/AF+Gol6mIRPugUd11mW19HtRpB1rAsrkxvkGug5Xh4SHVPI5V9o3J082PqUhNh8AyUeLMY wlWPzyz0A+MKhMrf/4GjYWltZt8rKo1YpveEKwPK3o+sviiwfvckE2gjrc1I7qRx1L2lNBIos1tr brjCsh5FQzmX1a5UlJdl/IOW0oHm43+z/BkC2HDzbjT2sPbaZFx6Tk+iuwNMLHMIu4bkiVL+po12 1Sf4HijyYiSRkLcTlEqg9ArMVwpTt/Mwgnhgad7uYC334h2Wgn4/EaRkG3ORr/sQqC+GZVrNiW+Z wN1MqKXf+XzB0qdQnZ/yx8nQbYdv5bwnwiGa+7oCHM5VXE09VLZ+5E/kveV5/pfXnGDv+bPrO97X J82U2n0ZZIXlzKDXMeFytyMrT5RSVDWwfh+vEvl5LXHljk8b1RSJRjqrk21Wg8LkQWxSCVf0jHaK 0TGs0g4D/ICA8FnBve1hXMzJeUxXvxvCz0DttwNMZF3sWV7A2Jt7BdSylfjOZ5gATptuIEmrx4uy 2ZCZvHCiBoIOKztBZxmHleuPmhkwOijgqXQWbWgKcJy4F/k62VtxUu9JM2XkIXPF4nttibbVQ5OV DMj3tPW9E3Ojx9GHsW/3ze6IP2OmqMc980c6TPco+7GS3CVZVazEYKgcMhMXXeguLU4hISpqX7py VDTrfpXlsGz1jD+dfxXxRDH/IBvyfpbI7k3K0MxvlBKOZW949P3tP6mIi8CoMmn6LF/gtmGrEMAA bTN9CaYCDn7CATWZ7+yZfLQVlakee/RquOIm5Zpq+SwsqPYZVkx0KIck7lUar+dqiu2u1Pqnwe58 S57/pE4Gdt3+vpu4GVN1QGvzsiJHB0h0cc73Quhvk32UUGUcIsB3ntB6fuwwt6UX7t2/G7DevwsF Jzp3PknkkNShu0jFbh5MThmJPtz027rVqxApRy359lm8ZJDWKdhSUxD5qmPZbL1lsttKwZ+CnMzy 1WBCBVqjEwcyq9QrbQjo69gVtH+1/Ii8E+C/6Qfxv7QfJ2NgvfU4OhmI+HNxZgmFFfRAW/JCThq5 EHuPJ2SwnkaEDRaXDYnC6eTKnM1rXETpWrXXI1Qd4/uTfGAslrda+0izpfjCsfw/rBMlccTWlgwh HAvSWqNWpzkTX8MrH9TOLe+zVKix6Fc5insnt61tOfBWlackwy17I7/XQMChM82XKhdOwQiy2+iX PwA222TAH5wd2EJbMfjhUcMfZZg7vFTGBOEQ0pajD2xlJcaOFTYgwmjdDuw3F0tGaa2gb1XLymzy 6CIOL8W73GIAj/qS/S2HFpP/mTgjoSCh7VHa3TQS1vpHHEz4ICyNAR04gUdR9g6x1kfYRhURl+OL aXwUOnUw6N1PIcq5AbAhSPMRXSPhze51xAzmuGRXdxyoi8TURXGKXcg3lYveENib4Lw9R4HtDCDV I0T/VPbPoeN6B6Xo1+Qdmbs+vjOI6hUqOH5kxcCehN/pf+6Si1YmxiGvfYe2QXhk/ILZk8UkUW+E ajON+SgQ8XLWuOWL06MbWUcbfceNiEXm3rRkqXWxA6ntbU8V/aCgniYD8w1/QdIdBAOVIHrhIVq9 ncJgb2dPfK9kJWAuRuOQIWz9t0xen6XG/p5ldEv/ZA/W454mfsG5Xk7eHi53HrDqC1ZefbEAp8Su 8o9Qe+EDEVVWAGG5iEpePaybZ9XEA1AnHA4lNAKLjQDK0xw68Ive2iVzfD9NnWAiZzfNjjiLC3/W KdbHZ6KCsk+LULQ8LrvrMirS2miMRRrPicoJMRUBvDhyFE8R6yjMJDoAqkJ4YUz9OOIxJQAu8s0e o8cygCakl1cHB6hFrZWejvo+licniCeJA2rF/LIReqSol8txHg4Q9NkrPZk+Y4tVC7OZGhR8x+G2 qRHAITZc0EAgnGqvRpsH0HqJWUDCb+UeU+Sxb8A5l4PqjtVAEyvQBypEkTS1HTgT04dQCx8yibMe FW2WV850Pk4w6tcM24XlVNZivPTfV+hTG+/Q9xPy3MuQU748j7DCUtx6n7ABVN3pFvUQ1PHZgnM6 25NQfUEFaN0Nxjbzpz2sjEojhBFURtIURtBUY8HG5iUfhXerY0hQDb45B4bM8oboumXUvAE0g+O1 AVaZDV3aLL1Zcwr1RDSOtezz7H6NmMq0EydsIhO4QoGTI+uztU1tYEuDFQXeWN5CBnkL63j4cgsG Ta9xLtEyJ37St7IYBfzHLU2AiMhLTZYhqll4j2ahluyViJvwpDlr1LMOAE9jPbqyqbLn5vVjW0Lb ucIucmDZxY2bod5D9TXRKmxHIZyeZ7nqvrP6Z5Jhw0H/3v9N3L7c6neWXLV59XeJUP25gfcElfOu 5kzT4tjT/4jHFd5CTyYdA/OL+XQJiMUmS1L2KC80KqLhkiOH9ZesYwn2S5z9JXYuAhZmRQcP7eGV e5XtfWh+Dr9JDYpSLijO+osEqf/IOCP/xVb/y9YVtOKRnLTltsP9U5uXtzytfoHSN/C4VUbWtrSd HFdU8k6MohjY8L5zMHlexeGbEetR/va1wmNQ7WSjI2dh2xdrTXvWDTim2eRdhdUBkZ60mqBFIMqv Myd4qx5YT7TMtgdw9gWc8luIViWFUbCIIbVkwLaV3fm7Dfx+1hXlOcbhphKeQgr1RbC/Xk/bzUC/ nGhC7zQh0+fLCO4P6ebAyzjSe4A3T67JsIp3HUZlIuFdC2gtlu0rfAYmrqyKEPBKmIiY5zrV58cJ 3Cpbpn0Bk2xEv6TDM/K+L9PnV/JKQwjIvO2c1O2oQ+R+vyU7CUPeTAJwj4HHIxM7AXNkmDSsLsY5 iE6V0XWMKpYzcdrmN2o5+jJ9fxHto7p25/7+4zcGJ7W2da9H4a4u5qb0nbF2kYaBax2OQbJm9PTc ipYgMETPNHzjigbH/4oiUgH11wcB2tASmS3fiCv7m7MStBuRbiMFcisugAQlrwWa1Vsolewaetp6 f8GhlRg4I4rsHfrgFVsVdYV+h+05y7IxijK4X3WGVOV2Zi8MYlU+KShXM+kd6/mwj99zOjiUbeio 1EtlbGrCtYhLp6Cel6rh9FvWddWsAZF1TksXBFDgKawR5T7rJcbWPhBhRYiguhzPwlHd+gx4eN5m rOsct3xAyvNcNKOVgiic6TVI2Vmd+gJonAOn2ORfQdFTUZd2alvKgj9+NyPbBKAGzkSPFaFJJ03M Yv6KSUSVJf2BXUEY51oY6/19k7rUHURujQtGl/GrW7JUdOZ6Dp+lItrDhSwKQ+3eXH7p6JqxamKJ HIk7furqbV6aUB8IP0EZ+PQZQiyUgUhOr66C5Y4RuYJAs/zPCWNS7AojYdjx047MKZge+FZHHxgE wqDrDzVkM5Ox8hYxXmREahYuxIJn/W68uPl48VlZNbZAGy89bTU2aFAV3z8EtqfITVIFP0F2MFwi hOz406nVtF6AL1f3vkpbu6/axmxDwPwStsM61WBDnRCBv+IIvvDi84yn7rZgZpjJKm4dDbMdCB/x hFAS6K7CgjUhHDuXsftld2JsvuZKZKFAgXlOYm7kLFJC1iUA5Gk7+sjwjaebN3osZZl/qXdgQgj/ Ud7S71T6PRyQfI5V6BZbTT+nSt68cL0twdFlJWBJhH+22f0YSnUN9qf9Fm4vheGNMJfwjIezVrR9 Limihg0uE8RUMh38F2Og3932nczqrZ9HaDXD4GN5NenKhDslbBYQD3fen4aBNP46B+uQplK0xjZA AzDIafzbsjPiKJTiUDFs5+5MnBDCMuCO7mBMJB38pilxP85Zah17efcpTADjCRlfzCbdv7ctY6c8 habCdmYvt4kASp76Aw/GiS4uSzb2UKQN+WCiQbuQrm42NBuP9wzwffzf6w44kUn6fvYDLFRoSK8i W2eLl6+1vgthLcYz8um/vm50LDU+ZV2xZ1ipSrgy3zRD2QNeD4Ssok4myTlXQDw5ljB3czyuk0as nb5Q/bSf27z6O+qLPRfj1QM7kwJCysGWOerha4+Vc0swufm9MOBNbRD12dH2RTDzLKu1nAWnMKpT OtMSLKrucA7mOg0pPMYqpjbjFLO6Q04Aw8EjCNYncBPY5jXApPaxjbKLkoboqR3/syHhFAZ4Wsol HYhAdPY2dizxfZuzP/PS2BBP02b0VYFwJCEJ5Bsnw2dUCcX0u+/i53kDf7pNGjTZfAmYo3dpG/HP Lp2MzpHjBbx4Yo0XU3JauzOj6puVhQ3v6ppqM4/tmnKhQosLXPL3ZFwhdjqlBbSlONDliinmK7pM fBTLncnaMhDdwxIhTBinPPckHj0WZ3G/hoFOoUmoKL7/twIeSt+71oatziLlcdvotTKSK+smlxDP 17c0bR6LoYaXu+k/TQd0L4rc+dmJDT7MDFzc2w0A/DotEjraWjmSo3QDGt1GxPqx1BL11MVpaPep RMdRaTWkgegxac96HBhdBR2ssSCliMnGaeVq8dPmHqWVAyvB3nRwpaQxhbvRkepmV3oUo3kyoNw/ 8ci6WxTJZBUnlJ2zxSYUtIiF8QOx+YXru3PTANUKl8YSp4RI+7sfdMmFgsamRGtmNdSpmTga3BGG Ym3BHmASQNCPomm+LnSuEJgKGaMpMI8PfyLKDtdmMwJE+ng9rRZ0VdliFhmWxcr9AQBieCa2bap7 Izy2QXR+00G58rPotRxgpvtM0HIWVOB/ZzC2gxFm4pwvOEveDUEKk7/T+DBLkQpHYMdMfHG2il/4 TM1y/jSzVKWBbJ+bNMOJ2cH62kL481lj/OoRco2zx+KHmcwSj8DxDL8LMfnRfZV+uXAOPRBzCDJ9 Za2S+VyJ/cDClrvhRBkxKw3a57+9LrI9KvUZViusv9ZCdHzexdTrLg4g9U22DKafHbIZ3FIg7f72 kpZmSj+bR3qABwblqirTbLKRYoshXmQQ+YonTns6GJRbacI6rYd/1FNWZWzKG/PBlJsNy5EL2Gek OjQ3wCPRoLKXgSbBMv4ZhRUT1DKFz17trf69JUSXSzYzh1p4SiE6UmERn/nBcmAa/eZ5aSRJw9I6 Xll5LI+7z/uglBJt+/ThySbGRkUZcdceDgLkhwhnFEk1lep/3CD3MBSCqVbY6TOU/Gml2/DQTd/4 CfnlQpUvN8OxJtm5dzsvico+ltQLxNWCvA72/ZKt0/sVKhvqDnTCOboRseUfidZLf7pQfOnuz/eH KF3e0ZQlAByiP0QAywc0vIZSSglUs14bfyq2ED/EGwcrZM6pdS3OTeFpSLXXnL5m69xkU9leA9FU eq+ZoVDZdO+l4il5ylT0hfmTsb4w3V4c8p9yfZB8PwuCqw2vNfC+1ODv5wQU1AKT2MyaAnTm378M Emr1V9QsP7HsoCHNF/3J9h2cl4uUgYLcrkhPp6JVndaUrOUBTkZ3KgEcjaN8VCxBb2iYRspWpWPN Gej3NxmVfNrAK3p0+GtDgI0oqejKzcQdNEPcgIeM0smu2+gtAbxVT7zDGOX+WpY6T4CQS8sf+x+N bQH4u8E7v10CWPo2slIWlLGGzhfJbLkWpqrk5tLv28S3KzgRjAAErDCobYCSO6uJ51Py6p2nM1HK HYGFTi+NCgSYl8RrrOuudcmH/Zb/Z6lYx6H8qimYSb5TGaryHOQ5VdewJ2eao42H7pdagteiANMY ic/AvPxtITKt2WxViJH34TTE4FdTV7DkSZzEtCPSWJn0C9Ol1/5EgRUu5UFtXfQ4tr3r02d3QLwt vjep59xd2RmTlUvOX0ZaOaqGsBktCS17BhctB3UmFD3u5gR2tt996tocBzjKpMCDETZi1UHQsMOT kBaCrjyf+y2ymwxUIfs51WngY9OEF8E0NKABv9UqRKL9XMpcnM58WMpapduynGzhOgs/TjVxrg/U 1X6EdNil8mk3O+ER6ICeEMS6WOnxdo3IbYGm5szifFB9JbfYmu70dWBGJVOkXbWPk5c46pVRVb8Q IO1x47uyV7jAZt0APRrtgRdyxafNRboDn3PAw4qGqTL7RWrtSaDpMxkpgxb8yQLdFDa90BUL4C0I AzJq2woA+IJ8qURm+yMmQ19UDJ67gRfnkHH1UTonlb2ZxdbBv4Q9sxUsaCg6+yeXuMvUvQY0RcrE qIiCJSozWipVcuUjLvskCwlpUvDpgVleqVyUZPFL0S7lhYpbY8zt4x2CC27D9n4DdgybWcCAzq/d jrab68axLSN8iknxEwSvYy4DK7/UEu7I5BhyIqZyzT+ab5xG40ys684VJqn3gJ9Op4HkNTFy7ckZ Y4OtjA6mugBwpmdNkPNsYOapz4Q2JoBcrb3SaOpVVCKG4tJTgUBc4C2BT0x5cIDTTaQrjeVuHq5c g1ws/w91rFv/Ted81IvgFVoiepY7gRs2XoCavhtg1MZyU9tPaTMDAH6lQDvt8fbAZ0YDVMff7kpY erFm8Xqu07NxIbNCqA+6gGNJB1vj/AONW/7gjB3bGWJGbpaTskL6f1Foz8oynSdm+WsgIXDNBrTm XS4YP8QT3OKzO/wFGYhAyTpXB+F1tjj5oF/bEPn8pNo5BT48Po7yMfhCvyQKByfKFoB8pWp8RjRH OpW1n9kkIOZ7kdHMJ+YQyTvS5D89GJpiGoRp4zuR9BTE2b3Q9QNkbpW6tQ8xs/xq3PqDIyQ1NRXB /pTSmG/IyqMHhNSez/QmIiq043CocT63nx2svGOa9MIsQxquVYl4WrwHr4l68sF2RLVnxfDugSSa UXiMXQnxeqgpMUrMOM9+bz3bjQL7Bkb7VgPe51RIzZuYj6jOmCz6UWMKjYek6NH4LOKtBiFtiL9Q dqkPjj8wnYvNvR7ijGrOuZpMqDQiEpfdkS2BshfvW/YmrTNJdA8DwygJxAwQ2LYW5uuQBN1nhbM5 4+qLD1TsjOal7TKgyFacEl12PDJbL7PAp8n6d+w8FlglhkKIspdenhoqlV8v2T9L8FVQT3KeuzPk aIckAe90Q3RCN32MghacwBL50XKOc4gFCOjLVVcg/JNnzVyErMj6GrWeod4uoBPmRzS4Ml6dQdxP 1IMUZlPYXzTCxN61GDjzRjylr0USzIWVmWIgFthsSuqTxT83HhW2r8bYJKdRqU4Dj29jjHyb9i02 5usL1T70+jRMNUbRWW7SU1ptGKiEP5e00emVz/pma7BxkDhhOwN0qIZu8OJkIUhsoAe0TvuCgdmg puv092b8ohYEzW64VuJvw2L6hVk72OSCC6IbCKRTqxAD/Y0ffzY9zuJjwj/k3fImwoKByh4nE/Ld lxUks7YnC51sIKBKZ5oT6PnZx1DzdRI4D0YZPb7udc7Uul8CXlHDIkyVcVIqNVIhU+e5TeVZV5Et litS8egEVSGBQ47vqvsd08ylWKPBksgogNEdxj3usx5F6g9kPExosUVCM8OoTHdjr+dFQ2fcnHhL TR+9i6/cWrcVwzWwWwYKJBJRA5cr/vmYbZ4D8Y+vyg8zLNMm8juMVn+1O7YeC0jVjl4SP6OXRnoG SCS1Tuh5bUCZkOhNmll6ai16UlXLnrinLmrz3bTJGlX1kuwyhTfchkVWtkTod6jlQ5VLYMx79KK3 i8eXw6VSwbcH7aLb84txCT5LA0eNI2UOSEBVlhOyC15KEYzgbWQFkjeEGwwgr9hjdH8QheRLMg34 ZTGkwXw0iPZoCQ/8sm0wcJmaqsZu0fo35k88Rl6EeBF8ZhjbLoyLDSp6k18WK0jDY26sz7Rh5FnX Va4FnrvZmgr7MiByTuQcSi//XonkpEfLPEUa6S0zISFMTJzSe9RiSzXPDN3QB3+n5A5+03klV9Jn HeUbBlcxP5aUc7Nd+1AOExPfpJIcRscza/yEzMuqVle+DmisNvon38sORyPfMU4ocwC+cqEet7sh etBiLxAhYiBYaOawG8pIc45NHUze86w8Wub359Zw+LnAfoBT9Ux1P7VDukh2OXeb0CT3b1/EtqhK 4G74d9XBxC8YSjhjFR1FHv0kCtU5btLbgkZA+yUK9+nrVkdnldcq0rjVwp1rPxrQ7b1g6qKBFl/i eTdQGuM8onIzvW1t64lW0jmo1HZR6b+t/w0Ckasq54rrn5vHQfi46D29v2srSm9mcV0i7lW5YSHd DHUEX9qAgNpYmQ7xQO+iDF6YfK/qnjDHEklj6psWDvbkWlrC95sKIdYBto3fm5sBNB3CnrqDSG2o RhfkprkAohyYHKwi8r/u6yxDoIi2VerJdYFoESEmL8tYxWwcQAnf38x2tzQRP6HLnCt+C4S+j0LC F0TIh9bHew6ZEKZQrVnQQgVG5pSHdxj/3eZFTh5E8sR3JSo2NNxDunODy2IV3f+/ow8lv+fnuHeD mXSRoyfUVyY9wj/xKrhPG7SzfAxvZtIZ8A+4L0yZRBUukmGnrPto3Kc8nPrRaC9itT1dP7q9QyRu sRFShX2hytthA7vF0IOaA69KukU0cIRG3EtmNB/WGM19gymFsaPkLI1Riv79ePjsbrseE6WmSAwl FvP4bNCxvzqCxK0ZUseiCaE6Oe2UQ4zDfvPkyxrGWqXIBqLWD5CxYlG3K4lQyClfPJKOQLm2elfx jdxIqGhFoUWag4izfG19B8GNnVmSH0tMIWDfY2d5jDDLWjoQJQsslreIt8aoFZ3cHNvz+NxFJPFA llRdjyENsk4AGRkI3q0VPQNcnJv0dN1WDqyix0MyCz/aB8FPoKPPMnT2OI/FLJC+6SaY/jxDBhG/ wbRIxQ2lho8Se1g0J8EUwOL7Q2UpSHUHKMTVrWAeBBh4yjkFBVXOgBLpqOAvtRGjVGwA1lVbrAD+ pUQfFwhKmJ/4mBVggKS45BTr1snvOPpjcW9FeqbXz0LZcOfkPUnPeTQ1Me+I81xmAxO9B+krz4uC 4l4uUo4eJpC51t/NKeMOKbB53FEbNJuwR3YEKOjtzWjEnFF80ujw4Ny/wN+8dsHNxPMlCM7pWoY7 5ffe1R11JARK6EnAlMZVIUy2DSE6uhSIYmRuaipGs2SzZ6C8RVY11x7JQahL4dqdFPXsXzF9fI4c kRRoGEG9/jbh1Y3D8fIT94DBpqFSj5EV7bQgrt6swSbmrRCdvHhlnVxACgJULEm25P2Sb5QWF33V aitp5aifum93dtLfuLH9iNX0kIQppegC4u3Q1K/gSM7r02lmc2oilMY5qLeFsx677HFtnx/wp9np 58UckvSdmiqLYM+ct3K1/vNiGa7xUet5kjFHEoACWpl91gLvo8CmheX0hKHRF9fZs3k3jyzHBhUG D7DGLNeORZ4NZ77EVCnJgOnzfJRNEfrJp+djPX1fA6LwzEr/Ty9DYOi/7OrWBoQpSpSXOj6pz2fy w/0waeTL6I7KoYTuwAxtVe1N9RzVFEXIdVJoBdmMoghKYm1aAUqGsBMfKrQtFqa88XZFlti37Qi4 7qwjT2zV8nRfHJZzeOuasq/Dk8nUel/Sl74Q+GXvDt1lfv7tYF8UcND31G4U/4WcoZFwEgydu5Wl cShdXye49LRb+hXGA8kvU/qtdmDcI0XhlV3eMPpZCFpB4H0qHUkRnn99+EWllB8qEhf7LuqyysXC 54J66Vw3A1U+sTKZsz7S4ED1/H0qmPn0Lry0oaWVU7UVzIMiRnS/GQ4gEZ1Z5f71V0PpnWMyPSGS N8FY6MiWjXFOUoqf0InkRfcPOQSZc1rSVw4f3VMkdZjQq+5lng6P/TWfkA4nMwzimwzwkrTKtIuP 5MUzsR+HlJDQdJNO8YqLPclBCz5rmhJ1tPv/EdgHaHvpJLHCJdO07tbLnIRaPi6wIGKqZZgkgaIf 9bUIN48WjCdUjzRDx2S4xtFFnesmArCXI5wlQoK6OgAXTHdA+jkMmbNLdWJKSvFbkFOWas/3AgHK hKZ98Ce1fRDWEn4WrCx2NBVUhpNKXdtjqAnttdmQ4aWyfnnnSEeNj600nA41tC7VFEnV11ZMXM5C sehV9AhIGjAzqfX1el4gCiS9dlUq5WueEbKiY3Te6YPvHCso1Ms5qKStss9FfYIdjnVcTgPYxDOm DNODGILofXT8bIHht/gDkQRr34Y7oZiVHi3jAw42vTrOmeWP9c5Gf5y2Mk+6ndcPRX8jY0DC+pOM z1gdSaVTSeVnZT7z9DUKUn6g2+zE6vilFPWR7gV54a6LnCgO01Kxs2CC7BkuqFDzb0Q6cc5jkhNk f6rtV2n1dIHwcxFDW6Kp86StroT7cIuvNoYrOr7O2L9N/CpDUSsDEbrJc0Hew9YyCfsGVZplnPo5 SyAbGiRsv/AdXiodtfeQyaaCnage0YYoAYg3SnMjfuD3BKz7URW3HBKn9lB8Iy72Vt2xirsx2qkE 1oDJS+bd7+5UH1gqccvzuU71R/H8XVpAhQvdBxWHeFXVDbvr2+C1g83TfUq8fVCaaxbzqjMla/2W YS33xR+R0THyt4bWtoW6E+7LQgiGV6LsOd2XgQyK9YVylK7KpU+5VYFo/1IwH4RmmRG6D8BAumnZ 0dWovbiOup9EIXqWaenKDfVldtKeltrdqRAntI8B/Qr7DgLPJS7a0/VX2cKyh26GwFkU4afH8W6v 7Sj2dneh2MGVRNRfW9PbDyXotSyNYbxxo980fO2zX2qlYe0qQN03xSFR1mK5emlZ2ahPU3hpjE7f OVhcpzcd9LUYJ+l1o/RjDrzpOnvtCs5Lsejfe/U53ax8AW6JaLCKeXTuscdUKQ7oO7zazTf58isp Hw4oNgOu9TVNX30CurTNloyBx0sN9G7tuerMf/+/aRhDxdpXMFvrBodNFZr+SvnOYPsmXqwOYrSU UyMZSkAzO/KGYD1tr3YsurOv/8/b8sYjV9iU5nMqkF5vwNaU70xZ9gMk4WIp1EC+CZSNIirg3bkh IOZMfgAg56Y0w1d2gWiAErJKFUknKxS+VkTTlAzAcNzAyXmvBCv0RR6YO8f8S/EoSCX1xpRXGGOy Ttsn3YUQRKORHvn6is8mBjDjiB86ha+Vr03B6ut19CXdYZBXamFLYIPeOiCvTeItnvdRLb+49Qgf kebWeZS+vAW4MCFB4XLO9mmcmI18ZCLpgyGI5pUdGu/Lif+9ra58NOX+XZmZ2HOQGV+C5RlSt9M3 ab+hfASByBDbh58hoSc0fsqZtEEn6XpTi1g0cSfREqYkMzpphA2OSQ+y5Ug68DH2eFxS8DIqTDf8 ArQ7PMojuugMqfwmxuAzpRU2x7cNwyWFsBmECXEyVIHjC5IMHUCrVM0pOPVAg84+9CdTXRZBeKes UviiI+q3gnM+6kvxbUiLNDpYM0JgdW7R3F5xc2VrWBUtLXzHhTzSscJ0qEfYTU9MVnJSLfEcn2eB KqxSfpCsYKdX4SfR3RWXxzi1jxbK1bPnnJstlb6KZjVCOnuJj3LiPqlxfCueBnFVTsuLX0kyzwR1 ia1mYqAzi9HWHPRhyTf8WzUwHoY/uA5c6dZd1/iXWSm3juiYAQAljzcVXbmQkJJpVrCdBz08KhZH FTv5oqinYd+iDP4XkV6eFu7Tg1v0EmKmzmdyYZjME7VeFtxr9irtY14SYvawu6OM5psofNIUQ/9N np6ABfWzCUm8b6pch6QR9PrlXv96wvmhYWVOWsAIBVe+N09+WRLzksE1qz+Zq1UrOzowytp8x+TS Y1Jc/zGc2mM0rSRdSQfke6fqVESSuClGlxM2YgZLidHOnVTst5a9J4Fz1MLQIt0D8QQ/TANqJL3h my28/CBfXqu/idMu/rqlxk6Mf6SfSDcm1JEemXjxhR1V2Rb563L3fRWspQpIjgmxoYGEH7zBP/DS gPb1y1iD5yKO1frvvi8j9sWdr3I1yQCwob3o38R+7exmJncEIqSfJWMun23dCGYqPtXLC2V0s38U 8sBDIGQNzMa9zwQGpY5NGtUfKGdYU1EpZd8eDxWhL43kltbBoLWEVQWZwy3eepNbPkZCFtHwueAg x7ha3EAOLGQ9kAdUhbO1lSkdmogYFm6ZnhWcAwWADghdHFPkejwVXurEo9LA3Z9jrB9VfVey4GVX osdtDPFEQ5ZYqhD2Ylsb2HfeKuWepKRen+axknmSkztV8PZVKzT+hf/wz+3o70p1l8d4p30qSEvc hzBgfPj/jLl1J8omLrCHDeC3Rg+lX1922VXItBZs/Tvah3NpShctT90OEl3+653aSPrfpGqRkjMw zpxc0m6KytlhzV6OERiZMdT1LhcDKAzDn+TyMVBCXtIQyxMN6bD0nCSryNTHirnfpA5z2D7nLQZI ysA51mKAYLSFiLgGF22AZl17MwI8TIfdV6aFVIMyftaiJ0n8S4pgIFtsAQR+/X9VGlZZMlFgBkVx 4oS5f6I+jgA/xVkgEOy3kuOaDTJ9YO0MhizVkuCl+zelliOJ2C/qY8z4MHcPrzdqnUmXOUovsaXv 59bZzsm2VMR/z6Pl50bonB8oDQBszmn5pqS802GA4EwvZN5ErSLnN0xPuvFmjkRR5i4XFPMn5DjM GMXHYf+5gor0pNQuEj/2FhADO3Bm22y/osq269R6W8uxhhO55gTnDQvfS+y1HuHDlA2tG0KiZwde c8WNRCl+d7/vtcstmtYJSr4Aqu0BSE8cCjMXZdATSo8ZW79c+vsqiNg62VeAoQnX4gHFxRR9lFfL sFqWqnPg8dpav26TUg/nmFMEl7ndcu77z/OFgk+WKCUv3GEeqkGyiTnmlMo/nmT+asMZJutGKO5t 1UhG4scqwrrMAzGv7/Hw1Frp2DluhniVfmjIDE1KTF0BXkoIHVy8rA59gGB0x4aCHIHhNXlSPLz7 uNDoXkDlb1pPGrECwLrU0+UBulgNca7VVgVzp/jVIH6uvxYehX3O8SpMZ1aqUHgVKDwqNnO06edK MAQLJwSBU6LAxRdZMwAbeH6Sm8i9HASDTpiX/ju37STaXtE14m72SBP2oHwNYVd8KHQMtuemwaw/ 2weUqGB0wZjevQP3CI0tpndi3UN3FBIvkxrYYV1Dn6HKcw1lMvpBI03AY7RFpJleBE+Y/MNy2mWX BV/ZSdLw1wYZEAlDdTSjFTdMtN7WjtLvdKPfOxYhDf90zvYb3F2SrJ0evtoEjti3bw3SgpftO2xv fkoRoNY6rDaa/nKms8sb8iuN/cp0YsMT7qX2B49MrZGfg74miQ+MCHx/RL0Xfo2I36spkbyk/CyX H7/MESOEBG+F0xnpGbjryPJFQA0aICBTk5MHVc0vWeLf8dGSiNIDQqtd8lgQw1EaHXfEl+pyGCV3 iNSP46nM6jDJQHfX4Wk1fBlzH1HkFMVd3xd0dxp/+Syfv5fnmR7wgnPtIuWUC7cxhnCqYWTxG020 /B3gzVkMGm2zxlf4xfuO6IxpQvOr+9e510PAU4sUTaUBqeiO/qqa4HaS3L7bLkQJplVv4cT+D/wu r7ZyWMNDRICnaoZICCgW8mha7wdPNwFQMQDcvpCgIbdUTQJbz9Bc/5tOHJMaNKUSgS1KraRTDPEc 33SCLeQuQogwAQbIruXDjsGOP8c9aMc9KbPN+AwD4dR8H8iXwu1FnsjhvHfjereGO+MLx1x9/PfA IRMvBR9jQBOCwtXxTgYuKwSpHcmtGasiNr+foc/Mj+fYEpB1yAp0OkMxuGSPMGtPLcwVegrFwvem viQ7hyaW1ov2zHuGBd9dW7hH/LPmBd4iozbznkNkbms9vJBbRrbWuT5LwvUUwhaXHPcQNR4agAGy QJbKGyqQrrboWw6P60LgFFAaN9+tGDvfqhGfbBPN9ZIjLr3dEeZ7F6Aamxxw8jrKUDAUVPjnHE6t VXuajHE1Plhccfe30bOpSYFK9AtvAuZNDbRUMhHK2XHXCaOXsnKUf0BJVz721CwXVd5EaYHn717f 1eWaQWgb4kCQBwot0Lo1jjX4QXLwVuVC/IUmxQZYA5B9TgM7+oIOqdkGERrevm8II6KzqZt3XCPH rUae+GbQInXpjndyT/F4Q/ReW8bhCAyDAiuLHCFqFVHB2wAVd+EZT7L6dqkmM7zPGGMSzQKfYd2L Mk+vQw0MUZKMjK+W0myF9ALqV4du3skQtO3+LGfa1wo6QP2z9B6mhzmIo8CXRZQRo+QNsvmRg6hc 5pFlo7/1BmtuQZGVfoWrhLKjg1RuI4rSvYqKe7Clk+ndnhWg2V1o6dUwJqxlnHx1w5KFWeFAbht9 McfBMzUG1zzdfbrIaMrIfjsiN9VbQ0aNUpbAA9k3Qd0nzCj5GKCM/2Ju1Qun4cgyBNNqXh3j6U/k t23SQxFZUTOVHXuXfG67cvgs6cxzT/LzlJCLo6aRTmwPZbj8qjbfCwwrePSolCt6+s41TmhmM/Rr d0axwpSbt1prwAUABQfWfz/2KI6PVyzFJzplb/r5WjruTFJ/8Vdt55QEU3K6sW2WWVjVYPYmdOO+ L27fRq0QDpxCxjJKc+omUx7vU+4jlexMQFqic3if4JqnNQLrYYddESgPfwellWeb6BmMvWYOXXpJ JE8XcBwtjjMVZwpdy1KEyqO5A/w6r7REb6sWq1pp7ZZ4jKLKcEFTBy9MaAG4+2rY1UpD/oGxetqr MwlhsFC/T9qGNxJcPwiACSgLwJ169ZYhxEzradzBzbPeZrX/NnZv9HcuFR7NOW821i+P/DABwpys StZ03dNNEcXfQ+EODKijekJhAMAaDEcLxHmKiIbjSUS+RzMzmoT1sQnYQoOwlKqiXJkdahk4xTzh 2I1EPzJbC86xUcVMwUYKoTjhyRVMk/ipARA/W8/3QToeoKP7S65wD3Usx3PLBq9X6v8Rz3evfZHd 9GxO1mSDx9G0u5jw0tTRb2jFCjb611B1VyM6Tzm+SXuRKsmtHQWm6wc4qiJYR23F5jyP3b4NwY9e DZOOuwuOsr9VHTy5oahYcCWMH8r0Fjt+EOG61/tRTN5ielUho/InzpMLwkGFCezMN2TvM0TDd+nh qiK/NLbSxQDSGtW4eQ/YtJQMq31Ope+h809ORxgtOy4vhQlXSsl9S4ExAwNaEPW9vZ9a6hXproZ+ yh9SoCxRfDoztugLT4z1A803fDrk3se7jKFMIWP9gOD4iCYi464AbnlVYMQbQAZk1ewMJK7g0BL6 EPpdYfcQa9tL3Grnn+wnIAZbz+W+K9Y7FIIz+YlMoYHvMlw1myihzAOJQe3jxkONLQ7dH9b407do KHgQH17Lr3e3Ug/BXwwqqlLQNQXazMX9o9w54PShOgd2jOto+6GpI0Y742PNyc19FIdHeZy5pcQn XJYmYoJ/hYkelLdHG6xwDGHnwohiGVghVzfrzxqf1PWBNeRFcaacfZSaiE7miNDXI3QJ/7vQeo8y hKcPw4wuix+Il2brhIJ6jmPoPOKRzJ7+GBnClWX6m8ISp4DbUmqv2f3QltBYv9dAYGrvZd31qHqU mQ263zhJybCwcf4iDfARE8N/de00BWG9edJQv58PcqXlQOWPK0QYAG4z8pJm70aeQLeOW1+U36Af irUuFKyEE/kfncSQZNoslp5WjMW+KdDVl1684h3adZlU9sYVvWkZcmBtKZuNqm5bHuJC1lGVgLyI 1CdmMey5d5J33bPTrMyY4cLrhtbHHqVeXQk40ljmPgVLb3N/kfxTfeSfjNCK+BViD5wqIllVT0XV 6u942cTOaMbJH4Umt9zCgrYex1La7oBLisO1Wy5HMPz0l+lFUurrcATGNC2I8JrNmqHH4UwhU3yE wbv8JLV4PbXiRPXr79fs+qrWlfHElZotJyPpDJGBCUntW7eNWwBQaUH6x0SQlL73WRqAigB99gCX hv/eluO9MrxF8mvGl3i6gUV1rpOZBqD1YMI0nRW9eQtJz8ag/N7hniqLR+cXnw5BJAJ9o9GnMupK O9FblTo/8LQhiOMALUKkGZtevmP/hNCissHXOObO6AhnZAT3kiu8VNtS4DcE1+fvZXzx1mMoxBXb eRzG1i6Mgm87Inn9iHYeoqdfusCBjCTTIibLhBfrkLNnpA3d7MxtRUV4RE+SSIbvHfBEifp/nZSM qRhKKJ5WuU1lmkHvV/XZ/b4vS4KI8pqv/KkyeUITsoQC0rrDiid8UfiRvj46HL2+qwELSoQhtNTS CiW1pXsSdmtPGqP8wznORnsw6UnMKYd3ud4aXibrpLQ8/GVibVptL0YtfmR7QnsN5wYKlBQs0RjE SzuTOWnTijcg+khudptyp4KBn7lwntoKTzuvCtX+7uzEXqhi3dOBGga+C2AX9HYCU4Oc8T0L3R7z 2+dV8r3fqBw4o2JwsV6G363a3rZMr9JuKmHzil72EkhXTfu25d/T0Sj4jbg/G2GnPAVQXV7nJvr5 piRK4CyGjLYptlBGOpTnUQ6k2X12CE6jtbs+ZvwpjNgtIuclk2EZjMiHS6Se `protect end_protected
-------------------------------------------------------------------------------- -- Company: -- Engineer: Justin Nguyen, Quinn Mikelson -- -- Create Date: 18:59:22 01/11/2012 -- Design Name: -- Module Name: F:/repos/cpe-233-test-benches/lab-4-arc/RegisterFileTestBench.vhd -- Project Name: RAT CPU -- Target Device: xc7a50tcsg324-1 -- Tool versions: -- Description: Testbench for Scratch RAM component of RAT CPU. -- -- VHDL Test Bench Created by ISE for module: RegisterFile -- -- Dependencies: ScratchRAM -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- Runtime must be set to 700ns for proper execution -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ScratchRamTestBench IS END ScratchRamTestBench; ARCHITECTURE behavior OF ScratchRamTestBench IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ScratchRam PORT( DATA_IN : IN std_logic_vector(9 downto 0); DATA_OUT : OUT std_logic_vector(9 downto 0); ADDR : IN std_logic_vector(7 downto 0); WE : IN std_logic; CLK : IN std_logic ); END COMPONENT; -- test signals signal data_x_exp : std_logic_vector(9 downto 0) := "0000000000"; signal data_y_exp : std_logic_vector(9 downto 0) := "0000000000"; --Inputs signal D_IN_tb : std_logic_vector(9 downto 0) := (others => '0'); signal ADRX_tb : std_logic_vector(7 downto 0) := (others => '0'); signal ADRY_tb : std_logic_vector(7 downto 0) := (others => '0'); signal DX_OE_tb : std_logic := '0'; signal WE_tb : std_logic := '0'; signal CLK_tb : std_logic := '0'; --Outputs signal DX_OUT_tb : std_logic_vector(9 downto 0); signal DY_OUT_tb : std_logic_vector(9 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ScratchRam PORT MAP ( DATA_IN => D_IN_tb, DATA_OUT => DX_OUT_tb, ADDR => ADRX_tb, WE => WE_tb, CLK => CLK_tb ); -- Clock process definitions CLK_process :process begin CLK_tb <= '0'; wait for CLK_period/2; CLK_tb <= '1'; wait for CLK_period/2; end process; -- verify memory VERIFY_process :process variable I : integer range 0 to 32 := 0; begin --Write to RegisterFile ADRX_tb<="00000000"; D_IN_tb<="0000000000"; wait for 4ns; WE_tb <= '1'; --togle high before rising edge wait for 1ns; while( I < 32) loop wait for 1ns; WE_tb <= '0'; --drop after rising edge wait for 1ns; ADRX_tb <= ADRX_tb + 1; --prepare next address and data wait for 1ns; D_IN_tb <= D_IN_tb +2; wait for 6ns; I := I+1; if(I <32) then WE_tb <= '1'; end if; wait for 1ns; end loop; WE_tb <= '0'; DX_OE_tb <= '1'; wait for 75ns; --no reason, just like to start at a nice number such as 400ns... -- Read from RegisterFile I := 0; -- set initial values data_x_exp <= "0000000000"; data_y_exp <= "0000000010"; ADRX_tb <= "00000000"; ADRY_tb <= "00000001"; -- loop through all memory locations. NOTE: can read two at once while ( I < 16) loop WE_tb <= '0'; wait for 1ns; if not(DX_OUT_tb = data_x_exp) then report "error with data X at t= " & time'image(now) severity failure; else report "data X at t= " & time'image(now) & " is good" severity note; end if; if not(DY_OUT_tb = data_y_exp) then report "error with data Y at t= " & time'image(now) severity failure; else report "data Y at t= " & time'image(now) & " is good" severity note; end if; wait for 1ns; --get new values data_x_exp <= data_x_exp + 4 ; --add 4 because each location increases by 2, and you're increasing by 2 memory locations data_y_exp <= data_y_exp + 4 ; ADRX_tb <= ADRX_tb + 2; ADRY_tb <= ADRY_tb + 2; wait for 8ns; I := I + 1; end loop; wait for 40ns; -- again, just lining up for a nice start time of 600ns. end process; END;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_top.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.fg_tb_pkg.ALL; ENTITY fg_tb_top IS END ENTITY; ARCHITECTURE fg_tb_arch OF fg_tb_top IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL rd_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 48 ns; CONSTANT rd_clk_period_by_2 : TIME := 24 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 110 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; PROCESS BEGIN WAIT FOR 110 ns;-- Wait for global reset WHILE 1 = 1 LOOP rd_clk <= '0'; WAIT FOR rd_clk_period_by_2; rd_clk <= '1'; WAIT FOR rd_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 960 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from fg_tb_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(3) = '1') THEN assert false report "Almost Empty flag Mismatch/timeout" severity error; END IF; IF(status(4) = '1') THEN assert false report "Almost Full flag Mismatch/timeout" severity error; END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Simulation Complete" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 100 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of fg_tb_synth fg_tb_synth_inst:fg_tb_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 20 ) PORT MAP( WR_CLK => wr_clk, RD_CLK => rd_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Tue Jun 06 02:06:01 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_c_addsub_0_0/system_c_addsub_0_0_sim_netlist.vhdl -- Design : system_c_addsub_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- `protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner="Cadence Design Systems.", key_keyname="cds_rsa_key", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=64) `protect key_block aLmCh07kamflOuBaaM0+v7gF3ZQCN4uTPS49jGLZrm9CPd5dKgOoOsd31lVTa39JRx8k8u0RZFFV nw3upaAZ/Q== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-VERIF-SIM-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block Aw2ILhM4six9UWZ51f4Gy1qRmB5epLhkXLiUel7/FHhV7ItYiMTQtS+L83Mc+nltIzBz41zx1hg+ tXO5AqTS9y6LHQ1ArWATw/2MxHpqqoQIEm/MMEqmD/Abq3WrBTKsP7RX5Dxj9tAlh7xY+e7JDk+a sjJqfmxL57ISjzlKoaQ= `protect key_keyowner="Synopsys", key_keyname="SNPS-VCS-RSA-1", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=128) `protect key_block 0/1mLFI6+FTTZyqv+sYB352QRZ5wrgfyuO8Nkt+jQDUoTWGXOFvLM95e0B7u7pGyVXEuiRNaS/1C 9K5laxba09UTfWZfUB2hMm6rnfWn8YWcIaVNd02hszTUlzNTayWvVsa2FTdMCLRIiFK8u1RBHLVP UcX9x/96nygRGOLoIfE= `protect key_keyowner="Aldec", key_keyname="ALDEC15_001", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block TwF12B0FENmte69HLik7RgUzysvY8+HuB8EGjVY6poUa8iBKzPda2TQoHnlJTqGe1+FzZYUJuhGB clNU6Lk8Bkwu2Zvg4jDN7NVaR9NLeQFwNSRsk3xulCw6V567vcil0zGYyjbOnYYTHzq7HsSH/Bm0 xq4+RgccqurbpDb3jMTCnrT8FdAbNHrYUODBgqb2jIwhD7/OPqJ0SEE3ixLW7nbxBsRKHm9Kma6y 1hzP9cz3Q0EBN5F8DlAfJL6l/k/Fca4GPaKT+xXlCPkuH9S4142Gj3BthEYVN4LNQxtTwa2uY31y sgCqBN1SJYOxVE7rwfYIV4u6ydorl0NL4b8SIA== `protect key_keyowner="ATRENTA", key_keyname="ATR-SG-2015-RSA-3", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block Dd8zTWz32pUa1MkJJ89cKoEsw+888js7vmFz+G6UXbaPykBi5+zzNJq/ma/zLUevoDTleeS0vnkG +JIO9/zchHNr4qeCqpsII+gVnZw6HhC58DuHvYGN1Y7TBoUJRH+MKXVyK2yMhoejeeHyO4lNN+gN S1MgvOyCze3SyHsJ+SIEqHrYsnjDZhaMLEzXqyA22EZM4EzfOyYnjWMgZaxxaMYob5z9jzxpSYIp TO40Bd6Pm8WauMjFHordqiQfK5Pjpzcdo5mK2zhDq99Ps7biiaBYj2fl31Z9/oKSUs3+8cqx2lgf 9kXg8/E4aiAcL+A6bP9qcYXM24+6CVH25++cBg== `protect key_keyowner="Xilinx", key_keyname="xilinx_2016_05", key_method="rsa" `protect encoding = (enctype="BASE64", line_length=76, bytes=256) `protect key_block T1OzwxahBz+3DD3Rm3j/gjV9y0afSZCx2fO2ZTfZP7ske+MGwxAEj6thGu3zcWtqmD0GiLn0cY5l S56WD0icxE6wHjkL4oa4WujMcCwuovMioF6lkvnUzL1+y6Wu503nnT0iCczMIQadO2UcfK1jYsxZ JhFAghVKjOTgZLvrbU6a9oJbmXaFjPdoVXULO6RJRtupdQ2VPxYp8PFoTxnXXp50G4hGNkviUtRA KTHBgrmSN0y7lDM3qlsTT4fhiGuveo50Ihz8U+fAZ+maBUixwOJLCGV+jx11R/FO3KUwnuLfoOnp XIvpC/RD2PuDhUsd27pxO1aeLeOP2B+LsTouLw== `protect key_keyowner="Mentor Graphics Corporation", key_keyname="MGC-PREC-RSA", key_method="rsa" `protect encoding = (enctype="base64", line_length=76, bytes=256) `protect key_block bbXgfA3CbbwFHoyTOFuQ40pvldaVeGLkyov7Dvav57mm9l7WleJNcP9+J1mS+Mak6Om8KLbE+8F6 N03tdpzGu4GaiG+kqchgkU5x01PwF44jL3AeVxOIXrKFu8n9V0pxSaXfjuLpzZC+N2/4sODIPZVM UxD5sGbXG8gD8a7AZxjqS/pntue7Wr3V53hUe5vKplBTRJFgyORbxBTtvQOBhWm6ciR3aMnhrC6L RWUL38tJE7DivJevaTn/SC3N0aJ6x+9VH8OLJJk2ZN+GJcrHOORD83/bEN5rvpyMw6BIX5L+ll9J F+nbC73lhm5Mg1nMiPHObViXXcFsJ0FOkdsuQQ== `protect key_keyowner="Synplicity", key_keyname="SYNP05_001", key_method="rsa" `protect encoding = (enctype="base64", line_length=76, bytes=256) `protect key_block Mw1YQ1XauAg5KUCdz6ahPIfzfQIFCRLuuXL4cVyd3oqTApexHbc9qFLQZ8Y2DIh5nWoraGcq9sdy gIPi18vikGv8yLrdRSFcSSKYEJ0qENrOljKBSFX3bf7X7P1PT0uDpNqPcwvQb8y6xsJH8SzI36DG uX3cGJRQ9V9IqKHKaB1NkaxPh9bVDmU19MpmS4hhF6MaNr9WVMwCRqWXRoSqOr+yEMAlyWGA4dG6 uye5hAYFd3Wvryk2osAXbof3ab0tTTXztIanImBd0NmvYsKK1k9lpbPQMBNXwQSi825iHiBqtRY0 n9SAGYoYsMZXfnl2czl6yYpQwaZmkxRCbT2TiA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13552) `protect data_block FO9GdLkxzXGwyHWJxx+w2deHl3X50DkYM++BDn1ynQkADicIpjHvIeoxDGazyRJzUjhbJN0b3fWN 2dwNL53alYg9Pl18aEHA0Q79cV7pLN3aJN1l8b+ycwj1P6vOemz6uE4WZN9RZsHwwAjjE6Ltb+S7 kJCtbDnIg0qSr8i1GDzoQLD8rzyfnEoH+diCrp58FyuHyq7EznSqXjn7Seoqd20haDZK+0CWsauY TLJQhSAxz9+HVO8T/t/3JsCJXnBr0Me9a3Oopgosk/rwFjzG8Nie1wx9sv18Lk718B/JvIgY+4Zh 98lt2oQ20gJ5vW8CBio9pZwdtnKCPvNBCzyeECkNHKRvvrnC/84S3xJFqlWjGxlgXE/Pj4r2NNdQ OoIJhp9XfLwLEGLII21XgJv7jCBJ95yg5fvTQbV1zK289fIe0BHYQbAls2Xpi732bWf5Pb+crFqm UBvpwwVF8kCX0iPkcK5mCz8WWv2ykSrsMn7u9rUW7Go3tZ1inLxWfPZ2PAM2zNrwm8E5zLZAZ8f5 9VJ71PMrr0TzVFx/O8r//gLVPdV3xFUixHh19X2nMndXpTgm4Mq4fbh0NR24R5WGTMn4HpEgoFzX glDqw2yDoHsh+lXBYF4uQRVQhpUrz4xnGMWdiz5prdQlKcHnpmkXvSrSpUSY1Du22YuWjLUQribv Q6e7KEsIH751n+wtrvSnlCeXkwZvZAd77Cy3WQsyOkT4Ec0fovrP4ZFJRJjjL0aZYLLp1wwCgwJe +t3SUyde506nVv2tfXYGIVGfaxLzkS+ZbVfzSFxt+W0CVZFw8Z7OjMJOh0i4hBzACvNbRQ8uVZyt pDoN6rKZcs08diKED9GRjC2XkxZiDe71u/9qdJKnVgyDXw7LHEB+9Ao2cSkFfrVHxruoFFXbgxkH 7pVFZCXEO6mnL4Kl9Vbq5lR1C4qHU3XbftJ5OTaUMAVqGmde9nSEsZApyhGDgstOPF7N1PlWTt+X BT4F5FApqzlaljaAlIC+bqhme4deQP8pZ2799BsxWEoj+PvWpMkW5RdAfIO8a0Z+UWoIxXTsBI0q c5DnA9pfAgeJS3BcnwJa/WstI+CczoRPpX1bzlC2JftlqFYePxwCHe7s9X6KzRvjNQGpGrQk+J7v Fi1oG/WpSa+DONqHjjMUbGkOvOdPqq9ZSG3l5rTPS8P2JbMKznXzPMH1Vve3fLVi0BoJe0ePnhPR 5a+GThNhar1AX7xxG+iRo3vTPfrHcSxD6yozWsT3/7cuZ1NkGvYeb/TwEZpL032bE8O38stkgqOY 0yOU1XHNSQ4U9IXdVg3N8BbzYxb6KVVY/T2UKB1S0iM4mSIpmoG3+2S/Frlnvw+QZMuUru+mqasz Ggicqd38rpO7E8pnXUKQDJBzyFcZMctvtpYKOmXZKauzAhGEKR5QL09UMCT1wYJA4XWQIHVK2//Y UNDb0BGgmo9ubUzDPNZkKpXpJhtkYIEeuRexS5+0x1vTPyVR1MbPqYq8g3IjNyQhME6qR0HqMAJl VNhe2cg++LDe1jQ1EjAutUqaSc/R8Bic393Ko0CC7OxeyrKl5nQQik3hOy3Y+Wj4ycD75a7Ng5QQ 8uBG0aJfeiVVm4QjMlINk4bVfoiXZQmgPetvwMtditAmMKIE1cdigYFQWaVkeHUR7O/m0dT2XIN3 Fhg6IipC6bdeiRuQE7DDQMKPRTFuOM0kHBYXL76RRJB2JQi5/WXxsPB659+XSo9fFZY4HoRR7IsR hbSBLFTDa9wjCNjTv2SIZ2wE4zCFyJwJz3Ae6nLpwk97ZpORpmsBemb0qnCdX3AnJG3wC3VDHOue XVCq42iQLYbYtusi/gUn6gosjPC/o23T2Ev7pNnFPyoll54N08lS5rKeaftbSvEhiLZkXmMe/zSu 9y7Wy+5hQ3sMEmAG5wwBVFtmlyuXJzzChasctQO55mJ0rW2QlCDhIA9bPIs3zEKCGXwXxNUIWtly CBcudjs8VCe/0G7b92giZaAGlb2TtaNhZL4k+6JplP2mSA/g/VLp+VPlhcdJmdx5FD3sudzTHPu/ nE0nrx68AlFreKVRdvx7ydGzm39i4yNbcrLLI1jSHrnnqdw0xT436jQDUamOmuOM4V5KTxA+bSqo dpjC20PcxCPkF0zl+jAsQoJ0A1BZt6qwlwBEhCAyHtiE3Q7ni8cmpFbLnforsKkIBLONutrxprre +ohZPdbcMoIDBO+EY+72y+cdJyLdtdpmuA79zDwj+f7Ntr/BsfW8Y9TPKbO85iAVw83NWjqegOhN Wc6GSDupX3sqnRXrY6PPCZRWXvbTLSfUims5vYkkUUEB9vFPqPRZVHD3SC3rgHrMnv0t+aFiBeIg cuaXLoqaHSvJOvF5aH6BIhIxShm8ANuh0cGIAv7smZYBczRGfyGUxAAaXhYKNF6iijO2nyWYJlEB tAKoEEMD+yXIMmB+aU2MW5u+3TANwBN7mY0IXyyxR34zNO/gDqo80HUXIabzN0g2qalAOZzzyT9r IUaBTtPTGwrMEAzB4QufAGaS7tFIQTYqY1HBjhrFAo0BkWqTrMRVyJy4sgCXsoSH3Y7LAtEu8aBj hU3k2/W1jxqZ3ybhP+zaD0jdjGzzZa3IQy6NhRxyp9lMNaSwXTev1iT0MChqoXBmap2JVnllnfTx qlmmsUT8ZZo4z/HhjXkkK1/eAjPxbrTFPK+oirCmYxMi8fQ6rTQaBDsBBjjUkPsOGdIMCrDbxJ4e Fa2IV+ivBBQFzfnbjahfqzt9tguBZ0V0qIieFqDpqIV6LepZlBb1nbcGMtyCDwKvb8NRLESgsA1T 1tEOZfGWR7Jpx2f10YVxsVzpnEQBt0LFlnxGwa+OVRtC1xe4a+oEs6ZxN+Tt+GblXTLqiAi9u32I Nr5jnrO9s80OXtQl2s0EO99N6gGOgb3HDGS4aOcpNh6z03UEcFU57lHg6OYzUXKqbTjjKD8H/BKB +UeH/Sn/c0DO+Wv6yNB2x8GyQ7DZ7dbr6hohemqlKDpUb1A/HXTO5xVvduLopkpDUOeWlkFuVYXg v4nZnJdmcbKk8ojv+ISQEk2Gfnqy1nwc1O4AsgwBQcmCLsH3dYkezNK4WsY+kFg2TDPNJ+nHYoW8 lLtENn5YM7SKHPSOiv2lz2HTWFm2DLAB9An0+H916NPmD7ACdr+TfO80WciY3je7Riz46derd8TX XjH0IbYMkEl1PjbcWDQGU74ZmoZyVPRoJr79JJTisPpC3xNLwZ8Hbl89M/P4WEAP6OS+p6iYt2+O piyLYnl3Iq2AA9Tgg68i1v0KYZga4EzVa/JlXdwRIEBBlIOLEHFpd2vbm9Zf1dHiZO2Hc6C61fLf 1u0BntjL+FKVqI7CEKsMTaKJh8uWKu1mEJWjCkhSfxiiAISgttoVk9hMNH/+JC3QhQSyLThJk1/9 jm70BDiN+WheJUtGFyx0/l5rE62ttGgLxboBD78KDUxJNvC6o+9xtXg/rLzQr4rKQuLM0Hz4CzS3 AvnJfiWIKgDiKytsOFfRIuju7RR8yyhdCX9XUWbo/Dic5ID9yeJFjj3acBzxu3dJOQ8zKAFRafUG BMol6rcePwhTHZr6JJd7d1U4t/0u90IDQcq8nIIm+dRM8cMGut3XesnbEYltC9qgA2ekKmkLTC8x 0ydsaZ/vqmFrLmR22L1eCvBqrhGJ3kYgIH+zs6ULhXdj2+nZaoysaJ8pz9xQWq2xLmbnGFeSq8OV XzfmAV32naE5H4TZE2RsZmvShXHiA0oWArUTvYZuynb+AnSTmUgfmmjtZ3YO/4rzlLBzzUZS9sLT C/3VPe8+myeFjF2OhxROjL/+6f6vIivj8+YmDuMm1PPLl5MbXxqu9yTK45cWNGq6KJG5FtGoeMk+ d7uYvGqiY5p9ewLyoEEk3MmDqgLxkDWx/AxaDPoRk/9Z5WmjDQgVuyLNObPj/iPiF1oQV+7KMURs W4zD0PQXRZyHrw9PA8YjNcng2dhS+a9p9dA9zlR907KCizd+kyk2BaqU4BrzacOWvf2tnjYa1Rle Gg10LKzGzzywAOoWlp49fsFgOyWiA5bo4JQ1/th7+csXHgebqHGJ7IfpdFPSPfXoZrYSp8USQo3P UMDNgXtowPv6o4ycB4L5usMApOQDAk/6NKJikVdD26ugHW0WyCnuSC8sdx8SWXFFcTsmLFZdvS7m BNKnftTQXuXhAPL832MvOoqaRZsi1L49tuJDlrmy6NBmIM7PogALwrgrs6rqR29LkfeA2cpwoOs+ qKrjUIkm3+fHS4KjkWoJfoQ8t0x8Y2PFZqA6i0I7WY+qDhpl5IKiUl3R07x2e2NSknVrbhfVxhTO kODLlY5Tc3yNt+Fdv8onCbbb8XQkF9QNSnjrgikn/TDOZLdVO24qlmZh0+UYZvQ1ZQ/1nRdFn5eG nKV/EpSoE8SH8DX3ZUy6ijIYJW0/FgZBdSNlJHw0jitFjOM7XlN16cQC2Dgtkzhy9jwmMYxkYHii f1aodNLuedNZcFqzxeF1D6nI6YLD5K+UOfawMk/++orXNAo1Jsjvx1TAGePHchMggzdrogoykX6E qpHROnVrcZHoIpAawudea7q0uRFndnnqKpfdVVLCUqVG1hhKefx9tSBskeGdL1utIdy55FYZR+JM WLHYo4ZZzqx+Ib7eOiBO9SrjRqPsMjQQ6qzMqDFFjP+wiGKopaOeqB1REDr9uCBSmKLgFZaQV5oJ 5ShvNzR9YU98jqu83enZVjyzr74eP3X8MG8VlawNVBstwK/Tr1YHscSOlf4AhzmzMsWemHlkn1bs 2Q53TheWp/zJYH4xyVIlGDSN9Kjg86yHyAyStWyG89i+5Q+90g1c4QJixu+lZ90hXILazag3w65U LOxS7UOFhrkARv/i2nIDdWKmxfX/A1Y733GQ5iT1wdT7/sDqNW5IfdUpZQGZLlFdLSSzWGr3L1p6 wdlDHAoUfo7cVDY/x3IhceeKPdFkHItNj9wpLz8vF+kcvaKGWjORSIGS99iBx2M+geofj4qWdWs7 KGje4o0Xtd6rfHIJ6zUPnOM0g7uGMxUjReeLMrSHacqX+VM8cOmTnxosviH2QcqoOOn7MfudPNGZ 8OYIJf9S4LnRGJfSQlUW3Yeu1DYWBy9T8/QTg7hoIlJZA7+bHEgUhyw5XYBXvy5WY8kQFI11EpOQ cZqUtpGr9eAvMZeIn+us9GIti8rOWQ+c16NUBZfsK5vN1Jl5VWTQAkZ4VOha2avGRqe70Fhp25DE KTMKHON6lDnLBkpMwMLWJsXHw1LMd00mrG6btxNf5PiUd/dzFvUxqJMJdwRTCcD0svQkCoL+I+QG 0/Ent6wl3osjpzJ/Fi1Nk8c1qKiqbpFmWlqojhnlQwemnIKL2me3RJDA34U97Lm++I9gnfrq6B1Z HkwkA3yiEdWJMSqt3TRY2eQFo3XGcfM2JEsJnUXSuVuimGmadDiR7b4b1N+q0TQ6HYgv5o8F+Erp vyC0Cjnv28FaPYLwVUMVRntiQriqVPyb+sTSj6LpKiZ2Ll0DmGCXTx1SwLbgXNUdh5TDNBYiULu/ JB8gqy7qnaSV+8ZqQf63ySYnyYdObKJ/H9Qd/+XYb918Qdod5Ae7Qut6o+P+Isz+2TL2YzZ2FG/n xBRBzGm7oBFvWGemapJbv2GAbfiwqzBdfbo13ltnCcLMlzOL9WUeW9NvRek7x7p/pANnJn6kU78k BcRL5tUjOajUUWcvNgEspoPjcjg/5FUwHWxyZ0wCL5q7jEzIc8EzZ68dtMYWb5+CETYh3JUCczMB 3OgWMIyvCZ2MLRf6fHhjkQjaPAgbZ+aMm0znoeHTlD17H6UiGUJT3ioO2ElwY5L+PR+XJQV2upMT EamEnvo+dtqm4+IW3xZgb31fYRZXujRGilEhf76N1FqYERYucjuBNmLmfjIYYStcT9FMzMW2IMXq 9aenA9D66G6znw/L5EZX/Sw3RRKWxdeddrD0YMNzfHEqGci/XDnqqsI7dCY07cBgHBZrOuhaC7F8 zjETlxDdJn01h0gK856jj0vZ6ZB+rpW5XOugLt54G3bHDvldYhFNSw0TDc/izJTN6PX0oBOLhidO ZWl59aG320Gdru6aUrOvEAGPlIcIcAq6kQj/wUApTcZnbESiNWpbVt5ryshREv4NxlR8qGinEk4i 7diixIb1l1aG7OwbXWoqY8rL7cJo6FqMM52Z8xexbvuZbxXCpxhF3tnVj5J4SRdEygjAIacRtAto WQcBPGjHQOndhZ+tL/MKko2geokIiQqaqkLycdn/5QkiY36i7MwpMBzQj9LbZM7Mc+yOhxXnxANS /cqxjOtWhkyGcYyReLz7vCvnp4ESYDoev9lA7G2ZEXEqwsftZgjzJaK6pH60gD1wpDe7OU4gSIEd INDAN4yDglrY9tWzyychpzjH1llG+CkbRfXCRz6BUF0llq6dsqxhYKK81f8+XJ+dbMeoIPqO1YM8 Gfwe9GGxOnFoOkr3UaeaUmZqdH/tLhH31LlDuJntr/oFGLGhnbB/oWhk4oAF6dpR2y7xWy9QHuYx 2c9trCWTVp4YaE8obqFBHcg3xwkw+syoli9ZbnwcWcQ0I/5wGIEzr+xXgnPQ49lNd3E/7H7GQEmo d6mJ3fR13M5lMuAcnBl4icQElcvSD1Dbb8z1hQpYYLIeEAcJQlxWtYrvwRk/ydp9Sl6ezIFtV3di KB4JEgKlBqM5vV1BE7m2IySW6ED7/FUfutTyUJqTBYKpiBYUs41idQh+akGbhuMYikrZEnMfcOjk kpwssLlsctu1HguUjuQG04rQbb/e+J2RTVZn22NO2ezHHDiCUOA8cHq0RI+qYWMVXZ9cyIrhSeAF 2VXR2/BRknxDQAEXlRn6A8gmWcSeeKYnBYomMGMHg/n3kQEfMfypfA9fXYlLynub3rkw/TTS03lx TEolDaDZGNPnhxgAQWNSdQwXAWgMHzuF+wZ6KS39LvYNPSg5jp0ySlHwAgXODbYIasz8wyYsOMAl 0XuRYlJIkhgA3KEwwu5syH8IDlwNkgul37Z2J1owFsOVylNWdIzRIpnboIJZL2/zRzGp7eX8KZKy Yqp7AW6S5CH8aqAgMzXSZ5j7wfMVxGceKJh4aYg1NptCaWgrIq5q8qIOsFCl9sUwdqz4cM7L2F3M VUOYgIagkHOSl6HtT21InweBhUjMupp6hLXrMzOwG8R5DJRsEErVxfqMOfV8RNWf5co4GOWIdKqR IIpR55VxI8/yf+26+nealfnfaqZT5BZA0tzgPi6Q+XjSzQ7yJcdPhNmikHa//VfsE/fJCm9LVv18 9kQOieLt5cl8B7WTTaE+eeDkPGCVGc0XHs0WUochlXyWU+YKOs+CoapXzOwYPR3acfYOpV2jg0db 7Jp0snQdkb2xlhHCwQ1kqkOPYt0k99i3Fqucp5k6GyLPdEDQww0yDv77c7GytKe4UxfSQB67JcCq pGXgifaGpygAZAxFsmYlU3ZZTWzjSce9Vs64Sabg6KCVWKWeL/iWysMHfjKNTTJ8skFFJb6q6l8P OZDDADqDVC/QE0gCGqBxvKNzLQewE7YSYF+n0Wdtd8K8A/JWDgWaD0PymHNtuEwhM617JH3LkyjM dK7UIRkipksZFUPv1qadty2/8kCMOeilAF/qyX+c2DuplIJZuWxOXqh+6KiJQiB2Fmy7ZwtZ5E8S 5SN8T3LHhr20LG5iHGkreConhTDQWIAzPyzqyUsq3rP9Zgqt0xAPyml2wRQdBM7IcaAxR4iQB9UM pf+iSMICyVVrUyWoV7Sy9ZGp6mWUyefP42pbgnQUHriF6AFkuoUWqRn6ZUNla/IROwJ9AHdxClYB sdPhQ13eZBa4iwk6VKFKcbUQnP+Knf1+TBajGj6qCtAhod/Wn5sRlt19KGAUYdfJZWnzeYUCg+Ej wv6YHt9Ay14CqX0Z5ac0ojq+S7uunmvMwu4mqb3S2xG7ZZm12sAci5hOaGvZFH3LVo7XZdkuM/jr N6JHGNwucskciV2/vDWdORyKNk1iYTn58HVhGItvZySBGk0KkbFyorQ4UYAVyUVQP4hz28uMsuuB IBixMb/pG47MYyHym0CIm3NQAtEuxIr85I210kTxZzE9av++Mlu3NJFC/+dsngzk4zb1ka33Nvei ktuHs7jX9TQIawTEITc9YuYxYRisYWIybxwia7SxBmSeCrRkloByq3rpWlk/yZ4k1/E7wMq86Sq9 BIVwPlUaeyw1kc5HWGyOVfLcDLjat9XDTsmySXwdrmgS3OyOmsQtDt9TxV6WeJOo0gWcub3T5BP5 nECojq4S4zuv8IolOu7sYMWlD+zvQ477YXBSsEt9nDlvi8DHHHa8DDhM+fJhgPIc0xYNli8Q15nw vu5MJzMlX1dhQD+lEBK3eGSftUHpnz6YMI9OTG35KZfhwjHgFB/A4O2na5a9JzWXF/c5Mu27vyrZ n99UKYBECsPH9QV/Sg6tEJUuEh+F1w70YnHrqENoWxCziKy+iUxzw15wsb9x9goPygwCpnK2GL/2 eiJ2Tv4p2Qc8ggILXU0QJWaEYNqtvDpz5I/I2IFCfvYsmfJpWlQD+COa4V1jPfUsRJEOFkrxla8n tC3QmwgdVsOrRoPWBnFzUtXPHnD0iTfoZMoOpWayazfWUMcejVR/rRO4waox7H+ySOh/FWm2Z++p viaA2fGJDiRovMLoIR+zTYxHGAp3IWovO1zI0I+3EWQKVI0m0VNI+U1sRZD7H7H7zDLO8LzJ4gIN qScjl3TBcMRXsXumcqMuxklwXIBxL7ShQwvwu1oZQ1Y1GoNV4bgegphfQwjMU1TEq9rWObPUbK6d IT8f0SmFARk0yfOwdM/45rPt/EK7m3aWqNB/XIyGn2gHMtDUJA+6EpcKstartxf5G9W09q0x47uH QWpiM7J4pfuJRGtcjDlerCuoWeBJAxbUDPe/ivLBcLg35ew7EGqipcqMolAYZyhAdMNXH1IibVPg DM/i42GAoOInY7rBFJkoL66F6IWBNHqXIWxWMh0jv1611oaJieuLArQmii5adWy9CsE6A7HoomXY wiGPoy6HysHJryWPAvfPwCkhtiek3omIws6NHWVe5t7EpKehxaymsuTHAzfmJ+rLU0L+qQ99wKXS lVLk35GPpzUoTJhTavSU4IcJwQIKXpUXEPfhaKTCLTh8BX7TUmEKJbDweINkqrjeS9YAxK3MtsOY VRMom2ePBBnGTJz0kwNgvaVd+40JACuOcjVct2qOklYGZblwfachgCMcYKp83dhXX6Y+d3vOE8aA jtSoHUcYjrHuUbw+1c3mkPp7jsmWmsXfanKdcc61i9ozlTA6U/C0ZfVmirSzTMsgyCmtUKUyaAQG Bjtl0+zqpLMlKlozmEiJUzEq5/iymsylKZieRVvhJV6ACWQP+KUBujtQpv3ns5L09h1lrvAdMDfs ESwO6M8pNEtLlUGbI8sVW3L5ScIcq4AXS54JV0zgQ8PLAjDifUNQwb+rX1MEiJxgIPQWzuNL3DxJ lPuHuoM5ViFR6Rl6WzYZq9vqwPkaJEryTl2v0Dd61fCQWOdoZ1EOCWYJtzrTpYJeNfWacz/6VjMr SDLG90A2EKF4LiNQuNi0DxtOdm3AKVhL9tsjuExtuG2UDexnOwEFAq6g3BoZaamGTrH6FPNmJG63 hhx3N70Mse5LpAhg6v4ufAMBYu1dVlqOdPvDGpfwuoLohyew5l1xC90dtwuOmcJIQodoLEuij7GD lNMT8qVze35LwHaCMmEMbIu8obSKnDOo1jlxIOiSqqQiV1hTbCWx5+S4vO/ZyPvDCk5bv6fF+3lB mZfBvuliHKItasQmeCVII4/0GqFFjnRcrIbOTiVg+J1azTEoYkUho+CMmuVlruphXfpuuLCGrDwf EKrRyUg50U56MVE4SozVPQo1QB/rra0wkA6Eh+h3LEmUDU8RJpun6voI8hMw88ntjLyfBgctXOuk CoTEY8bhv38ifrjXD7D/MSBLbKJ3xWDdXAGfYNk8R8P6xE39UHCGO3+d25Y5L1bs3YE+rwxivva5 oBonOlnwhHIYi4SSUKnDJlMN7aecP5DGBNIMG6G2Lmi/Ts7jbdLvRuXhplFADA0sti96GA1cVjTi EhMkZCW4EWf9GDXgcspGqQ8kUEYBXxHXmmudXHAHVKqouTx3ClBNda+Ef+9zu+gYTRFkjSD04YWe 2YWhqW+yTS6MtjQgqQh8HP/dxx3M+wKIHcLgdbjgcS5VP/qDUbEvymKSAtsBU3oRvctAY/KGHf3c kf6MmVGfKFR0xcb176bn50iSav0tsPI0s7KsSdmd6Kg2ODUAuxrOiF1rgu725GdXu/84NIcBX3cX nXF6bWpt+sWAQ45nV0Np+Mk3C3Q5MqOoh7uij/ztxTWUgbktKoSzVWXBhp4pPHSZIjB6c+rXGuWx 4TqZOs/6NVGqBqweVvMIoDC/vIpoFGzrYOT8t5FbKDVUowZ1YApOkZpha9mOgmK/qjsFteS5KKFl olDElH5FstncdOTH8wNaz/egkGYC++MNwx09qraslFtd+nHY9LE6yDtGUj3PjlSYFngkGp7/0EVd /8+1snkg3gJXTsW8rOJH4peR0ebSg8rHch7aiW8NcU9srHR4v9sKESIfQNu6gAFRst5QjjKv4NP9 SZvjfXQ+5cI4SHbCFA6Z6Rr7QOA4Sl689cVjnkgTFVIfxr199Przt7EBo0vshTmus3CmNaNmSRXg mr4I5Tix6j0CpIQ4swULCNpptFWr6bKzQJoFVoKkbRKfA+MEaO4lgTkS/KS4mQEdSHXY2rRTwjgQ NWbsv/8vsfad5xpu6iM8ROsLAs/buO/njoI85EJFB1s1lbQfTv3AO2F54WyJnC2jnS6IhMofM2P5 3gmLShwlRILIkHaGJ3j4GF+j2HR2SLyYikpeI3CjTCqegEDT0EPLyq5ozJ/B6RiLWk8qDDwG5Iiz fhA3Z06rhBHMBcbpoCjf08tOJVFRZZQiK1vcOEg2LhfoLgdthQ7b8GxwBfZUg+zdwkXe1/zLQCq6 C3XMZMGkbTWll45yhzGYnN/kOYz+7AVZesk+hpuFEiNoskBgrGITwh8arttNYclOQwQB/ZXwE8vr hC34ytGH02t7wdHP3BvZCgj/1J0JhXStUi4EvqFn3j5Po63EVvTXaTfEQBystZ4t714MqiAKzsJ9 2ADR/istqcyoLHXx3IjpsnQTkr7FKai7+jitAa1ZxddsF0RV+MtEOhhGavBbe8BkCywulZEpWO/D oVTK0DO1yk/uk6kEthv1Vo2CrsAzpLaN6z2UhP/H/Qpol/IB/e21EaRVOil/lZulwgJww/L1YWkl od3LaOQN8E6q8cSSAIHohHEwoYAQxkRWxWBjD+TKz8t4C4z8QDdRL5i7ZVXTMdMK5vrjek7FTJ4M G1WzbXDLoUZgxe1ahZryzV01uqOa012r92kcqRECM3W1MchT9umF/QVXm9OeUFnRIyUIn4nHUhbC zXbHioebo8Cu3nQZ3Ht0G9oeoNLmlftXct89EQBCb2MlpGg9dsdmi5v3TNUKAJK7qK/x+6AS4Z3b FAhim2YyN5WcwehWqX8H9SCwfZOj+V8GFvcsrgLigd7NyEIX97z5XwvOsP463pTvNu/M3ujjBLKt RmyVz/ThXnQ5W4zbSSHV4VdQzp5DpPd57e3DH3i5cus2vncxnayWE3oZGKK3TTkokBaOHf52I/bw 7Kb9Fm+HJQdt49S+o42QuCmX8V6tqOhtiShn8A7J0bhrqPvVYWBZ6P1lattDhId2Q54JdfX2HYRV 2Neue6q3jHTcKwf0+x0h6U1MqxAl/fiLMe8XCciac04SLmX92LileCS605xkkW2v8BMRBlx+08hX NhZ0jvUowbdSVp5qky1LI9EzA8+BracPNXRQgQvhs0t3oXDfNlFL1WZQDO11HeSD4QRElM+RiaEm +pMYW8WdKB76fXEfCV/QDfkjjQU9SPbtlRgwQGXeKrz2RUAVjQilxFFK7EtvyT04xOY4R7AJswwW cJYr01MgpgwpZf+c0ua1INBfzBC8I9W1UcpV9QeLQDIwoKvrsoB0Tqlqw58NlVXU3aHAqZpdBfgQ ha/x+O6ZzCJMYbRW+117Pp4hkfIps7EKNZMxdREhz4iH2Rjts1BAauDLzhiIdWI8wOOU/zicP6UE gVS/BIcMZAomh0zQUvc5ROa3X+uFzoc6AMLb43t2nlfatI59sd/xRhyILRy/FHosJ8owdX/symhB nqj1Pz6n/aUmHDZ8I8Ugo5C3rRBYqgnv3AyhVW7i7mnEzdTLiKDSgpNiJjH9yqnabJK72Ohq9Ryh a8fxbH7yzFOuhjRB3yDJQkm1Bk+6cCyUOk0EZ9HXl7ylFeA1gOzRZA1jCHkRo1xeTq3ql/3Iq6Ve dZLAv5i7yIXdJ66kYDvxHk9ENU49zbiNhc1nO+/G3KSJ+HFgoLproDqRsfO1FhmgQhZ6jUlRkoZA 7gZzfC6J1RiL2yzcLdotX+va9KxahTLJypO9GOBYx1eHjvl0zHa6NKUnUhP2rAMwz+NdlHq/zBNd igaegWqKXwwBh+QsfCZIqT+ISEUOp2P9xXGbahWyzwk2u/1e/BEKw7ks6KphQAxl1xtSb70DNBk7 Te6bCjOngPUkqvUxjM4rqzDSoistiU/jbKN+jViE5a8mNtox0oe8Uit+XJmSQabTidG9pVmdTqc3 7zpojaBqVpYX2Ha9zqJZ1V0FtDGkVCyayvWkRMZ+P5mvOCz9dLYtUCO4PVFmWVp6IzZDWS5Pa+c7 uzJlzqM+Uv2SeCXdUMQr14wbzH1TQD3A9rBls8/hgcMCyyxsdBF3gRO1t2RV5X+/V9nBZS9GuJ1K E+4xlE/CKPv+XpCNTj53rD+dclG0CzHPI5b2ivUTtl1jLeHKK4gq8WuhgzdPN6sUG8/YrOOtYMWe m0xYql9Av2tnSm2B3A4/+XyBZLW2RwEpjqVuBdwgurpcO6q6D3WZJ2TwJBbtqFEmxrzot2d8OqQM Y3BoS55qU/tj2phJNR6ZmmEFiAPRu//SQC/SClFvlu/65iz7kazs/TIRK3ysqDRrl4fl/iHs+Vt6 qR0BcTejVzU1OfAtnUDX1rbARrEfh1R2YioOnTdtlKy0H0qVV6gN46wZ10tOke0K8JGlUnxSD+3I nwiVtBd2eBGjucdQB2+Lv8rLlZRoLcycrE94xrIyxPlmADEs7WygLuRM8vUDE1XDKu2/OSkIpnkI 0zSZLi7MOhVo6XamC6kM+X3TkK/+0prs5z+j0AB/HB6Mb9qkH/tQmKFDLQ11y35cl/glU184MLyw MDc433H0Kbj1M/dUm8Djb2voc/Q50q6WAh/GwllYgOsynAZoOWeaxBF8ISCn7DzUNZsL1qRmP2e9 SIu0Bly6d7rc1RyzNiwhOPgoeADsLHSK5s3Hi+QzJLfLz93m4J60EkyhSwjmukhYxbzz6MoVvKdk zJRQEhxEGvNmNyMWqqo2tI3/bR7OUzymi58/F+aNvGxJtTLYGeTK4a/Kt671oQmsqnTNTeAoYhT5 WroFGCQ6zX6XbMlIMNR6/BFmC0BA58eVna8fOGPAxxbVLk2xkT94rvQqrCzavSBVOroqaOTsYOV9 0We7l2dWScvsGRpJJUFvS1+Vg6XnV9sXCxV24+Dae4ZxLPETY2MviI7YSH3ixLKQThRkvc0s43AL kiQpONVHPDM6u/KyxJ+FTsc8tK7cJ+mzMU+sHXYS+ZdX2MNeyJB6XvZ2Sck+7YEw7OBB02qr3ZaF IJTeMr2M3nireHZmdodz4lVztCPHomdmiMDjfYIwQASPH66tZaYdaN347+4R8glNQZnPZ9sgS8tO fC11o3hxBjIncUJI9iISUCQ/9nrhLfpI84DzuYbXwdaMKI0nuY1ga2cdVVq8Um9lmIMHzNrAGwB2 ip8zFGUOFiOIX7w0smw538zkdCy3s+dQ4HTau5XN47jOjB425awR1iE3kDkUjMiH+E6vEpBWiTXz AB3EGA5x1VB6xLiNG32/NZAuVdKh6huT5Ib91/x1ciChYfx9cRveD6kKtwpz/HCRVEQltW6X9510 E6aTHpwFsXuZNIZPfqblpm2Q1gWurv6vb2IymoXqJGrymEBhOMjg3RdGt+Bd2k5wO6iuBKZ5MOwu FD8C00EnCLm8iwLuHW8z96BKwK9qsjrAM/o+wBQ2j1I+7SN/GEVslGohkzmro84OqeONTRqKnkGB Tw/INwhas/he9yx/fLXp4j8oEdlphg8f9KtJrKa7QTgR7xNVQxokFV/mJxd9z0YpDHHAB2XI6kA/ OXwnfKLCmyyOpannsyv3n7qKILZqS5Wxj6VZMJwKC1OQEgjskBDLZNDesWY+egMANE5qMQxQpG7b ++r5/+GEHjzfqs/elT/oQDHpIF4JjHQ/Oc2I1+CwLGHS9zz1DjRt2f85Lturt2XaEiIzHQTmQ+3c 8m6+bDZQwMhD+LzBs/UQ1UrDCMYRNCIS1JjS9w9J0xjatd1U0DcgXEc3Xnxmf5O5VHLUToEPjGeU olJRbW+OrtMDv/GXJPp85tRocLbQZf5zFEnKjzuGc1dDwJV3Kcrf+ssu1y6nv9ekbFQvKyD/deMQ UWhrOo/YhseNszY5sVuKgLbRQQ61pLKB+NLA3qUS39Xcpe5gttyzOsogZTnuobyfZLQoZVXg6F5v FNlmsScLBA5fyltocOX7xFBm9A7tLWi1+dwquv5dLLBOkCLnO/Sa5+YOnMewxx4DGMwOjMUllY/2 Rdk/eOSPUsA/7todN/9VDT6gOifUIMFKfdjITfwDMWt0uC7iIm4rHM8KBFBVpLnDtvx7TvmDK1Wd NW6WiZJoJDn78QIrM1iZzXj9BEpmRbeh6okVJeh8qh8sW4Mm4qsZoSLQLDK9YuD7iG0l/8zqaE8L 0DL+EXmGesFPGwDJVv/DSbSIgMl17SRFDq5FCvNZpbfkJnYWiI0OHiciRMas8tbrasXXGE4rOTVz 5DsAAabGxhB5U7tXoPBMqX0MnQR6Y93cwXgY82Un3uGqiDNrxs/elWEAZea+f2r0e/VqBWSk40Yi tS29p75fiy0YJdolTRz4GPAyr0TlSSfpklrGduw0aKEFhzd60Aw9+45dQU8omSGL8+w4JHkbvFuX Zx8ouNGhMB12fC8aXyJIdSgIoAl3LH1q4D/7CbAbCu4mpb4EwkjL9Xm9dYWk3S4OpdK+eWA0ptbL SQl/vShOd+z0cqeAU2z15y8uqCXs5p0AhXzII0uFfghYt8VfSgiR0L1bnWrkDOgcICIlTqE6VnTK yUsnGxt6AGnIgiX8tY3BrhbJIAGE8SRApf9EGJYzqluzJcEkoE1tQGkSJduyBQ7spS3DyID7lq9l y0puixQe7+MYm9Mkos3CdohMLmvsPK6w/s3lRVLiBjUOJIgZGCDPDHM+gZ34NPR0Viprq8ZIoRtn 1Am5BGg+P0e6gC39KBvLeTZM2tHvjijJgU0LXHKMAYkw0gc8GNcdeBhoQV4R1uu4RnV972FYtcHD SS4mI2sWI0SuwtEHsPCkuReRP4zuVW6XG+YJaS7R99n4g3ZRTgNed/n5pTpIzjnMzA44d9TQw7L5 a6ng4YZadyxGZX9xELQmUcMoWUXRyZOLauNUcenHYR3X1WlXl/jijo+vxrJYuxBr4dTbGZEg7ny+ y+Ib8Czo1Aks2ubYgM0CmAtXPoyvDzRA2td50INmgRR8IMaAkZOWLOXbVsWhlIs+063KkBJe6T4U sU/nNOb87W617Qkckidun4NpqNuM+CgrfEmw24okf/EcokRnkCMbYIuzkcFktWV31jZW2UVN4HSe F9kaVkqsxPEy+Yeh6BPalo9XuU+6253wvVawW/g6uKahPf3hGKkj4Q6A5DU5c+iJpS1rjMS5/GVg T8H+ojTGFl/n6mc1sLSPePGW/vRdqnGpfsEUTQrUKzk6zeWg8UZ5UTwI5hMRmo3gIRGavU9ACRUr vqND+kcQ5O8gC9cFNWtCAS5zruuyLAn8oFZ2agu1uRuWIVXvDQMCCMXc/ijzA0tR4A8HGI+Pj4lR aof5juo/gLZYI+/SfZkmz64a2y+vHb4eso9QWzqu0/n7Duf/yYhCOmgEVHbP5hJmI+I8jiiUc1Nf 9HO2EtgVHoRfgVr8to6Xee+M3plmTg5tvUTphK4DlMHfimx+WzSca+ccBZVqGiBI4S8n1LwW6g/C hoq5JBIY11RzmRjF6lgNo+WlMGZpuXmYF7RNIPbp9gj7ywBJN8QugX1JfupvK/bP71sGzSRoIIrY fGE5xkzo3j2XmTnPGInB9S1Fv0mPIZqUJ1nUkAWJT708XKPuo90l+pjxNFLYv+Wz+0qBe23yPFNr iLcB1MSCl4mSGVOp5SoF2V7U2KkRSQYhVZe7xbZT/Iht7s16xYF4SdqnvrAxKwc3+hofSSKksO3f P8wdLiA2WVzuXM1bn7tUPeiZL9oIC1qOJ0mcldps+YQyJvAX41iIjOH1rzxKdg/JAuJhVpN4UWLZ lH7k1Kd7Hh6wox5pCf0uGsQ2CzHuFu3xPM8WvIXnRBgrMaa62+CeiLMpxISzcC1sOrMNS4+ApKJj 2wnY9JLZ2W0F7CewY0tAOkWcszHTgVbqzI+iPxb59yhr/9zRzZRP3NAwdm86SeIaTR/ooh5N2Mn6 2atx/PrAfyrDPAecVUOxx3Y/hem5nE6t6++ZFB7VOy93N+Sb8Kf++ZeDal3p4Sc1tTc+kQpZ4TWy +aKDv+Lo7FuJreGL5uAc3jKAWBfPt/w8RdDKQJVcLb8HTGFK8cf5dxCvAJJnT3LjRzHQgdctf8rv N+0ySKrS1tGb12bcLYWhra+nVRjKlp5tvssewK5sh6b4P9sjPHmNVNZxnWqN+VH3bJcuwbEkeU0K YwpkYwHms6SLWWPpvmtAThvaMLOcbXsq/T2qKuyzYI7cNlfuQ4UO1ClIGUp1QEWMToKaO7+hfkZB 8LJEyQv6bbOIxDIojl4FeSfyo7cREueMC8+Z5dO3ERxP5RoragpXr0QddpHCLIlEX6EKqFLYCa2W 3nd5p9AnUepbnXkW1J26sOlzbB6GuUQDNaUn9/HoCcqln+A1OisqFoxw+q9jPVyOnoPqpq3FiiYA IzD8IFXeMbfP2C2lJMy/N/5mMQvuUC/JjdMrBvqolEkfJOx2qxuf9Ued3D+VBRmlr7/pJTeOKYmq beqTkyppAz82GOF36relINhFv1zOcz8xjKSzJP9Upr2fAA/+2Sj3QsfsZmCIJd34hNSirpJeqH90 8qoLv40GI5SJAPz9nEY/mQ/CWs3n1mzHBDVumv9sjkbBJWEYoUHiV21IV4SjX7Y+qR0JzxaTNt4F BsUo53jpqQlBnCpliPYqvtaoXIqaz/adZD35sqMdsCGaQw4ImCpuou0W7+rW6siW0tSbR13o4RZm A29iD9Q7CvgYGisBbhzaZnyafu04AMDP5EEd4otneYTF+2tQP/ZB3SC/bDICagzhOE1NC9CMs7Gb yVsb2sk9VS7LDW9ZDoRNC6vhgpW9gTXCuuZ8OVNkZqu3aBPMNA42/xFjqdYXPK7TgDO0cyimUmV5 ID5OS48R8U2xn2Rm1FVyvXxaNhprthO6CwsgYl3eA8jH3Gbhk8TNIVYhLbJ9m4xo2y1nWAEuolYJ 7gf1dLEPg4SXzClbD2OCd186hXmzWtxsuU0gjTQ2WCqrmQS2ts0fgN5J+uy/cCjXLIUKoqrtovAg Wz2rk2Jh+EWQV5NJ6ZZ7cRfvg0J6uERcvEsbpYaIZapdG6rUAwZq03RpXyHYAuRliStQ2GQIHs8n oMSb+/kVbFoEDx1wFTpo9U4c2NoGCLVXMAlxH6rhh8o5X/nHgtqmrMeP+Ak0sCt0xdrLkjadlasF vvEA+nHPOqWRC+mxVp37oHtZVxgdcjzmb3E76wRPHRWUFCA0mt3j/l4iCmf0JwesFinjmwDtZJOx Q4+DsdN5ra/VUPh9Fb8XEswKcPui8Qw5XpqBIOMHuPU6mHlgoh67NfVVsO0dAz0jxVgyq68A8qrt J5Bm1qyQ977pfB4TiVwWdGmaZftfY3YQndEO0lCSt5ct0JL5vs4c6iziYQ== `protect end_protected library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_c_addsub_0_0_c_addsub_v12_0_10 is port ( A : in STD_LOGIC_VECTOR ( 9 downto 0 ); B : in STD_LOGIC_VECTOR ( 9 downto 0 ); CLK : in STD_LOGIC; ADD : in STD_LOGIC; C_IN : in STD_LOGIC; CE : in STD_LOGIC; BYPASS : in STD_LOGIC; SCLR : in STD_LOGIC; SSET : in STD_LOGIC; SINIT : in STD_LOGIC; C_OUT : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute C_ADD_MODE : integer; attribute C_ADD_MODE of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_AINIT_VAL : string; attribute C_AINIT_VAL of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is "0"; attribute C_A_TYPE : integer; attribute C_A_TYPE of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_A_WIDTH : integer; attribute C_A_WIDTH of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 10; attribute C_BORROW_LOW : integer; attribute C_BORROW_LOW of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 1; attribute C_BYPASS_LOW : integer; attribute C_BYPASS_LOW of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_B_CONSTANT : integer; attribute C_B_CONSTANT of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_B_TYPE : integer; attribute C_B_TYPE of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_B_VALUE : string; attribute C_B_VALUE of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is "0000000000"; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 10; attribute C_CE_OVERRIDES_BYPASS : integer; attribute C_CE_OVERRIDES_BYPASS of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 1; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_HAS_BYPASS : integer; attribute C_HAS_BYPASS of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_HAS_CE : integer; attribute C_HAS_CE of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_HAS_C_IN : integer; attribute C_HAS_C_IN of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_HAS_C_OUT : integer; attribute C_HAS_C_OUT of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_HAS_SCLR : integer; attribute C_HAS_SCLR of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_HAS_SINIT : integer; attribute C_HAS_SINIT of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_HAS_SSET : integer; attribute C_HAS_SSET of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_IMPLEMENTATION : integer; attribute C_IMPLEMENTATION of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_LATENCY : integer; attribute C_LATENCY of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_OUT_WIDTH : integer; attribute C_OUT_WIDTH of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 10; attribute C_SCLR_OVERRIDES_SSET : integer; attribute C_SCLR_OVERRIDES_SSET of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 1; attribute C_SINIT_VAL : string; attribute C_SINIT_VAL of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is "0"; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is "zynq"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is "c_addsub_v12_0_10"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_c_addsub_0_0_c_addsub_v12_0_10 : entity is "yes"; end system_c_addsub_0_0_c_addsub_v12_0_10; architecture STRUCTURE of system_c_addsub_0_0_c_addsub_v12_0_10 is signal \<const0>\ : STD_LOGIC; signal NLW_xst_addsub_C_OUT_UNCONNECTED : STD_LOGIC; attribute C_BORROW_LOW of xst_addsub : label is 1; attribute C_CE_OVERRIDES_BYPASS of xst_addsub : label is 1; attribute C_CE_OVERRIDES_SCLR of xst_addsub : label is 0; attribute C_IMPLEMENTATION of xst_addsub : label is 0; attribute C_SCLR_OVERRIDES_SSET of xst_addsub : label is 1; attribute C_VERBOSITY of xst_addsub : label is 0; attribute C_XDEVICEFAMILY of xst_addsub : label is "zynq"; attribute c_a_type of xst_addsub : label is 0; attribute c_a_width of xst_addsub : label is 10; attribute c_add_mode of xst_addsub : label is 0; attribute c_ainit_val of xst_addsub : label is "0"; attribute c_b_constant of xst_addsub : label is 0; attribute c_b_type of xst_addsub : label is 0; attribute c_b_value of xst_addsub : label is "0000000000"; attribute c_b_width of xst_addsub : label is 10; attribute c_bypass_low of xst_addsub : label is 0; attribute c_has_bypass of xst_addsub : label is 0; attribute c_has_c_in of xst_addsub : label is 0; attribute c_has_c_out of xst_addsub : label is 0; attribute c_has_ce of xst_addsub : label is 0; attribute c_has_sclr of xst_addsub : label is 0; attribute c_has_sinit of xst_addsub : label is 0; attribute c_has_sset of xst_addsub : label is 0; attribute c_latency of xst_addsub : label is 0; attribute c_out_width of xst_addsub : label is 10; attribute c_sinit_val of xst_addsub : label is "0"; attribute downgradeipidentifiedwarnings of xst_addsub : label is "yes"; begin C_OUT <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); xst_addsub: entity work.system_c_addsub_0_0_c_addsub_v12_0_10_viv port map ( A(9 downto 0) => A(9 downto 0), ADD => '0', B(9 downto 0) => B(9 downto 0), BYPASS => '0', CE => '0', CLK => '0', C_IN => '0', C_OUT => NLW_xst_addsub_C_OUT_UNCONNECTED, S(9 downto 0) => S(9 downto 0), SCLR => '0', SINIT => '0', SSET => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_c_addsub_0_0 is port ( A : in STD_LOGIC_VECTOR ( 9 downto 0 ); B : in STD_LOGIC_VECTOR ( 9 downto 0 ); S : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_c_addsub_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_c_addsub_0_0 : entity is "system_c_addsub_0_0,c_addsub_v12_0_10,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_c_addsub_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_c_addsub_0_0 : entity is "c_addsub_v12_0_10,Vivado 2016.4"; end system_c_addsub_0_0; architecture STRUCTURE of system_c_addsub_0_0 is signal NLW_U0_C_OUT_UNCONNECTED : STD_LOGIC; attribute C_BORROW_LOW : integer; attribute C_BORROW_LOW of U0 : label is 1; attribute C_CE_OVERRIDES_BYPASS : integer; attribute C_CE_OVERRIDES_BYPASS of U0 : label is 1; attribute C_CE_OVERRIDES_SCLR : integer; attribute C_CE_OVERRIDES_SCLR of U0 : label is 0; attribute C_IMPLEMENTATION : integer; attribute C_IMPLEMENTATION of U0 : label is 0; attribute C_SCLR_OVERRIDES_SSET : integer; attribute C_SCLR_OVERRIDES_SSET of U0 : label is 1; attribute C_VERBOSITY : integer; attribute C_VERBOSITY of U0 : label is 0; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute c_a_type : integer; attribute c_a_type of U0 : label is 0; attribute c_a_width : integer; attribute c_a_width of U0 : label is 10; attribute c_add_mode : integer; attribute c_add_mode of U0 : label is 0; attribute c_ainit_val : string; attribute c_ainit_val of U0 : label is "0"; attribute c_b_constant : integer; attribute c_b_constant of U0 : label is 0; attribute c_b_type : integer; attribute c_b_type of U0 : label is 0; attribute c_b_value : string; attribute c_b_value of U0 : label is "0000000000"; attribute c_b_width : integer; attribute c_b_width of U0 : label is 10; attribute c_bypass_low : integer; attribute c_bypass_low of U0 : label is 0; attribute c_has_bypass : integer; attribute c_has_bypass of U0 : label is 0; attribute c_has_c_in : integer; attribute c_has_c_in of U0 : label is 0; attribute c_has_c_out : integer; attribute c_has_c_out of U0 : label is 0; attribute c_has_ce : integer; attribute c_has_ce of U0 : label is 0; attribute c_has_sclr : integer; attribute c_has_sclr of U0 : label is 0; attribute c_has_sinit : integer; attribute c_has_sinit of U0 : label is 0; attribute c_has_sset : integer; attribute c_has_sset of U0 : label is 0; attribute c_latency : integer; attribute c_latency of U0 : label is 0; attribute c_out_width : integer; attribute c_out_width of U0 : label is 10; attribute c_sinit_val : string; attribute c_sinit_val of U0 : label is "0"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.system_c_addsub_0_0_c_addsub_v12_0_10 port map ( A(9 downto 0) => A(9 downto 0), ADD => '1', B(9 downto 0) => B(9 downto 0), BYPASS => '0', CE => '1', CLK => '0', C_IN => '0', C_OUT => NLW_U0_C_OUT_UNCONNECTED, S(9 downto 0) => S(9 downto 0), SCLR => '0', SINIT => '0', SSET => '0' ); end STRUCTURE;
library IEEE; use ieee.std_logic_1164.all; entity A is port( input : in std_logic_vector(31 downto 0); clk, rst, pre, ce : in std_logic; output : out std_logic_vector(31 downto 0) ); end A; architecture behav of A is begin PASA : entity work.thirty_two_bit_register(behav) port map(input, clk, rst, pre, ce, output); end behav;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;
------------------------------------------------------------------------------- -- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pselect_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pselect_f.vhd -- -- Description: -- (Note: At least as early as I.31, XST implements a carry- -- chain structure for most decoders when these are coded in -- inferrable VHLD. An example of such code can be seen -- below in the "INFERRED_GEN" Generate Statement. -- -- -> New code should not need to instantiate pselect-type -- components. -- -- -> Existing code can be ported to Virtex5 and later by -- replacing pselect instances by pselect_f instances. -- As long as the C_FAMILY parameter is not included -- in the Generic Map, an inferred implementation -- will result. -- -- -> If the designer wishes to force an explicit carry- -- chain implementation, pselect_f can be used with -- the C_FAMILY parameter set to the target -- Xilinx FPGA family. -- ) -- -- Parameterizeable peripheral select (address decode). -- AValid qualifier comes in on Carry In at bottom -- of carry chain. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: pselect_f.vhd -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- Vaibhav & FLO 05/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_AB -- number of address bits to decode -- C_AW -- width of address bus -- C_BAR -- base address of peripheral (peripheral select -- is asserted when the C_AB most significant -- address bits match the C_AB most significant -- C_BAR bits -- Definition of Ports: -- A -- address input -- AValid -- address qualifier -- CS -- peripheral select ------------------------------------------------------------------------------- entity pselect_f is generic ( C_AB : integer := 9; C_AW : integer := 32; C_BAR : std_logic_vector; C_FAMILY : string := "nofamily" ); port ( A : in std_logic_vector(0 to C_AW-1); AValid : in std_logic; CS : out std_logic ); end entity pselect_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of pselect_f is component MUXCY is port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component MUXCY; constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS=0 -- LUT not supported. or C_AB <= NLS; -- Just one LUT -- needed. ----------------------------------------------------------------------------- -- C_BAR may not be indexed from 0 and may not be ascending; -- BAR recasts C_BAR to have these properties. ----------------------------------------------------------------------------- constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR; type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); function min(i, j: integer) return integer is begin if i<j then return i; else return j; end if; end; begin ------------------------------------------------------------------------------ -- Check that the generics are valid. ------------------------------------------------------------------------------ -- synthesis translate_off assert (C_AB <= C_BAR'length) and (C_AB <= C_AW) report "pselect_f generic error: " & "(C_AB <= C_BAR'length) and (C_AB <= C_AW)" & " does not hold." severity failure; -- synthesis translate_on ------------------------------------------------------------------------------ -- Build a behavioral decoder ------------------------------------------------------------------------------ INFERRED_GEN : if (USE_INFERRED = TRUE ) generate begin XST_WA:if C_AB > 0 generate CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else '0' ; end generate XST_WA; PASS_ON_GEN:if C_AB = 0 generate CS <= AValid ; end generate PASS_ON_GEN; end generate INFERRED_GEN; ------------------------------------------------------------------------------ -- Build a structural decoder using the fast carry chain ------------------------------------------------------------------------------ GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS; signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround signal carry_chain : std_logic_vector(0 to NUM_LUTS); begin carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain. CS <= carry_chain(0); -- Assign end of carry chain to output. XST_WA: if NUM_LUTS > 0 generate -- workaround for XST begin GEN_DECODE: for i in 0 to NUM_LUTS-1 generate constant NI : natural := i; constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT begin lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT BAR(NI*NLS to NI*NLS+BTL-1)); MUXCY_I: component MUXCY -- MUXCY port map ( O => carry_chain(i), CI => carry_chain(i+1), DI => '0', S => lut_out(i) ); end generate GEN_DECODE; end generate XST_WA; end generate GEN_STRUCTURAL_A; end imp;